repo_name
stringlengths
6
92
path
stringlengths
7
220
copies
stringlengths
1
3
size
stringlengths
2
9
content
stringlengths
15
1.05M
license
stringclasses
15 values
alexjj/pancham
pandas-cookbook/cookbook/Chapter 4 - Find out on which weekday people bike the most with groupby and aggregate.ipynb
1
140728
{ "metadata": { "name": "" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "%matplotlib inline\n", "\n", "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "\n", "pd.set_option('display.mpl_style', 'default') # Make the graphs a bit prettier\n", "plt.rcParams['figure.figsize'] = (15, 5)\n", "\n", "# This is necessary to show lots of columns in pandas 0.12. \n", "# Not necessary in pandas 0.13.\n", "pd.set_option('display.line_width', 5000) \n", "pd.set_option('display.max_columns', 60)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Okay! We're going back to our bike path dataset here. I live in Montreal, and I was curious about whether we're more of a commuter city or a biking-for-fun city -- do people bike more on weekends, or on weekdays?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 4.1 Adding a 'weekday' column to our dataframe" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "First, we need to load up the data. We've done this before." ] }, { "cell_type": "code", "collapsed": false, "input": [ "bikes = pd.read_csv('../data/bikes.csv', sep=';', encoding='latin1', parse_dates=['Date'], dayfirst=True, index_col='Date')\n", "bikes['Berri 1'].plot()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 3, "text": [ "<matplotlib.axes.AxesSubplot at 0x1079e5090>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA3AAAAFSCAYAAACzET3DAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAaQsABAAA0hIADAABoCAEHAADQEN/0h8XWuQDOWQAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x1079de7d0>" ] } ], "prompt_number": 3 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next up, we're just going to look at the Berri bike path. Berri is a street in Montreal, with a pretty important bike path. I use it mostly on my way to the library now, but I used to take it to work sometimes when I worked in Old Montreal. \n", "\n", "So we're going to create a dataframe with just the Berri bikepath in it" ] }, { "cell_type": "code", "collapsed": false, "input": [ "berri_bikes = bikes[['Berri 1']]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "berri_bikes[:5]" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Berri 1</th>\n", " </tr>\n", " <tr>\n", " <th>Date</th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>2012-01-01</th>\n", " <td> 35</td>\n", " </tr>\n", " <tr>\n", " <th>2012-01-02</th>\n", " <td> 83</td>\n", " </tr>\n", " <tr>\n", " <th>2012-01-03</th>\n", " <td> 135</td>\n", " </tr>\n", " <tr>\n", " <th>2012-01-04</th>\n", " <td> 144</td>\n", " </tr>\n", " <tr>\n", " <th>2012-01-05</th>\n", " <td> 197</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>5 rows \u00d7 1 columns</p>\n", "</div>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 5, "text": [ " Berri 1\n", "Date \n", "2012-01-01 35\n", "2012-01-02 83\n", "2012-01-03 135\n", "2012-01-04 144\n", "2012-01-05 197\n", "\n", "[5 rows x 1 columns]" ] } ], "prompt_number": 5 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next, we need to add a 'weekday' column. Firstly, we can get the weekday from the index. We haven't talked about indexes yet, but the index is what's on the left on the above dataframe, under 'Date'. It's basically all the days of the year." ] }, { "cell_type": "code", "collapsed": false, "input": [ "berri_bikes.index" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 6, "text": [ "<class 'pandas.tseries.index.DatetimeIndex'>\n", "[2012-01-01, ..., 2012-11-05]\n", "Length: 310, Freq: None, Timezone: None" ] } ], "prompt_number": 6 }, { "cell_type": "markdown", "metadata": {}, "source": [ "You can see that actually some of the days are missing -- only 310 days of the year are actually there. Who knows why.\n", "\n", "Pandas has a bunch of really great time series functionality, so if we wanted to get the day of the month for each row, we could do it like this:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "berri_bikes.index.day" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 7, "text": [ "array([ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17,\n", " 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 1, 2, 3,\n", " 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20,\n", " 21, 22, 23, 24, 25, 26, 27, 28, 29, 1, 2, 3, 4, 5, 6, 7, 8,\n", " 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25,\n", " 26, 27, 28, 29, 30, 31, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11,\n", " 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28,\n", " 29, 30, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15,\n", " 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 1,\n", " 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18,\n", " 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 1, 2, 3, 4, 5,\n", " 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22,\n", " 23, 24, 25, 26, 27, 28, 29, 30, 31, 1, 2, 3, 4, 5, 6, 7, 8,\n", " 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25,\n", " 26, 27, 28, 29, 30, 31, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11,\n", " 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28,\n", " 29, 30, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15,\n", " 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 1,\n", " 2, 3, 4, 5], dtype=int32)" ] } ], "prompt_number": 7 }, { "cell_type": "markdown", "metadata": {}, "source": [ "We actually want the weekday, though:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "berri_bikes.index.weekday" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 8, "text": [ "array([6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0,\n", " 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2,\n", " 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4,\n", " 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6,\n", " 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1,\n", " 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3,\n", " 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5,\n", " 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0,\n", " 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2,\n", " 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4,\n", " 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6,\n", " 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1,\n", " 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0, 1, 2, 3,\n", " 4, 5, 6, 0, 1, 2, 3, 4, 5, 6, 0], dtype=int32)" ] } ], "prompt_number": 8 }, { "cell_type": "markdown", "metadata": {}, "source": [ "These are the days of the week, where 0 is Monday. I found out that 0 was Monday by checking on a calendar.\n", "\n", "Now that we know how to *get* the weekday, we can add it as a column in our dataframe like this:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "berri_bikes['weekday'] = berri_bikes.index.weekday\n", "berri_bikes[:5]" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Berri 1</th>\n", " <th>weekday</th>\n", " </tr>\n", " <tr>\n", " <th>Date</th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>2012-01-01</th>\n", " <td> 35</td>\n", " <td> 6</td>\n", " </tr>\n", " <tr>\n", " <th>2012-01-02</th>\n", " <td> 83</td>\n", " <td> 0</td>\n", " </tr>\n", " <tr>\n", " <th>2012-01-03</th>\n", " <td> 135</td>\n", " <td> 1</td>\n", " </tr>\n", " <tr>\n", " <th>2012-01-04</th>\n", " <td> 144</td>\n", " <td> 2</td>\n", " </tr>\n", " <tr>\n", " <th>2012-01-05</th>\n", " <td> 197</td>\n", " <td> 3</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>5 rows \u00d7 2 columns</p>\n", "</div>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 9, "text": [ " Berri 1 weekday\n", "Date \n", "2012-01-01 35 6\n", "2012-01-02 83 0\n", "2012-01-03 135 1\n", "2012-01-04 144 2\n", "2012-01-05 197 3\n", "\n", "[5 rows x 2 columns]" ] } ], "prompt_number": 9 }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 4.2 Adding up the cyclists by weekday" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This turns out to be really easy!\n", "\n", "Dataframes have a `.groupby()` method that is similar to SQL groupby, if you're familiar with that. I'm not going to explain more about it right now -- if you want to to know more, [the documentation](http://pandas.pydata.org/pandas-docs/stable/groupby.html) is really good.\n", "\n", "In this case, `berri_bikes.groupby('weekday').aggregate(sum)` means \"Group the rows by weekday and then add up all the values with the same weekday\"." ] }, { "cell_type": "code", "collapsed": false, "input": [ "weekday_counts = berri_bikes.groupby('weekday').aggregate(sum)\n", "weekday_counts" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Berri 1</th>\n", " </tr>\n", " <tr>\n", " <th>weekday</th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td> 134298</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td> 135305</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td> 152972</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td> 160131</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td> 141771</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td> 101578</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td> 99310</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>7 rows \u00d7 1 columns</p>\n", "</div>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 10, "text": [ " Berri 1\n", "weekday \n", "0 134298\n", "1 135305\n", "2 152972\n", "3 160131\n", "4 141771\n", "5 101578\n", "6 99310\n", "\n", "[7 rows x 1 columns]" ] } ], "prompt_number": 10 }, { "cell_type": "markdown", "metadata": {}, "source": [ "It's hard to remember what 0, 1, 2, 3, 4, 5, 6 mean, so we can fix it up and graph it:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "weekday_counts.index = ['Monday', 'Tuesday', 'Wednesday', 'Thursday', 'Friday', 'Saturday', 'Sunday']\n", "weekday_counts" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Berri 1</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Monday</th>\n", " <td> 134298</td>\n", " </tr>\n", " <tr>\n", " <th>Tuesday</th>\n", " <td> 135305</td>\n", " </tr>\n", " <tr>\n", " <th>Wednesday</th>\n", " <td> 152972</td>\n", " </tr>\n", " <tr>\n", " <th>Thursday</th>\n", " <td> 160131</td>\n", " </tr>\n", " <tr>\n", " <th>Friday</th>\n", " <td> 141771</td>\n", " </tr>\n", " <tr>\n", " <th>Saturday</th>\n", " <td> 101578</td>\n", " </tr>\n", " <tr>\n", " <th>Sunday</th>\n", " <td> 99310</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>7 rows \u00d7 1 columns</p>\n", "</div>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 11, "text": [ " Berri 1\n", "Monday 134298\n", "Tuesday 135305\n", "Wednesday 152972\n", "Thursday 160131\n", "Friday 141771\n", "Saturday 101578\n", "Sunday 99310\n", "\n", "[7 rows x 1 columns]" ] } ], "prompt_number": 11 }, { "cell_type": "code", "collapsed": false, "input": [ "weekday_counts.plot(kind='bar')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 12, "text": [ "<matplotlib.axes.AxesSubplot at 0x107bf1450>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA3sAAAFkCAYAAACZ0iKEAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x107bed390>" ] } ], "prompt_number": 12 }, { "cell_type": "markdown", "metadata": {}, "source": [ "So it looks like Montrealers are commuter cyclists -- they bike much more during the week. Neat!" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 4.3 Putting it together" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's put all that together, to prove how easy it is. 6 lines of magical pandas!\n", "\n", "If you want to play around, try changing `sum` to `max`, `numpy.median`, or any other function you like." ] }, { "cell_type": "code", "collapsed": false, "input": [ "bikes = pd.read_csv('../data/bikes.csv', \n", " sep=';', encoding='latin1', \n", " parse_dates=['Date'], dayfirst=True, \n", " index_col='Date')\n", "# Add the weekday column\n", "berri_bikes = bikes[['Berri 1']]\n", "berri_bikes['weekday'] = berri_bikes.index.weekday\n", "\n", "# Add up the number of cyclists by weekday, and plot!\n", "weekday_counts = berri_bikes.groupby('weekday').aggregate(sum)\n", "weekday_counts.index = ['Monday', 'Tuesday', 'Wednesday', 'Thursday', 'Friday', 'Saturday', 'Sunday']\n", "weekday_counts.plot(kind='bar')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 13, "text": [ "<matplotlib.axes.AxesSubplot at 0x107a4ad10>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA3sAAAFkCAYAAACZ0iKEAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x107a20110>" ] } ], "prompt_number": 13 }, { "cell_type": "markdown", "metadata": {}, "source": [ "<style>\n", " @font-face {\n", " font-family: \"Computer Modern\";\n", " src: url('http://mirrors.ctan.org/fonts/cm-unicode/fonts/otf/cmunss.otf');\n", " }\n", " div.cell{\n", " width:800px;\n", " margin-left:16% !important;\n", " margin-right:auto;\n", " }\n", " h1 {\n", " font-family: Helvetica, serif;\n", " }\n", " h4{\n", " margin-top:12px;\n", " margin-bottom: 3px;\n", " }\n", " div.text_cell_render{\n", " font-family: Computer Modern, \"Helvetica Neue\", Arial, Helvetica, Geneva, sans-serif;\n", " line-height: 145%;\n", " font-size: 130%;\n", " width:800px;\n", " margin-left:auto;\n", " margin-right:auto;\n", " }\n", " .CodeMirror{\n", " font-family: \"Source Code Pro\", source-code-pro,Consolas, monospace;\n", " }\n", " .text_cell_render h5 {\n", " font-weight: 300;\n", " font-size: 22pt;\n", " color: #4057A1;\n", " font-style: italic;\n", " margin-bottom: .5em;\n", " margin-top: 0.5em;\n", " display: block;\n", " }\n", " \n", " .warning{\n", " color: rgb( 240, 20, 20 )\n", " } " ] } ], "metadata": {} } ] }
unlicense
pk-ai/training
machine-learning/deep-learning/udacity/ud730/1_notmnist.ipynb
1
68918
{ "cells": [ { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "5hIbr52I7Z7U" }, "source": [ "Deep Learning\n", "=============\n", "\n", "Assignment 1\n", "------------\n", "\n", "The objective of this assignment is to learn about simple data curation practices, and familiarize you with some of the data we'll be reusing later.\n", "\n", "This notebook uses the [notMNIST](http://yaroslavvb.blogspot.com/2011/09/notmnist-dataset.html) dataset to be used with python experiments. This dataset is designed to look like the classic [MNIST](http://yann.lecun.com/exdb/mnist/) dataset, while looking a little more like real data: it's a harder task, and the data is a lot less 'clean' than MNIST." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 } }, "colab_type": "code", "collapsed": true, "id": "apJbCsBHl-2A" }, "outputs": [], "source": [ "# These are all the modules we'll be using later. Make sure you can import them\n", "# before proceeding further.\n", "from __future__ import print_function\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import os\n", "import sys\n", "import tarfile\n", "from IPython.display import display, Image\n", "from scipy import ndimage\n", "from sklearn.linear_model import LogisticRegression\n", "from six.moves.urllib.request import urlretrieve\n", "from six.moves import cPickle as pickle\n", "\n", "# Config the matplotlib backend as plotting inline in IPython\n", "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "jNWGtZaXn-5j" }, "source": [ "First, we'll download the dataset to our local machine. The data consists of characters rendered in a variety of fonts on a 28x28 image. The labels are limited to 'A' through 'J' (10 classes). The training set has about 500k and the testset 19000 labeled examples. Given these sizes, it should be possible to train models quickly on any machine." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 }, "output_extras": [ { "item_id": 1 } ] }, "colab_type": "code", "executionInfo": { "elapsed": 186058, "status": "ok", "timestamp": 1444485672507, "user": { "color": "#1FA15D", "displayName": "Vincent Vanhoucke", "isAnonymous": false, "isMe": true, "permissionId": "05076109866853157986", "photoUrl": "//lh6.googleusercontent.com/-cCJa7dTDcgQ/AAAAAAAAAAI/AAAAAAAACgw/r2EZ_8oYer4/s50-c-k-no/photo.jpg", "sessionId": "2a0a5e044bb03b66", "userId": "102167687554210253930" }, "user_tz": 420 }, "id": "EYRJ4ICW6-da", "outputId": "0d0f85df-155f-4a89-8e7e-ee32df36ec8d" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Found and verified ./notMNIST_large.tar.gz\n", "Found and verified ./notMNIST_small.tar.gz\n" ] } ], "source": [ "url = 'https://commondatastorage.googleapis.com/books1000/'\n", "last_percent_reported = None\n", "data_root = '.' # Change me to store data elsewhere\n", "\n", "def download_progress_hook(count, blockSize, totalSize):\n", " \"\"\"A hook to report the progress of a download. This is mostly intended for users with\n", " slow internet connections. Reports every 5% change in download progress.\n", " \"\"\"\n", " global last_percent_reported\n", " percent = int(count * blockSize * 100 / totalSize)\n", "\n", " if last_percent_reported != percent:\n", " if percent % 5 == 0:\n", " sys.stdout.write(\"%s%%\" % percent)\n", " sys.stdout.flush()\n", " else:\n", " sys.stdout.write(\".\")\n", " sys.stdout.flush()\n", " \n", " last_percent_reported = percent\n", " \n", "def maybe_download(filename, expected_bytes, force=False):\n", " \"\"\"Download a file if not present, and make sure it's the right size.\"\"\"\n", " dest_filename = os.path.join(data_root, filename)\n", " if force or not os.path.exists(dest_filename):\n", " print('Attempting to download:', filename) \n", " filename, _ = urlretrieve(url + filename, dest_filename, reporthook=download_progress_hook)\n", " print('\\nDownload Complete!')\n", " statinfo = os.stat(dest_filename)\n", " if statinfo.st_size == expected_bytes:\n", " print('Found and verified', dest_filename)\n", " else:\n", " raise Exception(\n", " 'Failed to verify ' + dest_filename + '. Can you get to it with a browser?')\n", " return dest_filename\n", "\n", "train_filename = maybe_download('notMNIST_large.tar.gz', 247336696)\n", "test_filename = maybe_download('notMNIST_small.tar.gz', 8458043)" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "cC3p0oEyF8QT" }, "source": [ "Extract the dataset from the compressed .tar.gz file.\n", "This should give you a set of directories, labeled A through J." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 }, "output_extras": [ { "item_id": 1 } ] }, "colab_type": "code", "executionInfo": { "elapsed": 186055, "status": "ok", "timestamp": 1444485672525, "user": { "color": "#1FA15D", "displayName": "Vincent Vanhoucke", "isAnonymous": false, "isMe": true, "permissionId": "05076109866853157986", "photoUrl": "//lh6.googleusercontent.com/-cCJa7dTDcgQ/AAAAAAAAAAI/AAAAAAAACgw/r2EZ_8oYer4/s50-c-k-no/photo.jpg", "sessionId": "2a0a5e044bb03b66", "userId": "102167687554210253930" }, "user_tz": 420 }, "id": "H8CBE-WZ8nmj", "outputId": "ef6c790c-2513-4b09-962e-27c79390c762" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "./notMNIST_large already present - Skipping extraction of ./notMNIST_large.tar.gz.\n", "['./notMNIST_large/A', './notMNIST_large/B', './notMNIST_large/C', './notMNIST_large/D', './notMNIST_large/E', './notMNIST_large/F', './notMNIST_large/G', './notMNIST_large/H', './notMNIST_large/I', './notMNIST_large/J']\n", "./notMNIST_small already present - Skipping extraction of ./notMNIST_small.tar.gz.\n", "['./notMNIST_small/A', './notMNIST_small/B', './notMNIST_small/C', './notMNIST_small/D', './notMNIST_small/E', './notMNIST_small/F', './notMNIST_small/G', './notMNIST_small/H', './notMNIST_small/I', './notMNIST_small/J']\n" ] } ], "source": [ "num_classes = 10\n", "np.random.seed(133)\n", "\n", "def maybe_extract(filename, force=False):\n", " root = os.path.splitext(os.path.splitext(filename)[0])[0] # remove .tar.gz\n", " if os.path.isdir(root) and not force:\n", " # You may override by setting force=True.\n", " print('%s already present - Skipping extraction of %s.' % (root, filename))\n", " else:\n", " print('Extracting data for %s. This may take a while. Please wait.' % root)\n", " tar = tarfile.open(filename)\n", " sys.stdout.flush()\n", " tar.extractall(data_root)\n", " tar.close()\n", " data_folders = [\n", " os.path.join(root, d) for d in sorted(os.listdir(root))\n", " if os.path.isdir(os.path.join(root, d))]\n", " if len(data_folders) != num_classes:\n", " raise Exception(\n", " 'Expected %d folders, one per class. Found %d instead.' % (\n", " num_classes, len(data_folders)))\n", " print(data_folders)\n", " return data_folders\n", " \n", "train_folders = maybe_extract(train_filename)\n", "test_folders = maybe_extract(test_filename)" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "4riXK3IoHgx6" }, "source": [ "---\n", "Problem 1\n", "---------\n", "\n", "Let's take a peek at some of the data to make sure it looks sensible. Each exemplar should be an image of a character A through J rendered in a different font. Display a sample of the images that we just downloaded. Hint: you can use the package IPython.display.\n", "\n", "---" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Displaying images of train folders\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABt0lEQVR4nG2SO2iTURiGn3Ox1RZb\nqdCCkNStlgpCLbgUd6HiBRG1dGgdnBQddPaSpZMFXVwEu0StguIFHQQHFwfrIhpKHZIOraCCqWCS\n//I6hD/5/+g3nvc833nf832QlGNeVd3C8m8Z+isK9X0Y0zqzbfBorrjMzrn/orzV+CVpbSCFtjpM\n6gMjv2Odx3eKjju6DE+kT12dqGFXtbYbjqmhaVxW9FzUfRzbP0vvbBY1bP2oIzhPQYGmsqhjSqUe\njGW0EelFlrQs6RoeLM8VajKd1bInaOzFguOUGiqmRU9BzzCAof+r4vpYWzUMrGi6md0zr5puty05\nZrS2o+nCsi+SfuRbqOGNbtLtvffeb+GV6rqeoI4Dqk8kVx0nFao8iKH50CyVXC5pE26r9oX5Mws2\nAiz5DcVKVxyp1IvBYzg+9LPwzSsJ/Wf/FRONnLhnIwxuWS9TqQ1dKwr0vmngkDSbePXe+26uKoh0\nGIflodaHs585tqlArzEw+kuPsjOyPFWo+CCW0308yE7esAiROQc9X1Qeyq6joXdVgTbHuSDd7Vwa\nxw2FoYq+tjS4iLKieHx2vVwp/QV8b72CTbtCAgAAAABJRU5ErkJggg==\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAAB80lEQVR4nFWTvWtUQRTFz8ydfRuN\nohKNRcDCL0wQtVAIaLZRxCYqgoIpgiBWFv4Dgq0gKMZCsEllo2ihsJWii6iIKIj4FVNooRgSJAFx\n982bucdi3tuNt7tczpkf594BUok5zMhexZ8PD6EqwTQLhqqU5OJIOTNY/5u6TKn0vOFKYRhfF6V4\nTUMAhnv66ThaKi0ea67N7iujCxo4W822ewZOSF1ERKSOa/T8kmytHqsVtflmVAIAaLaAeFLymJfM\neQtS4Q39ZYxjpetIoZGNaujMWeZ8X3PJ9bjz2YcXFjYpOQniQZEa+4Y5L3ZZcTSGoMNwACTu2s2a\nv4OhNTQAsP+yCdnUJwEAh0vssGXkbS9aPl1pDQAYececF7Cznefeex9j0NYATMp8r0Ztb8WVbrAa\nh+EABxicML7+bNbMTasF0De+KrjG55LNfaTnObiK9TrbvJmUEvft0NqfJlQsAEhRB7AikSbX1g+r\niABMkR2E5a8y1myGnpOm2iwOUANPQQAIGoxcGkzkgOAqPZc2wgBwmGKH96rMYbMZer1b9n3f6Hna\nZtZaK5JhjDHwZGI3R1hwbnU3803PtYgLa5MrJwD0PzIESZDbBqnu9qJEANgw/99JkkXg1wGTFnue\nkexdcyTZ2lwuHa90+S9g5/v9M9VB4B/Y8EWIeevP1AAAAABJRU5ErkJggg==\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABuklEQVR4nHWSv2uTYRSFn3vfz1YU\naTTail2CGuJoKf7qYIeCIMWhg1jBzUEULbhIB0c3BRcl6OSif0FwKVgQRBAXbRWtUgzVINVWq2DT\nNO93HEygNelZn3vPPfdyDQAsRHUODB3O794cf32aefFkKlqIDeZsG5uKUlz68rWqVNXnl7MYAG7h\nypz089HogZ7OrbmTxUVFzV8yAKenpLT+INeoNXofqhZ1Awj0lRV/j2AhcTfzJBjjWtErcPZ/Vpwf\nIHGa8oSi9AFj17Tq9RNsYo2czEe9I3BfyxpfzyBwTq9hMK3rzZZGljWya6MwoRWdJ/zPMOBYTLWw\np7URQvARX+VlxdQKY/QjwHu8lQFewJhv5wr4DiC2ReBgZGkzEvAFYO9G8C3Q15G2HeqTGLn+DRLl\nf2hVt9tciBDgpmqqdLdsag7QNaMV3SNZb+zG2TMEhqppTRdJ1jhbQscdzUFg+I9quop54m5mHhIn\n/0y1C0DC4KyiSgebkY3t179r8fS/WHQV69Ly47H+XKZj577huxVpotDYwJ3CrXIqqfqtspRKy6VT\ngdD8VI9kDx0/2tudiUvl6aeTs3JS/gIeiLKuuXMuHQAAAABJRU5ErkJggg==\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABfklEQVR4nG3TPWtUQRTG8f85c+PL\n6kJClCWVICiSXrAMwSKCIYgoCNaCNoJCsPMD+BEEC20s7IVoQEGEgBANFhEESVATQUVWd6PeO+ex\niEX0zimm+fGcYuYZe3CWMAMQqrc+r608ftYnZQAuPNnUf/PmZg83AOidXFeEtk6dmDp/a1nK0sYl\nMMAdXiiHBnsB0rlV1Y10e2Q76+mlcmjQS1VKMLqgHLXukgwwlpVDgwMYUDG6Glm/dYPUQipmlBXN\nr0naiPNcjWrdw2mNcx9ImjtcwOCpEqbubAHF2iZCmiog9DcI3I6VkhZDwJgoJZ3vAOwvriW2zxKK\nDiC+FdCCPYBYL67tHMSQVorYO4TjtlC6PpscCYL3JUSzBGF3vtJ6FffuR0WOD+PWSloV1ycysitf\nHHDfUZMEp3/mJjRP2lGwH7sA6M4Pm1r5KgmqYN/RMQyqM312jx+fPgK8vvbIM3Bx8V3zb6ebpcsd\nEkA1Nw0ygCzy8NPbVw+Xmr/f4Q8vhuBcRLdSCQAAAABJRU5ErkJggg==\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABlUlEQVR4nG2SPWuUURCFn/vhBiQR\ng6CF4IIBES0EDRFEhOCvULCysbexFQUrKwsb/QN+tOk0IKSIiAjbiIsW2kVINhs2ZnfvzLF4N8m+\nu3mae7mHc2fmMAEAQjTmF28uNk+cnA3Vk8JGdYlw+dlP1TB9HGn5SV9yK2a+z9CfAySaa9LQVIY1\n750M0c6sXCopyxPsFTGi3wqEOLO6VDIKrL35+qdn+6J3IfFUA8m1dZdJUrjyr7jcOkvEnGI4BBJv\nVaSi+zSmnDR77jK1Go2cxomQuXfcEuLFYNoXwudrisD6JhJC1WEzXx7HfP5ileX1SdsGMd+Y9Qjg\nqkklf4J8GwGYQk1NfEd8k+ko9uYJ+RwB4OGP6GNGhZ0Ooi/JVU5PDwI5AdCNqV4TDPLuHMBcQ/Ip\nZ/yNEyzf8mO10KvhX6lI5r8uHFEzLH9QBIXO69X29mG+/V0AVjSQ5JL6ve6Ire4DMhAW/mrgkg99\nPINlEhC52pasuA6W0s13zlbZRE693Jzc51Ye9Ztg4dH79vbg4N+idyTgP9BBMW4e8NzaAAAAAElF\nTkSuQmCC\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABxElEQVR4nF2Tz0qVURTFf3uf76bd\nUjFuKN4Kb6QggpjZIAj6M2rUpFcIGkTQKzToDRpXED1EE5tkoxqIXKlBiZl6tYE6q+53zmpwvs+s\nPdv8zmKvteCA0VhW1N9J/X4ZpaRlA3yic2HhsQPEXmN4ECDJbdcA8NT+5pB8bfF0qzU2PX9l0svi\nBQDW4IYklXpNAKB5e0m6DwCBe0pSqQcUHooQjPCh3ymylHFkGKsoAdjAr8/N9QxhHJF8ZwMBoN88\nPaSGYwixuWUp7641cACLtDHgS97BYnKvFjXPYcAq9Ty8m2olIxl2cfdQDHDnWR+rDjCbm5urH7/f\nKLBsyOiQPPnmfnP05KkzreG5a6/KEGu3lwDn/LplD5E3QK2cJbls+W17aKR1tjk4wKfamRlLKhX1\niAA0Jma6B228UqrVqWNK6u9s753ooRzFGJvEcb4SkzAn7MWgrDSmSC7r7edGIi+7pNoQ8wjZzmFV\nO89BVA1xGRDbP72C7lU5WByaxhC7de2kVEPn4hSGscV/4wAzIRrG9/rkEbRQcD3X9IPgdhwWimIh\nwx5l+kdpo4tXb93MgVberXTXDo7Tj0pK9U+IenLkGPgD4i/Br6rinvcAAAAASUVORK5CYII=\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAACQUlEQVR4nFWSXWjNcRjHP8/z+53D\nIZOdVjNGC7tYmbzEGheU1+ZiLpTStJKUXSx0kpcRuVpZaS2vKQoR5u0g1CilnKXUCNnxemMWszbm\nbP//4+JsnD03z8W3z/PU9/sVAEQD0CkFsWBg4EeXASebXmsoAC5gyqrVFYWBBaGT752pdFXV7A4N\nARxTz3abJTeWxePTFtac6THrTiBktU09V/vfLGFkJuwavIJmb7JvcFnD+0K8VxFV72CzrcMBji22\nYIZVEPlHiuPVLRwgM62WJxdyNIj6Y29BgJtPKc3ME0futL5BBEqDpbLzyyglWllvDXjg8FvH7Tvo\n/48yNtndGBEBUqfQdyfxo9ns0pJnxCb/FlRzxIwTAJ//hVhslhHmkhJkSeklOrisZOjBChTUO+fA\nwDmnSgz77dtvL1+LIuFQEARZNghC/yufjB4srn3QJIFY2aY5v26cE7CVNV1HSR8g+nU3eYAyt+dP\nss1O46k2s1fcvQGpiw4ET7MVwBpbQORja7n1U9c1jpY0IuDZa82FSsd+Fllp5FAFRQPVUm3FKIjE\nb74oVn3cQv3nbBDX2pnYl/hvkedxC00p1KtKw/z1Py/ViQkgghiRDOP70aFQ9eWR80V7pm8NPGAm\nFjKpb8QicfLo08RtVkIk2xoX/7OBE214UAtZ+7Hz5eX7MwZNvdcg2K5t9EWHzRb8MXtuX7fkAeQl\nrBF2pBnupkDl3V6zb8kz5x722tkxjnJbPJK/KJQlrn8ws5/31oEIx3fg+AtJz9n2RNRPbgAAAABJ\nRU5ErkJggg==\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABkUlEQVR4nG2SPWsUYRSFn/u+75Is\nkfVrlIyuFisBEzARP5AUa6MoiI3+AEt/RupYu42gRbBJJVhoEwkWNoKFBKMZLEzAJGIgkGyxRpw5\nFsNmJpM93eXhnns5HAA8o4+NfQ11WoT+4JlM3uMKurp2A99n7d96W4bftHMnp45L29LLAhqLyrrX\ncWDu+Ir0+qTbP2ru9DtpNTKHpyMtDlF6yGisSHN4mPqXbsQEKylwpZtqGnguPSrv5bsz0jwWL0XJ\n9F9V4bGPZ3cnw90oO5+4CoSskTXuh9uoXq8igFQ3w0Q/i6o846HJ+sPUqrYm96o5Sk/J4M1EvQA+\nHHont1XoDtdqvQG29Ro7bp2jp6oZgHHmBD/dVxrjdhja5SN8cQvYvQE39QAWiLeyzci5g8jZud1s\nM4Jn0mxRmVyBF9JTYGIv3WsfpIFbSv+MgWdW2Y+4XCEj/p7qCR5zI5+kz83iY7PWsrQ04gwcFzak\n+SJ/44O0dTH38lz7pTdl32Vtt4viTq1VSn21MPKMzRTVZLjTytl/zSyMADlb2GEAAAAASUVORK5C\nYII=\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABhklEQVR4nG2SMWtUURSEv3Pvs0hA\njawkhKzBxriENKKVlRYSBNGfECwtI9rpn7C2F8EggkXUQkEktlYWgoVsFEzUJMYF2XvPWLx9u2/f\nOtWFOXNm7mEMAIKf3ZiZ2v6+8/XL58OF9sJ869T07kcqhJnle/tylXC9vT4XGSGypn7K7p5SXsew\nEWcFSwNp1jpFrHEQON+TS0p6xDgFBTeVJbl+nCGU40OIczjgPPwUfVxoTH9QllyHy3XNwHJFkpT0\nvOJCTXkNB4xnNNJA4JWSJPXaE2Sgsy+XkjapyOFa4+KxZCBeUKihNDbLrL9XJrIai7tyKWtr5FgN\nRVZb2UBsjITVI3MFQDG/bn4DY3avzPo+TqwNrB6XAWzliayRp0qSXJf/c9fOgVxybZ+onScMLNeO\nZoPMm1+mpnDpp1xSX7cpGlEjjweOukocpwruKJeFTJc4Ui8dxl3vp+ye01/dH1PGuRvvhl2W8oML\nJ4e0PenM9ro737rd7tTpxfZ8q93/c+tlKPv1D6T04Ic3bhs1AAAAAElFTkSuQmCC\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABEUlEQVR4nO2SsUoDQRCG/5ndA/WK\nEBuVgJUg2kog2NlJXsHelOJD+BwBG98ireYFjNhZBAvRSAIS7nbnt9g7PGI6W/9mhv/bf3cGFliR\nYGtGI0lSV2FT//BP0EvdcQ1c4/3AVh1c/DpG/5Jq9n70JQln9UtWJSnB14G87gr9BEkSfjs5gs5G\nNeBCPwARUct7yADA48TFdPGbTtIOxKUU6r0WcgFJzhOuGEiSkXd7EMHObfW9AgeyP96lAIDp/GGq\nnW7LFACim5wC1yysyjZKafEcEBkylEaSFsoyGEmGwHkfDiJ6U5AWgpmRtBgijfddOADicDycsqnl\nqC/iAAFEI9pnvcOD9mauy9nr83j0SIUB3+HMp54JWRU0AAAAAElFTkSuQmCC\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Displaying images of test folders\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABpklEQVR4nHWSz0tUYRSGn3O+OzOL\nNIJUMkHaOGQgTmBSYLhqURTmIiSkTZuKaBGBkJvctvRfMKGC2tQmxoVQm6Emf6Kp7UNBKhyZ7Na9\np8Wd5t47TWf7fs953++cA6kSjn38egdHs3JcMnvxH5HMm2XbOIQAoA3grd0pek7UxAbHbLnQHdhN\nvH9Jx+2NpW9bDDTxE1re98FzK0nUN0kqD9ZXcyzS29UE7FjN4zFsdjX6jKbA+S0X8nmH041xha4P\nxxGEd1Zs9FQmil88Q1ng5BGTNJhfbkPAcd3sPC5JKpMvd52BsfaD/pSj0l9uQaIxbdqzFClMzOxn\nRVXF81c4lQ0kAQ7Oay2/x1076EWpTRh4uJg/GkQT3suRK3ySv6KGw2dt2urJK61DTyXuWrwRr18o\n2luQKJALL+sTZ1qrDAv0dcZP564k7sYxYnYRhwLOxoPXGtRFY6nCmSi7iJYuJNcjSMleIahoxu75\nc14Yi5axNQqtpqD0HNxPX6pjzH6fw3mHO4emciPl7e1KLLa3deCufd/jkR/6++HP6mgdVmbtV7Xq\n2+M/dH6DJP10k8cAAAAASUVORK5CYII=\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAACCElEQVR4nEWSzUuUURSHn3Pv+46K\n4hRCaolEH1KkIeFHIgm5CN3Upk2L/oSoTRBE0FY3LoI2QYtaBNkyKDCojKQokD4EHbKsjAglotRm\n5v34tZh3prO7POf87nMuF8CweSWSpFSStLn04HIn3gwwNU10+J5OmWzhfVzfcqBN9uvqNZGV0bau\nSLcwg/qxgiJNVpkPyK8p0SC5wJujL1asUzXKCcVabsIAF/BYZc24WuwAMW83vIAUPgGHqzBlFHiC\n1ZKMbRm0tL2HHK9Q1toC/Kw1jinSamNl0mj8qkj3q5OMkvBi0wkgZLwjNW5XGbMq6SKhcz7wtC7q\nr+5mqY5df5SqH1cRn1ekh821K08r0pedDfnWvaPnZsrS6vmwah4wpUhZpVqcOOpxRgBAzAjwdKlO\nVpffvb9rvCF+nW2FY9+GUg3iAXK900o05bNYzxmVtdLkwiDwDuOmirqOy+ANFTWdnSykO1Kk4Yp7\n4vsxZjOomMJnxMlssa4ecnpOWlEQUQIcxAGOYRexslj1M1qagQQHiBFSXm75mnx3m4yPOLCkbgjH\ns+qnwDhLajyqNB5JlKq34mM+5HiisuYceB9yQSUVGiwMAm84Br6rpN99ODC2v1GkD82VFwm7JzfS\nWGvH8NiVofBQu0xWeFdMgvp85x4vK9679M2l2I8dMhn/a2t9ee7OgrkE/gETj+6LqtmbmwAAAABJ\nRU5ErkJggg==\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABvElEQVR4nG2RPWhUURCFvzv3PuMP\nKQISRGMhKuJPoYIiYS2E7QyCKYJor2ITtFu0sLGwEkQkBFwtFEWxSBojEhAViY0BRRBRZG1cwkKM\nkmRfdt87FvuSPNedbvg4M2fOQFbe8AeuTFQlzYyf3oBn844MOU8YehlLlSe3Ribr+jRIYe7eCjvy\nTqqXDwdw9FxNND6jMy1mXG6k+taPw0IwY1CpalsAHNF9xfqyjcg7AFtDWZrI2FPFqu0hrLjjmFQC\nMMpaSnRqleHYWNMhwDOsRlMP8eTgusr3tWDsX1Ci2V1YHvakD/A4nqvZ1PXcUICuoZ04GFCS6vf2\nvHC1xtRs6nF+IwDBgN3zShOd+A8CcElJoh/duA7M+pF488fUCe7FxOvOdqwPAp9pE7aW2HpgodIG\nXau1ecTSbLsyigCsgkga/xDPjZ/7MOCuGprblL/EOKj3hgMGlEqFnFtnjOlCK2p7q7qu5WKPGFZ1\neVRRDdW2EjkA5wMnFzWapekpKdZkN86HYDjO1VMVlqM2Sko0XfQAXcVXijWVd350Sqm+Prp958Uv\nLd78qLO5H3nC8dEPsVJVn53v4+J0b2bnL/YkwQSyK3qnAAAAAElFTkSuQmCC\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABh0lEQVR4nHXTPWiUURCF4Xfmft9u\nXBIwKYImVqIIFhoIWIhgExu1FBvRVhAsLBS0UAsFFQIJIeAPxE4QbLVSUCRa2S3CIhIUGwtdZXWT\n/bn32LhI8O60z5yBUwzcVJTUbbXb7d+d1F97fHoSAgDm6c7FqGJ5aUsfjYxvO3xi69dHi59cAtx2\n/FJHlxnM2JWkb+cwA4yRj+romlfd3UMR4MhP6W4IBsB7begq5d+kVTilru4TAKO+CbGCp1rXeYLz\n30g2T5lu7UwZJOn1hxBrl5RDhd5b0MmpHGLUMY0fz6Jo4NJckUNoYtjeLIoWBtPZs9ABY3QI1hBk\nq2DUQHwfkpxAYm1IcjdJvBvScxc4z7IYmQUaL3M9XXv2CVtp55KuM5Ve+PLAHGGbLfSnzyazC013\no6xg/NsoIvcmuuXCkxBx295UV8tUg3soglF9qJ5WPBiUHFOM6fPkIDn3RorXMQNj7PmB5LLGUv2H\njU7tPzpD78WNVUPAoVUlSUnS+kaSWq9uHxy8wx9KC6f7f7lK4wAAAABJRU5ErkJggg==\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAACFklEQVR4nGWSy2+NQRiHn3dmjvOV\nFr0ooTkucWnjWtFUIiFERMRSWFgIWwuJ2tj4E6wtLCxFGhsWEgshkRCXSNoiJada91Q1jktb55v5\nWZzTduHZzTzzvvPO5Gfg44GrpalKpVKpVGgoZlmWFRuKWTFcBIyHquo/ou44MP4Q/vwlpZx5JMYN\nTB1H375a2L8t+ue3fwicrPlQT/Rn549eVnqzaG7l72um1wFY8MGtxPp/ZyEUKDWFLN5jfNgBKE9R\nG+GJ5bmqBwcv5bLFlCfd7P2tJWJZCXG+aRlJW/hIXRqlVr6PIBId+kC1rZtIqEmXOmGkYjhrbLcB\n2N2amJXQBWMKudjZPv0cus3G5ibnptLbpdCy55mGCsbOd6/XWV2aH1CukVuPv0i6hofGhtlCx4of\nSpqWpBn1EXBgs9OyZnHShQ2dp29890wCFJzqKnBGegHASekw3uoNa3TCC1dwC/wk6T1ox6XVWO0p\nic3wOrmU4ia+jZNW321b0udrlamwHoYQoid+ngg60pYX620dK1ZRLSNEs78ePSWFR3NfsE/61Ijh\n2HrMmbcrmliOCwBmXVD+ZSIxMADSWp5+tRQwMLbDqLcIOEtO7bsYxufBR6iyXzYdAYiQuNDCGBAi\ni7LGc5ui37d3EAFkHSf68lBGcPzBaGWqltSfNX5LiurFw1QtwFKaz3MeNdGMwcs8T7X9NE+cPIWD\nf9fvKWTkffEmAAAAAElFTkSuQmCC\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABPklEQVR4nHWRUStlYRSGn2/5xj4a\nUtKZUlOipkO5UY5wM/IzXPgd/tjcKk6pyXAiLqRwKaOmiLT3t14X23C2vb1Xq1ZrPe+7FgCB9o2S\nqnJdRwBLi20ZzqDSl14sqy4pYlS1HwGcDQKPm5etwWGdl8hvt8r12wjV0QhY6k46HHtWVHpeMpdw\nY4ci0aCeChWdmiMgMHWnXP3sI5IIlpYnHE6fWwNI91dDdHHjF/lHZITEOhaY28r0FjE73gsCAt//\nyaunzbVNBGOIlXEPFaeK6iGIqEx58Te+bx2+6f9/w4GStNCU0pi+V6GzMYZsQKVb89VRF4f3sagP\nqky5h2o9IPSVpPkmJMw8qtDJSO2wgLE24uLPU2xYa2XKT5Cxr6T0oxnZeVCho/ovAeznVxcHz01I\nbBUZu81IruTKZ5uRL3T9qlPmjW3NAAAAAElFTkSuQmCC\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABbklEQVR4nHWSO0tcURCAv5l7BUWj\nsfGFDyzSmazEIOJjmyC4lhap8hcs/RGBQCprW5tNlzQJJDG7NiEim2jhgtgsUZYExUI2e88Zi13d\ney/XrzqHjzkzc2YAgAAKu2cNi+Gseuemi2bmohiNaAcAJX9ukaV5HQLqcx97Cc7O/4vRobkHiHQf\nmX1dHSCDkE2zbUCCJAKIHvhvaCgZgUquaa8IM5WyEl7v4zKlsczvWqLOeymuZ56yBVmlqjIzxXey\nAlFhSS9/PCCNPJU/4jOl631BmVRKDbR1WPC2lpZtQhbl709Sr+aGasdiwHv7jMaN0Fezt4Sg/c8p\nJaXydMR/wkDnJtNdCkt6eYiB5qVeSab0LPPrQjzoM26ug/i0xD+aY58A0EEmXjrTzoi7gtw4pVam\none19Z5EI1v2bxgBwi8bbuzDSd3dz0zsCUcXrevjqjVceinf3C3G7Glqm6PICu3/VEbeVZvxMG9X\nowjALUz40f4N3rPeAAAAAElFTkSuQmCC\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABhElEQVR4nGXRwUtbQRDH8e/Oe1ql\noFBbUVDBSIrJxUqTBo3Rq/9Xb6L/gAehUGovvdcWDxoTtS1YPJkQvAomioKgxuwbDy+1u889LfyY\n+ezMAmAYP2rnCfh/DGv6EYCAFdVlNxSy97opABFLnP8icsNSL1vxbfxaf2CcrgGf9TojgCE3wL7b\n1dgXORq1OCzCHuo+J5PmdySApUjryCfnhXKXvNKfCfKL3k4jYJgdTJJ9eRq1OFyAcoKc4lDDECzz\nNI9BnsKwvWDYjcmxC91KkF/1NouEGGZeMf29x2mLyXN6goYYSjxMTOCdDgdRYEMsRexp5NZ13gz/\nm3K0qdsJ8pPeZRDE8O41FX/KsECjhiKGElT8KbOTHESBIpY5Wsf+YnO9VGNouKU7CXJD7zMICDND\nycUGH2jUURBKz/7ybYpDGygIBa7+uqGQ66fSJc+06pEh69rOIoC8H6HqkR3JU6+jgCzBvk+m0vzp\nBArIIpdVf8rCS7rrlCarZ+JUKjeUv2EBHgFi1Y1K4raY0gAAAABJRU5ErkJggg==\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAAAX0lEQVR4nGPUkE6MPnHkPQMqELSx\nWDr/KQsDAwPDmakP0CQVOCwYGBhYGBgYGJiYmdEkmZkYYJL//v5Fk/z7j4GBgYGJAQ8YlRyVpFSS\n/KTJwsDAwGCSjZEdTBgYGBgAg1IU4GEPTucAAAAASUVORK5CYII=\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAABwAAAAcCAAAAABXZoBIAAABbUlEQVR4nMWSO2uUQRSGn7nI4oJB\nYmXAQFJuIRbCghDRQhC8NAHLYGEhCIo/wF7/gH/AUrAQvHSKiLE0BIwgsbAzRYi6rLoz57wW327y\nxRVb3/aZZ4Yz74FxIourplZs+HDCyFxVqb7LatUWe4m3tmUT2VwvT7Zg4Oj9n5q4by7STkiB3oZM\nkukuIaZ9OHa4qSK5tmfJgdiGbgwRwOgHpv2w9YQ34/0j/wNmCDEA7n+DUdbcMU1z8APnlo4MPjz/\nHDTtLr6Vy/X1PInMNY0k15cOAeLhR/1qtdaZJcKUeK85ur6S4E8zXyFj6ellm/aIcwScZ9YFCE0n\nYqcCxC1E5FIchpSTc4oIYtOCgAeqkutxDwLdO5KkkW6QgXD8xawlPJbXa4NjZ+c9Qs0b/e/N1Muu\nYhovpbmsaKc//vPE6U9yr7WUUqpVd631dvuIHLr9cW+Z7f31zoQFSPKDZy6cWJjpDr5tvnvy6ldk\n3MFvYX7tzG9mOVIAAAAASUVORK5CYII=\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Solution for Problem 1\n", "import random\n", "print('Displaying images of train folders')\n", "# Looping through train folders and displaying a random image of each folder\n", "for path in train_folders:\n", " image_file = os.path.join(path, random.choice(os.listdir(path)))\n", " display(Image(filename=image_file))\n", "\n", "print('Displaying images of test folders')\n", "# Looping through train folders and displaying a random image of each folder\n", "for path in test_folders:\n", " image_file = os.path.join(path, random.choice(os.listdir(path)))\n", " display(Image(filename=image_file))" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "PBdkjESPK8tw" }, "source": [ "Now let's load the data in a more manageable format. Since, depending on your computer setup you might not be able to fit it all in memory, we'll load each class into a separate dataset, store them on disk and curate them independently. Later we'll merge them into a single dataset of manageable size.\n", "\n", "We'll convert the entire dataset into a 3D array (image index, x, y) of floating point values, normalized to have approximately zero mean and standard deviation ~0.5 to make training easier down the road. \n", "\n", "A few images might not be readable, we'll just skip them." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 }, "output_extras": [ { "item_id": 30 } ] }, "colab_type": "code", "executionInfo": { "elapsed": 399874, "status": "ok", "timestamp": 1444485886378, "user": { "color": "#1FA15D", "displayName": "Vincent Vanhoucke", "isAnonymous": false, "isMe": true, "permissionId": "05076109866853157986", "photoUrl": "//lh6.googleusercontent.com/-cCJa7dTDcgQ/AAAAAAAAAAI/AAAAAAAACgw/r2EZ_8oYer4/s50-c-k-no/photo.jpg", "sessionId": "2a0a5e044bb03b66", "userId": "102167687554210253930" }, "user_tz": 420 }, "id": "h7q0XhG3MJdf", "outputId": "92c391bb-86ff-431d-9ada-315568a19e59" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "./notMNIST_large/A.pickle already present - Skipping pickling.\n", "./notMNIST_large/B.pickle already present - Skipping pickling.\n", "./notMNIST_large/C.pickle already present - Skipping pickling.\n", "./notMNIST_large/D.pickle already present - Skipping pickling.\n", "./notMNIST_large/E.pickle already present - Skipping pickling.\n", "./notMNIST_large/F.pickle already present - Skipping pickling.\n", "./notMNIST_large/G.pickle already present - Skipping pickling.\n", "./notMNIST_large/H.pickle already present - Skipping pickling.\n", "./notMNIST_large/I.pickle already present - Skipping pickling.\n", "./notMNIST_large/J.pickle already present - Skipping pickling.\n", "./notMNIST_small/A.pickle already present - Skipping pickling.\n", "./notMNIST_small/B.pickle already present - Skipping pickling.\n", "./notMNIST_small/C.pickle already present - Skipping pickling.\n", "./notMNIST_small/D.pickle already present - Skipping pickling.\n", "./notMNIST_small/E.pickle already present - Skipping pickling.\n", "./notMNIST_small/F.pickle already present - Skipping pickling.\n", "./notMNIST_small/G.pickle already present - Skipping pickling.\n", "./notMNIST_small/H.pickle already present - Skipping pickling.\n", "./notMNIST_small/I.pickle already present - Skipping pickling.\n", "./notMNIST_small/J.pickle already present - Skipping pickling.\n" ] } ], "source": [ "image_size = 28 # Pixel width and height.\n", "pixel_depth = 255.0 # Number of levels per pixel.\n", "\n", "def load_letter(folder, min_num_images):\n", " \"\"\"Load the data for a single letter label.\"\"\"\n", " image_files = os.listdir(folder)\n", " dataset = np.ndarray(shape=(len(image_files), image_size, image_size),\n", " dtype=np.float32)\n", " print(folder)\n", " num_images = 0\n", " for image in image_files:\n", " image_file = os.path.join(folder, image)\n", " try:\n", " image_data = (ndimage.imread(image_file).astype(float) - \n", " pixel_depth / 2) / pixel_depth\n", " if image_data.shape != (image_size, image_size):\n", " raise Exception('Unexpected image shape: %s' % str(image_data.shape))\n", " dataset[num_images, :, :] = image_data\n", " num_images = num_images + 1\n", " except IOError as e:\n", " print('Could not read:', image_file, ':', e, '- it\\'s ok, skipping.')\n", " \n", " dataset = dataset[0:num_images, :, :]\n", " if num_images < min_num_images:\n", " raise Exception('Many fewer images than expected: %d < %d' %\n", " (num_images, min_num_images))\n", " \n", " print('Full dataset tensor:', dataset.shape)\n", " print('Mean:', np.mean(dataset))\n", " print('Standard deviation:', np.std(dataset))\n", " return dataset\n", " \n", "def maybe_pickle(data_folders, min_num_images_per_class, force=False):\n", " dataset_names = []\n", " for folder in data_folders:\n", " set_filename = folder + '.pickle'\n", " dataset_names.append(set_filename)\n", " if os.path.exists(set_filename) and not force:\n", " # You may override by setting force=True.\n", " print('%s already present - Skipping pickling.' % set_filename)\n", " else:\n", " print('Pickling %s.' % set_filename)\n", " dataset = load_letter(folder, min_num_images_per_class)\n", " try:\n", " with open(set_filename, 'wb') as f:\n", " pickle.dump(dataset, f, pickle.HIGHEST_PROTOCOL)\n", " except Exception as e:\n", " print('Unable to save data to', set_filename, ':', e)\n", " \n", " return dataset_names\n", "\n", "train_datasets = maybe_pickle(train_folders, 45000)\n", "test_datasets = maybe_pickle(test_folders, 1800)" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "vUdbskYE2d87" }, "source": [ "---\n", "Problem 2\n", "---------\n", "\n", "Let's verify that the data still looks good. Displaying a sample of the labels and images from the ndarray. Hint: you can use matplotlib.pyplot.\n", "\n", "---" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "From Training dataset\n", "Showing a first image from pickle ./notMNIST_large/A.pickle\n", "Showing a first image from pickle ./notMNIST_large/B.pickle\n", "Showing a first image from pickle ./notMNIST_large/C.pickle\n", "Showing a first image from pickle ./notMNIST_large/D.pickle\n", "Showing a first image from pickle ./notMNIST_large/E.pickle\n", "Showing a first image from pickle ./notMNIST_large/F.pickle\n", "Showing a first image from pickle ./notMNIST_large/G.pickle\n", "Showing a first image from pickle ./notMNIST_large/H.pickle\n", "Showing a first image from pickle ./notMNIST_large/I.pickle\n", "Showing a first image from pickle ./notMNIST_large/J.pickle\n", "From Test Dataset\n", "Showing a first image from pickle ./notMNIST_small/A.pickle\n", "Showing a first image from pickle ./notMNIST_small/B.pickle\n", "Showing a first image from pickle ./notMNIST_small/C.pickle\n", "Showing a first image from pickle ./notMNIST_small/D.pickle\n", "Showing a first image from pickle ./notMNIST_small/E.pickle\n", "Showing a first image from pickle ./notMNIST_small/F.pickle\n", "Showing a first image from pickle ./notMNIST_small/G.pickle\n", "Showing a first image from pickle ./notMNIST_small/H.pickle\n", "Showing a first image from pickle ./notMNIST_small/I.pickle\n", "Showing a first image from pickle ./notMNIST_small/J.pickle\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAP8AAAD8CAYAAAC4nHJkAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7ff270ebb860>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Solution for Problem 2\n", "def show_first_image(datasets):\n", " for pickl in datasets:\n", " print('Showing a first image from pickle ', pickl)\n", " try:\n", " with open(pickl, 'rb') as f:\n", " letter_set = pickle.load(f)\n", " plt.imshow(letter_set[0])\n", " except Exception as e:\n", " print('Unable to show image from pickle ', pickl, ':', e)\n", " raise\n", "print('From Training dataset')\n", "show_first_image(train_datasets)\n", "print('From Test Dataset')\n", "show_first_image(test_datasets)" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "cYznx5jUwzoO" }, "source": [ "---\n", "Problem 3\n", "---------\n", "Another check: we expect the data to be balanced across classes. Verify that.\n", "\n", "---" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Shape for Training set\n", "Shape of pickle ./notMNIST_large/A.pickle is (52909, 28, 28)\n", "Shape of pickle ./notMNIST_large/B.pickle is (52911, 28, 28)\n", "Shape of pickle ./notMNIST_large/C.pickle is (52912, 28, 28)\n", "Shape of pickle ./notMNIST_large/D.pickle is (52911, 28, 28)\n", "Shape of pickle ./notMNIST_large/E.pickle is (52912, 28, 28)\n", "Shape of pickle ./notMNIST_large/F.pickle is (52912, 28, 28)\n", "Shape of pickle ./notMNIST_large/G.pickle is (52912, 28, 28)\n", "Shape of pickle ./notMNIST_large/H.pickle is (52912, 28, 28)\n", "Shape of pickle ./notMNIST_large/I.pickle is (52912, 28, 28)\n", "Shape of pickle ./notMNIST_large/J.pickle is (52911, 28, 28)\n", "Shape for Test set\n", "Shape of pickle ./notMNIST_small/A.pickle is (1872, 28, 28)\n", "Shape of pickle ./notMNIST_small/B.pickle is (1873, 28, 28)\n", "Shape of pickle ./notMNIST_small/C.pickle is (1873, 28, 28)\n", "Shape of pickle ./notMNIST_small/D.pickle is (1873, 28, 28)\n", "Shape of pickle ./notMNIST_small/E.pickle is (1873, 28, 28)\n", "Shape of pickle ./notMNIST_small/F.pickle is (1872, 28, 28)\n", "Shape of pickle ./notMNIST_small/G.pickle is (1872, 28, 28)\n", "Shape of pickle ./notMNIST_small/H.pickle is (1872, 28, 28)\n", "Shape of pickle ./notMNIST_small/I.pickle is (1872, 28, 28)\n", "Shape of pickle ./notMNIST_small/J.pickle is (1872, 28, 28)\n" ] } ], "source": [ "def show_dataset_shape(datasets):\n", " for pickl in datasets:\n", " try:\n", " with open(pickl, 'rb') as f:\n", " letter_set = pickle.load(f)\n", " print('Shape of pickle ', pickl, 'is', np.shape(letter_set))\n", " except Exception as e:\n", " print('Unable to show image from pickle ', pickl, ':', e)\n", " raise\n", "\n", "print('Shape for Training set')\n", "show_dataset_shape(train_datasets)\n", "print('Shape for Test set')\n", "show_dataset_shape(test_datasets)" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "LA7M7K22ynCt" }, "source": [ "Merge and prune the training data as needed. Depending on your computer setup, you might not be able to fit it all in memory, and you can tune `train_size` as needed. The labels will be stored into a separate array of integers 0 through 9.\n", "\n", "Also create a validation dataset for hyperparameter tuning." ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 }, "output_extras": [ { "item_id": 1 } ] }, "colab_type": "code", "executionInfo": { "elapsed": 411281, "status": "ok", "timestamp": 1444485897869, "user": { "color": "#1FA15D", "displayName": "Vincent Vanhoucke", "isAnonymous": false, "isMe": true, "permissionId": "05076109866853157986", "photoUrl": "//lh6.googleusercontent.com/-cCJa7dTDcgQ/AAAAAAAAAAI/AAAAAAAACgw/r2EZ_8oYer4/s50-c-k-no/photo.jpg", "sessionId": "2a0a5e044bb03b66", "userId": "102167687554210253930" }, "user_tz": 420 }, "id": "s3mWgZLpyuzq", "outputId": "8af66da6-902d-4719-bedc-7c9fb7ae7948" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Training: (20000, 28, 28) (20000,)\n", "Validation: (1000, 28, 28) (1000,)\n", "Testing: (1000, 28, 28) (1000,)\n" ] } ], "source": [ "def make_arrays(nb_rows, img_size):\n", " if nb_rows:\n", " dataset = np.ndarray((nb_rows, img_size, img_size), dtype=np.float32)\n", " labels = np.ndarray(nb_rows, dtype=np.int32)\n", " else:\n", " dataset, labels = None, None\n", " return dataset, labels\n", "\n", "def merge_datasets(pickle_files, train_size, valid_size=0):\n", " num_classes = len(pickle_files)\n", " valid_dataset, valid_labels = make_arrays(valid_size, image_size)\n", " train_dataset, train_labels = make_arrays(train_size, image_size)\n", " vsize_per_class = valid_size // num_classes\n", " tsize_per_class = train_size // num_classes\n", " \n", " start_v, start_t = 0, 0\n", " end_v, end_t = vsize_per_class, tsize_per_class\n", " end_l = vsize_per_class+tsize_per_class\n", " for label, pickle_file in enumerate(pickle_files): \n", " try:\n", " with open(pickle_file, 'rb') as f:\n", " letter_set = pickle.load(f)\n", " # let's shuffle the letters to have random validation and training set\n", " np.random.shuffle(letter_set)\n", " if valid_dataset is not None:\n", " valid_letter = letter_set[:vsize_per_class, :, :]\n", " valid_dataset[start_v:end_v, :, :] = valid_letter\n", " valid_labels[start_v:end_v] = label\n", " start_v += vsize_per_class\n", " end_v += vsize_per_class\n", " \n", " train_letter = letter_set[vsize_per_class:end_l, :, :]\n", " train_dataset[start_t:end_t, :, :] = train_letter\n", " train_labels[start_t:end_t] = label\n", " start_t += tsize_per_class\n", " end_t += tsize_per_class\n", " except Exception as e:\n", " print('Unable to process data from', pickle_file, ':', e)\n", " raise\n", " \n", " return valid_dataset, valid_labels, train_dataset, train_labels\n", " \n", "\"\"\"\n", "train_size = 200000\n", "valid_size = 10000\n", "test_size = 10000\n", "\"\"\" \n", "train_size = 20000\n", "valid_size = 1000\n", "test_size = 1000\n", "\n", "valid_dataset, valid_labels, train_dataset, train_labels = merge_datasets(\n", " train_datasets, train_size, valid_size)\n", "_, _, test_dataset, test_labels = merge_datasets(test_datasets, test_size)\n", "\n", "print('Training:', train_dataset.shape, train_labels.shape)\n", "print('Validation:', valid_dataset.shape, valid_labels.shape)\n", "print('Testing:', test_dataset.shape, test_labels.shape)" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "GPTCnjIcyuKN" }, "source": [ "Next, we'll randomize the data. It's important to have the labels well shuffled for the training and test distributions to match." ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 } }, "colab_type": "code", "collapsed": true, "id": "6WZ2l2tN2zOL" }, "outputs": [], "source": [ "def randomize(dataset, labels):\n", " permutation = np.random.permutation(labels.shape[0])\n", " shuffled_dataset = dataset[permutation,:,:]\n", " shuffled_labels = labels[permutation]\n", " return shuffled_dataset, shuffled_labels\n", "train_dataset, train_labels = randomize(train_dataset, train_labels)\n", "test_dataset, test_labels = randomize(test_dataset, test_labels)\n", "valid_dataset, valid_labels = randomize(valid_dataset, valid_labels)" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "puDUTe6t6USl" }, "source": [ "---\n", "Problem 4\n", "---------\n", "Convince yourself that the data is still good after shuffling!\n", "\n", "---" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Printing Train, validation and test labels after shuffling\n", "[array([1], dtype=int32), array([5], dtype=int32), array([2], dtype=int32), array([0], dtype=int32), array([6], dtype=int32), array([8], dtype=int32), array([5], dtype=int32), array([3], dtype=int32), array([3], dtype=int32), array([3], dtype=int32)]\n", "[array([8], dtype=int32), array([2], dtype=int32), array([8], dtype=int32), array([3], dtype=int32), array([1], dtype=int32), array([5], dtype=int32), array([4], dtype=int32), array([1], dtype=int32), array([3], dtype=int32), array([5], dtype=int32)]\n", "[array([7], dtype=int32), array([0], dtype=int32), array([3], dtype=int32), array([1], dtype=int32), array([2], dtype=int32), array([2], dtype=int32), array([7], dtype=int32), array([2], dtype=int32), array([6], dtype=int32), array([4], dtype=int32)]\n" ] } ], "source": [ "print('Printing Train, validation and test labels after shuffling')\n", "def print_first_10_labels(labels):\n", " printing_labels = []\n", " for i in range(10):\n", " printing_labels.append(labels[[i]])\n", " print(printing_labels)\n", "print_first_10_labels(train_labels)\n", "print_first_10_labels(test_labels)\n", "print_first_10_labels(valid_labels)" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "tIQJaJuwg5Hw" }, "source": [ "Finally, let's save the data for later reuse:" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 } }, "colab_type": "code", "collapsed": true, "id": "QiR_rETzem6C" }, "outputs": [], "source": [ "pickle_file = os.path.join(data_root, 'notMNIST.pickle')\n", "\n", "try:\n", " f = open(pickle_file, 'wb')\n", " save = {\n", " 'train_dataset': train_dataset,\n", " 'train_labels': train_labels,\n", " 'valid_dataset': valid_dataset,\n", " 'valid_labels': valid_labels,\n", " 'test_dataset': test_dataset,\n", " 'test_labels': test_labels,\n", " }\n", " pickle.dump(save, f, pickle.HIGHEST_PROTOCOL)\n", " f.close()\n", "except Exception as e:\n", " print('Unable to save data to', pickle_file, ':', e)\n", " raise" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 }, "output_extras": [ { "item_id": 1 } ] }, "colab_type": "code", "executionInfo": { "elapsed": 413065, "status": "ok", "timestamp": 1444485899688, "user": { "color": "#1FA15D", "displayName": "Vincent Vanhoucke", "isAnonymous": false, "isMe": true, "permissionId": "05076109866853157986", "photoUrl": "//lh6.googleusercontent.com/-cCJa7dTDcgQ/AAAAAAAAAAI/AAAAAAAACgw/r2EZ_8oYer4/s50-c-k-no/photo.jpg", "sessionId": "2a0a5e044bb03b66", "userId": "102167687554210253930" }, "user_tz": 420 }, "id": "hQbLjrW_iT39", "outputId": "b440efc6-5ee1-4cbc-d02d-93db44ebd956" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Compressed pickle size: 690800512\n" ] } ], "source": [ "statinfo = os.stat(pickle_file)\n", "print('Compressed pickle size:', statinfo.st_size)" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "gE_cRAQB33lk" }, "source": [ "---\n", "Problem 5\n", "---------\n", "\n", "By construction, this dataset might contain a lot of overlapping samples, including training data that's also contained in the validation and test set! Overlap between training and test can skew the results if you expect to use your model in an environment where there is never an overlap, but are actually ok if you expect to see training samples recur when you use it.\n", "Measure how much overlap there is between training, validation and test samples.\n", "\n", "Optional questions:\n", "- What about near duplicates between datasets? (images that are almost identical)\n", "- Create a sanitized validation and test set, and compare your accuracy on those in subsequent assignments.\n", "---" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "L8oww1s4JMQx" }, "source": [ "---\n", "Problem 6\n", "---------\n", "\n", "Let's get an idea of what an off-the-shelf classifier can give you on this data. It's always good to check that there is something to learn, and that it's a problem that is not so trivial that a canned solution solves it.\n", "\n", "Train a simple model on this data using 50, 100, 1000 and 5000 training samples. Hint: you can use the LogisticRegression model from sklearn.linear_model.\n", "\n", "Optional question: train an off-the-shelf model on all the data!\n", "\n", "---" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "validation accuracy, 0.8244\n", "test accuracy, 0.8933\n" ] } ], "source": [ "logreg_model_clf = LogisticRegression()\n", "nsamples, nx, ny = train_dataset.shape\n", "d2_train_dataset = train_dataset.reshape((nsamples,nx*ny))\n", "logreg_model_clf.fit(d2_train_dataset, train_labels)\n", "from sklearn.metrics import accuracy_score\n", "nsamples, nx, ny = valid_dataset.shape\n", "d2_valid_dataset = valid_dataset.reshape((nsamples,nx*ny))\n", "print(\"validation accuracy,\", accuracy_score(valid_labels, logreg_model_clf.predict(d2_valid_dataset)))\n", "nsamples, nx, ny = test_dataset.shape\n", "d2_train_dataset = test_dataset.reshape((nsamples,nx*ny))\n", "print(\"test accuracy,\", accuracy_score(test_labels, logreg_model_clf.predict(d2_train_dataset)))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "colab": { "default_view": {}, "name": "1_notmnist.ipynb", "provenance": [], "version": "0.3.2", "views": {} }, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
flaviostutz/datascience-snippets
kaggle-sea-lion/07-train-lion-patches-single.ipynb
1
100711
{ "cells": [ { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Train sea lion classifier with a convnet" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "INPUT_DIR = '../../input/kaggle-sea-lion/02/'\n", "OUTPUT_DIR = '../../output/kaggle-sea-lion/07/'\n", "IMAGE_DIMS = (84,84,3)#class0\n", "#IMAGE_DIMS = (84,84,3)#class1\n", "#IMAGE_DIMS = (56,56,3)#class2\n", "#IMAGE_DIMS = (42,42,3)#class3\n", "#IMAGE_DIMS = (26,26,3)#class4\n", "\n", "TRAIN_CLASS = 0\n", "SAVE_WEIGHTS_FILE = OUTPUT_DIR + 'last-weights-medium1-class0.h5'\n", "\n", "Y_CHANGE = [[1,2,3,4,5],[0]]\n", "TRAIN_WEIGHT_RAW = (1,0.2,0.2,0.2,0.2,0.2)\n", "TRAIN_WEIGHT = (1,1)\n", "TEST_WEIGHT_RAW = (1,0.2,0.2,0.2,0.2,0.2)\n", "TEST_WEIGHT = (1,1)\n", "\n", "SAVE_MODEL_FILE = None\n", "LOAD_WEIGHTS_FILE = SAVE_WEIGHTS_FILE\n", "LOAD_MODEL_FILE = None\n", "INPUT_DATASET_NAME = 'lion-patches-0px'\n", "\n", "RECREATE_OUTPUT_DIR = True\n", "RUN_TRAINING = True\n", "\n", "TRAIN_EPOCHS = 10\n", "INPUT_RANGE = 1\n", "DEBUG = False\n", "\n", "BATCH_SIZE=48" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Using TensorFlow backend.\n" ] } ], "source": [ "%matplotlib inline\n", "import numpy as np\n", "import pandas as pd\n", "import h5py\n", "import matplotlib.pyplot as plt\n", "import sklearn\n", "import os\n", "import glob\n", "\n", "import keras\n", "from keras.preprocessing.image import ImageDataGenerator\n", "from keras import models\n", "\n", "from modules.logging import logger\n", "import modules.utils as utils\n", "from modules.utils import Timer\n", "import modules.logging\n", "import modules.cnn as cnn\n", "import modules.lions as lions" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Prepare" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Prepare output dir" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:45,548 INFO Output dirs created\n" ] } ], "source": [ "utils.mkdirs(OUTPUT_DIR, dirs=['tf-logs','weights'], recreate=RECREATE_OUTPUT_DIR)\n", "modules.logging.setup_file_logger(OUTPUT_DIR + 'out.log')\n", "TF_LOGS_DIR = OUTPUT_DIR + 'tf-logs/'\n", "WEIGHTS_DIR = OUTPUT_DIR + 'weights/'\n", "input_dataset_path = INPUT_DIR + utils.dataset_name(INPUT_DATASET_NAME, IMAGE_DIMS)\n", "\n", "logger.info('Output dirs created')" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Prepare train, validate and test data flows" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:45,589 INFO Using dataset ../../input/kaggle-sea-lion/02/lion-patches-0px-84-84.h5 as input\n", "2017-06-11 13:39:45,604 INFO preparing train data\n", "2017-06-11 13:39:45,606 INFO loading input data for class distribution analysis...\n", "2017-06-11 13:39:45,607 INFO loading Y from raw dataset\n", "2017-06-11 13:39:45,609 INFO > [started] generator dump...\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "\r", "1600/2263" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:46,004 INFO > [done] generator dump (395.147 ms)\n", "2017-06-11 13:39:46,007 INFO raw sample class distribution\n", "2017-06-11 13:39:46,008 INFO 0: 78\n", "2017-06-11 13:39:46,010 INFO 1: 45\n", "2017-06-11 13:39:46,011 INFO 2: 675\n", "2017-06-11 13:39:46,013 INFO 3: 150\n", "2017-06-11 13:39:46,014 INFO 4: 281\n", "2017-06-11 13:39:46,015 INFO 5: 1034\n", "2017-06-11 13:39:46,017 INFO overall output samples per class: 90\n", "2017-06-11 13:39:46,018 INFO augmentation/undersampling ratio per class\n", "2017-06-11 13:39:46,020 INFO SETUP FLOW 0 0.7\n", "2017-06-11 13:39:46,021 INFO calculating source range according to start/end range of the desired output..\n", "2017-06-11 13:39:46,023 INFO output distribution for this flow\n", "2017-06-11 13:39:46,024 INFO 0: 62 (1.15)\n", "2017-06-11 13:39:46,026 INFO 1: 12 (0.40)\n", "2017-06-11 13:39:46,027 INFO 2: 12 (0.03)\n", "2017-06-11 13:39:46,028 INFO 3: 12 (0.12)\n", "2017-06-11 13:39:46,029 INFO 4: 12 (0.06)\n", "2017-06-11 13:39:46,031 INFO 5: 12 (0.02)\n", "2017-06-11 13:39:46,034 INFO source range: 0-1836 (1836)\n", "2017-06-11 13:39:46,035 INFO output range: 0-125 (125)\n", "2017-06-11 13:39:46,036 INFO loading input data for class distribution analysis...\n", "2017-06-11 13:39:46,038 INFO loading Y from raw dataset\n", "2017-06-11 13:39:46,039 INFO > [started] generator dump...\n", "2017-06-11 13:39:46,040 INFO starting new flow...\n", "2017-06-11 13:39:46,559 INFO > [done] generator dump (519.571 ms)\n", "2017-06-11 13:39:46,562 INFO raw sample class distribution\n", "2017-06-11 13:39:46,563 INFO 0: 67\n", "2017-06-11 13:39:46,564 INFO 1: 58\n", "2017-06-11 13:39:46,566 INFO overall output samples per class: 116\n", "2017-06-11 13:39:46,567 INFO augmentation/undersampling ratio per class\n", "2017-06-11 13:39:46,569 INFO SETUP FLOW 0 1\n", "2017-06-11 13:39:46,570 INFO calculating source range according to start/end range of the desired output..\n", "2017-06-11 13:39:46,571 INFO output distribution for this flow\n", "2017-06-11 13:39:46,574 INFO 0: 115 (1.73)\n", "2017-06-11 13:39:46,575 INFO 1: 116 (2.00)\n", "2017-06-11 13:39:46,576 INFO source range: 0-123 (123)\n", "2017-06-11 13:39:46,577 INFO output range: 0-231 (231)\n", "2017-06-11 13:39:46,579 INFO train size=231 batches=5\n", "2017-06-11 13:39:46,580 INFO preparing valid data\n", "2017-06-11 13:39:46,582 INFO loading input data for class distribution analysis...\n", "2017-06-11 13:39:46,583 INFO loading Y from raw dataset\n", "2017-06-11 13:39:46,585 INFO > [started] generator dump...\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "\r", "1600/2263" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:46,918 INFO > [done] generator dump (333.349 ms)\n", "2017-06-11 13:39:46,921 INFO raw sample class distribution\n", "2017-06-11 13:39:46,923 INFO 0: 78\n", "2017-06-11 13:39:46,924 INFO 1: 45\n", "2017-06-11 13:39:46,926 INFO 2: 675\n", "2017-06-11 13:39:46,927 INFO 3: 150\n", "2017-06-11 13:39:46,929 INFO 4: 281\n", "2017-06-11 13:39:46,931 INFO 5: 1034\n", "2017-06-11 13:39:46,932 INFO overall output samples per class: 90\n", "2017-06-11 13:39:46,934 INFO augmentation/undersampling ratio per class\n", "2017-06-11 13:39:46,935 INFO SETUP FLOW 0.7 0.85\n", "2017-06-11 13:39:46,936 INFO calculating source range according to start/end range of the desired output..\n", "2017-06-11 13:39:46,938 INFO output distribution for this flow\n", "2017-06-11 13:39:46,939 INFO 0: 13 (1.15)\n", "2017-06-11 13:39:46,941 INFO 1: 2 (0.40)\n", "2017-06-11 13:39:46,942 INFO 2: 2 (0.03)\n", "2017-06-11 13:39:46,943 INFO 3: 2 (0.12)\n", "2017-06-11 13:39:46,945 INFO 4: 2 (0.06)\n", "2017-06-11 13:39:46,946 INFO 5: 2 (0.02)\n", "2017-06-11 13:39:46,949 INFO source range: 1588-1894 (306)\n", "2017-06-11 13:39:46,951 INFO output range: 126-152 (26)\n", "2017-06-11 13:39:46,952 INFO loading input data for class distribution analysis...\n", "2017-06-11 13:39:46,954 INFO loading Y from raw dataset\n", "2017-06-11 13:39:46,955 INFO > [started] generator dump...\n", "2017-06-11 13:39:46,956 INFO starting new flow...\n", "2017-06-11 13:39:47,056 INFO > [done] generator dump (100.959 ms)\n", "2017-06-11 13:39:47,059 INFO raw sample class distribution\n", "2017-06-11 13:39:47,060 INFO 0: 15\n", "2017-06-11 13:39:47,062 INFO 1: 11\n", "2017-06-11 13:39:47,063 INFO overall output samples per class: 22\n", "2017-06-11 13:39:47,065 INFO augmentation/undersampling ratio per class\n", "2017-06-11 13:39:47,066 INFO SETUP FLOW 0 1\n", "2017-06-11 13:39:47,068 INFO calculating source range according to start/end range of the desired output..\n", "2017-06-11 13:39:47,069 INFO output distribution for this flow\n", "2017-06-11 13:39:47,071 INFO 0: 22 (1.47)\n", "2017-06-11 13:39:47,072 INFO 1: 22 (2.00)\n", "2017-06-11 13:39:47,074 INFO source range: 0-25 (25)\n", "2017-06-11 13:39:47,075 INFO output range: 0-44 (44)\n", "2017-06-11 13:39:47,076 INFO SETUP FLOW 0 25\n", "2017-06-11 13:39:47,078 INFO calculating source range according to start/end range of the desired output..\n", "2017-06-11 13:39:47,079 INFO output distribution for this flow\n", "2017-06-11 13:39:47,080 INFO 0: 2225 (1.15)\n", "2017-06-11 13:39:47,081 INFO 1: 450 (0.40)\n", "2017-06-11 13:39:47,082 INFO 2: 450 (0.03)\n", "2017-06-11 13:39:47,083 INFO 3: 450 (0.12)\n", "2017-06-11 13:39:47,085 INFO 4: 450 (0.06)\n", "2017-06-11 13:39:47,086 INFO 5: 450 (0.02)\n", "2017-06-11 13:39:47,089 INFO source range: 0-2262 (2262)\n", "2017-06-11 13:39:47,091 INFO output range: 0-4475 (4475)\n", "2017-06-11 13:39:47,092 INFO valid size=44 batches=1\n", "2017-06-11 13:39:47,094 INFO preparing test data\n", "2017-06-11 13:39:47,095 INFO loading input data for class distribution analysis...\n", "2017-06-11 13:39:47,097 INFO loading Y from raw dataset\n", "2017-06-11 13:39:47,098 INFO > [started] generator dump...\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "\r", "1600/2263" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:47,423 INFO > [done] generator dump (324.211 ms)\n", "2017-06-11 13:39:47,426 INFO raw sample class distribution\n", "2017-06-11 13:39:47,427 INFO 0: 78\n", "2017-06-11 13:39:47,429 INFO 1: 45\n", "2017-06-11 13:39:47,430 INFO 2: 675\n", "2017-06-11 13:39:47,431 INFO 3: 150\n", "2017-06-11 13:39:47,433 INFO 4: 281\n", "2017-06-11 13:39:47,434 INFO 5: 1034\n", "2017-06-11 13:39:47,436 INFO overall output samples per class: 90\n", "2017-06-11 13:39:47,437 INFO augmentation/undersampling ratio per class\n", "2017-06-11 13:39:47,438 INFO SETUP FLOW 0.85 1\n", "2017-06-11 13:39:47,440 INFO calculating source range according to start/end range of the desired output..\n", "2017-06-11 13:39:47,441 INFO output distribution for this flow\n", "2017-06-11 13:39:47,442 INFO 0: 13 (1.15)\n", "2017-06-11 13:39:47,444 INFO 1: 2 (0.40)\n", "2017-06-11 13:39:47,445 INFO 2: 2 (0.03)\n", "2017-06-11 13:39:47,446 INFO 3: 2 (0.12)\n", "2017-06-11 13:39:47,448 INFO 4: 2 (0.06)\n", "2017-06-11 13:39:47,449 INFO 5: 2 (0.02)\n", "2017-06-11 13:39:47,452 INFO source range: 1869-2090 (221)\n", "2017-06-11 13:39:47,453 INFO output range: 153-179 (26)\n", "2017-06-11 13:39:47,455 INFO loading input data for class distribution analysis...\n", "2017-06-11 13:39:47,457 INFO loading Y from raw dataset\n", "2017-06-11 13:39:47,458 INFO > [started] generator dump...\n", "2017-06-11 13:39:47,459 INFO starting new flow...\n", "2017-06-11 13:39:47,516 INFO > [done] generator dump (58.177 ms)\n", "2017-06-11 13:39:47,520 INFO raw sample class distribution\n", "2017-06-11 13:39:47,522 INFO 0: 16\n", "2017-06-11 13:39:47,523 INFO 1: 10\n", "2017-06-11 13:39:47,524 INFO overall output samples per class: 20\n", "2017-06-11 13:39:47,526 INFO augmentation/undersampling ratio per class\n", "2017-06-11 13:39:47,527 INFO SETUP FLOW 0 1\n", "2017-06-11 13:39:47,528 INFO calculating source range according to start/end range of the desired output..\n", "2017-06-11 13:39:47,529 INFO output distribution for this flow\n", "2017-06-11 13:39:47,530 INFO 0: 20 (1.25)\n", "2017-06-11 13:39:47,532 INFO 1: 20 (2.00)\n", "2017-06-11 13:39:47,533 INFO source range: 0-25 (25)\n", "2017-06-11 13:39:47,535 INFO output range: 0-40 (40)\n", "2017-06-11 13:39:47,536 INFO SETUP FLOW 0 25\n", "2017-06-11 13:39:47,537 INFO calculating source range according to start/end range of the desired output..\n", "2017-06-11 13:39:47,538 INFO output distribution for this flow\n", "2017-06-11 13:39:47,540 INFO 0: 2225 (1.15)\n", "2017-06-11 13:39:47,541 INFO 1: 450 (0.40)\n", "2017-06-11 13:39:47,543 INFO 2: 450 (0.03)\n", "2017-06-11 13:39:47,544 INFO 3: 450 (0.12)\n", "2017-06-11 13:39:47,547 INFO 4: 450 (0.06)\n", "2017-06-11 13:39:47,549 INFO 5: 450 (0.02)\n", "2017-06-11 13:39:47,552 INFO source range: 0-2262 (2262)\n", "2017-06-11 13:39:47,553 INFO output range: 0-4475 (4475)\n", "2017-06-11 13:39:47,555 INFO test size=40 batches=1\n" ] } ], "source": [ "logger.info('Using dataset ' + input_dataset_path + ' as input')\n", "h5file = h5py.File(input_dataset_path, 'r')\n", "\n", "#used for image augmentation (creating new images for balancing)\n", "image_augmentation_generator = ImageDataGenerator(\n", " featurewise_center=False,\n", " samplewise_center=False,\n", " featurewise_std_normalization=False,\n", " samplewise_std_normalization=False,\n", " zca_whitening=False,\n", " rotation_range=359,\n", " width_shift_range=0,\n", " height_shift_range=0,\n", " shear_range=0,\n", " horizontal_flip=True,\n", " vertical_flip=True)\n", "\n", "#applied to all images during training\n", "image_randomize_generator = ImageDataGenerator(\n", " featurewise_center=False,\n", " samplewise_center=False,\n", " featurewise_std_normalization=False,\n", " samplewise_std_normalization=False,\n", " zca_whitening=False,\n", " rotation_range=359,\n", " width_shift_range=0,\n", " height_shift_range=0,\n", " shear_range=0,\n", " horizontal_flip=True,\n", " vertical_flip=True)\n", "\n", "logger.info('preparing train data')\n", "train_batch_generator = utils.BatchGeneratorXYH5(h5file, start_ratio=0, end_ratio=INPUT_RANGE)\n", "train_balance_generator = utils.ClassBalancerGeneratorXY(train_batch_generator,\n", " image_augmentation=image_augmentation_generator,\n", " output_weight=TRAIN_WEIGHT_RAW,\n", " max_augmentation_ratio=1,\n", " max_undersampling_ratio=1,\n", " enforce_max_ratios=False,\n", " batch_size=BATCH_SIZE,\n", " start_ratio=0, end_ratio=0.7)\n", "train_image_generator = utils.ImageAugmentationXYGenerator(train_balance_generator, image_randomize_generator)\n", "train_generator = utils.ClassBalancerGeneratorXY(train_image_generator,\n", " image_augmentation=image_augmentation_generator,\n", " output_weight=TRAIN_WEIGHT,\n", " max_augmentation_ratio=1,\n", " max_undersampling_ratio=1,\n", " enforce_max_ratios=False,\n", " batch_size=BATCH_SIZE,\n", " start_ratio=0, end_ratio=1,\n", " change_y=Y_CHANGE)\n", "#train_generator = utils.ChangeXYGenerator(train_image_generator, categorical_to_boolean)\n", "logger.info('train size=' + str(train_generator.size) + ' batches=' + str(train_generator.nr_batches))\n", "\n", "\n", "logger.info('preparing valid data')\n", "valid_batch_generator = utils.BatchGeneratorXYH5(h5file, start_ratio=0, end_ratio=INPUT_RANGE)\n", "valid_balance_generator = utils.ClassBalancerGeneratorXY(valid_batch_generator,\n", " image_augmentation=image_augmentation_generator,\n", " output_weight=TEST_WEIGHT_RAW,\n", " max_augmentation_ratio=1,\n", " max_undersampling_ratio=1,\n", " enforce_max_ratios=False,\n", " batch_size=BATCH_SIZE,\n", " start_ratio=0.7, end_ratio=0.85)\n", "valid_generator = utils.ClassBalancerGeneratorXY(valid_balance_generator,\n", " image_augmentation=image_augmentation_generator,\n", " output_weight=TEST_WEIGHT,\n", " max_augmentation_ratio=1,\n", " max_undersampling_ratio=1,\n", " enforce_max_ratios=False,\n", " batch_size=BATCH_SIZE,\n", " start_ratio=0, end_ratio=1,\n", " change_y=Y_CHANGE)\n", "logger.info('valid size=' + str(valid_generator.size) + ' batches=' + str(valid_generator.nr_batches))\n", "\n", "\n", "\n", "logger.info('preparing test data')\n", "test_batch_generator = utils.BatchGeneratorXYH5(h5file, start_ratio=0, end_ratio=INPUT_RANGE)\n", "test_balance_generator = utils.ClassBalancerGeneratorXY(test_batch_generator,\n", " image_augmentation=image_augmentation_generator,\n", " output_weight=TEST_WEIGHT_RAW,\n", " max_augmentation_ratio=1,\n", " max_undersampling_ratio=1,\n", " enforce_max_ratios=False,\n", " batch_size=BATCH_SIZE,\n", " start_ratio=0.85, end_ratio=1)\n", "test_generator = utils.ClassBalancerGeneratorXY(test_balance_generator,\n", " image_augmentation=image_augmentation_generator,\n", " output_weight=TEST_WEIGHT,\n", " max_augmentation_ratio=1,\n", " max_undersampling_ratio=1,\n", " enforce_max_ratios=False,\n", " batch_size=BATCH_SIZE,\n", " start_ratio=0, end_ratio=1,\n", " change_y=Y_CHANGE)\n", "logger.info('test size=' + str(test_generator.size) + ' batches=' + str(test_generator.nr_batches))\n", "\n", "#FIXME when using 1 on end ratio size and nr_batches gets negative (h5 batch generator, not balancer...)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": false }, "outputs": [], "source": [ "#logger.info('INPUT DATASET DATA')\n", "#dataset_path = INPUT_DIR + utils.dataset_name(INPUT_DATASET_NAME, IMAGE_DIMS)\n", "#with h5py.File(input_dataset_path, 'r') as h5file:\n", "# logger.info('generator')\n", "# input_generator = utils.BatchGeneratorXYH5(h5file, start_ratio=0.001, end_ratio=0.0012, batch_size=64)\n", "# X, Y = utils.dump_xy_to_array(input_generator.flow(), input_generator.size, x=True, y=True)\n", "# utils.show_images(X, image_labels=utils.onehot_to_label(Y), group_by_label=False, cols=10, is_bgr=True, size=2)\n", "#\n", "# logger.info('x ' + str(np.shape(X)))\n", "# logger.info('y ' + str(np.shape(Y)))\n", "# logger.info(str(utils.class_distribution(Y)))\n", "\n", "if(DEBUG):\n", " logger.info('BALANCE GENERATOR DATA')\n", " #dataset_path = INPUT_DIR + utils.dataset_name(INPUT_DATASET_NAME, IMAGE_DIMS)\n", " X_train, Y_train = utils.dump_xy_to_array(train_generator.flow(), train_generator.size, x=False, y=True)\n", " logger.info('y ' + str(np.shape(Y_train)))\n", " #logger.info(str(utils.class_distribution(Y_train)))\n", "\n", " for xs,ys in train_generator.flow():\n", " utils.show_images(xs, image_labels=ys, cols=10, is_bgr=True, size=2)\n", " break" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Prepare CNN model" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:47,595 INFO Load CNN model\n", "/notebooks/datascience-snippets/kaggle-sea-lion/modules/lions.py:164: UserWarning: Update your `Conv2D` call to the Keras 2 API: `Conv2D(64, (3, 3), activation=\"relu\", kernel_initializer=\"glorot_uniform\", padding=\"same\")`\n", " model.add(convolutional.Conv2D(64, (3, 3), activation='relu', padding='same', init='glorot_uniform'))\n", "/notebooks/datascience-snippets/kaggle-sea-lion/modules/lions.py:166: UserWarning: Update your `Conv2D` call to the Keras 2 API: `Conv2D(128, (3, 3), activation=\"relu\", kernel_initializer=\"glorot_uniform\", padding=\"same\")`\n", " model.add(convolutional.Conv2D(128, (3, 3), activation='relu', padding='same', init='glorot_uniform'))\n", "/notebooks/datascience-snippets/kaggle-sea-lion/modules/lions.py:168: UserWarning: Update your `Conv2D` call to the Keras 2 API: `Conv2D(256, (3, 3), activation=\"relu\", kernel_initializer=\"glorot_uniform\", padding=\"same\")`\n", " model.add(convolutional.Conv2D(256, (3, 3), activation='relu', padding='same', init='glorot_uniform'))\n", "/notebooks/datascience-snippets/kaggle-sea-lion/modules/lions.py:173: UserWarning: Update your `Dense` call to the Keras 2 API: `Dense(1024, activation=\"relu\", kernel_initializer=\"glorot_uniform\")`\n", " model.add(core.Dense(1024, activation='relu', init='glorot_uniform'))\n", "/notebooks/datascience-snippets/kaggle-sea-lion/modules/lions.py:175: UserWarning: Update your `Dense` call to the Keras 2 API: `Dense(1024, activation=\"relu\", kernel_initializer=\"glorot_uniform\")`\n", " model.add(core.Dense(1024, activation='relu', init='glorot_uniform'))\n", "2017-06-11 13:39:47,756 INFO loaded model from function convnet_medium1_single\n", "2017-06-11 13:39:47,761 INFO Model prepared\n" ] } ], "source": [ "logger.info('Load CNN model')\n", "#model = lions.convnet_alexnet2_lion_keras(IMAGE_DIMS)\n", "\n", "model = None\n", "if(LOAD_MODEL_FILE!=None and os.path.isfile(LOAD_MODEL_FILE)):\n", " with open(LOAD_MODEL_FILE, 'r') as model_file:\n", " my = model_file.read()\n", " model = models.model_from_yaml(my)\n", " logger.info('loaded model from file ' + LOAD_MODEL_FILE)\n", "else:\n", " model = lions.convnet_medium1_boolean(IMAGE_DIMS)\n", " logger.info('loaded model from function convnet_medium1_single')\n", " \n", "\n", "if(LOAD_WEIGHTS_FILE!=None and os.path.isfile(LOAD_WEIGHTS_FILE)):\n", " model.load_weights(LOAD_WEIGHTS_FILE)\n", " logger.info('Loaded model weights from ' + LOAD_WEIGHTS_FILE)\n", "\n", "logger.info('Model prepared')" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "## Train model" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:47,772 INFO Starting CNN training...\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_1/kernel:0 is illegal; using conv2d_1/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:47,978 INFO Summary name conv2d_1/kernel:0 is illegal; using conv2d_1/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_1/kernel:0 is illegal; using conv2d_1/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:47,984 INFO Summary name conv2d_1/kernel:0 is illegal; using conv2d_1/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_1/bias:0 is illegal; using conv2d_1/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:47,988 INFO Summary name conv2d_1/bias:0 is illegal; using conv2d_1/bias_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_1/bias:0 is illegal; using conv2d_1/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:47,995 INFO Summary name conv2d_1/bias:0 is illegal; using conv2d_1/bias_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_2/kernel:0 is illegal; using conv2d_2/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,001 INFO Summary name conv2d_2/kernel:0 is illegal; using conv2d_2/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_2/kernel:0 is illegal; using conv2d_2/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,007 INFO Summary name conv2d_2/kernel:0 is illegal; using conv2d_2/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_2/bias:0 is illegal; using conv2d_2/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,011 INFO Summary name conv2d_2/bias:0 is illegal; using conv2d_2/bias_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_2/bias:0 is illegal; using conv2d_2/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,018 INFO Summary name conv2d_2/bias:0 is illegal; using conv2d_2/bias_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_3/kernel:0 is illegal; using conv2d_3/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,023 INFO Summary name conv2d_3/kernel:0 is illegal; using conv2d_3/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_3/kernel:0 is illegal; using conv2d_3/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,029 INFO Summary name conv2d_3/kernel:0 is illegal; using conv2d_3/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_3/bias:0 is illegal; using conv2d_3/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,033 INFO Summary name conv2d_3/bias:0 is illegal; using conv2d_3/bias_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name conv2d_3/bias:0 is illegal; using conv2d_3/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,040 INFO Summary name conv2d_3/bias:0 is illegal; using conv2d_3/bias_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_1/kernel:0 is illegal; using dense_1/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,046 INFO Summary name dense_1/kernel:0 is illegal; using dense_1/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_1/kernel:0 is illegal; using dense_1/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,055 INFO Summary name dense_1/kernel:0 is illegal; using dense_1/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_1/bias:0 is illegal; using dense_1/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,059 INFO Summary name dense_1/bias:0 is illegal; using dense_1/bias_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_1/bias:0 is illegal; using dense_1/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,066 INFO Summary name dense_1/bias:0 is illegal; using dense_1/bias_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_2/kernel:0 is illegal; using dense_2/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,072 INFO Summary name dense_2/kernel:0 is illegal; using dense_2/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_2/kernel:0 is illegal; using dense_2/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,077 INFO Summary name dense_2/kernel:0 is illegal; using dense_2/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_2/bias:0 is illegal; using dense_2/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,081 INFO Summary name dense_2/bias:0 is illegal; using dense_2/bias_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_2/bias:0 is illegal; using dense_2/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,088 INFO Summary name dense_2/bias:0 is illegal; using dense_2/bias_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_3/kernel:0 is illegal; using dense_3/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,094 INFO Summary name dense_3/kernel:0 is illegal; using dense_3/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_3/kernel:0 is illegal; using dense_3/kernel_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,103 INFO Summary name dense_3/kernel:0 is illegal; using dense_3/kernel_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_3/bias:0 is illegal; using dense_3/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,107 INFO Summary name dense_3/bias:0 is illegal; using dense_3/bias_0 instead.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Summary name dense_3/bias:0 is illegal; using dense_3/bias_0 instead.\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:48,114 INFO Summary name dense_3/bias:0 is illegal; using dense_3/bias_0 instead.\n", "/usr/local/lib/python3.4/dist-packages/ipykernel/__main__.py:9: UserWarning: Update your `fit_generator` call to the Keras 2 API: `fit_generator(<generator..., steps_per_epoch=5, validation_data=<generator..., verbose=1, epochs=10, callbacks=[<keras.ca..., validation_steps=1)`\n", "2017-06-11 13:39:48,735 INFO starting new flow...\n", "2017-06-11 13:39:48,738 INFO starting new flow...\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Epoch 1/10\n", "Epoch 1/10\n", "4/5 [=======================>......] - ETA: 2s - loss: 1.1947 - acc: 0.5990 \b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:39:58,966 INFO starting new flow...\n", "2017-06-11 13:39:58,968 INFO starting new flow...\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Epoch 00000: val_acc improved from -inf to 0.25000, saving model to ../../output/kaggle-sea-lion/07/weights/weights-00-0.25.h5\n", "5/5 [==============================] - 12s - loss: 1.1029 - acc: 0.5292 - val_loss: 0.6992 - val_acc: 0.2500\n", "5/5 [==============================] - 12s - loss: 1.1029 - acc: 0.5292 - val_loss: 0.6992 - val_acc: 0.2500\n", "Epoch 2/10\n", "Epoch 2/10\n", "4/5 [=======================>......] - ETA: 1s - loss: 0.6893 - acc: 0.5365\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bEpoch 00001: val_acc improved from 0.25000 to 0.35417, saving model to ../../output/kaggle-sea-lion/07/weights/weights-01-0.35.h5\n", "5/5 [==============================] - 11s - loss: 0.6761 - acc: 0.5958 - val_loss: 0.7925 - val_acc: 0.3542\n", "5/5 [==============================] - 12s - loss: 0.6761 - acc: 0.5958 - val_loss: 0.7925 - val_acc: 0.3542\n", "Epoch 3/10\n", "Epoch 3/10\n", "4/5 [=======================>......] - ETA: 1s - loss: 0.6275 - acc: 0.6562\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bEpoch 00002: val_acc improved from 0.35417 to 0.75000, saving model to ../../output/kaggle-sea-lion/07/weights/weights-02-0.75.h5\n", "5/5 [==============================] - 12s - loss: 0.6161 - acc: 0.6583 - val_loss: 0.5861 - val_acc: 0.7500\n", "5/5 [==============================] - 12s - loss: 0.6161 - acc: 0.6583 - val_loss: 0.5861 - val_acc: 0.7500\n", "Epoch 4/10\n", "Epoch 4/10\n", "4/5 [=======================>......] - ETA: 2s - loss: 0.6611 - acc: 0.6615\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bEpoch 00003: val_acc did not improve\n", "5/5 [==============================] - 11s - loss: 0.6723 - acc: 0.6250 - val_loss: 0.6176 - val_acc: 0.6458\n", "5/5 [==============================] - 11s - loss: 0.6723 - acc: 0.6250 - val_loss: 0.6176 - val_acc: 0.6458\n", "Epoch 5/10\n", "Epoch 5/10\n", "4/5 [=======================>......] - ETA: 2s - loss: 0.6367 - acc: 0.6250\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bEpoch 00004: val_acc did not improve\n", "5/5 [==============================] - 11s - loss: 0.6287 - acc: 0.6375 - val_loss: 0.7277 - val_acc: 0.4167\n", "5/5 [==============================] - 11s - loss: 0.6287 - acc: 0.6375 - val_loss: 0.7277 - val_acc: 0.4167\n", "Epoch 6/10\n", "Epoch 6/10\n", "4/5 [=======================>......] - ETA: 2s - loss: 0.6058 - acc: 0.6510\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bEpoch 00005: val_acc did not improve\n", "5/5 [==============================] - 11s - loss: 0.5948 - acc: 0.6708 - val_loss: 0.6680 - val_acc: 0.6042\n", "5/5 [==============================] - 11s - loss: 0.5948 - acc: 0.6708 - val_loss: 0.6680 - val_acc: 0.6042\n", "Epoch 7/10\n", "Epoch 7/10\n", "4/5 [=======================>......] - ETA: 2s - loss: 0.6184 - acc: 0.6146\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bEpoch 00006: val_acc did not improve\n", "5/5 [==============================] - 11s - loss: 0.6096 - acc: 0.6167 - val_loss: 0.6454 - val_acc: 0.5833\n", "5/5 [==============================] - 11s - loss: 0.6096 - acc: 0.6167 - val_loss: 0.6454 - val_acc: 0.5833\n", "Epoch 8/10\n", "Epoch 8/10\n", "4/5 [=======================>......] - ETA: 2s - loss: 0.5230 - acc: 0.6771\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bEpoch 00007: val_acc did not improve\n", "5/5 [==============================] - 11s - loss: 0.5276 - acc: 0.6625 - val_loss: 0.8927 - val_acc: 0.5833\n", "5/5 [==============================] - 11s - loss: 0.5276 - acc: 0.6625 - val_loss: 0.8927 - val_acc: 0.5833\n", "Epoch 9/10\n", "Epoch 9/10\n", "4/5 [=======================>......] - ETA: 2s - loss: 0.6056 - acc: 0.7396\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bEpoch 00008: val_acc did not improve\n", "5/5 [==============================] - 10s - loss: 0.5998 - acc: 0.7375 - val_loss: 0.6058 - val_acc: 0.6875\n", "5/5 [==============================] - 10s - loss: 0.5998 - acc: 0.7375 - val_loss: 0.6058 - val_acc: 0.6875\n", "Epoch 10/10\n", "Epoch 10/10\n", "4/5 [=======================>......] - ETA: 2s - loss: 0.5036 - acc: 0.7448\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\b\bEpoch 00009: val_acc did not improve\n", "5/5 [==============================] - 11s - loss: 0.4638 - acc: 0.7625 - val_loss: 0.6294 - val_acc: 0.6875\n", "5/5 [==============================] - 11s - loss: 0.4638 - acc: 0.7625 - val_loss: 0.6294 - val_acc: 0.6875\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:41:45,547 INFO Saved last weights to ../../output/kaggle-sea-lion/07/last-weights-medium1-class0.h5\n" ] } ], "source": [ "if(RUN_TRAINING):\n", " logger.info('Starting CNN training...')\n", " history = model.fit_generator(train_generator.flow(),\n", " steps_per_epoch = train_generator.nr_batches,\n", " nb_epoch = TRAIN_EPOCHS,\n", " callbacks = cnn.get_callbacks_keras(model, WEIGHTS_DIR, TF_LOGS_DIR),\n", " validation_data = valid_generator.flow(), \n", " validation_steps = valid_generator.nr_batches,\n", " verbose = 1)\n", "\n", " if(SAVE_MODEL_FILE!=None):\n", " with open(SAVE_MODEL_FILE, 'w') as model_file:\n", " model_file.write(model.to_yaml())\n", " logger.info('Saved last model to ' + SAVE_MODEL_FILE)\n", " \n", " if(SAVE_WEIGHTS_FILE!=None):\n", " model.save_weights(SAVE_WEIGHTS_FILE)\n", " logger.info('Saved last weights to ' + SAVE_WEIGHTS_FILE)\n" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Epoch accuracy/loss" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:41:45,559 INFO Training info\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAecAAADgCAYAAAA5f65YAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nIiIRo+IsIiISMf8HMawakmXFH2oAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f028c8e6198>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "if(RUN_TRAINING):\n", " logger.info('Training info')\n", " cnn.show_training_info_keras(history)" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "### Confusion matrix" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "2017-06-11 13:41:45,812 INFO Evaluating model performance (40 samples)...\n", "2017-06-11 13:41:45,821 INFO starting new flow...\n", "2017-06-11 13:41:45,824 INFO starting new flow...\n", "2017-06-11 13:41:46,875 INFO Accuracy: 0.854166686535 - Loss: 0.325673669577\n", "2017-06-11 13:41:46,876 INFO Predicting Y for detailed analysis...\n", "/notebooks/datascience-snippets/kaggle-sea-lion/modules/cnn.py:59: FutureWarning: comparison to `None` will result in an elementwise object comparison in the future.\n", " if(self.y_ds==None):\n", "2017-06-11 13:41:48,362 INFO Accuracy: 0.5\n", "2017-06-11 13:41:48,364 INFO Number of test samples: 40\n", "2017-06-11 13:41:48,365 INFO Kappa score: 0.137931034483 (-1 bad; 0 just luck; 1 great)\n", "2017-06-11 13:41:48,367 INFO \n", " precision recall f1-score support\n", "\n", " any 1.00 0.17 0.29 24\n", " male 0.44 1.00 0.62 16\n", "\n", "avg / total 0.78 0.50 0.42 40\n", "\n", "2017-06-11 13:41:48,368 INFO Accuracy per class:\n", "2017-06-11 13:41:48,370 INFO any: 100.0%\n", "2017-06-11 13:41:48,371 INFO male: 44.4%\n", "2017-06-11 13:41:48,372 INFO Confusion matrix:\n", "2017-06-11 13:41:48,374 INFO \n", "[[ 4 20]\n", " [ 0 16]]\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAVYAAACICAYAAAC87MNoAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nNJ79UvO67AAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f02401b93c8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "cnn.evaluate_dataset_keras(test_generator.flow(), \n", " test_generator.nr_batches, \n", " test_generator.size, \n", " model, \n", " class_labels=['any', 'male'])" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": false }, "outputs": [], "source": [ "if(DEBUG):\n", " a = test_generator.flow()\n", " cnn.show_predictions(a, 50, model)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
analyticsguru/NUPredict480FinalProject
predict480FinalProjectDataPrep.ipynb
1
125571
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#supress warning message\n", "import warnings; warnings.simplefilter(\"ignore\")" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#import some extension libraries\n", "import pandas as pd #import pandas library\n", "\n" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "superstore = pd.ExcelFile(r'/Users/ishmaelamin/Documents/Northwestern_University/2016_Fall/Predict_480/480_Group_Final_Project/Superstore_Sales_Data.xlsx')" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sheet_1 = pd.read_excel(superstore,sheetname=0)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sheet_2 = pd.read_excel(superstore,sheetname=1)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['External', 'Internal']\n", "There are 2 sheets in the workbook\n" ] } ], "source": [ "#display worksheet names in the workbook\n", "read_sheets_name = superstore.sheet_names\n", "print(read_sheets_name)\n", "\n", "#count sheets in the workbook\n", "print(\"There are %d sheets in the workbook\" % len(read_sheets_name))" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "403" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#Display the recordsin the first sheet\n", "sheet_1.head()\n", "len(sheet_1)" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "18" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(sheet_2)" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>Product_Type_ID</th>\n", " <th>Order_Date</th>\n", " <th>Price</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>2009-03-22</td>\n", " <td>152.48</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>2010-12-22</td>\n", " <td>152.48</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>2012-10-28</td>\n", " <td>152.48</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>2012-09-24</td>\n", " <td>152.48</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>5</td>\n", " <td>1</td>\n", " <td>2010-04-20</td>\n", " <td>152.48</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id Product_Type_ID Order_Date Price\n", "0 1 1 2009-03-22 152.48\n", "1 2 1 2010-12-22 152.48\n", "2 3 1 2012-10-28 152.48\n", "3 4 1 2012-09-24 152.48\n", "4 5 1 2010-04-20 152.48" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sheet_1.ix[:,0:4].head()" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#sheet_1[[\"id\",\"Order_Date\"]].head()\n", "sheet_1.set_index('Product_Type_ID',inplace=True)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sheet_2.set_index('Product_Type_ID',inplace=True)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>Order_Date</th>\n", " <th>Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Product_Name</th>\n", " <th>Vendor</th>\n", " </tr>\n", " <tr>\n", " <th>Product_Type_ID</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2009-03-22</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>2010-12-22</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>3</td>\n", " <td>2012-10-28</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4</td>\n", " <td>2012-09-24</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>5</td>\n", " <td>2010-04-20</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id Order_Date Price Customer_Segment Product_Category \\\n", "Product_Type_ID \n", "1 1 2009-03-22 152.48 Enterprise Technology \n", "1 2 2010-12-22 152.48 Enterprise Technology \n", "1 3 2012-10-28 152.48 Enterprise Technology \n", "1 4 2012-09-24 152.48 Enterprise Technology \n", "1 5 2010-04-20 152.48 Enterprise Technology \n", "\n", " Product_Sub_Category Product_Type Product_Name Vendor \n", "Product_Type_ID \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso " ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sheet_1.head()" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Internal_Product_Name</th>\n", " <th>Internal_Cost</th>\n", " <th>Internal_Sale_Price</th>\n", " </tr>\n", " <tr>\n", " <th>Product_Type_ID</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>CPU</td>\n", " <td>50</td>\n", " <td>200</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Graphics/video card</td>\n", " <td>35</td>\n", " <td>75</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>Speakers</td>\n", " <td>15</td>\n", " <td>25</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>Wi-Fi</td>\n", " <td>20</td>\n", " <td>50</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>Sound card</td>\n", " <td>15</td>\n", " <td>40</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Internal_Product_Name Internal_Cost Internal_Sale_Price\n", "Product_Type_ID \n", "1 CPU 50 200\n", "2 Graphics/video card 35 75\n", "3 Speakers 15 25\n", "6 Wi-Fi 20 50\n", "7  Sound card 15 40" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sheet_2.head()" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#result = pd.merge(sheet_1, sheet_2, how='left', on=['Product_Type_ID'])\n", "internal = sheet_1.join(sheet_2)" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "403" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(internal)" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [], "source": [ "internal = internal[[\"id\",\"Order_Date\",\"Internal_Cost\",\"Internal_Sale_Price\",\"Customer_Segment\",\"Product_Category\",\"Product_Sub_Category\",\"Product_Type\",\"Internal_Product_Name\",\"Vendor\"]]" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>Order_Date</th>\n", " <th>Internal_Cost</th>\n", " <th>Internal_Sale_Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Internal_Product_Name</th>\n", " <th>Vendor</th>\n", " </tr>\n", " <tr>\n", " <th>Product_Type_ID</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2009-03-22</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>2010-12-22</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>3</td>\n", " <td>2012-10-28</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4</td>\n", " <td>2012-09-24</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>5</td>\n", " <td>2010-04-20</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id Order_Date Internal_Cost Internal_Sale_Price \\\n", "Product_Type_ID \n", "1 1 2009-03-22 50 200 \n", "1 2 2010-12-22 50 200 \n", "1 3 2012-10-28 50 200 \n", "1 4 2012-09-24 50 200 \n", "1 5 2010-04-20 50 200 \n", "\n", " Customer_Segment Product_Category Product_Sub_Category \\\n", "Product_Type_ID \n", "1 Enterprise Technology Computer Components \n", "1 Enterprise Technology Computer Components \n", "1 Enterprise Technology Computer Components \n", "1 Enterprise Technology Computer Components \n", "1 Enterprise Technology Computer Components \n", "\n", " Product_Type Internal_Product_Name Vendor \n", "Product_Type_ID \n", "1 CPU CPU Adesso \n", "1 CPU CPU Adesso \n", "1 CPU CPU Adesso \n", "1 CPU CPU Adesso \n", "1 CPU CPU Adesso " ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "internal.head()" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": true }, "outputs": [], "source": [ "internal['Business_Type'] = pd.Series('internal', index=internal.index)" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>Order_Date</th>\n", " <th>Internal_Cost</th>\n", " <th>Internal_Sale_Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Internal_Product_Name</th>\n", " <th>Vendor</th>\n", " <th>Business_Type</th>\n", " </tr>\n", " <tr>\n", " <th>Product_Type_ID</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2009-03-22</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>2010-12-22</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>3</td>\n", " <td>2012-10-28</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4</td>\n", " <td>2012-09-24</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>5</td>\n", " <td>2010-04-20</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id Order_Date Internal_Cost Internal_Sale_Price \\\n", "Product_Type_ID \n", "1 1 2009-03-22 50 200 \n", "1 2 2010-12-22 50 200 \n", "1 3 2012-10-28 50 200 \n", "1 4 2012-09-24 50 200 \n", "1 5 2010-04-20 50 200 \n", "\n", " Customer_Segment Product_Category Product_Sub_Category \\\n", "Product_Type_ID \n", "1 Enterprise Technology Computer Components \n", "1 Enterprise Technology Computer Components \n", "1 Enterprise Technology Computer Components \n", "1 Enterprise Technology Computer Components \n", "1 Enterprise Technology Computer Components \n", "\n", " Product_Type Internal_Product_Name Vendor Business_Type \n", "Product_Type_ID \n", "1 CPU CPU Adesso internal \n", "1 CPU CPU Adesso internal \n", "1 CPU CPU Adesso internal \n", "1 CPU CPU Adesso internal \n", "1 CPU CPU Adesso internal " ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "internal.head()" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [], "source": [ "internal.columns = [\"id\",\"Order_Date\",\"Cost\",\"Price\",\"Customer_Segment\",\"Product_Category\",\"Product_Sub_Category\",\"Product_Type\",\"Product_Name\",\"Vendor\",\"Business_Type\"]" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>Order_Date</th>\n", " <th>Cost</th>\n", " <th>Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Product_Name</th>\n", " <th>Vendor</th>\n", " <th>Business_Type</th>\n", " </tr>\n", " <tr>\n", " <th>Product_Type_ID</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2009-03-22</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>2010-12-22</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>3</td>\n", " <td>2012-10-28</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4</td>\n", " <td>2012-09-24</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>5</td>\n", " <td>2010-04-20</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id Order_Date Cost Price Customer_Segment Product_Category \\\n", "Product_Type_ID \n", "1 1 2009-03-22 50 200 Enterprise Technology \n", "1 2 2010-12-22 50 200 Enterprise Technology \n", "1 3 2012-10-28 50 200 Enterprise Technology \n", "1 4 2012-09-24 50 200 Enterprise Technology \n", "1 5 2010-04-20 50 200 Enterprise Technology \n", "\n", " Product_Sub_Category Product_Type Product_Name Vendor \\\n", "Product_Type_ID \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "\n", " Business_Type \n", "Product_Type_ID \n", "1 internal \n", "1 internal \n", "1 internal \n", "1 internal \n", "1 internal " ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" } ], "source": [ "internal.head()\n" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": true }, "outputs": [], "source": [ "external=sheet_1" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# append column to both datasets that distinguish them as either internal or external\n", "external['Business_Type'] = pd.Series('external', index=external.index)" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>Order_Date</th>\n", " <th>Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Product_Name</th>\n", " <th>Vendor</th>\n", " <th>Business_Type</th>\n", " </tr>\n", " <tr>\n", " <th>Product_Type_ID</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2009-03-22</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>2010-12-22</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>3</td>\n", " <td>2012-10-28</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4</td>\n", " <td>2012-09-24</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>5</td>\n", " <td>2010-04-20</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id Order_Date Price Customer_Segment Product_Category \\\n", "Product_Type_ID \n", "1 1 2009-03-22 152.48 Enterprise Technology \n", "1 2 2010-12-22 152.48 Enterprise Technology \n", "1 3 2012-10-28 152.48 Enterprise Technology \n", "1 4 2012-09-24 152.48 Enterprise Technology \n", "1 5 2010-04-20 152.48 Enterprise Technology \n", "\n", " Product_Sub_Category Product_Type Product_Name Vendor \\\n", "Product_Type_ID \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso \n", "\n", " Business_Type \n", "Product_Type_ID \n", "1 external \n", "1 external \n", "1 external \n", "1 external \n", "1 external " ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" } ], "source": [ "external.head()" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#Add cost column so that the two dataframes can be concatenated\n", "external['Cost'] = pd.Series(None, index=external.index)" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>Order_Date</th>\n", " <th>Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Product_Name</th>\n", " <th>Vendor</th>\n", " <th>Business_Type</th>\n", " <th>Cost</th>\n", " </tr>\n", " <tr>\n", " <th>Product_Type_ID</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2009-03-22</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>2010-12-22</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>3</td>\n", " <td>2012-10-28</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4</td>\n", " <td>2012-09-24</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>5</td>\n", " <td>2010-04-20</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id Order_Date Price Customer_Segment Product_Category \\\n", "Product_Type_ID \n", "1 1 2009-03-22 152.48 Enterprise Technology \n", "1 2 2010-12-22 152.48 Enterprise Technology \n", "1 3 2012-10-28 152.48 Enterprise Technology \n", "1 4 2012-09-24 152.48 Enterprise Technology \n", "1 5 2010-04-20 152.48 Enterprise Technology \n", "\n", " Product_Sub_Category Product_Type Product_Name Vendor \\\n", "Product_Type_ID \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso \n", "1 Computer Components CPU Adesso CPU Adesso \n", "\n", " Business_Type Cost \n", "Product_Type_ID \n", "1 external NaN \n", "1 external NaN \n", "1 external NaN \n", "1 external NaN \n", "1 external NaN " ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" } ], "source": [ "external.head()" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# get a list of columns\n", "cols = list(external)" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['id',\n", " 'Order_Date',\n", " 'Cost',\n", " 'Price',\n", " 'Customer_Segment',\n", " 'Product_Category',\n", " 'Product_Sub_Category',\n", " 'Product_Type',\n", " 'Product_Name',\n", " 'Vendor',\n", " 'Business_Type']" ] }, "execution_count": 28, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# move the column to head of list using index, pop and insert\n", "cols.insert(2, cols.pop(cols.index('Cost')))\n", "cols" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>Order_Date</th>\n", " <th>Cost</th>\n", " <th>Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Product_Name</th>\n", " <th>Vendor</th>\n", " <th>Business_Type</th>\n", " </tr>\n", " <tr>\n", " <th>Product_Type_ID</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2009-03-22</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>2010-12-22</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>3</td>\n", " <td>2012-10-28</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4</td>\n", " <td>2012-09-24</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>5</td>\n", " <td>2010-04-20</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id Order_Date Cost Price Customer_Segment \\\n", "Product_Type_ID \n", "1 1 2009-03-22 NaN 152.48 Enterprise \n", "1 2 2010-12-22 NaN 152.48 Enterprise \n", "1 3 2012-10-28 NaN 152.48 Enterprise \n", "1 4 2012-09-24 NaN 152.48 Enterprise \n", "1 5 2010-04-20 NaN 152.48 Enterprise \n", "\n", " Product_Category Product_Sub_Category Product_Type \\\n", "Product_Type_ID \n", "1 Technology Computer Components CPU \n", "1 Technology Computer Components CPU \n", "1 Technology Computer Components CPU \n", "1 Technology Computer Components CPU \n", "1 Technology Computer Components CPU \n", "\n", " Product_Name Vendor Business_Type \n", "Product_Type_ID \n", "1 Adesso CPU Adesso external \n", "1 Adesso CPU Adesso external \n", "1 Adesso CPU Adesso external \n", "1 Adesso CPU Adesso external \n", "1 Adesso CPU Adesso external " ] }, "execution_count": 29, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# use ix to reorder\n", "external = external.ix[:, cols]\n", "external.head()" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>Order_Date</th>\n", " <th>Cost</th>\n", " <th>Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Product_Name</th>\n", " <th>Vendor</th>\n", " <th>Business_Type</th>\n", " </tr>\n", " <tr>\n", " <th>Product_Type_ID</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2009-03-22</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>2010-12-22</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>3</td>\n", " <td>2012-10-28</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4</td>\n", " <td>2012-09-24</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>5</td>\n", " <td>2010-04-20</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id Order_Date Cost Price Customer_Segment Product_Category \\\n", "Product_Type_ID \n", "1 1 2009-03-22 50 200 Enterprise Technology \n", "1 2 2010-12-22 50 200 Enterprise Technology \n", "1 3 2012-10-28 50 200 Enterprise Technology \n", "1 4 2012-09-24 50 200 Enterprise Technology \n", "1 5 2010-04-20 50 200 Enterprise Technology \n", "\n", " Product_Sub_Category Product_Type Product_Name Vendor \\\n", "Product_Type_ID \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "\n", " Business_Type \n", "Product_Type_ID \n", "1 internal \n", "1 internal \n", "1 internal \n", "1 internal \n", "1 internal " ] }, "execution_count": 30, "metadata": {}, "output_type": "execute_result" } ], "source": [ "internal.head()" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#Preserve the value of product_type_id as a column\n", "internal['Product_Type_ID1'] = internal.index" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>Order_Date</th>\n", " <th>Cost</th>\n", " <th>Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Product_Name</th>\n", " <th>Vendor</th>\n", " <th>Business_Type</th>\n", " <th>Product_Type_ID1</th>\n", " </tr>\n", " <tr>\n", " <th>Product_Type_ID</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2009-03-22</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>2010-12-22</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>3</td>\n", " <td>2012-10-28</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4</td>\n", " <td>2012-09-24</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>5</td>\n", " <td>2010-04-20</td>\n", " <td>50</td>\n", " <td>200</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>CPU</td>\n", " <td>Adesso</td>\n", " <td>internal</td>\n", " <td>1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id Order_Date Cost Price Customer_Segment Product_Category \\\n", "Product_Type_ID \n", "1 1 2009-03-22 50 200 Enterprise Technology \n", "1 2 2010-12-22 50 200 Enterprise Technology \n", "1 3 2012-10-28 50 200 Enterprise Technology \n", "1 4 2012-09-24 50 200 Enterprise Technology \n", "1 5 2010-04-20 50 200 Enterprise Technology \n", "\n", " Product_Sub_Category Product_Type Product_Name Vendor \\\n", "Product_Type_ID \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "1 Computer Components CPU CPU Adesso \n", "\n", " Business_Type Product_Type_ID1 \n", "Product_Type_ID \n", "1 internal 1 \n", "1 internal 1 \n", "1 internal 1 \n", "1 internal 1 \n", "1 internal 1 " ] }, "execution_count": 32, "metadata": {}, "output_type": "execute_result" } ], "source": [ "internal.head()" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": true }, "outputs": [], "source": [ "external['Product_Type_ID1'] = external.index" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>Order_Date</th>\n", " <th>Cost</th>\n", " <th>Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Product_Name</th>\n", " <th>Vendor</th>\n", " <th>Business_Type</th>\n", " <th>Product_Type_ID1</th>\n", " </tr>\n", " <tr>\n", " <th>Product_Type_ID</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2009-03-22</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>2010-12-22</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>3</td>\n", " <td>2012-10-28</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4</td>\n", " <td>2012-09-24</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>5</td>\n", " <td>2010-04-20</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id Order_Date Cost Price Customer_Segment \\\n", "Product_Type_ID \n", "1 1 2009-03-22 NaN 152.48 Enterprise \n", "1 2 2010-12-22 NaN 152.48 Enterprise \n", "1 3 2012-10-28 NaN 152.48 Enterprise \n", "1 4 2012-09-24 NaN 152.48 Enterprise \n", "1 5 2010-04-20 NaN 152.48 Enterprise \n", "\n", " Product_Category Product_Sub_Category Product_Type \\\n", "Product_Type_ID \n", "1 Technology Computer Components CPU \n", "1 Technology Computer Components CPU \n", "1 Technology Computer Components CPU \n", "1 Technology Computer Components CPU \n", "1 Technology Computer Components CPU \n", "\n", " Product_Name Vendor Business_Type Product_Type_ID1 \n", "Product_Type_ID \n", "1 Adesso CPU Adesso external 1 \n", "1 Adesso CPU Adesso external 1 \n", "1 Adesso CPU Adesso external 1 \n", "1 Adesso CPU Adesso external 1 \n", "1 Adesso CPU Adesso external 1 " ] }, "execution_count": 34, "metadata": {}, "output_type": "execute_result" } ], "source": [ "external.head()" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": true }, "outputs": [], "source": [ "internal.set_index('id',inplace=True)\n", "external.set_index('id',inplace=True)" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": false }, "outputs": [], "source": [ "result = pd.concat([external, internal], ignore_index=True)" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "806" ] }, "execution_count": 37, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(result)" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Order_Date</th>\n", " <th>Cost</th>\n", " <th>Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Product_Name</th>\n", " <th>Vendor</th>\n", " <th>Business_Type</th>\n", " <th>Product_Type_ID1</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>2009-03-22</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2010-12-22</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2012-10-28</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>2012-09-24</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>2010-04-20</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Order_Date Cost Price Customer_Segment Product_Category \\\n", "0 2009-03-22 NaN 152.48 Enterprise Technology \n", "1 2010-12-22 NaN 152.48 Enterprise Technology \n", "2 2012-10-28 NaN 152.48 Enterprise Technology \n", "3 2012-09-24 NaN 152.48 Enterprise Technology \n", "4 2010-04-20 NaN 152.48 Enterprise Technology \n", "\n", " Product_Sub_Category Product_Type Product_Name Vendor Business_Type \\\n", "0 Computer Components CPU Adesso CPU Adesso external \n", "1 Computer Components CPU Adesso CPU Adesso external \n", "2 Computer Components CPU Adesso CPU Adesso external \n", "3 Computer Components CPU Adesso CPU Adesso external \n", "4 Computer Components CPU Adesso CPU Adesso external \n", "\n", " Product_Type_ID1 \n", "0 1 \n", "1 1 \n", "2 1 \n", "3 1 \n", "4 1 " ] }, "execution_count": 38, "metadata": {}, "output_type": "execute_result" } ], "source": [ "result.head()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#results.columns = [\"Business_Type\",\"Cost\",\"Customer_Segment\",\"Order_Date\",\"Price\",\"Product_Category\",\"Product_Name\",\"Product_Sub_Category\",\"Product_Type\",Product_Type_ID\",\"Vendor\"]" ] }, { "cell_type": "code", "execution_count": 39, "metadata": { "collapsed": true }, "outputs": [], "source": [ "result.columns = [\"Order_Date\",\"Cost\",\"Price\",\"Customer_Segment\",\"Product_Category\",\"Product_Sub_Category\",\"Product_Type\",\"Product_Name\",\"Vendor\",\"Business_Type\",\"Product_Type_ID\"]" ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Order_Date</th>\n", " <th>Cost</th>\n", " <th>Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Product_Name</th>\n", " <th>Vendor</th>\n", " <th>Business_Type</th>\n", " <th>Product_Type_ID</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>2009-03-22</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2010-12-22</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2012-10-28</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>2012-09-24</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>2010-04-20</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Order_Date Cost Price Customer_Segment Product_Category \\\n", "0 2009-03-22 NaN 152.48 Enterprise Technology \n", "1 2010-12-22 NaN 152.48 Enterprise Technology \n", "2 2012-10-28 NaN 152.48 Enterprise Technology \n", "3 2012-09-24 NaN 152.48 Enterprise Technology \n", "4 2010-04-20 NaN 152.48 Enterprise Technology \n", "\n", " Product_Sub_Category Product_Type Product_Name Vendor Business_Type \\\n", "0 Computer Components CPU Adesso CPU Adesso external \n", "1 Computer Components CPU Adesso CPU Adesso external \n", "2 Computer Components CPU Adesso CPU Adesso external \n", "3 Computer Components CPU Adesso CPU Adesso external \n", "4 Computer Components CPU Adesso CPU Adesso external \n", "\n", " Product_Type_ID \n", "0 1 \n", "1 1 \n", "2 1 \n", "3 1 \n", "4 1 " ] }, "execution_count": 40, "metadata": {}, "output_type": "execute_result" } ], "source": [ "result.head()" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>index</th>\n", " <th>Order_Date</th>\n", " <th>Cost</th>\n", " <th>Price</th>\n", " <th>Customer_Segment</th>\n", " <th>Product_Category</th>\n", " <th>Product_Sub_Category</th>\n", " <th>Product_Type</th>\n", " <th>Product_Name</th>\n", " <th>Vendor</th>\n", " <th>Business_Type</th>\n", " <th>Product_Type_ID</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>2009-03-22</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2010-12-22</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2</td>\n", " <td>2012-10-28</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>3</td>\n", " <td>2012-09-24</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>4</td>\n", " <td>2010-04-20</td>\n", " <td>NaN</td>\n", " <td>152.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>CPU</td>\n", " <td>Adesso CPU</td>\n", " <td>Adesso</td>\n", " <td>external</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>5</td>\n", " <td>2011-12-24</td>\n", " <td>NaN</td>\n", " <td>120.97</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon BP1200DH 12-Digit graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>6</td>\n", " <td>2009-09-17</td>\n", " <td>NaN</td>\n", " <td>120.97</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon BP1200DH graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>7</td>\n", " <td>2012-05-21</td>\n", " <td>NaN</td>\n", " <td>120.97</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon BP1200DH graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>8</td>\n", " <td>2010-06-07</td>\n", " <td>NaN</td>\n", " <td>140.99</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon MP100DHII graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>9</td>\n", " <td>2012-03-02</td>\n", " <td>NaN</td>\n", " <td>150.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon MP41DH graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>10</td>\n", " <td>2010-08-30</td>\n", " <td>NaN</td>\n", " <td>150.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon MP41DH graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>11</td>\n", " <td>2012-04-11</td>\n", " <td>NaN</td>\n", " <td>150.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon MP41DH graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>12</td>\n", " <td>2010-03-08</td>\n", " <td>NaN</td>\n", " <td>150.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon MP41DH graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>13</td>\n", " <td>2009-01-26</td>\n", " <td>NaN</td>\n", " <td>150.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon MP41DH graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>14</td>\n", " <td>2009-03-25</td>\n", " <td>NaN</td>\n", " <td>150.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon MP41DH graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>15</td>\n", " <td>2012-05-07</td>\n", " <td>NaN</td>\n", " <td>150.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon MP41DH graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>16</td>\n", " <td>2010-08-15</td>\n", " <td>NaN</td>\n", " <td>17.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon P1-DHIII Palm graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>17</td>\n", " <td>2012-05-28</td>\n", " <td>NaN</td>\n", " <td>17.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon P1-DHIII Palm graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>18</td>\n", " <td>2011-12-07</td>\n", " <td>NaN</td>\n", " <td>17.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon P1-DHIII Palm graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>19</td>\n", " <td>2011-12-02</td>\n", " <td>NaN</td>\n", " <td>17.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon P1-DHIII Palm graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>20</td>\n", " <td>2010-07-03</td>\n", " <td>NaN</td>\n", " <td>17.98</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Canon P1-DHIII Palm graphics card</td>\n", " <td>Canon</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>21</td>\n", " <td>2009-04-07</td>\n", " <td>NaN</td>\n", " <td>40.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Keytronic Designer graphics card</td>\n", " <td>Keytronic</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>22</td>\n", " <td>2011-04-17</td>\n", " <td>NaN</td>\n", " <td>40.48</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Graphics/video card</td>\n", " <td>Keytronic Designer graphics card</td>\n", " <td>Keytronic</td>\n", " <td>external</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>23</td>\n", " <td>2012-12-21</td>\n", " <td>NaN</td>\n", " <td>20.97</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Wi-Fi</td>\n", " <td>Microsoft WiFi</td>\n", " <td>Microsoft</td>\n", " <td>external</td>\n", " <td>6</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>24</td>\n", " <td>2011-03-12</td>\n", " <td>NaN</td>\n", " <td>20.97</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Wi-Fi</td>\n", " <td>Microsoft WiFi</td>\n", " <td>Microsoft</td>\n", " <td>external</td>\n", " <td>6</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>25</td>\n", " <td>2011-04-20</td>\n", " <td>NaN</td>\n", " <td>20.97</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Wi-Fi</td>\n", " <td>Microsoft WiFi</td>\n", " <td>Microsoft</td>\n", " <td>external</td>\n", " <td>6</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>26</td>\n", " <td>2012-05-07</td>\n", " <td>NaN</td>\n", " <td>20.97</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Wi-Fi</td>\n", " <td>Microsoft WiFi</td>\n", " <td>Microsoft</td>\n", " <td>external</td>\n", " <td>6</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>27</td>\n", " <td>2011-07-22</td>\n", " <td>NaN</td>\n", " <td>20.97</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Wi-Fi</td>\n", " <td>Microsoft WiFi</td>\n", " <td>Microsoft</td>\n", " <td>external</td>\n", " <td>6</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>28</td>\n", " <td>2010-01-10</td>\n", " <td>NaN</td>\n", " <td>20.97</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Wi-Fi</td>\n", " <td>Microsoft WiFi</td>\n", " <td>Microsoft</td>\n", " <td>external</td>\n", " <td>6</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>29</td>\n", " <td>2009-05-13</td>\n", " <td>NaN</td>\n", " <td>30.42</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>Wi-Fi</td>\n", " <td>Microsoft WiFi</td>\n", " <td>Microsoft</td>\n", " <td>external</td>\n", " <td>6</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>776</th>\n", " <td>776</td>\n", " <td>2012-05-06</td>\n", " <td>345.0</td>\n", " <td>500.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>RAM</td>\n", " <td>RAM</td>\n", " <td>Brother</td>\n", " <td>internal</td>\n", " <td>19</td>\n", " </tr>\n", " <tr>\n", " <th>777</th>\n", " <td>777</td>\n", " <td>2012-07-13</td>\n", " <td>345.0</td>\n", " <td>500.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>RAM</td>\n", " <td>RAM</td>\n", " <td>Brother</td>\n", " <td>internal</td>\n", " <td>19</td>\n", " </tr>\n", " <tr>\n", " <th>778</th>\n", " <td>778</td>\n", " <td>2012-05-11</td>\n", " <td>345.0</td>\n", " <td>500.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>RAM</td>\n", " <td>RAM</td>\n", " <td>Canon</td>\n", " <td>internal</td>\n", " <td>19</td>\n", " </tr>\n", " <tr>\n", " <th>779</th>\n", " <td>779</td>\n", " <td>2010-01-09</td>\n", " <td>345.0</td>\n", " <td>500.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>RAM</td>\n", " <td>RAM</td>\n", " <td>Canon</td>\n", " <td>internal</td>\n", " <td>19</td>\n", " </tr>\n", " <tr>\n", " <th>780</th>\n", " <td>780</td>\n", " <td>2011-02-06</td>\n", " <td>345.0</td>\n", " <td>500.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>RAM</td>\n", " <td>RAM</td>\n", " <td>Canon</td>\n", " <td>internal</td>\n", " <td>19</td>\n", " </tr>\n", " <tr>\n", " <th>781</th>\n", " <td>781</td>\n", " <td>2012-03-30</td>\n", " <td>345.0</td>\n", " <td>500.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>RAM</td>\n", " <td>RAM</td>\n", " <td>Canon</td>\n", " <td>internal</td>\n", " <td>19</td>\n", " </tr>\n", " <tr>\n", " <th>782</th>\n", " <td>782</td>\n", " <td>2011-09-02</td>\n", " <td>345.0</td>\n", " <td>500.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>RAM</td>\n", " <td>RAM</td>\n", " <td>Canon</td>\n", " <td>internal</td>\n", " <td>19</td>\n", " </tr>\n", " <tr>\n", " <th>783</th>\n", " <td>783</td>\n", " <td>2009-03-23</td>\n", " <td>345.0</td>\n", " <td>500.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>RAM</td>\n", " <td>RAM</td>\n", " <td>Canon</td>\n", " <td>internal</td>\n", " <td>19</td>\n", " </tr>\n", " <tr>\n", " <th>784</th>\n", " <td>784</td>\n", " <td>2012-03-05</td>\n", " <td>345.0</td>\n", " <td>500.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>RAM</td>\n", " <td>RAM</td>\n", " <td>Canon</td>\n", " <td>internal</td>\n", " <td>19</td>\n", " </tr>\n", " <tr>\n", " <th>785</th>\n", " <td>785</td>\n", " <td>2011-11-16</td>\n", " <td>345.0</td>\n", " <td>500.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>RAM</td>\n", " <td>RAM</td>\n", " <td>Hewlett Packard</td>\n", " <td>internal</td>\n", " <td>19</td>\n", " </tr>\n", " <tr>\n", " <th>786</th>\n", " <td>786</td>\n", " <td>2010-02-27</td>\n", " <td>345.0</td>\n", " <td>500.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>RAM</td>\n", " <td>RAM</td>\n", " <td>Hewlett Packard</td>\n", " <td>internal</td>\n", " <td>19</td>\n", " </tr>\n", " <tr>\n", " <th>787</th>\n", " <td>787</td>\n", " <td>2011-11-16</td>\n", " <td>10.0</td>\n", " <td>50.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>microprocessor</td>\n", " <td>microprocessor</td>\n", " <td>Unknown</td>\n", " <td>internal</td>\n", " <td>20</td>\n", " </tr>\n", " <tr>\n", " <th>788</th>\n", " <td>788</td>\n", " <td>2012-03-03</td>\n", " <td>10.0</td>\n", " <td>50.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>microprocessor</td>\n", " <td>microprocessor</td>\n", " <td>Unknown</td>\n", " <td>internal</td>\n", " <td>20</td>\n", " </tr>\n", " <tr>\n", " <th>789</th>\n", " <td>789</td>\n", " <td>2010-05-10</td>\n", " <td>10.0</td>\n", " <td>50.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>microprocessor</td>\n", " <td>microprocessor</td>\n", " <td>Unknown</td>\n", " <td>internal</td>\n", " <td>20</td>\n", " </tr>\n", " <tr>\n", " <th>790</th>\n", " <td>790</td>\n", " <td>2011-09-15</td>\n", " <td>10.0</td>\n", " <td>50.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>microprocessor</td>\n", " <td>microprocessor</td>\n", " <td>Unknown</td>\n", " <td>internal</td>\n", " <td>20</td>\n", " </tr>\n", " <tr>\n", " <th>791</th>\n", " <td>791</td>\n", " <td>2011-02-03</td>\n", " <td>10.0</td>\n", " <td>50.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>microprocessor</td>\n", " <td>microprocessor</td>\n", " <td>Unknown</td>\n", " <td>internal</td>\n", " <td>20</td>\n", " </tr>\n", " <tr>\n", " <th>792</th>\n", " <td>792</td>\n", " <td>2009-09-19</td>\n", " <td>10.0</td>\n", " <td>50.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>microprocessor</td>\n", " <td>microprocessor</td>\n", " <td>Unknown</td>\n", " <td>internal</td>\n", " <td>20</td>\n", " </tr>\n", " <tr>\n", " <th>793</th>\n", " <td>793</td>\n", " <td>2012-04-04</td>\n", " <td>10.0</td>\n", " <td>50.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>microprocessor</td>\n", " <td>microprocessor</td>\n", " <td>Unknown</td>\n", " <td>internal</td>\n", " <td>20</td>\n", " </tr>\n", " <tr>\n", " <th>794</th>\n", " <td>794</td>\n", " <td>2012-10-27</td>\n", " <td>10.0</td>\n", " <td>50.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>microprocessor</td>\n", " <td>microprocessor</td>\n", " <td>Unknown</td>\n", " <td>internal</td>\n", " <td>20</td>\n", " </tr>\n", " <tr>\n", " <th>795</th>\n", " <td>795</td>\n", " <td>2009-12-01</td>\n", " <td>10.0</td>\n", " <td>50.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>microprocessor</td>\n", " <td>microprocessor</td>\n", " <td>Unknown</td>\n", " <td>internal</td>\n", " <td>20</td>\n", " </tr>\n", " <tr>\n", " <th>796</th>\n", " <td>796</td>\n", " <td>2010-09-19</td>\n", " <td>10.0</td>\n", " <td>50.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>microprocessor</td>\n", " <td>microprocessor</td>\n", " <td>Unknown</td>\n", " <td>internal</td>\n", " <td>20</td>\n", " </tr>\n", " <tr>\n", " <th>797</th>\n", " <td>797</td>\n", " <td>2010-06-14</td>\n", " <td>35.0</td>\n", " <td>45.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>harddrive</td>\n", " <td>harddrive</td>\n", " <td>Micro Innovations</td>\n", " <td>internal</td>\n", " <td>21</td>\n", " </tr>\n", " <tr>\n", " <th>798</th>\n", " <td>798</td>\n", " <td>2011-06-25</td>\n", " <td>35.0</td>\n", " <td>45.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>harddrive</td>\n", " <td>harddrive</td>\n", " <td>Micro Innovations</td>\n", " <td>internal</td>\n", " <td>21</td>\n", " </tr>\n", " <tr>\n", " <th>799</th>\n", " <td>799</td>\n", " <td>2010-12-05</td>\n", " <td>35.0</td>\n", " <td>45.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>harddrive</td>\n", " <td>harddrive</td>\n", " <td>Micro Innovations</td>\n", " <td>internal</td>\n", " <td>21</td>\n", " </tr>\n", " <tr>\n", " <th>800</th>\n", " <td>800</td>\n", " <td>2010-02-22</td>\n", " <td>35.0</td>\n", " <td>45.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>harddrive</td>\n", " <td>harddrive</td>\n", " <td>Microsoft</td>\n", " <td>internal</td>\n", " <td>21</td>\n", " </tr>\n", " <tr>\n", " <th>801</th>\n", " <td>801</td>\n", " <td>2011-08-16</td>\n", " <td>35.0</td>\n", " <td>45.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>harddrive</td>\n", " <td>harddrive</td>\n", " <td>Microsoft</td>\n", " <td>internal</td>\n", " <td>21</td>\n", " </tr>\n", " <tr>\n", " <th>802</th>\n", " <td>802</td>\n", " <td>2010-11-01</td>\n", " <td>35.0</td>\n", " <td>45.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>harddrive</td>\n", " <td>harddrive</td>\n", " <td>Microsoft</td>\n", " <td>internal</td>\n", " <td>21</td>\n", " </tr>\n", " <tr>\n", " <th>803</th>\n", " <td>803</td>\n", " <td>2010-06-14</td>\n", " <td>35.0</td>\n", " <td>45.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>harddrive</td>\n", " <td>harddrive</td>\n", " <td>PC Concepts</td>\n", " <td>internal</td>\n", " <td>21</td>\n", " </tr>\n", " <tr>\n", " <th>804</th>\n", " <td>804</td>\n", " <td>2012-02-10</td>\n", " <td>35.0</td>\n", " <td>45.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>harddrive</td>\n", " <td>harddrive</td>\n", " <td>PC Concepts</td>\n", " <td>internal</td>\n", " <td>21</td>\n", " </tr>\n", " <tr>\n", " <th>805</th>\n", " <td>805</td>\n", " <td>2010-04-19</td>\n", " <td>35.0</td>\n", " <td>45.00</td>\n", " <td>Enterprise</td>\n", " <td>Technology</td>\n", " <td>Computer Components</td>\n", " <td>harddrive</td>\n", " <td>harddrive</td>\n", " <td>PC Concepts</td>\n", " <td>internal</td>\n", " <td>21</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>806 rows × 12 columns</p>\n", "</div>" ], "text/plain": [ " index Order_Date Cost Price Customer_Segment Product_Category \\\n", "0 0 2009-03-22 NaN 152.48 Enterprise Technology \n", "1 1 2010-12-22 NaN 152.48 Enterprise Technology \n", "2 2 2012-10-28 NaN 152.48 Enterprise Technology \n", "3 3 2012-09-24 NaN 152.48 Enterprise Technology \n", "4 4 2010-04-20 NaN 152.48 Enterprise Technology \n", "5 5 2011-12-24 NaN 120.97 Enterprise Technology \n", "6 6 2009-09-17 NaN 120.97 Enterprise Technology \n", "7 7 2012-05-21 NaN 120.97 Enterprise Technology \n", "8 8 2010-06-07 NaN 140.99 Enterprise Technology \n", "9 9 2012-03-02 NaN 150.98 Enterprise Technology \n", "10 10 2010-08-30 NaN 150.98 Enterprise Technology \n", "11 11 2012-04-11 NaN 150.98 Enterprise Technology \n", "12 12 2010-03-08 NaN 150.98 Enterprise Technology \n", "13 13 2009-01-26 NaN 150.98 Enterprise Technology \n", "14 14 2009-03-25 NaN 150.98 Enterprise Technology \n", "15 15 2012-05-07 NaN 150.98 Enterprise Technology \n", "16 16 2010-08-15 NaN 17.98 Enterprise Technology \n", "17 17 2012-05-28 NaN 17.98 Enterprise Technology \n", "18 18 2011-12-07 NaN 17.98 Enterprise Technology \n", "19 19 2011-12-02 NaN 17.98 Enterprise Technology \n", "20 20 2010-07-03 NaN 17.98 Enterprise Technology \n", "21 21 2009-04-07 NaN 40.48 Enterprise Technology \n", "22 22 2011-04-17 NaN 40.48 Enterprise Technology \n", "23 23 2012-12-21 NaN 20.97 Enterprise Technology \n", "24 24 2011-03-12 NaN 20.97 Enterprise Technology \n", "25 25 2011-04-20 NaN 20.97 Enterprise Technology \n", "26 26 2012-05-07 NaN 20.97 Enterprise Technology \n", "27 27 2011-07-22 NaN 20.97 Enterprise Technology \n", "28 28 2010-01-10 NaN 20.97 Enterprise Technology \n", "29 29 2009-05-13 NaN 30.42 Enterprise Technology \n", ".. ... ... ... ... ... ... \n", "776 776 2012-05-06 345.0 500.00 Enterprise Technology \n", "777 777 2012-07-13 345.0 500.00 Enterprise Technology \n", "778 778 2012-05-11 345.0 500.00 Enterprise Technology \n", "779 779 2010-01-09 345.0 500.00 Enterprise Technology \n", "780 780 2011-02-06 345.0 500.00 Enterprise Technology \n", "781 781 2012-03-30 345.0 500.00 Enterprise Technology \n", "782 782 2011-09-02 345.0 500.00 Enterprise Technology \n", "783 783 2009-03-23 345.0 500.00 Enterprise Technology \n", "784 784 2012-03-05 345.0 500.00 Enterprise Technology \n", "785 785 2011-11-16 345.0 500.00 Enterprise Technology \n", "786 786 2010-02-27 345.0 500.00 Enterprise Technology \n", "787 787 2011-11-16 10.0 50.00 Enterprise Technology \n", "788 788 2012-03-03 10.0 50.00 Enterprise Technology \n", "789 789 2010-05-10 10.0 50.00 Enterprise Technology \n", "790 790 2011-09-15 10.0 50.00 Enterprise Technology \n", "791 791 2011-02-03 10.0 50.00 Enterprise Technology \n", "792 792 2009-09-19 10.0 50.00 Enterprise Technology \n", "793 793 2012-04-04 10.0 50.00 Enterprise Technology \n", "794 794 2012-10-27 10.0 50.00 Enterprise Technology \n", "795 795 2009-12-01 10.0 50.00 Enterprise Technology \n", "796 796 2010-09-19 10.0 50.00 Enterprise Technology \n", "797 797 2010-06-14 35.0 45.00 Enterprise Technology \n", "798 798 2011-06-25 35.0 45.00 Enterprise Technology \n", "799 799 2010-12-05 35.0 45.00 Enterprise Technology \n", "800 800 2010-02-22 35.0 45.00 Enterprise Technology \n", "801 801 2011-08-16 35.0 45.00 Enterprise Technology \n", "802 802 2010-11-01 35.0 45.00 Enterprise Technology \n", "803 803 2010-06-14 35.0 45.00 Enterprise Technology \n", "804 804 2012-02-10 35.0 45.00 Enterprise Technology \n", "805 805 2010-04-19 35.0 45.00 Enterprise Technology \n", "\n", " Product_Sub_Category Product_Type \\\n", "0 Computer Components CPU \n", "1 Computer Components CPU \n", "2 Computer Components CPU \n", "3 Computer Components CPU \n", "4 Computer Components CPU \n", "5 Computer Components Graphics/video card \n", "6 Computer Components Graphics/video card \n", "7 Computer Components Graphics/video card \n", "8 Computer Components Graphics/video card \n", "9 Computer Components Graphics/video card \n", "10 Computer Components Graphics/video card \n", "11 Computer Components Graphics/video card \n", "12 Computer Components Graphics/video card \n", "13 Computer Components Graphics/video card \n", "14 Computer Components Graphics/video card \n", "15 Computer Components Graphics/video card \n", "16 Computer Components Graphics/video card \n", "17 Computer Components Graphics/video card \n", "18 Computer Components Graphics/video card \n", "19 Computer Components Graphics/video card \n", "20 Computer Components Graphics/video card \n", "21 Computer Components Graphics/video card \n", "22 Computer Components Graphics/video card \n", "23 Computer Components Wi-Fi \n", "24 Computer Components Wi-Fi \n", "25 Computer Components Wi-Fi \n", "26 Computer Components Wi-Fi \n", "27 Computer Components Wi-Fi \n", "28 Computer Components Wi-Fi \n", "29 Computer Components Wi-Fi \n", ".. ... ... \n", "776 Computer Components RAM \n", "777 Computer Components RAM \n", "778 Computer Components RAM \n", "779 Computer Components RAM \n", "780 Computer Components RAM \n", "781 Computer Components RAM \n", "782 Computer Components RAM \n", "783 Computer Components RAM \n", "784 Computer Components RAM \n", "785 Computer Components RAM \n", "786 Computer Components RAM \n", "787 Computer Components microprocessor \n", "788 Computer Components microprocessor \n", "789 Computer Components microprocessor \n", "790 Computer Components microprocessor \n", "791 Computer Components microprocessor \n", "792 Computer Components microprocessor \n", "793 Computer Components microprocessor \n", "794 Computer Components microprocessor \n", "795 Computer Components microprocessor \n", "796 Computer Components microprocessor \n", "797 Computer Components harddrive \n", "798 Computer Components harddrive \n", "799 Computer Components harddrive \n", "800 Computer Components harddrive \n", "801 Computer Components harddrive \n", "802 Computer Components harddrive \n", "803 Computer Components harddrive \n", "804 Computer Components harddrive \n", "805 Computer Components harddrive \n", "\n", " Product_Name Vendor Business_Type \\\n", "0 Adesso CPU Adesso external \n", "1 Adesso CPU Adesso external \n", "2 Adesso CPU Adesso external \n", "3 Adesso CPU Adesso external \n", "4 Adesso CPU Adesso external \n", "5 Canon BP1200DH 12-Digit graphics card Canon external \n", "6 Canon BP1200DH graphics card Canon external \n", "7 Canon BP1200DH graphics card Canon external \n", "8 Canon MP100DHII graphics card Canon external \n", "9 Canon MP41DH graphics card Canon external \n", "10 Canon MP41DH graphics card Canon external \n", "11 Canon MP41DH graphics card Canon external \n", "12 Canon MP41DH graphics card Canon external \n", "13 Canon MP41DH graphics card Canon external \n", "14 Canon MP41DH graphics card Canon external \n", "15 Canon MP41DH graphics card Canon external \n", "16 Canon P1-DHIII Palm graphics card Canon external \n", "17 Canon P1-DHIII Palm graphics card Canon external \n", "18 Canon P1-DHIII Palm graphics card Canon external \n", "19 Canon P1-DHIII Palm graphics card Canon external \n", "20 Canon P1-DHIII Palm graphics card Canon external \n", "21 Keytronic Designer graphics card Keytronic external \n", "22 Keytronic Designer graphics card Keytronic external \n", "23 Microsoft WiFi Microsoft external \n", "24 Microsoft WiFi Microsoft external \n", "25 Microsoft WiFi Microsoft external \n", "26 Microsoft WiFi Microsoft external \n", "27 Microsoft WiFi Microsoft external \n", "28 Microsoft WiFi Microsoft external \n", "29 Microsoft WiFi Microsoft external \n", ".. ... ... ... \n", "776 RAM Brother internal \n", "777 RAM Brother internal \n", "778 RAM Canon internal \n", "779 RAM Canon internal \n", "780 RAM Canon internal \n", "781 RAM Canon internal \n", "782 RAM Canon internal \n", "783 RAM Canon internal \n", "784 RAM Canon internal \n", "785 RAM Hewlett Packard internal \n", "786 RAM Hewlett Packard internal \n", "787 microprocessor Unknown internal \n", "788 microprocessor Unknown internal \n", "789 microprocessor Unknown internal \n", "790 microprocessor Unknown internal \n", "791 microprocessor Unknown internal \n", "792 microprocessor Unknown internal \n", "793 microprocessor Unknown internal \n", "794 microprocessor Unknown internal \n", "795 microprocessor Unknown internal \n", "796 microprocessor Unknown internal \n", "797 harddrive Micro Innovations internal \n", "798 harddrive Micro Innovations internal \n", "799 harddrive Micro Innovations internal \n", "800 harddrive Microsoft internal \n", "801 harddrive Microsoft internal \n", "802 harddrive Microsoft internal \n", "803 harddrive PC Concepts internal \n", "804 harddrive PC Concepts internal \n", "805 harddrive PC Concepts internal \n", "\n", " Product_Type_ID \n", "0 1 \n", "1 1 \n", "2 1 \n", "3 1 \n", "4 1 \n", "5 2 \n", "6 2 \n", "7 2 \n", "8 2 \n", "9 2 \n", "10 2 \n", "11 2 \n", "12 2 \n", "13 2 \n", "14 2 \n", "15 2 \n", "16 2 \n", "17 2 \n", "18 2 \n", "19 2 \n", "20 2 \n", "21 2 \n", "22 2 \n", "23 6 \n", "24 6 \n", "25 6 \n", "26 6 \n", "27 6 \n", "28 6 \n", "29 6 \n", ".. ... \n", "776 19 \n", "777 19 \n", "778 19 \n", "779 19 \n", "780 19 \n", "781 19 \n", "782 19 \n", "783 19 \n", "784 19 \n", "785 19 \n", "786 19 \n", "787 20 \n", "788 20 \n", "789 20 \n", "790 20 \n", "791 20 \n", "792 20 \n", "793 20 \n", "794 20 \n", "795 20 \n", "796 20 \n", "797 21 \n", "798 21 \n", "799 21 \n", "800 21 \n", "801 21 \n", "802 21 \n", "803 21 \n", "804 21 \n", "805 21 \n", "\n", "[806 rows x 12 columns]" ] }, "execution_count": 41, "metadata": {}, "output_type": "execute_result" } ], "source": [ "result.reset_index()" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#export to file .csv\n", "result.to_csv(r'/Users/ishmaelamin/Documents/Northwestern_University/2016_Fall/Predict_480/superstore_businesstypes.csv')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
wd15/extremefill2D
notebooks/fig3a_sim.ipynb
1
1599
{ "metadata": { "name": "fig3a_sim_nx200" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "!date\n", "\n", "from tools import batch_launch\n", " \n", "kPluses = np.logspace(1, 4, 20)[[5, 10, 15, 19]][::-1]\n", "kMinuses = np.logspace(6, 9, 20)[[5, 10, 15, 19]]\n", " \n", "reason = \"Figure 3(a) Nx=200\"\n", " \n", "for kPlus in kPluses:\n", " for kMinus in kMinuses:\n", " batch_launch(reason=reason,\n", " tags=['fig3a_nx200'],\n", " kPlus=kPlus,\n", " kMinus=kMinus,\n", " rboundary=50e-6 / np.sqrt(np.pi),\n", " Nx=200,\n", " spacing_ratio=1.2,\n", " CFL=0.1,\n", " levelset_update_ncell=5,\n", " data_frequency=100,\n", " appliedPotential=-0.25,\n", " bulkSuppressor=0.02)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Fri Nov 1 19:01:52 EDT 2013\r\n" ] } ], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
mit
rafaelscnunes/COS738-AutomaticPatentClassification
GitHub/classification-TF-1gram.ipynb
1
303043
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Automatic Patent Classification" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "import os\n", "import numpy as np\n", "import pandas as pd\n", "import seaborn as sns\n", "import matplotlib.pyplot as plt\n", "from matplotlib.colors import ListedColormap\n", "plt.style.use(\"classic\")\n", "sns.set()\n", "from time import time" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Pre-processing..." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Importing data into pandas.DataFrame" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Importing data...\n", "Number of occurences on X_title: 347032\n", "Number of occurences on X_resume: 347032\n", "Number of occurences on y: 347032\n", "done in 1.687s.\n" ] } ], "source": [ "### Importing data into pandas.DataFrame\n", "# LOAD DATA DIRECTLY FROM .CSV INTO PYTHON_LIST()\n", "\n", "t0 = time()\n", "print('Importing data...')\n", "try:\n", " dataset = open('dataset_ipc_first.csv', 'r', encoding='latin-1')\n", "except:\n", " dataset = open('./output/dataset_ipc_first.csv', 'r', encoding='latin-1')\n", "else:\n", " pass\n", "\n", "if dataset:\n", " IPC_level1_classes = ['A', 'B', 'C', 'D', 'E', 'F', 'G', 'H']\n", " X_title, X_resume, y = [], [], []\n", " header = dataset.readline()\n", " if header[:-1] == 'title|resume|ipc':\n", " for line in dataset:\n", " line = line[:-1].split('|')\n", " if line[2][0:1] in IPC_level1_classes:\n", " X_title.append(line[0]) # title\n", " X_resume.append(line[1]) # resume\n", " y.append(line[2][0:1]) # only first level IPC class (A..H)\n", " print('Number of occurences on X_title: ', len(X_title))\n", " print('Number of occurences on X_resume: ', len(X_resume))\n", " print('Number of occurences on y: ', len(y))\n", " categories = pd.DataFrame(y, columns = ['ipc_level1'])\n", "print('done in %0.3fs.' % (time() - t0))\n", "\n", "\n", "## REDUCE SAMPLE SIZE\n", "# reducing number of rows to 5000\n", "# X_title = X_title[0:4999]\n", "# X_resume = X_resume[0:4999]\n", "# y = y[0:4999]\n", "# categories = categories[0:4999]\n", "# print('Number of samples has been reduced to 5.000 during test phase. Must comment this section to run a valid experiment')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Analyzing data" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAzUAAAG5CAYAAAC3ARpmAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nhIefTGDsJgAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fedeab33860>" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "Total count of patent requests: 347032\n", "\n", "Best guess is the category: A 0.243577\n", "Name: ipc_level1, dtype: float64\n" ] } ], "source": [ "### first look on data\n", "plt.figure(figsize=(12,6))\n", "ax = sns.countplot(x=\"ipc_level1\", data=categories.sort_values('ipc_level1'))\n", "plt.ylabel('Patent requests', fontsize=12)\n", "plt.xlabel('First level IPC', fontsize=12)\n", "plt.xticks(rotation='horizontal')\n", "plt.show()\n", "print('Total count of patent requests: ', len(categories))\n", "print()\n", "best_guess = categories.ipc_level1.value_counts().nlargest(n=1) / len(categories)\n", "print('Best guess is the category: %s' % best_guess)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Extracting features..." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Vectorization (Term Frequency - TF)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Extracting features...\n", "Title vector matrix shape: (347032, 64585)\n", "Resume vector matrix shape: (347032, 254990)\n", "done in 42.052s.\n" ] } ], "source": [ "### Vectorization\n", "from time import time\n", "from sklearn.feature_extraction.text import CountVectorizer\n", "\n", "t0 = time()\n", "print('Extracting features...')\n", "vec = CountVectorizer(ngram_range = (1, 1), max_df = .95, min_df = 1)\n", "\n", "X_title_features = vec.fit_transform(X_title)\n", "print('Title vector matrix shape: ', X_title_features.shape)\n", "\n", "X_resume_features = vec.fit_transform(X_resume)\n", "print('Resume vector matrix shape: ', X_resume_features.shape)\n", "\n", "print('done in %0.3fs.' % (time() - t0))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Reducing deminsionality" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Selecting most relevant features...\n", "Title most relevant features matrix shape: (347032, 200)\n", "Resume most relevant features matrix shape: (347032, 800)\n", "done in 630.008s.\n" ] } ], "source": [ "### Reducing deminsionality with SVD\n", "from sklearn.decomposition import TruncatedSVD\n", "\n", "t0 = time()\n", "print('Selecting most relevant features...')\n", "\n", "svd = TruncatedSVD(n_components = 200)\n", "X_title_svd = svd.fit_transform(X_title_features)\n", "print('Title most relevant features matrix shape: ', X_title_svd.shape)\n", "\n", "svd = TruncatedSVD(n_components = 800)\n", "X_resume_svd = svd.fit_transform(X_resume_features)\n", "print('Resume most relevant features matrix shape: ', X_resume_svd.shape)\n", "\n", "print('done in %0.3fs.' % (time() - t0))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Categories encoding" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Encoding labels...\n", "Encoded classes: ['A' 'B' 'C' 'D' 'E' 'F' 'G' 'H']\n", "done in 0.072s.\n" ] } ], "source": [ "### Encoding each category into one exclusive integer\n", "from sklearn.preprocessing import LabelEncoder\n", "\n", "t0 = time()\n", "print('Encoding labels...')\n", "l_enc = LabelEncoder()\n", "y_encoded = l_enc.fit_transform(y)\n", "print('Encoded classes: ', l_enc.classes_)\n", "print('done in %0.3fs.' % (time() - t0))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Spliting train and test samples..." ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Creating sampling...\n", "X_train matrix shape: (277625, 1000)\n", "X_test matrix shape: (69407, 1000)\n", "y_train matrix shape: (277625,)\n", "y_test matrix shape: (69407,)\n", "done in 2.374s.\n" ] } ], "source": [ "from sklearn.model_selection import train_test_split\n", "\n", "t0 = time()\n", "print('Creating sampling...')\n", "X_concatenated = np.concatenate((X_title_svd, X_resume_svd), axis=1)\n", "X_train, X_test, y_train, y_test = train_test_split(X_concatenated, y_encoded, test_size=0.2, random_state=583)\n", "print('X_train matrix shape: ', X_train.shape)\n", "print('X_test matrix shape: ', X_test.shape)\n", "print('y_train matrix shape: ', y_train.shape)\n", "print('y_test matrix shape: ', y_test.shape)\n", "print('done in %0.3fs.' % (time() - t0))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Preparing measurement..." ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [], "source": [ "import itertools\n", "from sklearn.metrics import confusion_matrix\n", "from sklearn.metrics import accuracy_score\n", "\n", "def plot_confusion_matrix(cm, classes,\n", " normalize=False,\n", " title='Confusion matrix',\n", " cmap=plt.cm.Blues):\n", " \"\"\"\n", " This function prints and plots the confusion matrix.\n", " Normalization can be applied by setting `normalize=True`.\n", " \"\"\"\n", "# if normalize:\n", "# cm = cm.astype('float') / cm.sum(axis=1)[:, np.newaxis]\n", "# print(\"Normalized confusion matrix\")\n", "# else:\n", "# print('Confusion matrix, without normalization')\n", "\n", "# print(cm)\n", "\n", " plt.imshow(cm, interpolation='nearest', cmap=cmap)\n", " plt.title(title)\n", " plt.colorbar()\n", " tick_marks = np.arange(len(classes))\n", " plt.xticks(tick_marks, classes, rotation=45)\n", " plt.yticks(tick_marks, classes)\n", "\n", " fmt = '.2f' if normalize else 'd'\n", " thresh = cm.max() / 2.\n", " for i, j in itertools.product(range(cm.shape[0]), range(cm.shape[1])):\n", " plt.text(j, i, format(cm[i, j], fmt),\n", " horizontalalignment=\"center\",\n", " color=\"white\" if cm[i, j] > thresh else \"black\")\n", "\n", " plt.tight_layout()\n", " plt.ylabel('True label')\n", " plt.xlabel('Predicted label')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Training, predicting & measuring against best guess..." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Naïve Bayes - Gaussian" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Training Naïve Bayes (Gaussian) model...\n", "done in 5.340s.\n", "Predicting classes using Naïve Bayes (Gaussian) model...\n", "done in 5.396s.\n", "Accuracy: 0.372512858934\n", "52.93% better than best guess.\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAjgAAAHlCAYAAAD4PYaaAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fedfe729d68>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from sklearn.naive_bayes import GaussianNB\n", "from sklearn.model_selection import cross_val_predict\n", "\n", "t0 = time()\n", "print('Training Naïve Bayes (Gaussian) model...')\n", "classifier = GaussianNB()\n", "classifier.fit(X_train, y_train)\n", "print('done in %0.3fs.' % (time() - t0))\n", "\n", "t0 = time()\n", "print('Predicting classes using Naïve Bayes (Gaussian) model...')\n", "y_pred = classifier.predict(X_test)\n", "print('done in %0.3fs.' % (time() - t0))\n", "\n", "# Printing accuracy\n", "acc = accuracy_score(y_test, y_pred)\n", "print('Accuracy: ', str(acc))\n", "comparision = (acc - best_guess[0])/best_guess[0]*100\n", "print('%0.2f%% better than best guess.' % comparision)\n", "\n", "# Making the Confusion Matrix\n", "cm = confusion_matrix(y_test, y_pred)\n", "np.set_printoptions(precision=2)\n", "class_names = ['A','B','C','D','E','F','G','H']\n", "plt.figure(figsize=(12,6))\n", "plot_confusion_matrix(cm, classes=class_names, title='Confusion matrix')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Naïve Bayes - Bernoulli" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Training Naïve Bayes (Bernoulli) model...\n", "done in 7.783s.\n", "Predicting classes using Naïve Bayes (Bernoulli) model...\n", "done in 1.818s.\n", "Accuracy: 0.547754549253\n", "124.88% better than best guess.\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAjgAAAHlCAYAAAD4PYaaAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fed9c1d7710>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from sklearn.naive_bayes import BernoulliNB\n", "\n", "t0 = time()\n", "print('Training Naïve Bayes (Bernoulli) model...')\n", "classifier = BernoulliNB()\n", "classifier.fit(X_train, y_train)\n", "print('done in %0.3fs.' % (time() - t0))\n", "\n", "t0 = time()\n", "print('Predicting classes using Naïve Bayes (Bernoulli) model...')\n", "y_pred = classifier.predict(X_test)\n", "print('done in %0.3fs.' % (time() - t0))\n", "\n", "# Printing accuracy\n", "acc = accuracy_score(y_test, y_pred)\n", "print('Accuracy: ', str(acc))\n", "comparision = (acc - best_guess[0])/best_guess[0]*100\n", "print('%0.2f%% better than best guess.' % comparision)\n", "\n", "# Making the Confusion Matrix\n", "cm = confusion_matrix(y_test, y_pred)\n", "np.set_printoptions(precision=2)\n", "class_names = ['A','B','C','D','E','F','G','H']\n", "plt.figure(figsize=(12,6))\n", "plot_confusion_matrix(cm, classes=class_names, title='Confusion matrix')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Random Forest" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Training Random Forest Classifier...\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "[Parallel(n_jobs=-1)]: Done 18 tasks | elapsed: 3.4min\n", "[Parallel(n_jobs=-1)]: Done 100 out of 100 | elapsed: 11.3min finished\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "done in 682.415s.\n", "Predicting classes using Random Forest Classifier...\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "[Parallel(n_jobs=16)]: Done 18 tasks | elapsed: 0.1s\n", "[Parallel(n_jobs=16)]: Done 100 out of 100 | elapsed: 0.6s finished\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "done in 0.910s.\n", "Accuracy: 0.55471350152\n", "127.74% better than best guess.\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAj8AAAHlCAYAAAAa4Z3jAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fed9c96cdd8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from sklearn.ensemble import RandomForestClassifier\n", "\n", "t0 = time()\n", "print('Training Random Forest Classifier...')\n", "classifier = RandomForestClassifier(n_estimators = 100, criterion = 'entropy', n_jobs=-1, verbose=1)\n", "classifier.fit(X_train, y_train)\n", "print('done in %0.3fs.' % (time() - t0))\n", "\n", "t0 = time()\n", "print('Predicting classes using Random Forest Classifier...')\n", "y_pred = classifier.predict(X_test)\n", "print('done in %0.3fs.' % (time() - t0))\n", "\n", "# Printing accuracy\n", "acc = accuracy_score(y_test, y_pred)\n", "print('Accuracy: ', str(acc))\n", "comparision = (acc - best_guess[0])/best_guess[0]*100\n", "print('%0.2f%% better than best guess.' % comparision)\n", "\n", "# Making the Confusion Matrix\n", "cm = confusion_matrix(y_test, y_pred)\n", "np.set_printoptions(precision=2)\n", "class_names = ['A','B','C','D','E','F','G','H']\n", "plt.figure(figsize=(12,6))\n", "plot_confusion_matrix(cm, classes=class_names, title='Confusion matrix')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Multi-layer Perceptron" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Training Multi-layer Perceptron Classifier...\n", "done in 1946.618s.\n", "Predicting classes using Multi-layer Perceptron Classifier...\n", "done in 0.664s.\n", "Accuracy: 0.640612618324\n", "163.00% better than best guess.\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAj8AAAHlCAYAAAAa4Z3jAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7feda691cd68>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from sklearn.neural_network import MLPClassifier\n", "\n", "t0 = time()\n", "print('Training Multi-layer Perceptron Classifier...')\n", "classifier = MLPClassifier(hidden_layer_sizes=(100, 50), verbose=False)\n", "classifier.fit(X_train, y_train)\n", "print('done in %0.3fs.' % (time() - t0))\n", "\n", "t0 = time()\n", "print('Predicting classes using Multi-layer Perceptron Classifier...')\n", "y_pred = classifier.predict(X_test)\n", "print('done in %0.3fs.' % (time() - t0))\n", "\n", "# Printing accuracy\n", "acc = accuracy_score(y_test, y_pred)\n", "print('Accuracy: ', str(acc))\n", "comparision = (acc - best_guess[0])/best_guess[0]*100\n", "print('%0.2f%% better than best guess.' % comparision)\n", "\n", "# Making the Confusion Matrix\n", "cm = confusion_matrix(y_test, y_pred)\n", "np.set_printoptions(precision=2)\n", "class_names = ['A','B','C','D','E','F','G','H']\n", "plt.figure(figsize=(12,6))\n", "plot_confusion_matrix(cm, classes=class_names, title='Confusion matrix')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
CompPhysics/MachineLearning
doc/Programs/JupyterFiles/Examples/Scikit-Learn Website Examples/KNNeighbors Example from Sci-Kit Learn Website.ipynb
1
67660
{ "cells": [ { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA3EAAAEVCAYAAABOjmwpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAADl0RVh0U29mdHdhcmUAbWF0cGxvdGxpYiB2ZXJzaW9uIDIuMS4wLCBo\ndHRwOi8vbWF0cGxvdGxpYi5vcmcvpW3flQAAIABJREFUeJzt3Xu8XWV54PHfw8ntEEKiJJHcMMKk\nWEQ+Bc8AlmpxoIIglzothdZSHKbRTqkXLI5oh9I41hnpVLHS0VQs2iIarWIQptFSrR0rjAl0kIsZ\nY0QJARNu4ZaQk5Nn/lj7JDs7+5yzT/Z1nfP7fj7nk73Weve7nnetc97sZ613vTsyE0mSJElSORzU\n7QAkSZIkSY0ziZMkSZKkEjGJkyRJkqQSMYmTJEmSpBIxiZMkSZKkEjGJkyRJkqQSMYmTJHVURNwX\nEac2WPbBiDh9hG2nRsSmlgY3QUTEayJifbfjkCS1x5RuByBJ6g0RcQOwKTP/qJ37ycxXtLN+QWb+\nM3B0t+OQJLWHd+IkaQKJCC/OHYDxHLdWH2PPmSRpvEziJKlDKkMD/zAi7omIbRHxhYiYUdn2xoj4\n14h4KiL+JSKOq3rfeyPiRxHxTETcHxG/WrXtkoj4TkR8JCKeAK6urP8PEfFARDwZEWsi4qWV9VEp\nu6USwz0RcWxELAd+C3hPRDwbEbccaFsaaM+eIZIR0R8Rn6nE+UBEvKfOEMlfGGk/lTreFxGPVer9\nrar1syPisxGxNSJ+EhF/FBEHjXbcRmjruI5xZdvrI2J9Jea/jIh/ioj/2MpzVtl2VuV34pmIeDgi\n/rCyfp+hphHx8xHxrcr5uC8izq3adkNEXBcRt1bquTMijhrl9EuSuswkTpI66wLgTOBlwHHAJRFx\nAvBp4K3AYcAngdURMb3ynh8BrwFmA38C/G1ELKiq8yRgIzAf+GBEnA+8D3gTMA/4Z+CmStnXA68F\nfg6YA/wG8HhmrgRuBD6cmYdk5jkH0haABtpT7Y+BpcCRwK8Ab250PxWHA3OBRcDvACsjYngY4V9Q\nHLMjgV8GLgbeUvXefY7bGG1t+BhHxFzgS8CVlfavB37xQOtjhHNW2XY98NbMnAUcC/xjbeARMRW4\nBfh6ZX9/ANxYdZwALqL43XoRsKGB4yFJ6iKTOEnqrI9l5ubMfILig/UvAL8LfDIz78zMocz8DPAC\ncDJAZn6x8p7dmfkF4IfAiVV1bs7Mv8jMXZm5nSJ5+lBmPpCZu4A/pbib9VJgEJgFvByISplHWtgW\nxmpPjQuAP83MJzNzE/Cxcexn2H/JzBcy85+AW4ELIqKPItm5MjOfycwHgf8B/HbV+2qP22jGc4zP\nAu7LzC9Xtn0MeLSJ+kY7Z4PAMRFxaOUY3lUn9pOBQ4D/lpk7M/Mfga9RJG7DvpyZ/6ey7xvrHGNJ\nUg8xiZOkzqr+MP88xYfrlwLvrgx1eyoingKWAAsBIuLiqqGJT1HccZlbVc9DNft4KXBtVfkngAAW\nVT7Afxy4DvhZRKyMiENb2Jbh/Y/YnhoLa+Kvbcto+wF4MjOfq1r+SaXOucC0ynL1tkVj7GskDR9j\natqUmQnUDhFt1Tn79xRJ408qQzZfXSf2hcBDmbm7al3tsRjtGEuSeoxJnCR130PABzNzTtXPwZl5\nU+VOzF8BlwGHZeYc4F6KD/jDsk59b62prz8z/wUgMz+Wma8CXkExRO+KEeppeXvqlH0EWFy1vGSc\n+3pRRMysWj4C2Aw8RnGX6qU12x6uWh5Pe8dzjPdpU0QE+7ZxvPWNeM4y83uZeR7FMMmbgVV1Yt8M\nLBl+HrCi9lhIkkrEJE6Suu+vgLdFxEmVSSxmRsTZETELmEnxgX8rQES8heJO3Gg+AVwZEa+ovGd2\nRPx65fW/rexnKvAcsAMYqrzvZxTPj7WzPbVWVWJ9UUQsokhWx+tPImJaRLwGeCPwxcwcqtT9wYiY\nVUmGLwf+9gDbVGvEY0wxpPOVEXF+FDNP/j7Fs3sHVN9I56zS5t+KiNmZOQg8zd5zWe3OyvveExFT\no/iOvnOAzx948yVJ3WQSJ0ldlplrKZ4j+zjwJMXEEpdUtt1P8SzXdymSrFcC3xmjvq8A/x34fEQ8\nTXHn7g2VzYdSJFlPUgypexz4s8q26ymer3oqIm5uR3vqWEEx1PDHwD9QTAjywjh292hlH5spnuV6\nW2b+oLLtDyiSl43A/wY+RzHhStNGO8aZ+Rjw68CHKY7vMcBaRmlXE+fst4EHK+95G3UmhsnMncC5\nlfoeA/4SuLjqOEmSSiaKofqSJHVfRPwecGFm/nK3Y2mVyjDGTcBvZeY3ux2PJKn8vBMnSeqaiFgQ\nEadExEGVKe/fDXyl23E1KyLOiIg5la9VeB/FM4x3dDksSdIEYRInSdpPRBwRxZd+1/s5ooW7mkbx\nPXLPUHzH2Vcphvt1VER8YoS2fuIAq3w1xff7PUbx/Nn5DXyNgSRJDXE4pSRJkiSViHfiJEmSJKlE\nTOIkSZIkqURM4iRJkiSpREziJEmSJKlETOIkSZIkqURM4iRJkiSpREziJEmSJKlETOIkSZIkqURM\n4iRJkiSpREziJEmSJKlETOIkSZIkqURM4iSVUkR8OiK2RMS9I2yPiPhYRGyIiHsi4oROxyhp8rFv\nktQJJnGSyuoG4MxRtr8BWFb5WQ78zw7EJEk3YN8kqc1M4iSVUmZ+G3hilCLnAZ/Nwh3AnIhY0Jno\nJE1W9k2SOmFKN3Y6d+7cXLp0aTd2LalN1q1b91hmzut2HFUWAQ9VLW+qrHukulBELKe4Gs7MmTNf\n9fKXv7xjAUrqjB7rnxrqm8D+SZromumbupLELV26lLVr13Zj15LaJCJ+0u0YakSddbnfisyVwEqA\ngYGBtG+SJp4e658a6pvA/kma6JrpmxxOKWmi2gQsqVpeDGzuUiySNMy+SVLTTOIkTVSrgYsrM8Gd\nDGzLzP2GK0lSh9k3SWpaV4ZTSlKzIuIm4FRgbkRsAv4YmAqQmZ8AbgPOAjYAzwNv6U6kkiYT+yZJ\nnWASJ6mUMvOiMbYn8PsdCkeSAPsmSZ3hcEpJkiRJKpGm78RFxBLgs8DhwG5gZWZe22y9Y7pnFdy+\nArZtgtmL4bSr4LgL6pf9+Enw2A/2Lk+fDTufhRyC6INph8AL20beV/TtLZtDYwR2EMVhqOOQBfDc\nlr11veoSeOOfN9amr10O624Y+b094ua7H+aaNevZ/NR2Fs7p54ozjub84xe1fV9zDp5KJmzbPsjC\nOf0cPO0gfrjluT1ll82fyfM7d++Ja+lh/dyx8UmGMumL4Mh5B7Nx6/MMZTFBWFBMFdYXQZDsqjtv\nGPuUrd7XNy4/FYCTPvgNfvbMzj3bZvQFg7vZs9+5h0zdZ/spR72YG3/31XXbOOUgGKz61apt0+te\nPo9v/mDriMe+uq5g39/S6phry7b7PEqSJGl8InOUT6eNVFB8QeWCzLwrImYB64DzM/P+kd7T9DS5\n96yCW94Og9v3rpvaD+d8bP9ErjaB6zUDlxbJ2Ght+ukdsPb6kd/bI26++2Gu/PL32T64N9Htn9rH\nh970ypYnAPX21UuWzZ/J09sH90nQGjWcyDXbxupj30hdw4ncgZ7HiFiXmQMHFGyPcApvaWKyf5LU\ni5rpm5oeTpmZj2TmXZXXzwAPUHxpZfvcvmLfZAeK5dtX7F+2lxM4KO6uwehtGi4z0nt7xDVr1u+X\nJGwfHOKaNes7sq9e8sMtzx1QAgfwnR89ATTfxupj30hdw3cuO3keJUmSNH4tfSYuIpYCxwN31tm2\nPCLWRsTarVu3NrejbZvGt76XDQ/PHK1NIw3hHHNoZ2dtfmr7uNa3Y18TSSvaOFzHeOrq5HmUJEnS\n+LUsiYuIQ4C/A96ZmU/Xbs/MlZk5kJkD8+bNa25nsxePb30vi77i39HaNFxmpPf2iIVz+se1vh37\nmkha0cbhOsZTVyfPoyRJksavJUlcREylSOBuzMwvt6LOUZ12VfG8WLWp/cX6WnNf3vZwmvKqS4p/\nR2vTcJmR3tsjrjjjaPqn7ptY9k/t44ozju7IvnrJsvkzecmsaQf03lOOejHQfBurj30jdS2bP3PE\nsu06j5IkSRq/ppO4iAjgeuCBzOzMLBvHXVBM+DF7CRDFv/UmNQG47M79E7nps/fexYq+Ynk01WXH\nNMohPWTBvnVVT0wyWpve+OdF2ZHe2yPOP34RH3rTK1k0p58AFs3pb8ukJvX29aKDpzKnf+qe/Q4n\nJMOWzZ+5T1ynHPVi+iKAYgbKZfNn7lmGYsbJ4W1TglHVbh6eIOTO9//KfoncjL7YZ7+126tnp6xt\n49SaX63aNr355CNGPPa1ddX+llbPTtnJ8yhJkqTxa8XslL8E/DPwffbOWv6+zLxtpPc4w5I08Tj7\nm6ReZf8kqRc10zc1/T1xmfm/2f9mhCRJkiSpDVo6O6UkSZIkqb1M4iRJkiSpREziJEmSJKlETOIk\nSZIkqURM4iRJkiSpREziJEmSJKlETOIkSZIkqURM4iRJkiSpREziJEmSJKlETOIkSZIkqURM4iRJ\nkiSpREziJEmSJKlETOIkSZIkqURM4iRJkiSpREziJEmSJKlETOIkSZIkqURM4iRJkiSpREziJEmS\nJKlETOIkSZIkqURM4iRJkiSpREziJEmSJKlETOIkSZIkqURM4iRJkiSpREziJEmSJKlETOIklVJE\nnBkR6yNiQ0S8t872IyLimxFxd0TcExFndSNOSZOP/ZOkdjOJk1Q6EdEHXAe8ATgGuCgijqkp9kfA\nqsw8HrgQ+MvORilpMrJ/ktQJJnGSyuhEYENmbszMncDngfNqyiRwaOX1bGBzB+OTNHnZP0lquynd\nDkCSDsAi4KGq5U3ASTVlrga+HhF/AMwETu9MaJImOfsnSW3nnThJZRR11mXN8kXADZm5GDgL+JuI\n2K/Pi4jlEbE2ItZu3bq1DaFKmmTsnyS1nUmcpDLaBCypWl7M/sORLgVWAWTmd4EZwNzaijJzZWYO\nZObAvHnz2hSupEnE/klS27UkiYuIT0fEloi4txX1SdIYvgcsi4iXRcQ0iokBVteU+SlwGkBE/DzF\nhyQvZUtqN/snSW3XqmfibgA+Dny2RfXBPavg9hWwbRPMXgynXQXHXbB3+9cuh3U3QA5B9MHM+fDs\nI/Xr6uuHoe0jL8dUyMHWxD19NrywrWpf02BosGjDstfDD78+cpsmgJvvfphr1qxn81PbWTinn6WH\n9XPHxicZyqQvgpOPfBEPPr59z/bXvXwe3/zB1j3LV5xxNOcfv6huXc9s38nTLww1FMeUgF1Vg1de\nMmsajz07uCeOmdMO2qeu2vLD+iKYe8hUfvbMzhHrqm1TdZtrLZs/k+d37h6x/aMdD+2Vmbsi4jJg\nDdAHfDoz74uIFcDazFwNvBv4q4h4F8VQpksy65wUSWoh+ydJnRCt6jMiYinwtcw8dqyyAwMDuXbt\n2pEL3LMKbnk7DFYlWlP74ZyPFUnP1y6Htdc3HXPXVbdpArj57oe58svfZ/tgY4lWPf1T+/jQm14J\n0HRdE8Hw8ShDIhcR6zJzoNtxNGPMvklSKdk/SepFzfRNvflM3O0r9k3goFi+fUXxet0NHQ+pLarb\nNAFcs2Z900nX9sEhrlmzviV1TQTDx0OSJEka1rGvGIiI5cBygCOOOGL0wts2jb4+J9CH+5HaWkKb\nn9o+dqEO1jNReDwkSZJUrWN34sY1w9LsxaOvj77WBtdNI7W1hBbO6W9ZPa2qayLwWEiSJKlabw6n\nPO2q4nmxalP7i/UAr7qk4yG1RXWbJoArzjia/qnNJdj9U/u44oyjW1LXRDB8PCRJkqRhrfqKgZuA\n7wJHR8SmiLi0qQqPu6CY8GP2EiCKf6snAHnjn8PApXvvyEUfHLJg5Pr6+kdfjqlNhbuP6bNr9jWN\nPW0YuHTkNk0A5x+/iA+96ZUsmtNPAIvm9HPKUS+mL4rvPe2L4JSjXrzP9jeffMQ+y8OTeNSr69Dp\njSd1U2q+avUls6btE0dtXbXlh/VF8JJZ00atq7ZN1W2utWz+zFHbP9LxkCRJkoa1bHbK8XCGJWni\ncfY3Sb3K/klSL5p4s1NKkiRJkuoyiZMkSZKkEjGJkyRJkqQSMYmTJEmSpBIxiZMkSZKkEjGJkyRJ\nkqQSMYmTJEmSpBIxiZMkSZKkEjGJkyRJkqQSMYmTJEmSpBIxiZMkSZKkEjGJkyRJkqQSMYmTJEmS\npBIxiZMkSZKkEjGJkyRJkqQSMYmTJEmSpBIxiZMkSZKkEjGJkyRJkqQSMYmTJEmSpBIxiZMkSZKk\nEjGJkyRJkqQSMYmTJEmSpBIxiZMkSZKkEjGJkyRJkqQSMYmTJEmSpBIxiZMkSZKkEjGJkyRJkqQS\nMYmTJEmSpBIxiZMkSZKkEjGJk1RKEXFmRKyPiA0R8d4RylwQEfdHxH0R8blOxyhpcrJ/ktRuU7od\ngCSNV0T0AdcBvwJsAr4XEasz8/6qMsuAK4FTMvPJiJjfnWglTSb2T5I6oSVJXEScCVwL9AGfysz/\n1op6x+WeVXD7Cti2CWYvhtOuguMuaH29zz4GQ9vHX0/0QQ6NUWYqsLsoF30wcz48+0j9stNnw85n\n95Zd+kvwxMa9cS57Pfzw63WXn+8/nA8P/gafefZEFs7p52z+mYuf/ywL4zE251w+e/DF3Mpr2PzU\ndhbO6WfX0BA/e2bnnl0fOr2P53buZihz/yYA+69t3PQpB7Fz124Wzunnme07efqFvcdsRl8wuBuG\nMumL4KKTlvBfz38lAH908/e56c6H9mw7+cgX8eDj2/e04Yozjub84xc1EZl6zInAhszcCBARnwfO\nA+6vKvO7wHWZ+SRAZm7peJSSJiP7J0lt13QS18gVp7a7ZxXc8nYYrCRX2x4qlqG5RK5evQdqrAQO\nIAf3LT9SAgfwwrZ9y/74n/Yub3sI1l4/4vLB2x/hPfmXPHHQTnga3jn1Uxx8UJGkLY7HeOf2j/Po\n4A4e5pd4+Kn9E9bqxGq/JowccUNe2LUboO5+dwztrX0ok7+946d7lqtfD2XynR89sWf54ae2c+WX\nvw9gIjdxLAKq/yA3ASfVlPk5gIj4DsUFpqsz8+9rK4qI5cBygCOOOKItwUqaVOyfJLVdK56J23PF\nKTN3AsNXnDrn9hV7E61hg9uL9a2ud4I4OHbynimreM+UVRwcO+tuK4Ob7nyIm+4cO7nePjjENWvW\ndyAidUjUWVd7DWEKsAw4FbgI+FREzNnvTZkrM3MgMwfmzZvX8kAlTTr2T5LarhVJXL0rTvvd7oiI\n5RGxNiLWbt26tQW7rbJt0/jWN1vvBLEwHmdhPDbitjIYyqw7rLOezXXu7qm0NgFLqpYXA5vrlPlq\nZg5m5o+B9RQfmiSpneyfJLVdK5K4Rq44tfdq0uzF41vfbL0TxOY8jM05d8RtZdAXQV/U+xXc38I5\n/W2ORh30PWBZRLwsIqYBFwKra8rcDLwOICLmUgxf2tjRKCVNRvZPktquFUlcI1ec2uu0q2BqzQf0\nqf3F+lbXO0E8n9P48K4L+PCuC3g+p9XdVgYXnbSEi05aMma5/ql9XHHG0R2ISJ2QmbuAy4A1wAPA\nqsy8LyJWRMS5lWJrgMcj4n7gm8AVmVmOW8ySSsv+SVIntGJ2yj1XnICHKa44/WYL6m3c8OQlrZ6d\nsl69E2h2ylteKGan/CgzKrNTPs7mPIzPHnwx6/pfQ5RodkrA2Sknmcy8DbitZt1VVa8TuLzyI0kd\nY/8kqd0iG3yeaNRKIs4CPkoxw9KnM/ODo5UfGBjItWvXNr1fSb0jItZl5kC342iGfZM0Mdk/SepF\nzfRNLfmeuHpXnCRJkiRJrdeKZ+IkSZIkSR1iEidJkiRJJWISJ0mSJEklYhInSZIkSSViEidJkiRJ\nJWISJ0mSJEklYhInSZIkSSViEidJkiRJJWISJ0mSJEklYhInSZIkSSViEidJkiRJJWISJ0mSJEkl\nYhInSZIkSSViEidJkiRJJWISJ0mSJEklYhInSZIkSSViEidJkiRJJWISJ0mSJEklYhInSZIkSSVi\nEidJkiRJJWISJ0mSJEklYhInSZIkSSViEidJkiRJJWISJ0mSJEklYhInSZIkSSViEidJkiRJJWIS\nJ0mSJEklYhInSZIkSSViEidJkiRJJWISJ0mSJEkl0lQSFxG/HhH3RcTuiBhoVVCSJEmSpPqavRN3\nL/Am4NstiEWSJEmSNIamkrjMfCAz17cqGElqVEScGRHrI2JDRLx3lHK/FhHpaAFJnWL/JKndfCZO\nUulERB9wHfAG4Bjgoog4pk65WcDbgTs7G6Gkycr+SVInjJnERcQ/RMS9dX7OG8+OImJ5RKyNiLVb\nt2498IglCU4ENmTmxszcCXweqNcnfQD4MLCjk8FJmtTsnyS13ZhJXGaenpnH1vn56nh2lJkrM3Mg\nMwfmzZt34BFLEiwCHqpa3lRZt0dEHA8sycyvdTIwSZOe/ZOktnM4paQyijrrcs/GiIOAjwDvHrMi\nRwlIai37J0lt1+xXDPxqRGwCXg3cGhFrWhOWJI1qE7CkankxsLlqeRZwLPCtiHgQOBlYXW/yAEcJ\nSGox+ydJbTelmTdn5leAr7QoFklq1PeAZRHxMuBh4ELgN4c3ZuY2YO7wckR8C/jDzFzb4TglTT72\nT5LazuGUkkonM3cBlwFrgAeAVZl5X0SsiIhzuxudpMnM/klSJzR1J06SuiUzbwNuq1l31QhlT+1E\nTJIE9k+S2s87cZIkSZJUIiZxkiRJklQiJnGSJEmSVCImcZIkSZJUIiZxkiRJklQiJnGSJEmSVCIm\ncZIkSZJUIiZxkiRJklQiJnGSJEmSVCImcZIkSZJUIiZxkiRJklQiJnGSJEmSVCImcZIkSZJUIiZx\nkiRJklQiJnGSJEmSVCImcZIkSZJUIiZxkiRJklQiJnGSJEmSVCImcZIkSZJUIiZxkiRJklQiJnGS\nJEmSVCImcZIkSZJUIiZxkiRJklQiJnGSJEmSVCImcZIkSZJUIiZxkiRJklQiJnGSJEmSVCImcZIk\nSZJUIiZxkiRJklQiTSVxEXFNRPwgIu6JiK9ExJxWBSZJkiRJ2l+zd+K+ARybmccB/w+4svmQJEmS\nJEkjaSqJy8yvZ+auyuIdwOLmQ5IkSZIkjaSVz8T9B+B/jbQxIpZHxNqIWLt169YW7laSJEmSJo8p\nYxWIiH8ADq+z6f2Z+dVKmfcDu4AbR6onM1cCKwEGBgbygKKVJEmSpEluzCQuM08fbXtE/A7wRuC0\nzDQ5kyRJkqQ2anZ2yjOB/wycm5nPtyYkSRpbRJwZEesjYkNEvLfO9ssj4v7K7Lm3R8RLuxGnpMnH\n/klSuzX7TNzHgVnANyLiXyPiEy2ISZJGFRF9wHXAG4BjgIsi4piaYncDA5XZc78EfLizUUqajOyf\nJHXCmMMpR5OZ/6ZVgUjSOJwIbMjMjQAR8XngPOD+4QKZ+c2q8ncAb+5ohJImK/snSW3XytkpJalT\nFgEPVS1vqqwbyaWMMHuuM+dKajH7J0ltZxInqYyizrq6EytFxJuBAeCaetszc2VmDmTmwLx581oY\noqRJyv5JUts1NZxSkrpkE7CkankxsLm2UEScDrwf+OXMfKFDsUma3OyfJLWdd+IkldH3gGUR8bKI\nmAZcCKyuLhARxwOfpJg9d0sXYpQ0Odk/SWo7kzhJpZOZu4DLgDXAA8CqzLwvIlZExLmVYtcAhwBf\nrMyeu3qE6iSpZeyfJHWCwykllVJm3gbcVrPuqqrXp3c8KEnC/klS+3knTpIkSZJKxCROkiRJkkrE\nJE6SJEmSSsQkTpIkSZJKxCROkiRJkkrEJE6SJEmSSsQkTpIkSZJKxCROkiRJkkrEJE6SJEmSSsQk\nTpIkSZJKxCROkiRJkkrEJE6SJEmSSsQkTpIkSZJKxCROkiRJkkrEJE6SJEmSSsQkTpIkSZJKxCRO\nkiRJkkrEJE6SJEmSSsQkTpIkSZJKxCROkiRJkkrEJE6SJEmSSsQkTpIkSZJKxCROkiRJkkrEJE6S\nJEmSSsQkTpIkSZJKZEozb46IDwDnAbuBLcAlmbm5FYFtu+UWtnzko+x65BGmLFjA/He9k9nnnLNn\n+4NveQvbv3vH3jdMnw4vvNCKXXdPBGTuXZ41C555pn7Zvr7i36Eh6Ouj/8R/y+BPfrrneB3yy6/l\n2X/6dt3lwXmzuem1B3Hrsmc4fObhvPqeQU77+0c57Gl4/FC4/czD+e5xU3n0uUc5fObhDA0NsWXH\nlr1hTZnFc0PPsTt3t/wQ9NHHbnZz+MzDeeL5J3gh23NOgyDJsQsegOkxnUEGGzo+02P6Pm2cP2M+\nfX19e479axe/lm9v+jaPPvcoU2IKgzm4p+xRhx7F80PP1y17+MzDeccJ7+DsI8/eU/7Wjbdy7V3X\n8uhzjxIEu9m9T103/+rNdcvWq0uSJEndE5kH/kE2Ig7NzKcrr98OHJOZbxvrfQMDA7l27doRt2+7\n5RYe+S9XkTt27N3XjBks+MAKZp9zzv4JnMZtxxT45FkBwFtvS2bs2n/bd17R16Xo1Aoz+mZw9S9e\nzdlHns2tG2/l6n+5mh1DO0YsP5zI1StbXddIImJdZg60tBEdNlbfJKmc7J8k9aJm+qamhlMOJ3AV\nM6E1tza2fOSj+yRwALljB1s+8lEAE7gWmLELfvNbyW9+a98Ernqbym3H0A6uvetaAK6969pREziA\nHz39oxHLVtclSZKk7mpqOCVARHwQuBjYBrxulHLLgeUARxxxxKh17nrkkXGt14E57OkD26byePS5\nR/f5dzzvaXS9JEmSOmvMO3ER8Q8RcW+dn/MAMvP9mbkEuBG4bKR6MnNlZg5k5sC8efNG3eeUBQvG\ntV4H5vFDi5+Rtqn8Dp95+D7/juc9ja6XJElSZ42ZxGXm6Zl5bJ2fr9YU/Rzw71sR1Px3vZOYMWOf\ndTFjBvPf9U4A+l99cit2M6ntmAKfOzX43KnBjin1t6ncZvTN4B0nvAOAd5zwDmb0zRi1/FGHHjVi\n2eq6JEmS1F1NPRMXEcuqFs8FftBcOIXZ55zDgg+sYMrChRDBlIUL90xqArD0r/96/0Ru+vRW7Lq7\noiZxmjVr5LJ9fXtnqOzro/8NUeTlAAAJoklEQVTVJ+9zvOZcdOGIy4Pz57Dq/BfzL6+YwsYTF3Pz\nmw5n66HFFKNbD4Wb33Q4G09cTBAsmLmA+TPm7xvWlFkcFO35doo++vbsd3q075wG7UtSp8f0ho9P\nbRvnz5jPgpkL9hyD3zj6N/YsT42p+5Q96tCjRiy7YOaCfSYiOfvIs7n6F6/es/2gmj/96tkpa8vW\n1iVJkqTuanZ2yr8Djqb4/P8T4G2Z+fBY73OGJWnicfY3Sb3K/klSL2qmb2pqYpPMbMnwSUmSJElS\nY9ozJk6S2iwizoyI9RGxISLeW2f79Ij4QmX7nRGxtPNRSpqM7J8ktZtJnKTSiYg+4DrgDcAxwEUR\ncUxNsUuBJzPz3wAfAf57Z6OUNBnZP0nqBJM4SWV0IrAhMzdm5k7g88B5NWXOAz5Tef0l4LSI2tmD\nJKnl7J8ktZ1JnKQyWgQ8VLW8qbKubpnM3AVsAw7rSHSSJjP7J0lt19TEJgdq3bp1j0XETxosPhd4\nrJ3xHCDjGh/jGp8yxvXSDsZR74p17VS7jZQhIpYDyyuLL0TEvU3G1gt69fdnPGxDb5gIbYBiJu1O\nsX8a2UT4fbINvWMitOOA+6auJHGZOa/RshGxthenBTau8TGu8TGuMW0CllQtLwY2j1BmU0RMAWYD\nT9RWlJkrgZXQU+1rykRoh23oDROhDVC0o4O7s38agW3oDROhDTAx2tFM3+RwSkll9D1gWUS8LCKm\nARcCq2vKrAZ+p/L614B/zGa+GFOSGmP/JKntunInTpKakZm7IuIyYA3QB3w6M++LiBXA2sxcDVwP\n/E1EbKC4wn1h9yKWNFnYP0nqhDIkcSu7HcAIjGt8jGt8jGsMmXkbcFvNuquqXu8Afn2c1fZM+5o0\nEdphG3rDRGgDdLgd9k8jsg29YSK0ASZGOw64DeHde0mSJEkqD5+JkyRJkqQS6dkkLiKWRMQ3I+KB\niLgvIt7R7ZgAImJGRPyfiPi/lbj+pNsxDYuIvoi4OyK+1u1YqkXEgxHx/Yj41w7PEDaiiJgTEV+K\niB9Ufsde3QMxHV05RsM/T0fEO7sdF0BEvKvy+35vRNwUETO6HVMzIuLMiFgfERsi4r11tk+PiC9U\ntt8ZEUs7H+XoGmjD5RFxf0TcExG3R0QnvwKiYWO1o6rcr0VERkTPzUTWSBsi4oLK+bgvIj7X6RjH\n0sDv0xGV/5PvrvxOndWNOEcTEZ+OiC0jTcMfhY9V2nhPRJzQ6RgbYf/UG+ybeoN90ygysyd/gAXA\nCZXXs4D/BxzTA3EFcEjl9VTgTuDkbsdViedy4HPA17odS01cDwJzux1HTUyfAf5j5fU0YE63Y6qJ\nrw94FHhpD8SyCPgx0F9ZXgVc0u24mjy2PwKOrJz7/1vbtwD/CfhE5fWFwBe6HfcBtOF1wMGV17/X\na21otB2VcrOAbwN3AAPdjvsAzsUy4G7gRZXl+d2O+wDasBL4vcrrY4AHux13nXa8FjgBuHeE7WcB\n/6vy//jJwJ3djvkAz4X9Uw+0oVLOvqn7bZi0fVPP3onLzEcy867K62eAByg+THZVFp6tLE6t/HT9\nwcKIWAycDXyq27H0uog4lOIP6nqAzNyZmU91N6r9nAb8KDN/0u1AKqYA/VF8n9HB7P+dR2VyIrAh\nMzdm5k7g88B5NWXOo0j0Ab4EnBYR9b6ct1vGbENmfjMzn68s3kHxXVW9ppFzAfAB4MPAjk4G16BG\n2vC7wHWZ+SRAZm7pcIxjaaQNCRxaeT2bHuwDMvPb1PmutSrnAZ+t/D9+BzAnIhZ0JrqG2T/1Bvum\n3mDfNIqeTeKqVYYKHE9x16vrohi2+K/AFuAbmdkLcX0UeA+wu9uB1JHA1yNiXUQs73YwFFd0tgJ/\nXbn9/qmImNntoGpcCNzU7SAAMvNh4M+AnwKPANsy8+vdjaopi4CHqpY3sf8Foj1lMnMXsA04rCPR\nNaaRNlS7lOIqX68Zsx0RcTywJDN7aph4lUbOxc8BPxcR34mIOyLizI5F15hG2nA18OaI2EQx6+If\ndCa0lhrv30032D/1Bvum3mDfNIqeT+Ii4hDg74B3ZubT3Y4HIDOHMvMXKK4cnRgRx3Yznoh4I7Al\nM9d1M45RnJKZJwBvAH4/Il7b5XimUNzW/p+ZeTzwHDDiePdOi+LLYc8FvtjtWAAi4kUUV4leBiwE\nZkbEm7sbVVPqXbGuvZveSJluaji+yrkaAK5pa0QHZtR2RMRBwEeAd3csovFr5FxMoRi2dCpwEfCp\niJjT5rjGo5E2XATckJmLKYb+/E3l/JRJr/9dg/1Tr7Bv6g32TaPo6UZGxFSKBO7GzPxyt+OpVRmC\n9y2g21cuTgHOjYgHKW41/7uI+NvuhrRXZm6u/LsF+ArF7fFu2gRsqrqD+iWKpK5XvAG4KzN/1u1A\nKk4HfpyZWzNzEPgy8ItdjqkZm4AlVcuL2X/4xZ4ylSGksxl9KESnNdIGIuJ04P3AuZn5QodiG4+x\n2jELOBb4VqV/OxlY3WMTCDT6+/TVzBzMzB8D6yk+OPWKRtpwKcXzsGTmd4EZwNyORNc6Df3ddJn9\nU2+wb+oN9k2j6NkkrjK++3rggcz8827HMywi5g1fpYiIfooPuD/oZkyZeWVmLs7MpRTD8P4xM3vi\nTklEzIyIWcOvgdcDdWfn6ZTMfBR4KCKOrqw6Dbi/iyHVuogeGUpZ8VPg5Ig4uPJ3eRrFM6pl9T1g\nWUS8rHLX80JgdU2Z1cDvVF7/GsXfVC9d6R6zDZWhPp+k+IDUa885DBu1HZm5LTPnZubSSv92B0V7\nemKW24pGfp9uppjIgYiYSzGEaWNHoxxdI234KcXfPhHx8xQflLZ2NMrmrQYurswEdzLF0PBHuh1U\nDfun3mDf1Bvsm0Yxpf1xHbBTgN8Gvl95/gzgfZl5WxdjgmLWzM9ERB9FEryqh8dD94KXAF+pPHM9\nBfhcZv59d0MCijHTN1Y6hY3AW7ocDwARcTDwK8Bbux3LsMy8MyK+BNwF7KKYyWpld6M6cJm5KyIu\nA9ZQzHz16cy8LyJWAGszczXFBaS/iYgNFFe4L+xexPtrsA3XAIcAX6z8/f00M8/tWtB1NNiOntZg\nG9YAr4+I+4Eh4IrMfLx7Ue+rwTa8G/iriHgXxTCfS3oscSAibqIYFja38nzMH1NMPkZmfoLieZmz\ngA3A8/RIv1/N/qk32Df1BvumMertsXZKkiRJkkbRs8MpJUmSJEn7M4mTJEmSpBIxiZMkSZKkEjGJ\nkyRJkqQSMYmTJEmSpBIxiZMkSZKkEjGJkyRJkqQSMYmTJEmSpBL5/83HoddI0cL5AAAAAElFTkSu\nQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1a1bd9c080>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXcAAAEICAYAAACktLTqAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nqFDKPSKiQin3iIgKpdwjIir0D+yOFB8odQ8dAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1a18ee6a90>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXcAAAEICAYAAACktLTqAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nlOIeEVGhFPeIiAr9Db9mczOrfhf2AAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1a1b22e358>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import numpy as np\n", "import matplotlib.pyplot as plt\n", "from matplotlib.colors import ListedColormap\n", "from sklearn import neighbors, datasets\n", "from sklearn.neighbors import KNeighborsRegressor\n", "\n", "n_neighbors = 15\n", "\n", "# import some data to play with\n", "iris = datasets.load_iris()\n", "\n", "# we only take the first two features. We could avoid this ugly\n", "# slicing by using a two-dim dataset\n", "X = iris.data[:, :2]\n", "y = iris.target\n", "\n", "h = .02 # step size in the mesh\n", "\n", "# Create color maps\n", "cmap_light = ListedColormap(['#FFAAAA', '#AAFFAA', '#AAAAFF'])\n", "cmap_bold = ListedColormap(['#FF0000', '#00FF00', '#0000FF'])\n", "\n", "for weights in ['uniform', 'distance']:\n", " # we create an instance of Neighbours Classifier and fit the data.\n", " clf = neighbors.KNeighborsClassifier(n_neighbors, weights=weights)\n", " clf.fit(X, y)\n", "\n", " # Plot the decision boundary. For that, we will assign a color to each\n", " # point in the mesh [x_min, x_max]x[y_min, y_max].\n", " x_min, x_max = X[:, 0].min() - 1, X[:, 0].max() + 1\n", " y_min, y_max = X[:, 1].min() - 1, X[:, 1].max() + 1\n", " xx, yy = np.meshgrid(np.arange(x_min, x_max, h),\n", " np.arange(y_min, y_max, h))\n", " Z = clf.predict(np.c_[xx.ravel(), yy.ravel()])\n", "\n", " # Put the result into a color plot\n", " Z = Z.reshape(xx.shape)\n", " plt.figure()\n", " plt.pcolormesh(xx, yy, Z, cmap=cmap_light)\n", "\n", " # Plot also the training points\n", " plt.scatter(X[:, 0], X[:, 1], c=y, cmap=cmap_bold,\n", " edgecolor='k', s=20)\n", " plt.xlim(xx.min(), xx.max())\n", " plt.ylim(yy.min(), yy.max())\n", " plt.title(\"3-Class classification (k = %i, weights = '%s')\"\n", " % (n_neighbors, weights))\n", "\n", "plt.show()\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.3" } }, "nbformat": 4, "nbformat_minor": 2 }
cc0-1.0
birdsarah/bokeh-miscellany
old/slider_example/Gapminder homage 0_3 html with population - better slice.ipynb
1
2256903
null
gpl-2.0
luwei0917/awsemmd_script
notebook/GlpG_paper/apr_week2_Fourth.ipynb
1
4681388
null
mit
mattgiguere/doglodge
code/.ipynb_checkpoints/bf_qt_scraping-checkpoint.ipynb
1
14699
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# bf_qt_scraping\n", "\n", "This notebook describes how hotel data can be scraped using PyQT.\n", "\n", "The items we want to extract are:\n", "- the hotels for a given city\n", "- links to each hotel page\n", "- text hotel summary\n", "- text hotel description\n", "\n", "Once the links for each hotel are determined, I then want to extract the following items pertaining to each review:\n", "- title\n", "- author\n", "- text\n", "- rating\n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import sys \n", "from PyQt4.QtGui import * \n", "from PyQt4.QtCore import * \n", "from PyQt4.QtWebKit import * \n", "from lxml import html " ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "class Render(QWebPage): \n", " def __init__(self, url): \n", " self.app = QApplication(sys.argv) \n", " QWebPage.__init__(self) \n", " self.loadFinished.connect(self._loadFinished) \n", " self.mainFrame().load(QUrl(url)) \n", " self.app.exec_() \n", "\n", " def _loadFinished(self, result): \n", " self.frame = self.mainFrame() \n", " self.app.quit() \n", " \n", " def update_url(self, url):\n", " self.mainFrame().load(QUrl(url)) \n", " self.app.exec_() \n", " " ] }, { "cell_type": "code", "execution_count": 68, "metadata": { "collapsed": true }, "outputs": [], "source": [ "url = 'http://www.bringfido.com/lodging/city/new_haven_ct_us' \n", "#This does the magic.Loads everything\n", "r = Render(url) \n", "#result is a QString.\n", "result = r.frame.toHtml()" ] }, { "cell_type": "code", "execution_count": 65, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "# result" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#QString should be converted to string before processed by lxml\n", "formatted_result = str(result.toAscii())" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#Next build lxml tree from formatted_result\n", "tree = html.fromstring(formatted_result)" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<bound method HtmlElement.text_content of <Element html at 0x10c181c00>>" ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" } ], "source": [ "tree.text_content" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[]\n" ] } ], "source": [ "#Now using correct Xpath we are fetching URL of archives\n", "archive_links = tree.xpath('//*[@id=\"results_list\"]/div')\n", "print archive_links" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "url = 'http://pycoders.com/archive/' \n", "r = Render(url) \n", "result = r.frame.toHtml()\n", "\n", "#QString should be converted to string before processed by lxml\n", "formatted_result = str(result.toAscii())\n", "\n", "tree = html.fromstring(formatted_result)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "#Now using correct Xpath we are fetching URL of archives\n", "archive_links = tree.xpath('//*[@class=\"campaign\"]/a/@href')\n", "\n", "# for lnk in archive_links:\n", "# print(lnk)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "## Now the Hotels" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "url = 'http://www.bringfido.com/lodging/city/new_haven_ct_us' \n", "r = Render(url) \n", "result = r.frame.toHtml()\n", "\n", "#QString should be converted to string before processed by lxml\n", "formatted_result = str(result.toAscii())\n", "\n", "tree = html.fromstring(formatted_result)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[<Element div at 0x10adf9788>, <Element div at 0x10adf97e0>, <Element div at 0x10adf9838>, <Element div at 0x10adf9890>, <Element div at 0x10adf98e8>]\n", "\n", "La Quinta Inn & Suites New Haven\n", "La Quinta Inn & Suites New HavenNew Haven, CT, USLa Quinta Inn & Suites New Haven is pet friendly! Up to two pets of any size are allowed in each room for no additional fee or deposit.Hotel Overview | Map | Photos | Guest ReviewsLow Rates from$75 (no pet fee)VISIT WEBSITE\n", "*************************\n", "Omni New Haven Hotel at Yale\n", "Omni New Haven Hotel at YaleNew Haven, CT, USOmni New Haven Hotel At Yale welcomes a maximum of two dogs, 25lbs or less, per guest room for an additioanl $50 per stay. Dogs over 25lbs require prior approval from the manager. Please note that ...Hotel Overview | Map | Photos | Guest ReviewsLow Rates from$219 + pet feeCHECK RATES\n", "*************************\n", "Premiere Hotel & Suites\n", "Premiere Hotel & SuitesNew Haven, CT, USPremiere Hotel And Suites allows up to two dogs (50 lbs or less) per guest room for an additional fee of $75 per stay. Larger dogs may be permitted with prior management approval.Hotel Overview | Map | Photos | Guest ReviewsLow Rates from$110 + pet feeCHECK RATES\n", "*************************\n", "Econo Lodge Conference Center New Haven\n", "Econo Lodge Conference Center New HavenNew Haven, CT, USEcono Lodge Conference Center welcomes up to two pets, 25lbs or less, in a limited number of pet-friendly rooms, for an additional $10 per pet, per night.Hotel Overview | Map | Photos | Guest ReviewsLow Rates from$56 + pet feeCHECK RATES\n", "*************************\n", "The Study at Yale\n", "The Study at YaleNew Haven, CT, USThe Study At Yale allows up to two dogs (50 lbs or less) for an additional fee of $50 per pet per stay.Hotel Overview | Map | Photos | Guest ReviewsLow Rates from$189 + pet feeCHECK RATES\n", "*************************\n" ] } ], "source": [ "#Now using correct Xpath we are fetching URL of archives\n", "archive_links = tree.xpath('//*[@id=\"results_list\"]/div')\n", "\n", "print(archive_links)\n", "print('')\n", "\n", "for lnk in archive_links:\n", " print(lnk.xpath('div[2]/h1/a/text()')[0])\n", " print(lnk.text_content())\n", " print('*'*25)\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Now Get the Links" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/lodging/70449/?cid=14745&ar=&dt=&rm=1&ad=1&ch=0&dg=1&rt=75.01\n", "*************************\n", "/lodging/70451/?cid=14745&ar=&dt=&rm=1&ad=1&ch=0&dg=1&rt=219\n", "*************************\n", "/lodging/70452/?cid=14745&ar=&dt=&rm=1&ad=1&ch=0&dg=1&rt=109.65\n", "*************************\n", "/lodging/70447/?cid=14745&ar=&dt=&rm=1&ad=1&ch=0&dg=1&rt=55.95\n", "*************************\n", "/lodging/106805/?cid=14745&ar=&dt=&rm=1&ad=1&ch=0&dg=1&rt=189\n", "*************************\n" ] } ], "source": [ "links = []\n", "for lnk in archive_links:\n", " print(lnk.xpath('div/h1/a/@href')[0])\n", " links.append(lnk.xpath('div/h1/a/@href')[0])\n", " print('*'*25)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'/lodging/70449/?cid=14745&ar=&dt=&rm=1&ad=1&ch=0&dg=1&rt=75.01'" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "lnk.xpath('//*/div/h1/a/@href')[0]" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['/lodging/70449/?cid=14745&ar=&dt=&rm=1&ad=1&ch=0&dg=1&rt=75.01',\n", " '/lodging/70451/?cid=14745&ar=&dt=&rm=1&ad=1&ch=0&dg=1&rt=219',\n", " '/lodging/70452/?cid=14745&ar=&dt=&rm=1&ad=1&ch=0&dg=1&rt=109.65',\n", " '/lodging/70447/?cid=14745&ar=&dt=&rm=1&ad=1&ch=0&dg=1&rt=55.95',\n", " '/lodging/106805/?cid=14745&ar=&dt=&rm=1&ad=1&ch=0&dg=1&rt=189']" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "links" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Loading Reviews\n", "\n", "Next, we want to step through each page, and scrape the reviews for each hotel." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "url_base = 'http://www.bringfido.com' \n", "r.update_url(url_base+links[0]) \n", "result = r.frame.toHtml()\n", "\n", "#QString should be converted to string before processed by lxml\n", "formatted_result = str(result.toAscii())\n", "\n", "tree = html.fromstring(formatted_result)" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[<Element div at 0x10d9ecdb8>, <Element div at 0x10d9ece10>]\n", "\n", "5\n", "3\n" ] } ], "source": [ "hotel_description = tree.xpath('//*[@class=\"body\"]/text()')\n", "\n", "details = tree.xpath('//*[@class=\"address\"]/text()')\n", "\n", "address = details[0]\n", "csczip = details[1]\n", "phone = details[2]\n", "\n", "#Now using correct Xpath we are fetching URL of archives\n", "reviews = tree.xpath('//*[@class=\"review_container\"]')\n", "\n", "texts = []\n", "titles = []\n", "authors = []\n", "ratings = []\n", "\n", "print(reviews)\n", "print('')\n", "for rev in reviews:\n", " titles.append(rev.xpath('div/div[1]/text()')[0])\n", " authors.append(rev.xpath('div/div[2]/text()')[0])\n", " texts.append(rev.xpath('div/div[3]/text()')[0])\n", " ratings.append(rev.xpath('div[2]/img/@src')[0].split('/')[-1][0:1])\n", " print(rev.xpath('div[2]/img/@src')[0].split('/')[-1][0:1])\n" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['Great value and no pet fee', 'Getting old']" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titles" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['\\nErin\\nin Washington, DC\\n', '\\nLawrence\\nin Pittsfield, MA\\n']" ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "authors" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['My 75lb dog and I received a lovely welcome from two young gentlemen working at the desk the evening of 8/1/14. Check-in was very easy and even though this hotel is close to the highway and Ikea, there is a big patch of grass/bushes out front for dogs to relieve themselves --I didn\\'t see bags so bring your own. Yes, I agree with review that it is an older place but my room with one queen bed was plenty clean and comfortable. When I travel with my dog, the less fancy the better. :). Also, it made it nice that there was a dog friendly restaurant less than 10 minute drive away...see review for \"Basta\". I definitely feel good about spending just over $100 at this hotel which was just a stop along the way in my travels. Recommend to other dog owners. (P.s. This site says small pets only but that is not up to date. The policy attached on this site shows that they take any size). ',\n", " 'I stayed at this La Quinta and felt that it was getting up there in age and a little run down. It was decently clean, although not fully clean. The staff were fairly helpful.']" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "texts" ] }, { "cell_type": "code", "execution_count": 64, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['5', '3']" ] }, "execution_count": 64, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ratings" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
beangoben/lerningMachin
Python/Variables.ipynb
1
13506
{ "metadata": { "name": "", "signature": "sha256:d290d607f154fe7ba32e0579a79168cbf04ae90c48548ebabc7ebe786014e5c9" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Variables" ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "\u00bfQu\u00e9 es una variable?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "- Podemos pensar en una variable como un contenedor para almacenar datos.\n", "- Formalmente son espacios en la memoria de nuestra computadora que almacenan datos." ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "\u00bfQu\u00e9 tipo de datos pueden almacenar?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Los tres tipos simples de datos son:\n", "- N\u00fameros:\n", " - Enteros\n", " - Reales (punto flotante)\n", " - Complejos\n", "- Cadenas de texto\n", "- Valores booleanos\n" ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "\u00bfC\u00f3mo es que se definen las variables?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "B\u00e1sicamente, utilizaremos el operador de asignaci\u00f3n = (igual), para definir el tipo de dato que guardar\u00e1 la variable. Respecto al nombre, los nombres deben comenzar con letras y no debe haber espacios entre el nombre." ] }, { "cell_type": "code", "collapsed": false, "input": [ "miperro = 5\n", "MiPerro = 2.3\n", "Mi_Perro = 2 + 4j\n", "\n", "print(type(miperro))\n", "print(type(MiPerro))\n", "print(type(Mi_Perro))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "<type 'int'>\n", "<type 'float'>\n", "<type 'complex'>\n" ] } ], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "Mi_Perro = 4\n", "print(Mi_Perro)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "4\n" ] } ], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "Mi_Perro = \"Firulais\"\n", "miperro = 'Rocky'\n", "\n", "print(Mi_Perro)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Firulais\n" ] } ], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "print(miperro)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Rocky\n" ] } ], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "print(type(Mi_Perro))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "<type 'str'>\n" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "spiderman = True\n", "venom = False\n", "\n", "print(type(spiderman))\n", "print(spiderman)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "<type 'bool'>\n", "True\n" ] } ], "prompt_number": 9 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Operaciones aritm\u00e9ticas" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Las operaciones aritm\u00e9ticas que podemos realizar con variables num\u00e9ricas son:\n", "- Suma (+)\n", "- Resta (-)\n", "- Multiplicaci\u00f3n (*)\n", "- Divisi\u00f3n (/)\n", "- Divisi\u00f3n entera (//)\n", "- Residuo (%)" ] }, { "cell_type": "code", "collapsed": false, "input": [ "x = 3\n", "y = 5\n", "z = x + y\n", "print(z)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "8\n" ] } ], "prompt_number": 18 }, { "cell_type": "code", "collapsed": false, "input": [ "z = z - x\n", "print(z)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "5\n" ] } ], "prompt_number": 19 }, { "cell_type": "code", "collapsed": false, "input": [ "x = x * y" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 20 }, { "cell_type": "code", "collapsed": false, "input": [ "z = z / y" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 21 }, { "cell_type": "code", "collapsed": false, "input": [ "print(x)\n", "print(z)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "15\n", "1\n" ] } ], "prompt_number": 22 }, { "cell_type": "code", "collapsed": false, "input": [ "z = 3.2\n", "x = 2\n", "z = z // x\n", "print(z)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "1.0\n" ] } ], "prompt_number": 23 }, { "cell_type": "code", "collapsed": false, "input": [ "x = 5 % 3\n", "print(x)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "2\n" ] } ], "prompt_number": 24 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Operaciones con cadenas de texto" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Las operaciones son:\n", "- Concatenar (+)\n", "- Repetir texto (*)" ] }, { "cell_type": "code", "collapsed": false, "input": [ "mejor_heroe = \"Spiderman\"\n", "texto = \"El mejor h\u00e9roe es: \"\n", "heroe = texto + mejor_heroe\n", "print(heroe)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "El mejor h\u00e9roe es: Spiderman\n" ] } ], "prompt_number": 32 }, { "cell_type": "code", "collapsed": false, "input": [ "mejor_heroe = mejor_heroe*11\n", "print(mejor_heroe)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "SpidermanSpidermanSpidermanSpidermanSpidermanSpidermanSpidermanSpidermanSpidermanSpidermanSpiderman\n" ] } ], "prompt_number": 33 }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Observaciones:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "- \u00bfQu\u00e9 sucede cuando operamos variables de distinto tipo?\n", "- \u00bfC\u00f3mo puedo cambiar el tipo de variables para operar?" ] }, { "cell_type": "code", "collapsed": false, "input": [ "x = 3\n", "print(type(x))\n", "y = 2.8\n", "print(type(y))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "<type 'int'>\n", "<type 'float'>\n" ] } ], "prompt_number": 34 }, { "cell_type": "code", "collapsed": false, "input": [ "print(x+y)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "5.8\n" ] } ], "prompt_number": 35 }, { "cell_type": "code", "collapsed": false, "input": [ "perro = \"Mirlo\"\n", "print(perro+x)" ], "language": "python", "metadata": {}, "outputs": [ { "ename": "TypeError", "evalue": "cannot concatenate 'str' and 'int' objects", "output_type": "pyerr", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m\n\u001b[0;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-36-b309818b85ce>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[0mperro\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;34m\"Mirlo\"\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 2\u001b[0;31m \u001b[0;32mprint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mperro\u001b[0m\u001b[0;34m+\u001b[0m\u001b[0mx\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;31mTypeError\u001b[0m: cannot concatenate 'str' and 'int' objects" ] } ], "prompt_number": 36 }, { "cell_type": "code", "collapsed": false, "input": [ "x = 2\n", "y = \"3\"\n", "print(x+y)" ], "language": "python", "metadata": {}, "outputs": [ { "ename": "TypeError", "evalue": "unsupported operand type(s) for +: 'int' and 'str'", "output_type": "pyerr", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m\n\u001b[0;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-37-1432fe02b301>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[0mx\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;36m2\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 2\u001b[0m \u001b[0my\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;34m\"3\"\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 3\u001b[0;31m \u001b[0;32mprint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mx\u001b[0m\u001b[0;34m+\u001b[0m\u001b[0my\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;31mTypeError\u001b[0m: unsupported operand type(s) for +: 'int' and 'str'" ] } ], "prompt_number": 37 }, { "cell_type": "code", "collapsed": false, "input": [ "print(type(y))\n", "y = int(y)\n", "print(type(y))\n", "\n", "print(x+y)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "<type 'str'>\n", "<type 'int'>\n", "5\n" ] } ], "prompt_number": 38 }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Ejercicios:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "- Definir una variable EDAD en 50, imprimir la edad y tipo de variable.\n", "- Pedir al usuario que ingrese la edad y guardarla en la variable EDAD, redefiniendola. Imprimir tipo.\n", "- \u00bfLa variable que ingres\u00f3 el usuario es entera? Si no, convi\u00e9rtela a entera e imprime nuevamente el tipo de variable.\n", "- Y no lo olvides... \u00a1No dejes de compartir tus ejercicios y las dudas que te surjan con nosotros!" ] }, { "cell_type": "code", "collapsed": false, "input": [ "texto = \"Mucho gusto, \"\n", "nombre = raw_input(\"\u00bfCu\u00e1l es tu nombre?\")\n", "\n", "print(type(nombre))\n", "print(texto+nombre)" ], "language": "python", "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "stream": "stdout", "text": [ "\u00bfCu\u00e1l es tu nombre?Rodolfo\n" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "<type 'str'>\n", "Mucho gusto, Rodolfo\n" ] } ], "prompt_number": 1 } ], "metadata": {} } ] }
gpl-3.0
tayebzaidi/HonorsThesisTZ
ThesisCode/testing/LightCurve Align plots.ipynb
1
39521
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import json\n", "import numpy as np\n", "import sys\n", "import matplotlib.pyplot as plt\n", "sys.path.append('../gen_lightcurves/gp_smoothed')" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "ename": "FileNotFoundError", "evalue": "[Errno 2] No such file or directory: '../gen_lightcurves/gp_smoothed/SN2009an_gpsmoothed.json'", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mFileNotFoundError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-2-cd6edd752fec>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 9\u001b[0m \u001b[0;32mwith\u001b[0m \u001b[0mopen\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0maligned_path\u001b[0m\u001b[0;34m+\u001b[0m\u001b[0maligned_name\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m'r'\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;32mas\u001b[0m \u001b[0mf\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 10\u001b[0m \u001b[0maligned_lc\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mjson\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mload\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 11\u001b[0;31m \u001b[0;32mwith\u001b[0m \u001b[0mopen\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mlc_path\u001b[0m\u001b[0;34m+\u001b[0m\u001b[0mlc_name\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m'r'\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;32mas\u001b[0m \u001b[0mf\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 12\u001b[0m \u001b[0mlc\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mjson\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mload\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mf\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mFileNotFoundError\u001b[0m: [Errno 2] No such file or directory: '../gen_lightcurves/gp_smoothed/SN2009an_gpsmoothed.json'" ] } ], "source": [ "aligned_path = '../gen_lightcurves/gp_smoothed_aligned/'\n", "lc_path = '../gen_lightcurves/gp_smoothed/'\n", "\n", "#Select random lightcurve after looking\n", "obj = 'SN2009an'\n", "aligned_name = obj+'_aligned_lc.json'\n", "lc_name = obj+'_gpsmoothed.json'\n", "\n", "with open(aligned_path+aligned_name, 'r') as f:\n", " aligned_lc = json.load(f)\n", "#with open(lc_path+lc_name, 'r') as f:\n", "# lc = json.load(f)" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "dict_keys(['bsplinemag', 'dmag', 'goodstatus', 'kernel', 'mag', 'mjd', 'modeldate', 'modelerr', 'modelmag', 'shift', 'type'])\n" ] } ], "source": [ "mag_aligned = aligned_lc['g']['modelmag']\n", "mjd_aligned = aligned_lc['g']['modeldate']\n", "print(aligned_lc['g'].keys())\n", "shift = aligned_lc['g']['shift']\n", "mag_orig = aligned_lc['g']['mag']\n", "mjd_orig = aligned_lc['g']['mjd']\n", "\n", "mag = mag_aligned\n", "mjd = np.array(mjd_aligned) + shift\n", "mag_err = aligned_lc['g']['dmag']" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAtoAAAGDCAYAAAAVh7eRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nfxgMr/DNur8kAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fd9ffdd47f0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig = plt.figure(figsize=(12,6))\n", "\n", "ax1 = fig.add_subplot(121)\n", "ax2 = fig.add_subplot(122)\n", "\n", "#print(np.array(mjd_orig)-shift)\n", "\n", "ax1.plot(mjd, mag, color='green')\n", "ax1.errorbar(mjd_orig, mag_orig, fmt='o', ecolor='k', yerr=mag_err, color='k', markersize=3,capsize=3)\n", "ax1.axvline(x=shift, linewidth=2, linestyle='dashed', color='black')\n", "ax1.set_title('Pre-Alignment')\n", "ax1.set_ylabel('Magnitude')\n", "ax1.set_xlabel('Days (MJD)')\n", "ax1.invert_yaxis()\n", "\n", "\n", "ax2.plot(mjd_aligned, mag_aligned, color = 'green')\n", "ax2.errorbar(np.array(mjd_orig)-shift, mag_orig, fmt='o', ecolor='k', yerr=mag_err, color='k', markersize=3,capsize=3)\n", "ax2.axvline(linewidth=2, linestyle='dashed', color='black')\n", "#ax2.axvline(x=mjd_aligned[0], linewidth=0.5, linestyle='dashed', color='black')\n", "#ax2.axvline(x=mjd_aligned[-1], linewidth=0.5, linestyle='dashed', color='black')\n", "ax2.set_ylabel('Magnitude')\n", "ax2.set_xlabel('Days')\n", "ax2.set_title('Post-Alignment')\n", "ax2.invert_yaxis()\n", "\n", "plt.show()\n", "#plt.savefig('LCAlign.pdf')\n", "#aligned_lc['g'].keys()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
gpl-3.0
tritemio/multispot_paper
out_notebooks/usALEX-5samples-E-corrected-all-ph-out-12d.ipynb
1
469298
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "**Executed:** Mon Mar 27 11:39:24 2017\n", "\n", "**Duration:** 7 seconds." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# usALEX-5samples - Template\n", "\n", "> *This notebook is executed through [8-spots paper analysis](8-spots paper analysis.ipynb).*\n", "> *For a direct execution, uncomment the cell below.*" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "ph_sel_name = \"None\"" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "data_id = \"12d\"" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# data_id = \"7d\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Load software and filenames definitions" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " - Optimized (cython) burst search loaded.\n", " - Optimized (cython) photon counting loaded.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "--------------------------------------------------------------\n", " You are running FRETBursts (version 0.5.9).\n", "\n", " If you use this software please cite the following paper:\n", "\n", " FRETBursts: An Open Source Toolkit for Analysis of Freely-Diffusing Single-Molecule FRET\n", " Ingargiola et al. (2016). http://dx.doi.org/10.1371/journal.pone.0160716 \n", "\n", "--------------------------------------------------------------\n" ] } ], "source": [ "from fretbursts import *" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "init_notebook()\n", "from IPython.display import display" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Data folder:" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "data_dir = './data/singlespot/'" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import os\n", "data_dir = os.path.abspath(data_dir) + '/'\n", "assert os.path.exists(data_dir), \"Path '%s' does not exist.\" % data_dir" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "List of data files:" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{'12d': '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/007_dsDNA_12d_3nM_green100u_red40u.hdf5',\n", " '17d': '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/004_dsDNA_17d_green100u_red40u.hdf5',\n", " '22d': '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/008_dsDNA_22d_500pM_green100u_red40u.hdf5',\n", " '27d': '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/005_dsDNA_27d_green100u_red40u.hdf5',\n", " '7d': '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/006_dsDNA_7d_green100u_red40u.hdf5'}" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from glob import glob\n", "file_list = sorted(f for f in glob(data_dir + '*.hdf5') if '_BKG' not in f)\n", "## Selection for POLIMI 2012-11-26 datatset\n", "labels = ['17d', '27d', '7d', '12d', '22d']\n", "files_dict = {lab: fname for lab, fname in zip(labels, file_list)}\n", "files_dict" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'12d'" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data_id" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Data load" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Initial loading of the data:" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [], "source": [ "d = loader.photon_hdf5(filename=files_dict[data_id])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Load the **leakage coefficient** from disk:" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Leakage coefficient: 0.10029\n" ] } ], "source": [ "leakage_coeff_fname = 'results/usALEX - leakage coefficient DexDem.csv'\n", "leakage = np.loadtxt(leakage_coeff_fname)\n", "\n", "print('Leakage coefficient:', leakage)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Load the **direct excitation coefficient** ($d_{exAA}$) from disk:" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Direct excitation coefficient (dir_ex_aa): 0.06062\n" ] } ], "source": [ "dir_ex_coeff_fname = 'results/usALEX - direct excitation coefficient dir_ex_aa.csv'\n", "dir_ex_aa = np.loadtxt(dir_ex_coeff_fname)\n", "\n", "print('Direct excitation coefficient (dir_ex_aa):', dir_ex_aa)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Load the **gamma-factor** ($\\gamma$) from disk:" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Gamma-factor: 1.020526\n" ] } ], "source": [ "gamma_fname = 'results/usALEX - gamma factor - all-ph.csv'\n", "gamma = np.loadtxt(gamma_fname)\n", "\n", "print('Gamma-factor:', gamma)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Update `d` with the correction coefficients:" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [], "source": [ "d.leakage = leakage\n", "d.dir_ex = dir_ex_aa\n", "d.gamma = gamma" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Laser alternation selection\n", "\n", "At this point we have only the timestamps and the detector numbers:" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(array([42190, 56253, 56263]), array([47999942928, 47999959323, 48000016759]))" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d.ph_times_t[0][:3], d.ph_times_t[0][-3:]#, d.det_t" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "First and last timestamps: 42,190 48,000,016,759\n", "Total number of timestamps: 1,912,555\n" ] } ], "source": [ "print('First and last timestamps: {:10,} {:10,}'.format(d.ph_times_t[0][0], d.ph_times_t[0][-1]))\n", "print('Total number of timestamps: {:10,}'.format(d.ph_times_t[0].size))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We need to define some parameters: donor and acceptor ch, excitation period and donor and acceptor excitiations:" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [], "source": [ "d.add(det_donor_accept=(0, 1), alex_period=4000, D_ON=(2850, 580), A_ON=(900, 2580), offset=0)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We should check if everithing is OK with an alternation histogram:" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAlIAAAFFCAYAAAAn5APNAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nJCmkhBBCCCGc9P8ldDN76O1+zwAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11ca73240>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_alternation_hist(d)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If the plot looks good we can apply the parameters with:" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "# Total photons (after ALEX selection): 1,642,933\n", "# D photons in D+A excitation periods: 404,153\n", "# A photons in D+A excitation periods: 1,238,780\n", "# D+A photons in D excitation period: 1,043,678\n", "# D+A photons in A excitation period: 599,255\n", "\n" ] } ], "source": [ "loader.alex_apply_period(d)" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "D+A photons in D-excitation period: 1,043,678\n", "D+A photons in A-excitation period: 599,255\n" ] } ], "source": [ "print('D+A photons in D-excitation period: {:10,}'.format(d.D_ex[0].sum()))\n", "print('D+A photons in A-excitation period: {:10,}'.format(d.A_ex[0].sum()))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Measurements infos" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "All the measurement data is in the `d` variable. We can print it:" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "singlespot_007_dsDNA_12d_3nM_green100u_red40u G1.021 Lk10.029 dir6.1" ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Or check the **measurements duration**:" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "599.99949153749992" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d.time_max" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Compute background" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Compute the background using automatic threshold:" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " - Calculating BG rates ... " ] }, { "name": "stdout", "output_type": "stream", "text": [ "[DONE]\n" ] } ], "source": [ "d.calc_bg(bg.exp_fit, time_s=60, tail_min_us='auto', F_bg=1.7)" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x122e59ac8>" ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgEAAAEbCAYAAABDQ1cBAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQERE5FL/D4OEjlw094VEAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x122d6c940>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dplot(d, timetrace_bg)" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "([1960.0483965382143],\n", " [406.04619715470801],\n", " [902.31032918273252],\n", " [628.25703861394027])" ] }, "execution_count": 25, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d.rate_m, d.rate_dd, d.rate_ad, d.rate_aa" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Burst search and selection" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " - Performing burst search (verbose=False) ..." ] }, { "name": "stdout", "output_type": "stream", "text": [ "[DONE]\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Calculating burst periods ..." ] }, { "name": "stdout", "output_type": "stream", "text": [ "[DONE]\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Counting D and A ph and calculating FRET ... \n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Applying background correction.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Applying leakage correction.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Applying direct excitation correction.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " [DONE Counting D/A]\n" ] } ], "source": [ "d.burst_search(L=10, m=10, F=7, ph_sel=Ph_sel('all'))" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "all\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfcAAAEbCAYAAADH883eAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAAJ/wAACf8BB8w+RgAAIABJREFUeJzt3XtcVOW+P/DPMMMAQqEiF2vb6+y9TU+WtjXTolADFVAY\nRUzdKqZdUKujXQ2L8J6pudMyS7NtqRzNNFTUQAU1UUtLTU/u3K8uerwCAqKDMNfn9wc/1mFkhlkq\nc2HN5/169cpZ8zDznWdm1mfWs9Z6lkoIIUBERESK4efpAoiIiKhpMdyJiIgUhuFORESkMAx3IiIi\nhWG4ExERKQzDnYiISGEY7vWMHz8e5eXlN/1358+fR//+/V1QkS29Xo9JkyY5bZebm4uBAwciPj4e\nX375pd3l69evBwB8/fXXGDx4MFJSUjB48GB069YNixcvll3TuHHjcPjwYYf3p6WlIT4+HikpKUhO\nTkZqair27t1rc//UqVNt/iYzMxObNm2SbhuNRvTs2RPvv/++7LoA4KuvvkJmZqZ0+/fff8fo0aMx\nePBgjBgxAr/88kuDv/nxxx+RlpbW6OOePn0aI0eOxKBBg/DUU0/h4sWLjbbPyMjA/fffjytXrtgs\nHzp0KMaMGXMTr8h9ioqK8Mwzz0i3TSYTpkyZgoEDByI1NRX/+7//2+hyd7D3Xv3rX/9C165dpdvX\nrl3D+PHjMWDAAIwZM+amvt9Tp05FbGwsUlJSoNPpMGzYMJw4cUK6v7q6GvPmzUN8fDySkpKQnJyM\nr7/+2unjVldX45VXXoFOp4NOp8P27dvttvvnP/+JxMREJCQkoLCwEACQk5Nj85luzB9//CF9t3v2\n7InY2FgMHjwYo0ePbvTvhg0bhpSUFKSkpCA+Ph5dunRBTU2Nw+/PH3/8gVGjRkGn0+G5555DaWmp\nrPpuxrvvvosffvgB5eXlGD9+PAAgNjYWxcXFTfYcer0eSUlJdh/zpZdewieffOK0/apVqzBw4EAk\nJydj0aJF0vK8vDwMGTIEiYmJWLZsWZPV3ChBt+3cuXOif//+Ln+es2fPin79+jXa5tKlSyIuLk5c\nvXpVXL9+XQwaNEicPn3a4fL6Tp48KQYOHCj0er3smsaOHSsOHTrk8P7Ro0eLI0eOSLdPnDghevTo\nIX799Vfp/i5duogDBw5Ibd566y2Rk5Mj3d62bZt44YUXRK9evYTZbHZak9FoFAsXLhRdu3YVmZmZ\nNrXs2bNHCCHEwYMHxeDBgxv87Q8//CDS0tIaffxnn31W7Ny5UwghxNq1a8Vrr73WaPuMjAzRu3dv\n8dVXX0nLzp07J6Kjo50+l7tZrVaxcuVK0aNHDzFu3Dhp+WeffSamT58uhBDi8OHDYsSIEY0ud4cb\n3yuDwSBGjRol7r//fmnZzJkzxaeffiqEEGLTpk3i1Vdflf34GRkZYsuWLdLtnTt3iuHDh0u3n376\naZGVlSUMBoMQQoji4mKRnJwsioqKGn3cDz/8UMybN08IIURZWZmIiYkR5eXlNm2OHz8uUlJShNFo\nFGVlZaJfv37i2rVr4uuvv7b5TN/qa5Fr0qRJYu3atUIIx9+f4cOHi23btgkhhMjPzxfPPffcTT+P\nMyNHjhQGg0Hk5+eLpUuXCiGEeOKJJ8SlS5ea5PFPnDghkpOTxQMPPNDgMXNyckTPnj3Fxx9/3Gj7\nc+fOiSeeeELU1NQIs9kshg4dKg4fPixOnz4t+vTpI8rKyoTBYBADBgwQp06dapK6G6Nxz08I73Lp\n0iW89tprqKmpgUajwZtvvokuXbogNjYWa9euxYEDB7Bv3z5UVlbi3LlziImJkX4tL1y4EDt27ECr\nVq0QHh6OuLg4PPzww9Jjl5WV4e2330ZxcTE0Gg3eeOMNdOvWDVu2bMFnn30GjUaDdu3aYf78+Th2\n7Bg++OADaDQaXLx40eZ5PvzwQ+Tl5UGtVmPAgAGYMGEC5syZg0uXLmHy5MkOt64PHjyI6Oho3HHH\nHQCA/v37Iy8vD5GRkQ2W5+fnIz09XfrbGTNmYOrUqQgODm60/2bNmoX9+/fj7rvvRkVFRaN9CgCi\n3jxJDzzwAAYMGIANGzbgjTfeAACkp6cjMzMTW7duRVBQUIPny8nJQUpKCq5du4bCwkL069ev0fqO\nHTsmbVH+/PPP0vKhQ4eiV69eAICOHTtKv7iPHz+Ot99+GxqNBv/xH//R6GMDwPLly6FSqSCEwMWL\nF9G6dWsAtaMQXbp0wY8//oiKigpMmzYN0dHRAIB+/fphx44dGDp0KAAgPz8f/fr1w++//97ocxUX\nF+O1117D1atXce+99+Lw4cPYu3cvlixZgqNHj6K4uBhPP/00HnroIUyfPh2VlZUIDg7GtGnT0L59\ne5w5c8Zm+fTp0/HXv/7VYa1nz57Fv//9b8yZMwf//d//LdWxZ88evPLKKwCA7t27o6ysDCUlJXaX\nl5aW4h//+Aeio6ORnJwMALj//vtt3osbOXs9dXU39l699957GDlyJI4dO2ZT97p16wAASUlJmDNn\nDoQQGDNmDF5++WV069YN58+fx7hx47Bjx44GddX/7F67dg3h4eEAgCNHjuC3337D8uXLoVarAQAR\nERGYNWsWjEYjrFYrhgwZApVKZfN4y5cvR/fu3aXaW7dujdDQUJSXl6NVq1ZSu7179yIhIQH+/v5o\n3bo1evToYTPiBQDTp0+HyWTCnDlzHParI8ePH0dWVpZNfRERETZbld9++y1KSkowYsQIAI6/P6dO\nnZJGLnv16oVXXnkFRqNR+kzd+Bn45ZdfkJWVBavVisDAQLzzzju455577NaZnZ2NdevW4eLFixg+\nfDguXLiAsLAwPPLIIwCARYsW4ZdffkFISAjmzp2LP/3pT0hLS7P73k6dOrXBaN2kSZPwxBNPYOPG\njcjKypLWSXXOnz+PDRs2YPjw4TbL7bW3Wq2wWCyorq5GQEAALBYLtFotdu3aBZ1OJ60nVqxYgdDQ\nUDlv023xyXDfsGEDevXqhfT0dBw6dAhHjhxBly5dbD7ox48fx9atWwEACQkJGDlyJM6cOYNjx45h\n+/bt0Ov1GDJkCOLi4mwee86cORg+fDh69+6NCxcuYMyYMcjLy8PixYuxbt06hIeHY/HixTh9+jQA\n4OTJk8jNzUVUVBTGjh2L/Px8aLVaHDx4EJs2bYIQAk899RTuu+8+ZGZm4umnn2502LykpAQRERHS\n7fDwcJw8eRIqlarB8n/961/S7aKiIvj7++Oxxx5rtO/y8/Pxxx9/IC8vD+fPn0dSUlKjfWrPvffe\na7OievTRR1FcXIyFCxc2GHIsKSnBkSNHsHjxYly9ehXr1q1zGu4PP/wwHn74YeTk5NgsHzRokPTv\nxYsXo2/fvgCAt956C9OmTUP37t0xc+ZMp8OKKpUKBoMBffv2hcFgwJo1a6T7hBBYt24ddu/ejcWL\nF0vh3rlzZ3z77bfQ6/UICQnB7t27kZ6e7jTc58yZg6SkJAwfPhy7du3Ctm3bbJ6r7jM6cuRITJs2\nDR07dsSJEycwZcoUfP3115g6darN8tdff10aOq5f66JFixAdHY177rkH77zzDg4dOmRTh73P1aVL\nlxwut9dnzjT2eurqdvReHThwAOXl5RgwYABef/116TFLS0ulQFar1WjRooX0g1ROfYsWLcLKlSuh\n1+tRXFyMzz77DEDt+uHBBx+Ugr3Ogw8+KP27/q6l+urqAYDt27fDbDbjr3/9q02bkpISdOvWTbrd\npk0bXLp0Ca1bt4YQAu+//z6uX7+O+fPn230OZ7p06eKwvjpLly6VfrgBjr8/nTp1wvbt26HT6ZCX\nlweLxYLKysoGj1fXx1988QWeeuopDBw4EN988w2OHz/uMNxHjRqFhx56CGvWrMHs2bMxfvx4zJs3\nDy1btgRQ+yNj7ty52LBhA+bMmYOPP/7Y4fPOnTvX4WudNm0aANsfc0IIZGVlISsrq8EPP3vt27Vr\nh+TkZDzxxBPw9/dHr1690KVLF3z99dcICAjA008/jfLycgwePBhjx451WEtT8clwj46OxosvvohT\np06hT58+GDlyJADbN6pbt24IDAwEUPumVVZWYv/+/RgwYADUajVCQ0OlD3d9Bw4cwB9//CHtH7ZY\nLLh06RLi4uIwatQo9O3bFwkJCejQoQMOHTqEHj164O677wYAJCYm4tChQ9BqtUhKSoK/vz+A2i2O\ngwcPon379k5fm7Azm/CNK6A6fn7/d8jF+vXrZe3/PXTokPQr/e6770b37t0B1PbpCy+80KBP7VGp\nVAgICLBZNmXKFCQnJyMxMdFm+ebNm/H444+jRYsW6N+/P2bPno0LFy7grrvuclqrI/PmzcOJEyfw\nxRdfoKKiAhUVFdLrGDRoEBYuXOj0MQICArBv3z7s27cPEydOxK5duwAAMTExAGp/wNTfx65SqRAb\nG4uCggI8/PDDaNmyJVq0aOH0efbv348FCxYAAPr27Ys777xTuq/ux9P169dx4sQJZGRkSO//lStX\noNfr7S43m80NarW3Mq7vxs+VEMLm8+NsuRzOXk95ebnd9+rq1at4//33peB15mbqe/nll6Utz337\n9uH555/H7t27Adj+IFi9ejU2btwIk8mEDh06YOHChdKWe91rUKlUWL58uRTu33zzDd59912sWLHi\npurevXs3KioqkJubK/t13Kj+lntdfZGRkdKW+7///W9cv34dPXr0aPC39b8/QG1ozpgxAytXroRO\np0OrVq2kdZc9ffr0wYwZM7B//37ExsYiISGh0Vp//fVX3HvvvQCAy5cvS8EOADqdDkDtOrLue+LI\n1KlTbTZoVCqVtOVuz4oVK/DII4+gQ4cOdkd1bnT8+HHs378fe/fuhb+/P9LT05GbmwuLxYLvv/8e\nq1evhkqlwpgxY3DfffehZ8+eTh/zdvhkuHfr1g3ffPMNdu/eje3bt2Pz5s0NvmA3ho8QAmq12m54\n1me1WpGdnS2tuIuLixEZGYk333wTQ4cOxZ49e/D6669j8uTJaNOmjc2Kpu457KlbITsTGRmJo0eP\nSrdLS0sRERGBiIgIu8uB2oOijhw5gvfee0/Wc9Tvg7p6u3Xrhry8vEb7tM6pU6ca/FAJCQnBtGnT\nkJmZic6dO0vLN2/ejIqKCsTFxUEIAX9/f6xfvx4vvfSSrFrrs1qtmDJlCi5fvoxVq1YhODgYFRUV\ndl9PY/Lz89G/f3+oVCrExMSguroaVVVVAP7vc2NvSzA+Ph7Lly9HeXm50xVaHY1G4/AzV/fj02q1\nIjg42Gakom7I1N5yjUbjtNYbRUVF4fLly9KPqtLSUkRGRiIiIqLB8rrPVV3dJpNJ1mt19nrqBxHw\nf+/VgQMHcOXKFTz11FMQQsBisSAlJQXr169HREQEysrKEBYWJg2ZhoaG2jyW3O9WTEwM/Pz8cPr0\naTzwwANYtWoVhBBQqVRIS0tDWloaDh06hE8++QR+fn6NbhlnZ2fjs88+w8qVKxtstQO1Q+T1R5BK\nS0tx7733wmg04p577sHkyZMxffp0rF69WlbtN3K25V5YWNjgh7a97w9QuwFTt3tCr9fj448/lgLY\nYDDY/B+o/R507doVe/bswRdffIF9+/ZhxowZduvIzs7G0qVLERISgg0bNuDixYtISUmRfpjUfZaF\nENK/Hb23jW2527Njxw4YjUZs27YNly9fhkqlQkhIiMMDEg8dOoSYmBjpB3hSUhKOHDmC8PBwm12i\nMTEx+J//+R+Xh7tPHi2/cOFCbNq0CYMHD0ZWVpbdo6btiY6ORn5+PsxmM/R6Pfbs2dOgTc+ePbF2\n7VoAwIkTJ5Camgqj0Yj4+Hi0atUK6enp0Ol00i/IH374AZcvX4bRaMT27dvx2GOP4eGHH8bWrVth\nNBphMBiQm5uLnj17QqPROF1RPvroozh48CAqKytRXV2NHTt2ICYmxuFyoDZs//KXv0Cr1Trtg0ce\neQR5eXkwm80oLi7Gjz/+eFN9+tNPP2HHjh148sknG9zXp08fdOrUCd988w2A2l/C5eXl2Lt3LwoK\nClBYWIgFCxZg48aNsFqtTmu90Zw5c6DX6/Hpp59KK6ZWrVqhTZs2OHjwIAA4PHK5vjVr1kg1fvfd\nd2jTpg1CQkKc/t3f/vY3/Pbbb8jPz0dsbKysmqOjo6UttL179+Lq1asN2oSEhOCuu+5CXl4egNqV\n0oQJExwut8fZj9ZevXpJYfDDDz8gODgY4eHh6N27t93lrVq1wqlTpwAAO3fulPVanb0eR+9VQkIC\ndu7ciZycHGzatAlqtRo5OTnw9/dH7969pR8J27Ztw0MPPQSVSmVTX2NbZfX75eTJkzCZTPjLX/6C\n7t27o3379pg1a5ZNgO3bt8/pyEBeXh4+//xzrF271m6wA7X9nZeXB4PBgPLycnz//ffSfub27dtj\n2LBhsFqt2Lhxo9P+vBXHjh2TRkjq2Pv+AMD7778vjWasXLnSZrfZ999/D6B2t1+dV199FcePH8ew\nYcMwefLkRte/o0aNQseOHbFx40a8/vrrGD16NHJyctC1a1cIIaTPQE5OjtQ/ct9bZ7766its3rwZ\nmzZtwogRIzBq1KhGzzT4z//8T+zfvx8GgwEWiwX79u3DAw88gF69emHfvn24fv06jEYjvvvuO9x3\n3323XJdcLttyX79+PVavXg21Wo3WrVtj5syZ+NOf/mTT5qOPPsLWrVthtVoxYsQIjBs3zlXl2Bg1\nahReffVV5OTkQKPRYPr06QAcb8HULe/duzeOHj2KlJQU3HnnnYiIiJC2NupkZmbi7bffxubNm+Hn\n54dFixZBq9Vi8uTJGDt2LAIDA9GyZUvMmzcPv//+O8LDw/Hqq6+ipKQEiYmJ6N27N4DaFUlqairM\nZjMSEhLQr18/mM1mRERE4JlnnnE4BBkZGYnJkydj9OjRMJlMGD58uPRBcrT87NmziIqKktV3/fr1\nw7Fjx5CUlIS2bdtKw2WjR4/GK6+80qBPgdrhsLqRjKCgICxatAht27a12+eZmZnSynvz5s1ITU2V\nfpEDQFxcHObPn4/CwkK7u0UcqaysxNq1a9GuXTvph4VKpUJOTg7mz5+PN998E0IIdOrUyeljzZkz\nB2+++SY+/fRT3HHHHdIxEHK2gGNiYlBSUmL3wEF7pk6dioyMDKxduxYdO3a0GZavb8GCBZg2bRqW\nLl2KwMBAaYjS0fIba3VWe1paGrKyspCcnAx/f3+8++67jS7/+9//jpdffhmDBg3CI488YrNfXg5H\ndct5r+q/lkmTJiEjIwObN2/GHXfcIe1yefbZZ5GRkYENGzY0egxH3T53lUoFi8WC9957T/osL1my\nBB999BGGDh0KjUYDs9mMRx99FPPmzWv0tS1btgw1NTWYMGGCtOU/e/ZsCCHw4YcfYtmyZejSpYt0\n6qjFYsFLL72EsLAwm8fJysrCM888g9jYWKxevRqRkZENDvy6VefOnbNZJzT2/XnttdcwZcoULFq0\nCH/+859ttpAvXLiAgQMHIiIiQjqI7LnnnkNmZiaWLl0Kf39/6aC0zMxMxMXF2QyTW61WXL9+HSEh\nITh+/LjNaY4qlQq//vorBg0ahDZt2kj9Lve9tUfOd9hR+8cffxw///wzBg0aBH9/f0RHRyM1NRVA\n7fdk2LBhMJvNSEpKko7FcSlXHIJ/8uRJERsbK65evSqEECI7O1uMGTPGpk1BQYF48sknhcFgENev\nXxepqaniu+++c0U5Tebo0aPSKVomk0kMGzbstk5p+P77721ONyK60apVq6TTBn/55RcxZMgQD1dE\n3ujkyZMiOzvb02XYuNnT73bu3Cmdake3zyVb7sHBwZg9e7a0j6Fz5874/PPPbdoUFBQgKSlJGgrW\n6XTYsmWLy/dD3I4///nPWLJkCVauXAkhBIYMGYIOHTq4vY6zZ8/iv/7rv2x+NYr/vwXwwQcfoF27\ndl79+E1h/vz5OHDggFRjXX3R0dE2R0t76+PX9/nnn2PTpk0Nthrat28PnU6HV155BX5+fggICMDs\n2bOb9Lndafv27dJphHWEEAgNDZUOzqJbU1JSIh3411yZzWZp5JJun0oIJzvbbpPJZML48ePRuXNn\nvPzyy9LyZ599FsOGDZOOvM7Pz8f69etlH/FKRERE9rn0gLorV64gPT0dLVq0aDBtqr3fFHKOVCYi\nIqLGueyAutOnT2P8+PHo3bs3pk6d2mDIMSoqyuZUj5KSElkHdRmNZmg0vneQ/42nAfkq9gP7oA77\noRb7oZav9oOjszNcEu6lpaVIS0vD+PHjHZ46EBcXh2XLlmHo0KGwWq3Izc3FCy+84PSxKyurm7rc\nZiEsLBhlZVWeLsPj2A/sgzrsh1rsh1q+2g/h4XfYXe6ScF+zZg2uXLmCjRs3YsOGDQBqT4F67rnn\nsHv3bsyaNQuxsbE4deoUUlNTYTKZoNPpeDAFERFRE3D5AXVNrbT0mqdL8Ahf/VV6I/YD+6AO+6EW\n+6GWr/aDoy1339t5TUREpHA+Obc8EVFzVmWwwGCqHXQVGiMq9A3nxw/wVyE4gGcg+SqGOxFRM1Jl\nsGDt/nJUG2tvqzWVsJgbXmshSAv8/bHWDHgfxXAnImpGDCaBaiMQ1qYNtFoNtP5qGE0WmzZGoxll\nly/DYBIIDnDwQKRoDHciomZIq9UgKEALrVYNtZ/F+R+QT+EBdURERArDcCciIlIYhjsREZHCMNyJ\niIgUhuFORESkMAx3IiIihWG4ExERKQzDnYiISGEY7kRERArDcCciIlIYhjsREZHCMNyJiIgUhuFO\nRESkMAx3IiIihWG4ExERKQzDnYiISGEY7kRERArDcCciIlIYhjsREZHCMNyJiIgUhuFORESkMAx3\nIiIihWG4ExERKQzDnYiISGEY7kRERArDcCciIlIYhjsREZHCMNyJiIgUhuFORESkMAx3IiIihWG4\nExERKQzDnYiISGE0ni6AiMjbVBksMJiE03YB/ioEB6jdUBHRzWG4ExHVU2WwYO3+clQbnbcN0gJ/\nf6w1A568DsOdiKgeg0mg2giEtWkDrdbxKtJoNKPs8mUYTALBAW4skEgGhjsRkR1arQZBAVpPl0F0\nS3hAHRERkcIw3ImIiBSG4U5ERKQwDHciIiKFYbgTEREpDMOdiIhIYRjuRERECuPy89wzMjLQqVMn\njBkzpsF9CQkJ0Gq1UKtrZ3dKT09HYmKiq0siIiJSNJeF+5kzZzBjxgwcPXoUnTp1anB/ZWUl9Ho9\nioqKXFUCERGRT3JZuH/55ZcYMmQIIiMj7d5/7NgxBAYGYuzYsSgvL0f//v3x/PPPw8+PewqIiIhu\nh8vCfcqUKQCA/fv3272/pqYG0dHRyMzMhMlkQnp6OkJDQ5GWluaqkoiImpTFKnClyuy0Ha8eR+7m\nsbnl4+PjER8fDwDQarUYO3YssrOzGe5E1CyYzBaU603Y/ONVaPxUjbbl1ePI3TwW7rt27UJ4eDge\nfPBBAIAQAhqN83JCQ4Og0fje0L1KpUJYWLCny/A49gP7oI6r+kFojFBrKqH1V0OrdRzG6moVoPJD\nZGQEQlo4vsCMwWhGaWkpWgQHIiz09i9EY6++G+u0WNVQa/zQqmVQkzxnc8DvhS2Phfu5c+ewZs0a\nrFixAhaLBdnZ2dDpdE7/rrKy2g3VeZ+wsGCUlVV5ugyPYz+wD+q4qh8q9GZYzFYYTRao/SwO25nM\nFkAAfio/qP0c/whQqSywmK2ouFINldnU5PVptWoYjbZ1Gk1N+5zNga9+L8LD77C73K2bwIWFhXj7\n7bcBAGlpaWjfvj10Oh10Oh26du2K1NRUd5ZDRESkSC7fcp87d67079jYWMTGxgIA1Go1MjMzXf30\nREREPsf3dl4TEREpnMf2uRMR+QqeMkfuxnAnInIhnjJHnsBwJyJyIavVCsAPYWFt0CLI32E7o9GM\nssuXYTAJBAe4rz5SJoY7EZEbaP01CArwjXPOyfN4QB0REZHCMNyJiIgUhuFORESkMAx3IiIiheEB\ndURECsRz630bw52ISGF4bj0x3ImIFIbn1hPDnYhIoXhuve/iAXVEREQKw3AnIiJSGIY7ERGRwjDc\niYiIFIbhTkREpDA8Wp6IyEvImXjmSpUZViHcVBE1Vwx3IiIvIHfiGbPFiivXrWhrdWNx1Oww3ImI\nvIDciWeu6WtQUXUZViu33skxhjsRkRdxNvGMwWhyYzXUXDHciajZqzJYYDA535LlRVLIVzDciahZ\nqzJYsHZ/OaqNztvyIikN8epxysRwJ6JmzWASqDYCYW3aQKt1vErjRVIa4tXjlIvhTkSKoNXyIik3\ni1ePUy6GOxGRj+PV45SHM9QREREpDMOdiIhIYRjuRERECsNwJyIiUhiGOxERkcIw3ImIiBSG4U5E\nRKQwDHciIiKFYbgTEREpDMOdiIhIYRjuRERECsNwJyIiUhiGOxERkcIw3ImIiBSG4U5ERKQwDHci\nIiKFcRju48ePl/59/PhxtxRDREREt89huBcXF0v/njZtmluKISIiotsna1heCOHqOoiIiKiJOAx3\nlUpl999ERETk3TSO7rh69SoKCwshhMC1a9dQUFBgc39cXJysJ8jIyECnTp0wZsyYBvd99NFH2Lp1\nK6xWK0aMGIFx48bdZPlEROQOFqvAlSqz03YB/ioEB6jdUBE1xmG433XXXVi5ciUAoG3btvj888+l\n+1QqldNwP3PmDGbMmIGjR4+iU6dODe4vLCzE3r17sXnzZlgsFqSlpaFTp07o2bPnLb4UIiJyBZPZ\ngnK9CZt/vAqNX+MjuUFa4O+PtWbAe5jDcF+9evVtPfCXX36JIUOGIDIy0u79BQUFSEpKglarBQDo\ndDps2bKF4U5E5GWsVisAP4SFtUGLIH+H7YxGM8ouX4bBJBAc4L76qCGH4Q4Aer0eW7Zswa+//oqg\noCB07NgRCQkJUiA3ZsqUKQCA/fv3272/uLgYvXv3lm5HRkZi7969N1M7ERG5kdZfg6AA5+t/8jyH\nB9T99ttvSExMREFBAQIDAwEAGzduREJCAs6ePXvbT2zvCHy1uvkN41QZLCjXm53+V2WweLpUombJ\n0XfscqXucUuZAAAR+0lEQVQR5XozrlSZYeUZPUQ2HG65z5s3D2+88QaSkpJslufk5GDBggX44IMP\nbuuJo6KiUFpaKt0uKSlBVFSU078LDQ2CRuMdE+vpq834Yt9FVBmcr1iCA1RIj2+LkKBGB0scUqlU\nCAsLvqW/VRL2g2/1QWPfMRUqIQCYzFZUXLegnZ8ftFrHGwgWqxpqjR9atQxCWKjjrU+hMUKtqYTW\nX93o4/lr1IAK0Pg3/rzuaHdje0/VJrePXcGXvhdyOEyaCxcuNAh2AEhJSbE5uO5WxcXFYdmyZRg6\ndCisVityc3PxwgsvOP27ysrq237uplKuN+NqlQVhbdpAq3Uc2nX7oS6WVKF1yK2Fe1hYMMrKqm61\nVMVgP/hWHzT2HdP6q2E0WXBNX4Oyq5dhNFpg9Hc8QmY0WWAxW1FxpRoqs8lhuwq9GRazFUaTBWo/\nx49nMlsAAZhNVhiNnmun1aobtPdUbXL72BV86XtRX3j4HXaXO0wajcZxCN3qee+FhYXYvXs3Zs2a\nhdjYWJw6dQqpqakwmUzQ6XQ2++CbE62W+6GIXMned0yrVUPtZ4HB6N4QIWoOHCZ4YwF+M+E+d+5c\n6d+xsbGIjY2Vbk+cOBETJ06U/VhERETknMNwP3v2LF588cUGy4UQOHfunEuLIiIiolvnMNzfeust\nlJeXQ6VSITAwEEFBQdJ9ffv2dUtxREREdPMchvudd96JmTNnIigoCH5+fliyZAn+9re/ubM2IiIi\nugUOw33p0qX48ssv0aFDB+zatQsffvghPvvsM3fWRkRepMpggcHk/LRPb55bXM786DxvnpTAYbhb\nLBZ06NABQO0w/KJFi9xWFBF5lyqDBWv3l6Pa6Lytt84tLnd+dLPFiivXrWhrdWNxRE1M9tHyjZ0a\nR0TKZjAJVBshe04Hb5xbXO786Nf0NaiougyrlVvv1HzJTmxe052IlDCng7P50XnePCmBw3A/deoU\nevToId3W6/Xo0aMHhBBQqVQ4dOiQWwokIiKim+Mw3Hfu3OnOOoiIiKiJOAz3u+++2511EBERURPx\njsurERERUZNhuBMRESkMw52IiEhhePI6EXmEnBnvOFsc0a1huBOR28md8Y6zxRHdGoY7Ebmd3Bnv\nOFsc0a1huBORxzib8Y6zxRHdGoY7KeJqX0TkHeRceQ/g+sTVGO4+TglX+yIi7yD3ynsA1yeuxnD3\ncUq42hd5F14z3XfJvfIe1yeux3AnAMq42hd5Hq+ZToDzK++R6zHciajJ8JrpRN6B4a5gnCSEPIXX\nTCfyLIa7QnGSECIi38VwVyhOEkJEdGuUcHoww13hOEkIEZF8Sjk9mOHeDHFfOhGRayjl9GCGezPD\nfelERK7X3E8PZri7iZyJPeTsv+G+dCIicobh7gZyJ/a4mf033JdORESOMNzdQM7EHt6+/4aIiJoP\nhrsbcUpGIiJyBz9PF0BERERNi+FORESkMByWJyIin+BLc4Qw3ImISPF8bY4QhjsRESmer80RwnD3\nIo1NdCM0RlTozR4dMpIzEQ/g3RdTICLf5itzhDDcvYSziW7UmkpYzFaPDRnJnYgH8O6LKRAR+QKG\nu5dwNtGN1l8No8nisSEjORPxAJyMh4jIGzDcvYyjiW60WjXUfhaPDxlxIh4iIu/H89yJiIgUhuFO\nRESkMAx3IiIiheE+dwd8aSYjIiJSFoa7Hb42kxERESkLw90OX5vJiHwbR6mIlIfh3ghfmclICeQE\nFMDZ827EUSoiZXJpuBcUFGDRokUwmUzo2rUrZsyYAa3WNiwTEhKg1WqhVteucNPT05GYmOjKskhh\n5AYUwNnzbsRRKiJlclm4l5WVISsrCxs2bEDbtm0xY8YMfPLJJ5g0aZLUprKyEnq9HkVFRa4qg3yA\n3IByxex5Shkx4CgVkbK4LNyLiorQtWtXtG3bFgAwfPhwvPjiizbhfuzYMQQGBmLs2LEoLy9H//79\n8fzzz8PPj2fo0c1zFlBNjSMGROStXBbuxcXFiIqKkm5HRkaiuLjYpk1NTQ2io6ORmZkJk8mE9PR0\nhIaGIi0tzVVlETUZT44YEBE1xmXhLuwcWVu3X71OfHw84uPjAQBarRZjx45FdnY2w72Z87VLw7p7\nxEBfbUa53nf6l4hunsvCPSoqCj///LN0u6SkBJGRkTZtdu3ahfDwcDz44IMAan8QaDSNlxQaGgSN\nxrXD9kJjhFpTCa2/Glqt45Wjv0YNqACNv99tt5PTRqtVN+lzuqJdjRGoqDJj6zE9NOrGLw0bHKBC\nenxbhAQ5fs/11WbUGP/vEO2yqyYIje1V6YQaUPmpnL5fFqsaao0fWrUMQljo7Yex3M9JUz6vvtqM\nT/MvQW9wfti6nP71xGe9Kds1h++EO9rd2N6barOnqb+LAKBSqRAWFuzw/qb+rLviNTQll4X7448/\njgULFuD8+fO4++678dVXXyEuLs6mzblz57BmzRqsWLECFosF2dnZ0Ol0jT5uZWW1q0qWVOjNsJit\nMJosUPtZHLYzmS2AAMwmK4zG22vnrI1Wq4bRaGnS53RFuxqDCUKo0LJla1mXhr1YUoXWIfY/hvb2\naas1frCYbYOt7jStiIjG3y+jyQKL2YqKK9VQmW//ADG5n5OmfN5yvRl6gxUtW7aWtSugsf69mdfg\njZ+75vKdcHW7un7wxtocaervIgCEhQWjrKzK4f1N/Vl3xWu4FeHhd9hd7rJwDwsLw+zZszFx4kSY\nzWZ06NABc+fORWFhIXbv3o1Zs2YhLS0N586dg06ng8ViQWJiIlJTU11VErlRU1wa1t4+7brr2ten\npNO0nB19f6XKDKtVuH1XABE15M27IF16nnufPn3Qp08fm2WxsbGIjY0FULsPPjMz05UlkBdz9sWo\nmxWtfpDVXde+PqWcpiXn6HuzxYrKGisiItxXFxE1ZDJbUK43YfOPV6Hxa3wXpCfOluEMdeQRcr4Y\nvjYrmpyj76/pa1BxXhmjFETNmdVqBeCHsLA2snZBuvtsGYY7eYScL4aShttvRmND7jczSiFnyJBz\nxpOnePOQ9s1oil2QrsBwJ49q7IuhlOF2T5A7ZOhroyPkHbx9SFsJGO5ECiR3yNBXR0fIs7x9SFsJ\nGO5ECuZsyJCjI+RJ3jqkrQScxJ2IiEhhuOVOZIecq73xYDQi8lYMd6IbyL3aGw9GIyJvxXAnuoHc\nq73xYDQi8lYMdyIHnE3xyoPRiMhbMdzJp3BiFyLyBQx38hmc2IWIfAXDnXwGJ3YhIl/R7MK9XG9/\nSNXb5x8m78GJXYhI6ZpduGcXVdhdzvmHiYiIajW7cG97V1SDZTcz/zAnJyFP4IF8ROROzS7cb2ce\nYk5OQp7AA/mIyN2aXbjfDk5OQp7AA/mIyN18KtzrcHIS8gQeyEdE7sKrwhERESmMT265ExEReRs5\nB3wD8k79ZrgTERF5mNwDvgF5p34z3ImIiDxM7gHfck/9ZrgTERF5CWcHfMvFcCciInIhT0xixXAn\nIiJyEU9NYsVwJyIichFPTWLFcCciInIxd09ixUlsiIiIFIbhTkREpDAMdyIiIoVhuBMRESkMw52I\niEhhFHO0vCcmCSAiIvJGigh3T00SQERE5I0UEe6emiSAiIhcS86oLAAEtHDexpcoItzruHuSACIi\nch25o7IAcGfwVQztEer0Oue+QlHhTkREyiF3VNZoNOPKlXKnl0H1JQx3IiLyas5GZakhngpHRESk\nMAx3IiIihWG4ExERKQzDnYiISGEY7kRERArDcCciIlIYhjsREZHCMNyJiIgUhpPYEBFRs+dsDnpf\nuyqoS8O9oKAAixYtgslkQteuXTFjxgxotbazDH300UfYunUrrFYrRowYgXHjxrmyJCIiUhiT2YLL\nlcZG56D3tauCumxYvqysDFlZWVi+fDny8vIQGBiITz75xKZNYWEh9u7di82bN2PTpk3Ytm0bvv/+\ne1eVRERECmS1WiGgQlhYG7S9K8rufy1btYYQ8Jmrgros3IuKitC1a1e0bdsWADB8+HBs2bLFpk1B\nQQGSkpKg1WoRFBQEnU7XoA0REZEcdXPQ2/tPq/Wtq8W5LNyLi4sRFRUl3Y6MjERxcbHTNpcuXXJV\nSURERD7BZfvchZ0DF9Rq9U23uVG1wdhgmdFoqf2/yYxqg+Nr/npzO2dtLFY1jCaLV7+Gpm5nr01d\nP3i6Nk+18+ba3N3OF78T9tr5+ndCbjtvru3m2jk+aLA+l4V7VFQUfv75Z+l2SUkJIiMjG7QpLS21\naVN/S96eiXEt7CxtAaC1jKq8uZ031+apdt5cm6faeXNtnmrnzbV5qp031+apdt5c2820A4A7nbZw\n2bD8448/jiNHjuD8+fMAgK+++gpxcXE2beLi4rBlyxYYDAZUV1cjNze3QRsiIiK6OSphb2y8iezZ\nswf/+Mc/YDab0aFDB8ydOxcHDx7E7t27MWvWLADAxx9/jG3btsFkMkGn0+GFF15wVTlEREQ+waXh\nTkRERO7H6WeJiIgUhuFORESkMAx3L1JQUIDk5GQkJCRg6tSpMBobnvb30UcfITExEfHx8Vi5cqUH\nqnQ9Z/1gsVgwa9YsJCcnIzk5GW+99Zbdvmru5Hwe6kyaNAlz5851Y3XuIacPtm3bhiFDhiApKQmv\nvfYaTCaTByp1LTn9MHfuXAwcOBDJycmYP3++B6p0n4yMDKxatcrufb6wjpSD4e4lOF1vLTn9sGbN\nGhQXF2PLli3Izc1FTU0NVqxY4aGKXUNOP9RZvXo1Dh8+7OYKXU9OHxw/fhwLFizAsmXLsHXrVlgs\nFqxevdpDFbuGnH7YtWsXfvrpJ+Tm5mLTpk04fPgwdu3a5aGKXefMmTN4+umnkZ+fb/d+X1hHysVw\n9xKcrreWnH64//778dJLL0Glqp3ooVOnTrhw4YLba3UlOf0AACdOnMDOnTsxYsQId5focnL6IDc3\nF0OHDkV4eDgA4O2330ZSUpLba3UlOf1gtVpRU1MDg8GAmpoaGI1GBAQEeKJcl/ryyy8xZMgQJCQk\n2L3fF9aRcjHcvQSn660lpx+6d++O9u3bAwAuXryIVatWITEx0a11upqcfrh27RqmT5+Od9991+nM\njs2RnD44c+YMDAYDJkyYgMGDB2PJkiW4807nE3w0J3L6oX///rjnnnsQExODPn36oF27doiJiXF3\nqS43ZcqURn+8+cI6Ui6Gu5dw1XS9zc3NvMZffvkFo0ePRlpaGh577DFXl+ZWcvrhrbfewoQJE3DX\nXXe5qyy3ktMHZrMZRUVFmDdvHjZu3IirV69i8eLF7irRLeT0w9q1a1FVVYWioiIUFRVBCIElS5a4\nq0Sv4QvrSLkY7l4iKioKJSUl0u2mmq63uZHTDwCwe/dujBs3Di+99BKeffZZd5boFs76obi4GMeO\nHcPSpUsxePBgrFu3Drm5uZgzZ44nynUJOZ+FiIgI9OrVC6GhoVCr1UhOTsZPP/3k7lJdSk4/7Nmz\nB4MGDUJgYCACAgLw5JNP4uDBg+4u1eN8YR0pF8PdS3C63lpy+uHgwYPIyMjA0qVLkZyc7IkyXc5Z\nP0RGRuLbb79FTk4ONm3ahBEjRkhnDiiFnM9C3759UVhYCL1eDyEECgoK0LlzZ0+U6zJy+uH+++/H\nzp07YbVaYbVaUVBQgC5duniiXI/yhXWkXC67cAzdnLCwMMyePRsTJ060ma63sLBQmq43NjYWp06d\nQmpqqjRdb+/evT1depOS0w91w64zZ86EEAIqlQrdu3dXVLDJ6Qelk9MHffv2xaVLlzB8+HBYrVZ0\n6tQJGRkZni69ScnphwkTJuCdd97BgAEDoNVq0blzZ0yePNnTpbuFr60j5eL0s0RERArDYXkiIiKF\nYbgTEREpDMOdiIhIYRjuRERECsNwJyIiUhiGOxERkcLwPHcikuX8+fPo168fOnbsKM0vIIRA69at\n8c9//tPT5RFRPQx3IpItJCQEOTk5ni6DiJzgsDwREZHCcMudiGTT6/VISUkBAGloPiEhAePHj/dw\nZURUH8OdiGTjsDxR88BheSIiIoVhuBORbLzOFFHzwGF5IpLt+vXr0j534P/2u3/++ecIDQ31YGVE\nVB8v+UpERKQwHJYnIiJSGIY7ERGRwjDciYiIFIbhTkREpDAMdyIiIoVhuBMRESkMw52IiEhh/h8e\nQASim9npMAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1044aa6a0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "print(d.ph_sel)\n", "dplot(d, hist_fret);" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# if data_id in ['7d', '27d']:\n", "# ds = d.select_bursts(select_bursts.size, th1=20)\n", "# else:\n", "# ds = d.select_bursts(select_bursts.size, th1=30)" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [], "source": [ "ds = d.select_bursts(select_bursts.size, add_naa=False, th1=30)" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [], "source": [ "n_bursts_all = ds.num_bursts[0]" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def select_and_plot_ES(fret_sel, do_sel):\n", " ds_fret= ds.select_bursts(select_bursts.ES, **fret_sel)\n", " ds_do = ds.select_bursts(select_bursts.ES, **do_sel)\n", " bpl.plot_ES_selection(ax, **fret_sel)\n", " bpl.plot_ES_selection(ax, **do_sel) \n", " return ds_fret, ds_do" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAakAAAEbCAYAAABgLnslAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1044f9be0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "ax = dplot(ds, hist2d_alex, S_max_norm=2, scatter_alpha=0.1)\n", "\n", "if data_id == '7d':\n", " fret_sel = dict(E1=0.60, E2=1.2, S1=0.2, S2=0.9, rect=False)\n", " do_sel = dict(E1=-0.2, E2=0.5, S1=0.8, S2=2, rect=True) \n", " ds_fret, ds_do = select_and_plot_ES(fret_sel, do_sel)\n", " \n", "elif data_id == '12d':\n", " fret_sel = dict(E1=0.30,E2=1.2,S1=0.131,S2=0.9, rect=False)\n", " do_sel = dict(E1=-0.4, E2=0.4, S1=0.8, S2=2, rect=False)\n", " ds_fret, ds_do = select_and_plot_ES(fret_sel, do_sel)\n", "\n", "elif data_id == '17d':\n", " fret_sel = dict(E1=0.01, E2=0.98, S1=0.14, S2=0.88, rect=False)\n", " do_sel = dict(E1=-0.4, E2=0.4, S1=0.80, S2=2, rect=False)\n", " ds_fret, ds_do = select_and_plot_ES(fret_sel, do_sel)\n", "\n", "elif data_id == '22d':\n", " fret_sel = dict(E1=-0.16, E2=0.6, S1=0.2, S2=0.80, rect=False)\n", " do_sel = dict(E1=-0.2, E2=0.4, S1=0.85, S2=2, rect=True)\n", " ds_fret, ds_do = select_and_plot_ES(fret_sel, do_sel) \n", "\n", "elif data_id == '27d':\n", " fret_sel = dict(E1=-0.1, E2=0.5, S1=0.2, S2=0.82, rect=False)\n", " do_sel = dict(E1=-0.2, E2=0.4, S1=0.88, S2=2, rect=True)\n", " ds_fret, ds_do = select_and_plot_ES(fret_sel, do_sel) " ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(329, 948)" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" } ], "source": [ "n_bursts_do = ds_do.num_bursts[0]\n", "n_bursts_fret = ds_fret.num_bursts[0]\n", "\n", "n_bursts_do, n_bursts_fret" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "D-only fraction: 0.257635082224\n" ] } ], "source": [ "d_only_frac = 1.*n_bursts_do/(n_bursts_do + n_bursts_fret)\n", "print('D-only fraction:', d_only_frac)" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaMAAAEbCAYAAAB3DOvsAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nxGAwGIzIwc6IwWAwGJHj/wHugD7Ps94v5AAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x12089dbe0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dplot(ds_fret, hist2d_alex, scatter_alpha=0.1);" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAaMAAAEbCAYAAAB3DOvsAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAAJ/wAACf8BB8w+RgAAIABJREFUeJzsnXm4HEW5/z9V3bOck5ONJUG98IiCCxG8bEGiATyBbJAN\nIkEgLC4gLkRBMUAIiRDDYi5hEQWuF0S4QbaEPSwJINuPqBBBCXhVQBCyQEJyzpmll6rfH72kp0/3\nzIScLXG+zzPP9FRXV9XbNfV+631rE1prTQMNNNBAAw30ImRvF6CBBhpooIEGGmTUQAMNNNBAr6NB\nRg000EADDfQ6GmTUQAMNNNBAr6NBRg000EADDfQ6GmTUQAMNNNBAr2OLyej0009n/fr1W5zRv/71\nL0aPHr3Fz20p2tvbOfPMM2vGu++++zjyyCMZM2YMv/3tbxPDb7/9dgDuvvtuJk+ezJQpU5g8eTL7\n7bcfV155Zd1lOvXUU/n973+fen/69OmMGTOGKVOmMGHCBI455hiefPLJivvnnntuxTOzZs1iyZIl\n4W/LsjjooIO44oor6i4XwB133MGsWbPC3//4xz848cQTmTx5Mscddxyvvvpqp2f++Mc/Mn369Krp\nvvHGGxx//PFMmjSJk08+mXfffbdq/JkzZzJs2DA++OCDivCpU6dy0kknbYFEPYenn36ar3/96+Fv\n27Y555xzOPLIIznmmGP45z//WTW8J5BUV6tWrWLfffcNf7e1tXH66aczfvx4TjrppC1q3+eeey6t\nra1MmTKFiRMncuyxx/Lyyy+H94vFIpdeeiljxozhqKOOYsKECdx999010y0Wi5x11llMnDiRiRMn\n8uCDDybG+5//+R/GjRvH2LFjWb58OQCLFy+u+E9Xw+uvvx627YMOOojW1lYmT57MiSeeWPW5Y489\nlilTpjBlyhTGjBnDPvvsQ6lUSm0/r7/+OieccAITJ07km9/8JuvWraurfFuCSy65hD/84Q+sX7+e\n008/HYDW1lbWrFnTJelfe+21jB8/ngkTJnDTTTeF4T//+c/Duv3Zz35WET5u3DgmTZrEokWLameg\newhvv/22Hj16dLfn89Zbb+kjjjiiapzVq1frUaNG6U2bNulCoaAnTZqk33jjjdTwKF555RV95JFH\n6vb29rrLdMopp+gVK1ak3j/xxBP1Cy+8EP5++eWX9fDhw/Xf/va38P4+++yjn3322TDO+eefrxcv\nXhz+fuCBB/R3vvMdfcghh2jHcWqWybIsvWDBAr3vvvvqWbNmVZTliSee0Fpr/dxzz+nJkyd3evYP\nf/iDnj59etX0v/GNb+hHH31Ua631okWL9A9/+MOq8WfOnKkPPfRQfccdd4Rhb7/9th4xYkTNvHoa\nSil944036uHDh+tTTz01DP/Vr36l58yZo7XW+ve//70+7rjjqob3BOJ1VS6X9QknnKCHDRsWhv3k\nJz/RN9xwg9Za6yVLluizzz677vRnzpyp77333vD3o48+qqdNmxb+/trXvqZnz56ty+Wy1lrrNWvW\n6AkTJuinn366arpXX321vvTSS7XWWr///vt65MiRev369RVxXnrpJT1lyhRtWZZ+//339RFHHKHb\n2tr03XffXfGf/rCy1IszzzxTL1q0SGud3n6mTZumH3jgAa211g8//LD+5je/ucX51MLxxx+vy+Wy\nfvjhh/W1116rtdb6y1/+sl69evVWp71y5Up9zDHHaMdxdLlc1qNGjdL//Oc/9fPPP6+/+tWvasdx\ntG3b+uSTT9bLli3TTz/9tJ4yZYoulUq6XC7rqVOn6j/96U9V8zDTSGr16tX88Ic/pFQqYZom5513\nHvvssw+tra0sWrSIZ599lqeeeoqNGzfy9ttvM3LkyLA3smDBAh555BEGDx7MzjvvzKhRozjwwAPD\ntN9//30uuOAC1qxZg2ma/PjHP2a//fbj3nvv5Ve/+hWmabLrrrty2WWXsXLlSq666ipM0+Tdd9+t\nyOfqq69m6dKlGIbB+PHj+da3vsW8efNYvXo1M2bMSLVennvuOUaMGEH//v0BGD16NEuXLmXo0KGd\nwh9++GFOO+208Nm5c+dy7rnn0q9fv6okf9FFF/HMM8/wsY99jA0bNlR9p36nIHz2c5/7HOPHj+fO\nO+/kxz/+MQCnnXYas2bN4v7776epqalTfosXL2bKlCm0tbWxfPlyjjjiiKrlW7lyZdhj/8tf/hKG\nT506lUMOOQSAT3/602Gv6qWXXuKCCy7ANE0+/vGPV00b4Prrr0cIgdaad999lx122AHwrLx99tmH\nP/7xj2zYsIELL7yQESNGAHDEEUfwyCOPMHXqVAAefvhhjjjiCP7xj39UzWvNmjX88Ic/ZNOmTey5\n5578/ve/58knn+Saa67hxRdfZM2aNXzta19j//33Z86cOWzcuJF+/fpx4YUXsscee/Dmm29WhM+Z\nM4dPfvKTqWV96623+Otf/8q8efP43//937AcTzzxBGeddRYABxxwAO+//z5r165NDF+3bh3/9V//\nxYgRI5gwYQIAw4YNq6iLOGrJE5S7Wl397Gc/4/jjj2flypUV5b7tttsAOOqoo5g3bx5aa0466SR+\n8IMfsN9++/Gvf/2LU089lUceeaRTuaL/3ba2NnbeeWcAXnjhBf7+979z/fXXYxgGAEOGDOGiiy7C\nsiyUUhx99NEIISrSu/766znggAPCsu+www4MHDiQ9evXM3jw4DDek08+ydixY8lkMuywww4MHz68\nwqMAMGfOHGzbZt68eanvNQ0vvfQSs2fPrijfkCFDuO6668Lfv/vd71i7di3HHXcckN5+XnvttdAz\ndMghh3DWWWdhWVb4n4r/B1599VVmz56NUop8Ps9Pf/pTdtttt8Ry3nrrrdx22228++67TJs2jXfe\neYcdd9yRL3zhCwAsXLiQV199lZaWFubPn89//Md/MH369MS6Pffcczt5Q84880y+/OUv89vf/hbD\nMFi7di1KKZqamthxxx2ZOXNmWL+f/OQnWb16NcVikZEjR5LL5QAYPnw4TzzxRKjvkpBKRnfeeSeH\nHHIIp512GitWrOCFF15gn332qaiYl156ifvvvx+AsWPHcvzxx/Pmm2+ycuVKHnzwQdrb2zn66KMZ\nNWpURdrz5s1j2rRpHHroobzzzjucdNJJLF26lCuvvJLbbruNnXfemSuvvJI33ngDgFdeeYX77ruP\nXXbZhVNOOYWHH36YbDbLc889x5IlS9Bac/LJJ/PZz36WWbNm8bWvfa2qG23t2rUMGTIk/L3zzjvz\nyiuvIIToFL5q1arw99NPP00mk+GLX/xiatrgKdHXX3+dpUuX8q9//Yujjjqq6jtNwp577lnRsA4+\n+GDWrFnDggULOrkg1q5dywsvvMCVV17Jpk2buO2222qS0YEHHsiBBx7I4sWLK8InTZoUXl955ZUc\nfvjhAJx//vlceOGFHHDAAfzkJz+p6WYQQlAulzn88MMpl8vccsst4T2tNbfddhuPP/44V155ZUhG\ne++9N7/73e9ob2+npaWFxx9/nNNOO60mGc2bN4+jjjqKadOm8dhjj/HAAw9U5BX8R48//nguvPBC\nPv3pT/Pyyy9zzjnncPfdd3PuuedWhP/oRz8KXUnRsi5cuJARI0aw22678dOf/pQVK1ZUlCPpf7V6\n9erU8KR3VgvV5AnKnVZXzz77LOvXr2f8+PH86Ec/CtNct25dSCCGYdDc3Bx2oOop38KFC7nxxhtp\nb29nzZo1/OpXvwI8/fD5z38+VFQBPv/5z4fXUVdzFEF5AB588EEcx+GTn/xkRZy1a9ey3377hb93\n2mknVq9ezQ477IDWmiuuuIJCocBll12WmEct7LPPPqnlC3DttdeGHQ1Ibz977bUXDz74IBMnTmTp\n0qW4rsvGjRs7pRe841//+tecfPLJHHnkkTz00EO89NJLqWR0wgknsP/++3PLLbdw8cUXc/rpp3Pp\npZcyaNAgwCPF+fPnc+eddzJv3jx+8YtfpOY7f/78VFkNw+C6667jl7/8JePHj2ennXZip512Cu+/\n8cYbLF26lNtuu423336byy+/nG9+85uA99/be++9U9OGKmQ0YsQIvvvd7/Laa69x2GGHcfzxxwOV\nvaD99tuPfD4PwK677srGjRt55plnGD9+PIZhMHDgwLAyonj22Wd5/fXXw/EN13VZvXo1o0aN4oQT\nTuDwww9n7NixfOpTn2LFihUMHz6cj33sYwCMGzeOFStWkM1mOeqoo8hkMoDXo3vuuefYY489qgoc\nlyFAvMEEkHLzsNrtt99e1/jFihUrwl7Qxz72MQ444ADAe6ff+c53Or3TJAghwl5FgHPOOYcJEyYw\nbty4ivB77rmHL33pSzQ3NzN69Gguvvhi3nnnHT760Y/WLGsaLr30Ul5++WV+/etfs2HDBjZs2BDK\nMWnSJBYsWFAzjVwux1NPPcVTTz3FGWecwWOPPQbAyJEjAY9wo2NEQghaW1tZtmwZBx54IIMGDaK5\nublmPs888wyXX345AIcffjgDBgwI7wVkXygUePnll5k5c2ZY/x988AHt7e2J4Y7jdCprkvKIIv6/\n0lpX/H9qhdeDWvKsX78+sa42bdrEFVdcERJFLWxJ+X7wgx+EPfunnnqKb3/72zz++ONAJYH95je/\n4a677sK2bT71qU+xYMGC0DIKZBBCcP3114dk9NBDD3HJJZfw3//931tU7scff5wNGzZw33331S1H\nHFHLKCjf0KFDQ8vor3/9K4VCgeHDh3d6Ntp+wFPyc+fO5cYbb2TixIkMHjw41F1JOOyww5g7dy7P\nPPMMra2tjB07tmpZ//a3v7HnnnsC8N5774VEBDBx4kTA05FBO0nDueeeW9EBF0KElhF4cwZOOeUU\nTj/9dO6++26OPvpoAP7v//6P008/nXPOOYddd92VXXfdlSOPPJLjjz+eIUOGMGLECMrlctW8U8lo\nv/3246GHHuLxxx/nwQcf5J577un0h4grS601hmEkKvsolFLceuutoaJZs2YNQ4cO5bzzzmPq1Kk8\n8cQT/OhHP2LGjBnstNNOFQ0jyCMJgQKphaFDh/Liiy+Gv9etW8eQIUMYMmRIYjh4g9AvvPBCxQBd\nNUTfQVDe/fbbj6VLl1Z9pwFee+21TsTa0tLChRdeyKxZsyp6Gffccw8bNmxg1KhRaK3JZDLcfvvt\nfP/736+rrFEopTjnnHN47733uPnmm+nXrx8bNmxIlKcaHn74YUaPHo0QgpEjR1IsFuno6AA2/2+S\netpjxozh+uuvZ/369TUbYADTNFP/c0FnSSlFv379KizBwIWSFG6aZs2yxrHLLrvw3nvvhZ2AdevW\nMXToUIYMGdIpPPhfBeW2bbsuWWvJE1WcsLmunn32WT744ANOPvlktNa4rsuUKVO4/fbbGTJkCO+/\n/z477rgjrutSLBYZOHBgRVr1tq2RI0cipeSNN97gc5/7HDfffDNaa4QQTJ8+nenTp7NixQp++ctf\nIqWsannceuut/OpXv+LGG2/sZBWB5zKLWujr1q1jzz33xLIsdtttN2bMmMGcOXP4zW9+U1fZ46hl\nGS1fvrxTxzCp/YDX4Q7cle3t7fziF78ICSNQ0lFlPWbMGPbdd1+eeOIJfv3rX/PUU08xd+7cxHLc\neuutXHvttbS0tHDnnXfy7rvvMmXKlJBIg/+y1jq8TqvbNMvon//8J21tbQwbNoxcLscRRxzBa6+9\nBnju2DPPPJMLLriAMWPGANDR0cG4cePCCT6XXHJJaFCkIbX7s2DBApYsWcLkyZOZPXt24qyqJIwY\nMYKHH34Yx3Fob2/niSee6BTnoIMOCmdXvPzyyxxzzDFYlsWYMWMYPHgwp512GhMnTgwZ+g9/+APv\nvfcelmXx4IMP8sUvfpEDDzyQ+++/H8uyKJfL3HfffRx00EGYplmzYR988ME899xzbNy4kWKxyCOP\nPMLIkSNTw8Ejh0984hNks9ma7+ALX/gCS5cuxXEc1qxZwx//+Mcteqd/+tOfeOSRR/jKV77S6d5h\nhx3GXnvtxUMPPQR4vbf169fz5JNPsmzZMpYvX87ll1/OXXfdhVKqZlnjmDdvHu3t7dxwww1hQxo8\neDA77bQTzz33HEDqzKYobrnllrCM/+///T922mknWlpaaj73n//5n/z973/n4YcfprW1ta4yjxgx\nIuwBP/nkk2zatKlTnJaWFj760Y+ydOlSAB555BG+9a1vpYYnoVYn65BDDgmV1x/+8Af69evHzjvv\nzKGHHpoYPnjw4LBBP/roo3XJWkuetLoaO3Ysjz76KIsXL2bJkiUYhsHixYvJZDIceuihIak98MAD\n7L///gghKsqXNFaU9F5eeeUVbNvmE5/4BAcccAB77LEHF110UYXCfeqpp2paXkuXLuWmm25i0aJF\niUQE3vteunQp5XKZ9evX8/zzz4fjJHvssQfHHnssSinuuuuumu/zw2DlypWhBRogqf0AXHHFFaG1\neOONN1a40Z9//nnAGwYIcPbZZ/PSSy9x7LHHMmPGjKr694QTTuDTn/40d911Fz/60Y848cQTWbx4\nMfvuuy9a6/A/sHjx4vD91Fu3AVavXs2sWbOwbRvLsli+fDn7778/b731Ft/97ndZsGBBSEQAb7/9\nNt/97ndRSrFu3ToeeeSRRC9ZFKmW0QknnMDZZ5/N4sWLMU2TOXPmAOk9xCD80EMP5cUXX2TKlCkM\nGDCAIUOGhL25ALNmzeKCCy7gnnvuQUrJwoULyWazzJgxg1NOOYV8Ps+gQYO49NJL+cc//sHOO+/M\n2Wefzdq1axk3bhyHHnoo4P3xjznmGBzHYezYsRxxxBE4jsOQIUP4+te/nuqSGDp0KDNmzODEE0/E\ntm2mTZvGZz/7WYDU8Lfeeotddtml6ssMcMQRR7By5UqOOuooPvKRj4Tm84knnshZZ53V6Z2CZx4H\nlmJTUxMLFy7kIx/5SOI7nzVrVqhs7rnnHo455piwxwMwatQoLrvsMpYvX17zDxDFxo0bWbRoEbvu\numtIhEIIFi9ezGWXXcZ5552H1pq99tqrZlrz5s3jvPPO44YbbqB///7hGF49FsbIkSNZu3Zt4kSN\nJJx77rnMnDmTRYsW8elPf7rCTRfF5ZdfzoUXXsi1115LPp8PXRZp4fGy1ir79OnTmT17NhMmTCCT\nyXDJJZdUDf/qV7/KD37wAyZNmsQXvvCFinGlepBW7nrqKirLmWeeycyZM7nnnnvo379/6IL9xje+\nwcyZM7nzzjurjkEGY0ZCCFzX5Wc/+1n4X77mmmv4+c9/ztSpUzFNE8dxOPjgg7n00kurynbddddR\nKpX41re+FVpWF198MVprrr76aq677jr22WefcCmE67p8//vfZ8cdd6xIZ/bs2Xz961+ntbWV3/zm\nNwwdOpRp06bVfrl14O23367QCdXazw9/+EPOOeccFi5cyO67715hgbzzzjsceeSRDBkyhIEDBwLw\nzW9+k1mzZnHttdeSyWTCiUyzZs1i9erVvP766+H//OCDD6a9vZ0LLriA5557jnw+z0EHHcTBBx+M\nEIK//e1vTJo0iZ122il87/XWbYDhw4czbtw4Jk+ejGEYjBs3jtGjRzN//nzK5TKXXHJJWE/HHXcc\n06ZN4/DDD2fixIlorUP3XVVszXS/JLz44ovhlGPbtvWxxx6rX3vttQ+d3vPPP18xfbaBBuK4+eab\nw2nwr776qj766KN7uUQN9EW88sor+tZbb+3tYlRgS6eTP/roo7q1tTX8vweYP3++vuiii7TWWr/5\n5pv6kEMO0W1tbV1a1u5GqmX0YbH77rtzzTXXcOONN6K15uijj+ZTn/pUV2dTE2+99Rbf+973KnqA\n2mfuq666qjZL93L6XYHLLruMZ599NixjUL4RI0ZUzKbqq+lHcdNNN7FkyZJO1skee+zBxIkTOeus\ns5BSksvluPjii7s0757Egw8+GE6LD6C1ZuDAgeFgeAMfDmvXrg0nWmyr6Ojo4L333mPhwoW8+eab\nDBs2jJkzZ7Js2TKuvvpqAHbbbTf23ntvli1bVjG7r69DaN04XK+BBhpoYFvA66+/Hrpnhw4dyiWX\nXMLbb7/NU089xZNPPhlOirjooosYOnRoxRrJvo4ut4waaKCBBhroHuy+++5ce+214e8zzjiDL33p\nS7iu2ynuh10+0FvYtkq7HSK6oDOY/RadBZd0vSX3o1BKVXyCsKAM8efS0os/n5ZntbDovb///e/d\nLmNamQL5u1vGWjLUegdbK2NaeBAW5N+dMla7H1/Y3B0ybmk99kW88sorFbNZtb9m7T/+4z8qprmv\nXbu27glXfQUNMuplFIvFsCFIKTs1iqTeTVqPJwiP3o836Ph9KWVYhmj+afHjZU3Ls9ZzUZRKpW6X\nMYiXJGOxWOx2GWvVY/QddIeMtcoa5N+dMiaFB9/Bf7A7Zay3HrsHzhZ80qG15qc//Snvvfce4E0T\nHzNmDKNGjQo3fH7rrbdYuXJlzZ1i+hoabro+gGoNLi1eECctbrXn4vkEv+ONOqm3mBYeL1P8d2/L\nKKVsyBh7riFj5b1gAk73oL5Fwx7S1fKwYcOYMWMGJ598Mkop9txzT+bNm4eUktmzZ4dbj82dO7di\nH79tAQ0y6iNIa6TVem719OaSFEZaL7GaQqnVg4yTWlIe1RRR2r3tRcZ66rEeJb2ty1gNfUHG7sOW\nkFF1fOUrX0lcEF/PFl19GQ03XR9A3O2QFid+HXdpJIXFG2a84aXlmdbTTIsX7bEG1/EyNWSsPa7S\nWzJG7/0712P3oWvcdNszGmTURxBvULUaS5qyiLsxog07rkjiPdDod70WTDXFlObqSXp2e5exVj2m\nyd1TMiaVvatl3JbqsevRIKNaaJBRH0G0scbdCMF1EtJ61fG04nnFn4+6QuKNPak3nKQs4mkHMkXT\nTpIxqVxdLWM0fm/IWKse0+TuKRmjv7tLxr5ej903XgQNMqqNxphRH0FSTxU6N8ZoQwy+qzXyaK81\nCdXyjH9XK2s8n2iZ0twuSdheZaxVj/H0tkcZq9VjUt49LaMQoo9MYPj3RMMy6gOIuzCquSOSGlFa\nY09yrwSfePxoeJrLItpDrmXZJCmAhox9V8b4/Z6WMY7erMfuQXkLPv+eaFhGfQS1Gm6AeO80raEl\nuTPq6Vkm5ZFUxlq92KSy9FUZo+gtGePXXS1jrXqshe6uxzSZu1LGWvXY/W66BqqhQUZ9APHGCunu\nm6QGGY2T1vDiyiCt8cYVRpoCSutZpvW0+7KM8fvbo4z/DvXYFTJ2HxpkVAsNMuojSOptBmFp4fU0\n2CjSGmX0dz2NOBoWVz5piqeajPF8u0PGeE+5p2XsiXrcGhmj5eoNGdPK1JUy1qrHvrPo9d8T1e3W\nBnoE8YaR2FC1RgrhNTwhILrZun8PrSvClfJ960F42ifIR2tAV+QVjRf8Fv696IbvwTHGQXjS/SBO\n0Oij96PhSqlEpZCkZEIZ63jH8XhJYXGlmZR/nEij9+vphadZBPFnos9u7zIm5ZWEnpCxe9CYTVcL\nDcuojyCpIQMVBKQC0gniRa6j9+LhKqL0qz2jtEYiOt0LfgshCFIKyCR6llES4ufyRMPjZJSUZvT5\npF5xEF7NbRR3L6X1qJN62ElWQBy1FHI8rVquo96QMX7dGzImpd2VMtaqx8aYUe+iQUZ9BImumJpH\nTWmU8khDAmi/pxclGT9mQEhKg5QCEEjhpeGFK6SQkbgazWZCEJH0N2evQYtOpBGQTNDokxp5J8m0\nhhRlEKRfj7sm7V49Cimtxx5PMxo/7mas5lKrB90lY9yN1hsyJpWhL8nYmNrdu2iQUR9BWo8SiFk8\n2tfkARGx2Y2mvd8eMRFLI5qZwGMXUXFfaXczseGTABrtqs084eeNjj6tK3gqmrVHa5WWjsYnqIDk\nIvnFEbeYqimcWkox+n6TeuTV0kxLOy2damM91ayBaBpdKWM9brPuljGJhPqqjF2PBhnVQoOM+hjC\nBuW7zSDqQoOACLw4oFzlk48GrVD+mA8i4pILNL4ILCOJUoAQvjWkUcpFCj9vj4J8MgLhk51WKkxK\nKYVHJR4peoTh3wuJw7d2fOJTWiOk9J/yyhFYX6EV5SuFIE7cdRfkHX1fcUSVZTROmosnKU6167S0\nEusxxY0VTydJhq6UMaq4e0vGpPBq6Asydh06H5PSQCUaZNQH0MnHHRsXUtojiGCCgXJdj4CUguCE\nR61AKaTwJyGgfeLx80D41wK09L6FAN81J7WqJEGtPWvIJzntagTeBAatNUL7JARoFbj0qPz2P0qL\nQBjPGgqsIrl5CxbHcTAMw5PJJ6m4yySwnNKUeS2FkqY8U+thC3raSenVSqtaeXtaxmpl6AkZo+jN\neuw+NCyjWmiQUR9Bp0YcnUAQusZ0SDpKuZ7iDq9dJMof1/FddlKj8AhJCuERkiFBSRB+L1IYvqVl\ne1aSxx6bZ9Yp5VlE2g2vUf5MOeURkgqiat/K0Z5rTmlQeF5BzWYCQgiEYSCExDANAFzHa6xSSo9o\nQ9k966oaEUV7xfUOnNd6/0nP14MtUcbB/fj1v6OM0XT6goxdjwYZ1UKDjPoI0htLdFxIgXLBdZD+\nN64ThqEc0C5KKxBBo/ddZdKfAKAkShhIwwBtemSERirbs5K08MeJNFq5CO0i/PS164LreuTnKpTS\naJ+QXJ8Hlda42rOGFD4ZIdDC+yClR0SGgWGaSNNEax2SEYZHTsInrNCSCt5GZOwo6d1VsyCSestJ\nyjHp+TS3UNr9JNRSkkll6CoZoy6s3pQxjr4mY/eha8nolltu4c4772TJkiW89957jBo1ik984hPh\n/auuuopdd921S/PsbjTIqI9Aqc5rawKDCO1bKK6DUArhOijbBtcGxwLHQjg2KBuUg9AuWij/A9IQ\nKEMgDQNlGEjDRLkZpJFBiYyXiVv2ickbWBJKgXLQjoNwbZRjIxwb7Xph2nFxXc99p1yN64Lrk5Kr\nvY+jBS6ghEAJiZISDAPpk5CRyWBms6A1VrmM6bv+MuCV1XcbIkQnUoq6aOLWQ9J38EwU1RRXkrJK\nI4stsRKBBdy6AAAgAElEQVSiceIusmoutK2VMWlsJO5+6wkZ42n1pIxbUo9dj64joz//+c9cf/31\n7LjjjgCsXLmS1tZWrrjiii7LozfQIKM+gKR1NcJfxKqVZ3II5X1wHXBssMsIu4S2ygi7DHYZ3DLa\ntRE4CFyQCilBGQJMgTJNhGmizSyYWZTKIQyPDJRTQkoDtEQrjVCul5ZtoR0LYZdRlgW2hbZtlOOi\nbBflKFxHY7sa5YLjgq3BUWBrgQO4QuJKiTYkGCYik8HIZjFzObL5PFpryuWyN06UBVdGiMc0vckO\nCeuNosolrtDicdOu0xRhEuIKLcmFlhQvCAt+pynTpHJ3lYxJ3z0tY1q5+4qM28IODG1tbcyZM4ez\nzz6bm266CYAXX3yRd955h2nTpuG6LqeddhqjR4/ukvx6Eg0y6mMIG4SOjNtojXIdhOuAbYFVhnIR\nXS5CueBd20WEXUK4FlpbIDwy0oZGmAKdMZAZE53NQiaHcPOITB5UHsgj3QLKNZFIpNKeFWZbHslZ\nJZRVgnIRVS6jLQtlObiWg2srHEfj2B4h2Q7YCiwFlhbYCGwhcKWBMk3IZJDZLGY+T6apiZxtI/v1\no1wsAr57zldQRkxBaK2RUnZy00GysqumEOOKtJYiq+YuqqZo43FrlbGWRbE9y5hWtp6ScVtY9Hr+\n+efz7W9/m5aWljAsk8kwbtw4TjnlFN544w1OPPFEdtttNz7zmc90SZ49hQYZ9TVo7bvnvFlr2nUR\nSqEdF+3YaMuCcglRKiCKHehSB6LUgSh3oO0iuCWEtkA4IF2vhrMCkTXQ2Qy4OVBNCNUEuhmVsYEc\n2imAyHiWkat868sCn/BEqYAuFaBUQpVKqLKNKju4lotjaWxbYzmasgOWCyWfjMoIbCFxpIGbyUA2\ni5HPYzY1kbMsHMehpbmZcqnkEZDvRpTSQMjNiiO63iggpTjq6QlXQ5KFkqbMqrm26ilD0vP1jGVs\nzzImla1a+mnoThk/PNytTuHmm29myJAhtLa28vzzz4fh3//+98Prj3/844wdO5bly5c3yKiBDw8d\nsYaU681aQytc2/HGaywLXS4hiwV0sQNRaEMX2hDFdkSpDWEXEE4RrcsgbTA0ZDTkJOQMhJsFlUfr\nJtD9ABuJA3pHtNOBFBlQEuEqtO2Rni57eVHsQBfaUcUCqlhCFS3cko1TdrHLCsvSlG0oOf5HCUpK\nU0JiSYltmB4Z5XIYzc1k+vUj7zi4StFvyBBKpRKGaWJmMhiZDFqbISEjBMIn6ehODHHLAaqPEdTr\nuklCtR54NDwp3aT4ac8kucO6QsZ6xkV6W8Za5NUTMnYftn6d0X333UepVGLy5MkUCgXWrl3LV7/6\nVcaMGcOECRPCMSQA09z2VPu2V+LtFEpt3lhUK2+6tna9dUTasUIi0qUiFAvIjnboaEN0bEQUNiFL\nbUirA5wCAo+MhOlCDrRjIFwTrXMI8ghhoaWDEMGsOw1OO4oMUkuUo8DyrCJR7IBCG6qjHd3Rhi50\noDpKuMUSbtHGKbk4JdcjIwtKNhQdKLhQRFDSgpI0sA0TJ5eDfB6zVCJr27hKhdO2rVKJTDZLNpcj\n43qTIwzTW89kaI2O7dYAyWMscRdQPT3ieFrReNWQ5rpKSq9aHkmE09UyViOFviJjkoutt2Tsemy9\nm+6OO+4Ir1esWMH8+fNZtGgR3/72t9m4cSMzZszg3Xff5ZFHHuHXv/71VufX02iQUR9A4HoCUK6L\nUgrlumjXRTk2yiqjfCIShQ50RxtGexuifSOiYyNGYSO62Iay2jGcDoQoIQwHndEIB4QrgQxC5sBo\nAsMBQ6GlRsuAjNqQZFFKIG2FLpehVEAXfRLq2IRua0N3tKPbC6hCGbdg45Zc7GJgHQnKNhRtTUEJ\nCgoKwqAkJJZp4uTyHhlZFjlXoYQA07OAyqUSuXwex7ZxXdeTX+vNm0dU2TwV0l08Sfer9ayT0om7\nluLpJFkDaUoy/nxS2Wop/+6QMS29rpQxmlat8ZzeqMdtYQJDEubMmcMFF1zAhAkT0Fpz3nnnsfvu\nu3dbft2FBhl9CMycOZO99tqLk046qdO9n//859x///0opTjuuOM49dRTaycYkJG/LY5yXVzHwbVt\nXKuMKpdRpQKq2AEd7Yj2NkTbJmT7JmT7RszCRmRxE6bdhnILCGEjTQuR8zYyRRhgZiFjg63QGYFw\nBQQfrRGBZeQKb9CnXPLGiIrt6EIbdGyE9k3o9g50W8G3jhycDhunpLBLCssWlMveuFHJhYKWFBAU\npUHZzOA05aHchKkUjhCIbAYzn0cDVrmMbds4ARH52wwppcItgmAzKcVdPXGkDVSnKfp6FFs0nbT0\n4nGSwpOUddKzXSljLeWdVoaekjEtva6U8cPUY9eha8lo+PDhLF68GIAhQ4Zw3XXXdWn6vYEGGW0B\n3nzzTebOncuLL77IXnvt1en+8uXLefLJJ7nnnntwXZfp06ez1157cdBBB1VNVykVEpHruri2je0T\nkVMq4ZSKqEIBVWhD+0RktG/EaNuI0b4RVdiIWdoETgeGKmJIC511vfEnKSFjgqMRLt6YkDLQygRl\nIpS3C5x22xA6g3ZAW044SUIX26HoW0Ydm9Bt7bgdRVRbCVVwcAs2TkljlxV2SWPZeGNHynPRFZEU\npEE5k8WxbXBcTCnR2SxmUzPZUgmttUdEjuNZRUqFO4dHd1KOrzNKeo9xBRONm9YzTnq+HtdUnMjq\ncSdFyaWagmzI2PMybquW0faCBhltAX77299y9NFHM3To0MT7y5Yt46ijjiKbzQIwceJE7r333ppk\nBIRK2LEdHNvGLpexSkXsYhG7owO30I7b3gZtmxBtH2C0bcRs30imo41MsQ1Vbkc7BbQog2GBb1HI\njABHg+sRkNQOYCN0ydujTkEwZqSVRNggLDtiGXWgC22+q64N3RFYRjaq3cItOrhlHRKSZQvKtqbs\n4o0XISkaJuWMN0akhSCbyyFKJbLlEpZlAXQmIqW8Pe+CtVZboGzSXDTR+7V6wfX0sqv12JPGPKJl\nqDeP7UnGanJUk7+nZeweNMioFhpktAU455xzAHjmmWcS769Zs4ZDDz00/D106FCefPLJmukG40Wu\n47nnbMuiXC5hFYuUOzqw2tux29tw2zZ6ZLTJJ6L2TWQLbbjFdrRdALfoEVHGBaExMhLtGqA0Qnsb\n82j/Ay5o27OMpG8ZOQJtad+08chIFzug0I4qdqAKHZ6FViyhOoIxI4VTdHHKGtsC2/bWGlmu8KZ2\nSwNLupSV9lxzmQxYFtJxsHxrSGuNoxSuP5aglfKmt1fppCYpkiSlFYTXcsXE3UnVyCDNMoinEy9j\nUhmqKcKelDGedk/LGC9nd8hYq1wNy6h30SCjLkTSeTyGv9daNShfCbuui+t6lpFVKlMqFil1FCi1\nt2Fv2oSzaRN600Zk20bMtjayhTacYjtuqQPsImAhpIXI+eMsOYF2QSqB0CI8g0hrhdC2dzCeUmih\n0XY7ygFhOeiSA6UiFIvoYsEnoiJOsYhbKOJ2lHGKFk7ZxSk6eBtAKGzbIyPLFVhKeAtfhUvZMLGF\nxHZspO1NUTf88SHH33U8sIi03nyoX2AZxd9xvT3ZpF54vWMLcQWW9B3kkaTsqqVdazxlS8YvukrG\ntOe3Jxlr1WP3LnptHCFRCw0y6kLssssurFu3Lvy9du1adtlll6rPOI7D//3tb8DmdUbB7DqdzWHu\nkKXfoEGRrYG8DVODM4aE1rha04GmACD8GWhCeKdDCMKNUhF43/jX/jlDLnleNaeDqSEH9GfzDhA6\n2I7bOyrCKx+Rb2hG06RhR8KokY93mJ4Oz1byN0v1FYWQkkw2y+6f/aynOKSkvVCgo1Tyo3ceL+pq\nOI7DX/7yl25Lf1sog+M4rFq1qlfz7+06GDZsWDem3rCMaqFBRl2IUaNGcd111zF16lSUUtx33318\n5zvfqfqMYRjs8clP4tg25XKZUqFAsaODjk2bKGzaRGHjBxQ2fEB54wbsDz5AbfwAsWkjmUI7uY42\n8uUCTXaRZqdMk3TIZzXZvCA7wMTob2IMzCEH55GDsjAgj+ifgSYTcgbalAiheaPf99ltwwJvFl3R\nQhdsRFsR1WbBpiJqYxl3Ywl3Uxm7zcJqdygXHIolRbGsKJQU7Y6g3Va0OdDmwiYkbQrahaRgmpQz\nWdymJmT//uQGDaJ58GAGDhnCDkOGMP644/j7qlXstPPO7LDTTvQfNIiW/v3J5ZvI5rKYpuntzOD3\ncgPrKMkFU21cIt5DD+KvWrUqVRFVG9+p1isPnqmFIO6qVav4bEDIKfJsjYy1XGXBO+hOGaNlissT\nz787ZEyLuyVW2odHg4xqoUFGW4nly5fz+OOPc9FFF9Ha2sprr73GMcccg23bTJw4sWIMqRqCgfrQ\nXef4ExksG8sqUy5bWCULVbYQpTJusYQqW1D0duwW2vUXsXonQygLMo7EcCXS8T7CAW0LMEEI/9gJ\nFLpZYxU7wLLRBRtdKEOhjC7YqEIJVbRRxTJOycEpu1iWS9lSlCxFydaUXCg7irISlJWmrMHS2tuX\nTmscwMGz4KRSGEp5u3lrb/QqlJ9Kq2qzMSUST3xNUiD1uJeSlE/cbRN9PnCjVlNk9biv0spXTVH2\nlIxpsnSljPWO3XSXjPXUY/ehQUa10CCjD4H58+eH162trbS2toa/zzjjDM4444wtSzDimgvccSqY\n6q283Qhcx8VxHX9rIBftuGC5CEd5H6UQUqMluBmDrCuwbYFpgbRBWiDKIDIKhPbmL0gXcNGDNcWO\nArpcRhcs79PufVSHjerwFre6RRu75GKVfDJyoGRrCi4UlKCooKSDj6YMWEJgaY3jH7SH8I+V8D86\nMkuh84hbpXsuuI4rquh3/DoaVm1CQFrvOqq80nr48etqyrzWs/Hy9JSMSdc9KWOtZ7pCxnrqsfvQ\nIKNaaJBRH0F8PY2IhIlwzEeipUALiSO8k1KFkEgtEEp4wzsOOLbCtjQZS2NYYBQVMqu87YGEtyOD\nNl20dNHaRmtN+6YCqmyhi2V0h4XqcHzLyEEVbNyC6+22UFbYtqJs69AqKrpQUNDhagoKihrPOhIC\nC7D9TzAxISNESEz+trCJRKR15e4UUdTq1SaFVVPCSfeDsDQFWy2fLRloT0NXyxhX4GnPdKeM1fLq\nbhm3tB67Fg0yqoUGGfUFCI9I8DcBlVJ6h8+ZJoaZ8TcPzWJkMqhsDp3JgGmiDBPHMClLE7QKT1m1\nXYFpg2lpzKKLkXGRpotAIFyPKbSp0cJGaRtTw6YPPLefKpVRBZ+I2m1Uh4squLgljVNW3lER1uYd\nuksKSq5nHRU1dOB9F4Gi1thS4gjhTeuW/vEP+Kebs9klF/jkdOVrqdgGCGr3pqH6eEs0TvzZako1\nTVEludnqeS6pd78l6WyLMkatkr4oY/dO7d76Xbu3dzTIqA8gOMPHkBLpH8edyWTCjUOdfB63qQld\nLiEsC6fJ8k5bdV1vs1HXW6vjuhpbKSwHTBuMksI0FNJwkdhIpRG2g86ANpRHRsphkNJ8sKGMa9mo\nkoUqedaQKvhEVFSoosYpex/bAduVlF1/nEhrSiEBQVEISniLXssaHLxD9gI1oIjNrquCgITiZxpB\nem+2Wq+32rhHPLxabzlt3Ccp3SAsiYDiacWV67+LjEnoaRm3hfOMtmc0yKiPQAoQwjvPx4wSUVMT\nyrbRjgO2jXRcpOuNJSnlLRB1tfKWsQqQ2sFQCtMBw9YYZYVhuEjfKhJl6VlF0kUJB1dZDFTwwQc2\nrmXjlmxcy0UVXVTJIyG3BKoMjiNwXYGttHeAHhJLacoYlFFYCApoyj4ZWUJiSeGf8mqgpScfhuFZ\nSYbhTUGHxKPFo0g7zyipd500BpKm8KL3k3rrtVxRcQWZllfcQkhLK/rdlTLGZaj1Dnpaxnia3SFj\nvfXYPWisM6qFBhn1MgR+IzYMpKGQvlXk5nK4juOtLVJueOy4RCO1xtYKR/v7KWiNRuOgwdVIITFc\nhbTAEApDKKRyELaAskQbCiUclHZw8KyqD9b7e8OVXVzLxS0rlA1uWaBKGmULXBtcFxwtsdHYgIXC\nFoKyAAtBSXikFExecA3DIyMpMaX0iCggo4jyCnqmFeNkCeQU3EtTclEk9YyTlGD0d63eejx+Uppx\nKyBNCdbq2XeljEn59rSMSZZVPG5vytjYgaF30SCj3oavdKWUGKZBRnlHg2d9qydYeCq15+Yy8M7M\nk9rb4sfWGjewjgRoS4KykSikAulIjJJCKIF0NFgaJVyUcHG0i6NclIKNmzSOo3AsjeMIlCVwHVAW\nKMdAuaC0wNX+VncCLOGPCSmwpMRSCksaWIAjJLbAt4o8ssU0EYbhEa+/dsjwDwELetUBCYEnurdO\ndzNBJZ30mtQbTurlxnva1XrC9fSe01xS0d9pvfWkstajaD+sjLXcaj0hYzVy6wsyNtx0vYsGGfUR\nBFaCkclg+jscgH+yqRAYweQGIcLNFDQahcDFW8vjSomSEm0bCO0gpEda0sWb2u2ClholvDU/tvLI\nTGto26SwHY3tSm8quesRkHZFSERaSFxDo4TAthW2oT1Scl0soXGlgaW84yGC8mjDRGZzyFwOI5sl\nk89j5nJk83nMbBYzkwEhvMka/sLWgHyk57tMnGkXoJpSj8erx9WU1LtPuk6zWpIshKiCjV/Xg66Q\nsR4ZulvGel1h3SVjPe+g+9C1ZHTLLbdw5513smTJEorFIueddx6vvfYaQghmzZrFwQcf3KX59QQa\nZNQHIKX09ogLGkVGe8aBT0QySkTgHcGtQWmF0uBq5SlwKdBSojIZcCwEGi0UCu3tAqQ0WqvQurEc\nF8tVaA0dRYHlShxH4yiJ1gJXgdYSjQQp0NLAlaAUOEJhu97HkYZ3rRSOlN46IuGNE4lMBpHJYORy\nZPJ5svk8uXyebC5HLpfzdvAGMv7sQekTkhDeXnpR10lwneSmg/Qeb/COo+87KX61+olf16NkkxRf\nPDyONOXZ3TImlburZaxGCj0hY6163FbcdH/+85+5/vrrw2PGFy5cyI477siDDz7IP//5T6ZPn84D\nDzxAS0tLl+XZE2iQUR+A8Pdrk4E1ZJr+WiOx2UqIHLsduKqU8shIsVn560wWYZXRjoVwHQQaob31\nRVq5KBRKOTiOheNqbNvLs2Sb2K7AcgWu8iwuLQyQEiFNEAZaCzTSI0DHxXW8gwAdx8FxvJl93rOg\npTc9XWYzmNkc2aYm8s3N5Pv1o7mlhabmZpqam8nn8yAEmWyWjGliBm48KZHSI+HoOqOKU3ET3DpB\nONRWqtV6/fH00pRd2phE0jNx5VlPmj0lYzV0lYxJVk2t9KNpdnc9bgtuura2NubMmcPZZ5/NTTfd\nBHi7wFx99dUA7Lbbbuy9994sW7aMSZMmdUmePYUGGfUBBLPJpGEghMD1LaCM76qKLoiFCBkFW+gI\nAYZEZExkNotbLqMdG+F6W/4IFLguWrm4ykHZNmgTpS1cV6IRODqLrRxsLVEIkN6sN+/bREgTIb2F\ntlpppKuQjoM3z9vPy3FBeesppPTGhcxcjnxTE7nmZppbWujnf1paWmhuaaG5uRkhBLlcjkx28z50\nQsjw3cjoYuDYkeNJ7pYk5RSEV7uXdB39neR6Suqdp8WPK+hq6XW1jPHwWkTYHTKmud+S3H/dIeOW\nvPeuR9eQ0fnnn8+3v/3tCqtnzZo1FRsyDx06lDVr1nRJfj2JBhn1AWiCMSBv7MjwrSAV76kF2wYp\n5W8Z5J/7IyXCNJDZLJliE27ZQttltHJ9knDQWqEcB8e20LaFUyohKSGU3wjNPErZ3llHQqCkRBoZ\nZCaDkc1imBmQBlpIDK29dG0HZVm4loVr2UjTxVAuQoPwp6hn83lyzc30a2mhuX9/WgYOpP+AAbQM\nGECLT0xCCPL5PFl/DMkwDAzDm20XLIiNTu2Ouuniytp7HcnrXaq5h9LGceLKMZpfFNE84+Mo1cZa\novfT3FM9IWNcju6QMU42aeXtzXrsPmw9Gd18880MGTKE1tZWnn/++TA8ybLsObm6Dg0y6iuIuOHw\nZ4tJKSsJKUpGvlUkhESaBkYmQyafxy6WUJZHONq3jMIFsraDbZWgVMI1s9hGFkOanlWWbUZgeSTj\nTygwszlkJoOZy2FmsxiZLNqzs7BsG8O20aUSumyhjBI4DrguEpCG4Y0N5fOeJdS/Py0DBtB/4CAG\nDBrIAJ+UQjJqavKsI5+MpPQWAUf3owvcc3E3XYC4IgviRH9H4ybdr0dpRpHmRquWdjQsSfkmpb+1\nMsatlb4mY7X4PSlj92Hr1xndd999lEolJk+eTKFQYO3atRx33HF89KMfZd26dQwaNAjwjq7Zd999\ntzq/nkaDjPoAOu1I7e/IoLX2FHuofDVm9AA6/Fl4pomRyZJtasIplz0ycgIS8r6V4+BYFla5jCiW\n0MUOHKMd29/fzsg1YUoT7bre4lSfhIysP/Mtn8fIZEBKXKUxLQvDstCmiTZKaMOAcslz1QkwM96i\n3WZ/jKhf//70HzCQAQMHMGDgZjJq8ckomNSQyWSQhhlO8Y4qiLibLo6kMYlqrpg0pZjW06xmuaT1\n1NMUXzztavHjz26rMiZZOX1Jxr4+geGOO+4Ir1esWMH8+fO57bbbuOSSS7j99ts5//zzeeutt1i5\nciU/+clPtjq/nkaDjPogRLSxBQpZazIZ0zuqLjLtO9yxIZfDKZdxLQvlbLaGAiJyHRu7bJEplRCF\nDlR7Bkd6e9shBNmW/v4OD463KNU0yeTyZJvyZJubyeQ8MhLSwFEulmUhS0UwTc9951tx0nW9zVCz\nGZqammnq18+zjAYMYMDAAQwcOJCBAwfSf+BA+vfvH5JRvqnJc9OZJhnTW38kpQw3jA2Q5KZL6lGn\noVqPOZpGtTTjLqo0bG0PvCdljN7vLhnrjdtb9bgtTGBIwve+9z1mz57NUUcdBcDcuXMZPHhwt+XX\nXWiQUR9AfOpyOHvM/44eXW5ojal0uJ+djJCRa9u4th2SkFYK7Ti4joNr29hl7yhzcjm0mcE1TFx/\n0kS+/wBEuYzhuiAlhr8WyBvzaSKbb8LIZhFS4rgupXIZYZpoaaC0xlHeRq2O4zW6bC5HrqmJfFMT\nzRFC6h98+venpX9/mpubcbUmn897Exh8N110F4ZojzXqtot+p/W603rxceVUj6JMU95JbrQk5RcP\nq0VMXSljmkXSkzKmEU9SeXpDxm2JjIYPH87ixYsB6NevHwsWLOjS9HsDDTLqI0h0QQmxeQcG341g\nmGa4TY6Q3l5vbjZL1icdFZCQ64bfruPg+i46o1hEZLNo0yMi5W/N0zxoEEa5jOM4CCkxs1my+SZy\nTd4EhGCRKlJiOw5GqeS57LTGdV0sx/HchpYVTtXO+jPpmvr1o19ASD4JtbS00K9fP5qammjr6CCX\ny4VEJA3DI6SE95Km3NKUelKvP42Q4oowKV5anmljJUnliffIqynenpIxLf+ulDEtrVqdgq6SsZ56\n7D40du2uhQYZ9QHEFVKnnarxx4b8k1ENQOQE0jGQ0sDMuLgR8gknOETIyLFtjHIZ6ROR8veMU9Kb\nJNBvwAAy5TKO64ZklPNnwuWamsj6Y0ZaCCzbRhgGrlJYtk3Zssjlcri+dSSECBe15nI58vm8ZyE1\nNdHU3ExzsMaoqYlcPk97oYBpZsiYpidnbPuf4F3EtwGq1nuPvtdqyq5eRZTm5gnu1eMyipc5yQ2W\n1ovfXmRMSqMvydh9aGwHVAsNMuoDiDeCTjsO4BFSsA5JBS46KZGGt+7HcF1Ah/vZBYtiUSokI5nJ\nIAwDJb3xHRdvcaoQgpZBg7AsC9cno0wwLdsnokwuh2GaHhlaFhpvRl22XN7sXrMsMtmsZ8EFR2Fk\nvfVDuVyOXD5P3t+BIZfP+2uL/B0Ysptn0VUcMhjZjy4JSVZEtQH2eJyksYmkOPHedbTnneZaSnNP\npT2XNk7SVTJWixMvd3fIqFTnU1arpdXVMtaqx74+gWF7R4OM+gCSGlR8/Cg8ZkF665C01iGRAGht\nhseWbyYjj5wM1/EmH5gmGAbKJzQN4XEOAwYPxrIsVGAZ+eNQOZ+IzGwW6VtDwjBwlAqJKJPxyMjM\nZDwZhAh/m6YRns2UyWbJBp9MxiO8jFl5qKCUoaxJR45Xe3/VFE48fvS5AGlupLRn0hR2XDGmKcst\n6d03ZOx+Gbt3zKhxhEQtNMioDyDa6ALEB+077dEmJSK2TU7QlJRS4YwztEa53rENRHqA4QmrhoEU\ngv6DBmHbdvisZ9V44z5mNuuNVUlv8kJgFQWEY5j+bgumGVpWwU4Kpmn6pGR62/341959M9y1u2LS\nQgLirss0n3+Ssou7mqJh1eJWs1zqHYepplSrubx6Wsbo7+1Vxlr12LCMehcNMuojSOrdBcQAVFhJ\n0jA860cIDClDSyiY8CD92XfSHzdCCEzpbfuTgfC473ALIinpP2gQjuNUkNFm68Y7+kEDtm3jKkWm\nXCYTEEsmg+kTixl93t/81PRJKdiZO9gQ1TAqF7WmWULxo8eD+NHvNJdMNaVZ7f0npR2NG49XzbWU\npvCT8ksaF+kJGePoDhmTyCCtjL1Rj9vSbLrtEQ0y6iNIaoCdFsNSaSUJIlYSoJUKF8sihLe7tz89\nWrguOuNZRJlAqftkVFKKloEDvcP8/GcNf0ZbQBz4lpaQ3my6kKgqLB0D07dwohaRESWicBNUWaFk\nouNDSZZg8D7qHU8IvuMKrR5iiYbXUt5JFkM9+aa5nmop/Q8rYzVS6CkZk+L2NRm7Dw0yqoUGGfUB\nxBtZgPjamrirKo5gsWx0JwftWyrhmiSNt3A2mBpumpTb22kZMADlut5sONi8c7ZPLho8F50QZGzb\nGweKfrIZsnY2XBvkjQdtvm8mEFHFqa5xWRIsQkh2aSa5apJ650m97ni60fSSevxJdZYUlkZytcJ6\nS1UEFJcAACAASURBVMa0ONuTjPXWY7dAb8HU7u400PowGmTUB5DWowsUdlK8IA5sthw6LZqNPlcx\nQw3P+pHeOiXR0UFzv34opTZbXQFZRMojfDdeOCEhkyEbzJTL5XBdFykNf2q3N94UbPHjjS2ZsRlz\n6ceKx2Ws1XOtpgjTFGiSQq0WHi9T/He1eqxW1oaM6c8l5dNdMnbrmFF6MTrDqB1le0SDjLYAy5Yt\nY+HChdi2zb777svcuXPJZrMVcebPn8/TTz+NlJKRI0dyzjnn1JV2WiNNczMkhSU1pICkgoWzANow\n/OMpZOiCyzc3h1Oowy14IjsguP4GqkopTMuqJKJ8nibbBsA2vUWv2Ww23Pw06xOXGT08T8qwBxjm\nm1L+WkhSimk94WpKs9q7jt9XSiXmsTX1WI+S3tZlrIa+IGO3YUvWvP6bklE318D2g/fff5/Zs2dz\n/fXXs3TpUvL5PL/85S8r4jz22GP86U9/4r777mPJkiX8/ve/57HHHquZdpK7ISlO/DpoSPF48cPo\nBIQEEIznBBMUMplMuFFpuA6oqYlMzj+R1Z+SbWayoYWT9WfZ5YLFrM3NFTss9I/ssNDsL24NLSR/\nz7nowtakCQq1ZEx6H2nKMu29pvWm0+JFe+VJ7qutqcekeD0pY/Red8m4LdRjt8Hdgs+/KRpkVCee\nfvpp9t13Xz7ykY8AMG3aNO69996KOEopSqUS5XKZUqmE5e9MUA+S/O/VGkstf37F+FKwrRCElpD0\nt90xMxkAb+q1v3jVm9Kd7TRBwYyMAQU7KwREFJBQsO9csCN3s7/lT963kMyIq86IlPPDyJikvOLK\nMt7Ljn5X6znHe+H1KsIPW49pcveUjEll72oZt6V67HLYW/D5N0XDTVcn6jlNcfTo0dx///2MHDkS\nIQQHHXQQI0eOrCv9ag21Wu8tTanEd3FAbD4vyTCM0GIKyCAgpegzKiA030WntcY0XbLZrHfUeFNT\n2IgN0ySXy3l72/nWVy6XC48XD60sf+xICBnuIhEgzV9fTWGn3a/mtom+17iyi/fUk+KkuYuSevJb\n0wvvShmTZK5V5q6WsbvrsZaM9dZjt6CbuW57QMMyqhNJ29FEd9MGWLRoER0dHTz99NM8/fTTaK25\n5ppr6ko/rWeW5qaIN8j48/E93UTMLRZutGp6ZwcFlpKMTOc2DSOc5LB5qncm3N4nuiN3//79GTBw\nIAMHDao4r6iff7R44KrbbBklz6RLmzmX5uaJ3k9ThEnh0bTj7zlIO+mZuJJLczUllSEpTpIbbHuV\nsa/XY9qWU12ChpuuJhqWUZ3YZZdd+Mtf/hL+Xrt2LUOHDq2I88QTTzBp0iTy+TwAX/nKV7jhhhv4\n7ne/m5qu67qsWrWqewpdJxzH4dVXX02P4O/Y4F1GTlvVOty3LhonQHR6tuO6bGpro629vXLdFN6U\n8VdeeaXrBNpCOI5TUbe9VYbe/B/0hfx7uw722muv7kv835hk6kWDjOrEl770JS6//HL+9a9/8bGP\nfYw77riDUaNGVcQZNmwYjz76aHjI1bJly9hnn32qpmsYBsOGDUv0iVdzHcRdD3FXR5I7Jc0N9sor\nryQ2xCjxKKW8HRpcF9u2sWwbq1zGtiws28axbW8dUqQ8wThTsB9dxt+TLjwqwre6Xn311Yr841v+\nbImMW+puUUqxatUqhg0blphGWl5p9z9sPf7lL3/hs5/9bLfJWMvVtmrVqor8u0PGavVYrQ66SsZa\n9ditOzB0oZvuhhtuYMmSJQgh2HvvvZk7dy6bNm1i1KhRfOITnwjjXXXVVey6665dl3E3o0FGdWLH\nHXfk4osv5owzzsBxHD71qU8xf/58li9fzuOPP85FF13Et771LX76058yfvx4stkse++9NzNmzKiZ\ndpKfux6XRzyNILyWayK4js9ki8YJG2ZkynXgljS0JuvHMU2TjE9E0UWzwVlLwUm0pmGSyWyevCD9\ndU5JM+niB+htjYxpCikt/bTxi7T6iOfdG/VYj4xpRNKQsYfQRZbRH//4R+69914WL15MNptlxowZ\n3HLLLey22260trZyxRVXdE1GvYAGGW0BDjvsMA477LCKsNbWVlpbWwHIZrPMmTPnQ6Wd1NuM+8/j\n4fU02CjSGmX0dzSv6EmrUsoKS0n665Qc6R8VoVR4jhJ4hCKlRMjIeJMhw6nd0bSD+EHa3SFjNG49\niioaFlewaco1nmZP1+PWyBgtV2/ImFamrpSxVj1266LXLiKj/fffnyVLlmAYBu3t7axfv56BAwfy\n4osv8s477zBt2jRc1+W0005j9OjRXZNpD6ExgaEPIN4wqvU009wMaa6Hent/8Xjxg+2i1kqweNUM\ntgHK5sj7U71zuXz4ncvlyGWzZDMm2Yy3a3cga0BI8cbfXTLGlVFaWFxpJuUfVbTx+71Zj9uyjEl5\nJaEnZOwWqC341IBhGNx55520trbywQcfcPjhh5PNZhk3bhy//e1v+dnPfsZPfvKT6uPAfRANy6iP\nIKkhR6+TGnetHmMQXs2lEn0m+rtTLzFiHQVEolwXQ0pUcJCfP4lBBLuHRwgnvm9e0iy66EaoXS1j\nPS6cpLyTrIA4ainkeFp9Ucb4dW/ImJR2V8pYqx67dcyoi9cPTZ06lalTp3LZZZfx4x//uGIB/sc/\n/nHGjh3L8uXL+cxnPtO1GXcjGpZRH0HUYoj+roW43zwalpROPcQUjRNaRxFiCVx04VRwY/MBetmM\nd3x4xj+ML7gvpazYtTtpk9Rq64y2VsZ6FFK19x11Q8XTTCrLltZjUhm6Usakd9jTMnZ3PW6tjNvC\n1O7XX3+dl156Kfw9ZcoUVq1axU033cT7/7+9rw+Osrr+/0AooohUU02AaUZbRSHGltYZa4k1k6AG\nTSximNiW9IVWC7W+0FqBxFARJG0pVSwg0Fop0CogJQ1ircyG0onF6rRi2zQ606lQBjABOoz4BiTZ\n3x/9Pfu9OTn33mfJc5/n2d3zmdnZZ+/bOZ+cPeeee/bJ7tGjfcYOGZJZZw3ZjGICU8nAlEVyzqsr\njZiCBs1wvXH0i1fVL1HN4/4PSXmk/qfo/29A3nyd/Kg4quv61YvKpOuka0fudZAc/Zx6XHN0bceB\ncnR6MgpoMzpw4ADmzJmD9957DwDw7LPP4qqrrsIrr7yC9evXAwAOHTqEF154Adddd50bLo6QWVtn\nDoCWImibB105RHfy4eapY7j11H+WVV97SH3VUG9v6lvBvZsSvE2M04H+bpG3Nh0fBkfdGNO1STdV\nr3TsyHEIkqMatKPiaLIjhzhwDAwBfSxVWlqKadOmYdq0aRgyZAguvfRSNDY24v3330djYyOqq6uR\nTCZRX1+Piy66KBihIUE2oxjAVF9PJ4DRuSYn5ObpnJ/7Vu0+P4CnKbeZfoeJ+8woSo7cfFsgVp+5\n9eJmRxNHkw5hcFQRpR2dIcB/ep0xYwZmzJjRp23EiBFYvXp1cEIigGxGMUE6Tuz102tTxsc5pF95\ndKOw/cifDulsOCov9doVR1uw8os42zHOHNV14sAxcMg3MFgRsYUEHmyZINdGHx50JR5Tdq0bx8mn\n33U3ePDgPm1cf6ZxpKcFeoLg+nU8OPlqmzo3mzlmgh2dIcBbu7MVshnFBH6cgtbl1YcKXdbpPasO\naSrR6MbRAEBlen107Sg5crL9BMawOHJzg+SYC3YMkmPgkC9KtUI2oxiAOpPXBvR1FF2GyDm02ked\nWx3LOSsXDKh+FJzu6hwTR07voDnqMmJd4AuaYxh2NHEMw46ZztHprd3ye0ZWyGYUM3CZI+dcunIE\nl4lygYCeCmjAoc8mJ6eBg5tr4qgbl00cc8GOA+FI5UfBMRNu7c5myA0MMQeXIXpQM1LuWgXXRtcz\nQRckOF1NJRE/cMHRjx4uOYZhx0znyOlmWl+HMN+rvpHDnwX5hWxGMQXNHk2O5Y1XM0jd6UMdq2vT\n6aGDKTs1zaXllqg42vqC4JgLdhwoR9vmFQZHZ8jhE49fSJkuJjA5kq7MYTrVeM7OlVlMJQzvWS0N\n6eSbYCrrxI2jSb4JmcQx7nakz1FzDBxSprNCNqMYwXMKztnp5wZqvy6LNn3WoPZT+TQA0rV17Vz9\nn/v8QDjGj6NuvbA4qrJccbTZ0ekNDL1pPHIUUqaLGXQBwk+2SMsYpmBD+7hyhRqITOUWTgfTvLhx\n1I3PJo42O+p0CIujbr2o36uBIYdPPH4hm1EMwDkCzUjVoGRyLHrNyaDr0n5dtquTrxtPeeg4qtfZ\nyjEX7JjpHJ3+uF4O37LtF1KmiwFUh6MZJXUa6vhcSYe7pq+5jJsLJiZ9TVm2twYXVExZsyuOnOww\nOdrsqJORTRxNPEz8w+Iot3ZHCzkZxQTUabkAYMsoPXDlHS4TpO26NWnQNGWeptNPlBxNQUk49l87\nbI5UTxccbXo5PRnl8GdBfiEnoxjAz4nFczI1CHCZpi1T1MkxZbBUT+rcdJ6qG81oo+Joy9JznaPu\nOZs42uwoJ6NoIZtRTGDK9rh+6sh+5tvauMyX9qnz1PH0mRsvHIWj3/m2NlccnUE2IyukTBcD0KzT\nVLbgMlSuFGFq45yPc2hdWYPTl46lZR0qJ2yOuqBlCnLZxNGPHakOYXKk/a442uzoDAGW6X72s5+h\nubkZgwYNQklJCRYsWICenh7U19fjjTfewKBBg/DAAw/g6quvDk5oCJDNKEYwbRKqE3pOyjm2aS1u\nDTqec0wuaKg6cIGTzuMCmklG0BxN64TB0WZHtS8KjjouQXLMBDs6Q0Annr/85S9oaWnB1q1bMXTo\nUNxzzz1Yv349Dh8+jPz8fDz33HP4z3/+g7q6Omzfvh1nn312MIJDgJTp0kAikUB1dTUqKysxb948\nnDx5st+Y7du3Y+rUqaiqqsJ9992HU6f839NJMz7OQXROrAYJz+l042yBRLemN1cXGKiepoxa99oV\nRxrcwuYYhh0HwlGnezZx9GNHZ+hN42HApz/9aTQ3N2Po0KF455138N///hcf/vCHkUgkUFNTAwAo\nKipCSUkJEomEMzouIJuRTxw9ehTz58/HmjVr8Pzzz2PYsGFYtWpVnzF/+9vfsGTJEqxevRrPPvss\nenp6sH79et8yVEfTZXAePCfWBXOd4+nauAxTXd+WverW8MNRt35YHHX9VF/dGqY5fu3IIUw72tbg\n5mT7ezVQnEzjYUFeXh6eeeYZlJeX49ixY5g0aRI6OztRWFiYGlNQUIDOzs7AabiEbEY+0dbWhgkT\nJmDUqFEAgNraWrS0tPQZs23bNtTU1OD8888HADQ2NqKqqsrX+n4CozfO61edWA0M6sNPGcOvDPVZ\nhS6zpJlvlBx1JzIu289GjnG3I7dO2Bwz6euAampq8PLLL+Nzn/sc5syZw+ru/LQXMDJL2wjhJ/PY\nt28fTpw4gZkzZ2LKlClYvnw5zjnnHN8y/JQluOCpK234yYJ1jq7Llk1ZrLqOLsDogoFrjpzeYXIM\nw46ZzJFD2Bwz4dbuN998E3/7299Sr6dMmYKOjg6MGjUKhw8fTrV3dXX1iVeZANmMfILLPPLy8vq8\n7u7uRltbG374wx9iy5YtePvtt7Fs2TLr2rpsmDqwLuPTZazcWvSarqFbl67nvablGm5+HDjSecKR\nnx8VR51eUdjRCQI6GR04cABz5szBe++9BwB49tlncdVVV6GiogIbN24EAOzfvx979uzBxIkT3XBx\nBLmbzicKCwvR3t6eet3V1YWCgoI+Yy644AKUlJRg5MiRAIDq6mqsXr3auG5PTw86OjqCVzgNdHd3\nR6pDd3d3n79tFPLFBrktHwDGjx/v7nQU0N10paWlmDZtGqZNm4YhQ4bg0ksvRWNjIwYPHoz58+en\nPhZYsGABzj333GCEhgTZjHyitLQUS5YswYEDBzBmzBhs3rwZFRUVfcZMmjQJy5Ytw+23347hw4cj\nkUigpKTEuG5eXh6Ki4vZPprN+f0QlpZH1A+X1VKH19/R0YFx48b1kWH6QFpd1ybXBp38oDlyf0fv\nuaOjg7VBkBxtdmxvb0/p4IKjzY7t7e19bOCCIx2vtnvyXXLk5KbDcUAI8J9ZZ8yYgRkzZvRrX7p0\naXBCIoBsRj6Rn5+PRYsWYdasWeju7sbYsWPR1NSE1tZW7Ny5EwsXLsSkSZPw1ltvoba2Fr29vRg/\nfjzmzp1rXVsXaHTO7deRuGCgG6srr1BHpo5v61fX0nFUdXDFkQtIunKPC442O5oQBEc/HFxz9Bv0\nXXH08zdwBsdVwGyAbEZpoKysDGVlZX3aysvLUV5enno9ffp0TJ8+Pa11dR/Acpmg164bS6896LJM\nnS7pBg71Ol05tvYgOJqCbhgcbXbk1gqTI6d30BzjbkenX5Saw1/z4xeyGcUMnGNRp9M5qur4NDio\n7eqafpxeV3rR6UvnCUc7R92aYXE0IQw7muSGZUend9PJ7xlZIZtRjEAdVefYar+upNHby3/1Cee4\nVL5OBidHpzOnf9QcueDI8YqCo052UBxtduTGB83RZEev3SXHdP7ugUNORlY4toDAD2jtW3Uamhlz\n2aUpA1Tnc3JMJw6qh05vqjMF1Z8b75ojzZTD5hiGHYXjwDk6Q28ajxyFbEYxBHVALlukWZ3nhDQQ\n6MZ7Y3RBR6eH2k711fEwBQdT1p1NHHPBjgPhaBofJkdnkJ+QsELKdDEAdWKvjYI6jDrPVmbgslST\nPJ0TmzJmWjqh7cJRz9E0ns7NVI5xt6PcwBAtZDOKIXTOzbWbxtIs1Zb9cfO8dnUN05pqwDHJE452\nhMlR7XfF0e/YqOzo9AaGHC6/+YVsRjGB6jy6rNKDKUv1xqvPuoxUl+Gq8nSZrGmu16++jjNHqmcU\nHDnkkh3p+Cg4Ov9uOoER/lI0QShQnUR9eG0061PnAP0zW7quTp433jSHyqPPnEwu4+Y4qn2uOHr8\nouJosyOnR5gcdfKD5JgJdnQG+czICtmMYgCufKDWvTnH9ZxcHcdlf3Q+Xcfk1H4dlAuqqlxbP9Uj\nGzna7Ki2ZyvHTLCjM5xK45GjyPrNKJlMor29HcePH49aFS04x1JLITQLpZmfzpHU+ZxDq2twYzgn\n5caoa9GSCZcVm/pdcdSN8RP8guAYhh1NHG12pGuFzZGbEzRHmx0z6feMshFZtxm99dZb+MIXvoBd\nu3ahu7sbX/ziFzFjxgxUVlb2+R2QOEHnUDSjVNvpa11mSLNTbvPR6UKDizqGK3mo7bogxHFU+6Pg\nyMkMmmMu2DHTOWbC7xllM7JuM1q8eDFuuOEGfOYzn8Hvfvc7dHV1YdeuXVi3bh1+/OMfR60eC5pN\nAvosjvZ5r3X9umCrG2sqd9BgoZvD6RI1R5O+wpFfN9s42uzo9GQkm5EVWXc33ZtvvonHHnsMAPCn\nP/0J119/PYYNG4aPf/zjOHr0aMTa6cFl6brskHNazqnVPnU9mklS+ZzDchku18dlxlQu7eNeZxtH\nmx11f4+wOFK44GiyI9UxCjtmyq3dmzZtwvr165GXl4fzzjsPDz30EIYNG4aKigp87GMfS4177LHH\n8NGPfjQ4wY6RdZuR+uurf/3rXzFnzpzU65MnT0ahki/oHNDm+NxYbo4HWqbQlUbUMXQdUzBVwZVP\nTEHGJUfd31NXVgqao82OXHuQHMOwYxjvVdccnSGgE09HRwdWr16N5uZmjBgxAr/+9a/R0NCAuro6\nlJeX45FHHglGUATIujLdiBEj8K9//Qt79uzBW2+9hauuugoA8Nprr+EjH/lIxNrx0JVNvDYKndNw\n2aJX2rCVQ+jmpBuv27x0Y/xwNHHJFo5xt6NtTDZw9GtHJwioTDd8+HAsWrQII0aMAACUlJTg0KFD\nePXVV3Hw4EHU1taipqYGL7zwgjMqrpB1J6PZs2ejrq4Ox48fx3333Yfhw4dj7dq1WLlyJZYtWxa1\neixMDqcb543RjTXNo3K819SpuU3DtpnQPlu5JiyOakZt4yIcc5Oj068DCuiW7aKiIhQVFf1vyVOn\n8Mgjj6CyshJ5eXmYPHkyvvrVr2Lv3r2YPn06ioqKcNlllwUjOARk3Wb0qU99Crt27cIHH3yAc845\nBwBwxRVXYNOmTbjwwgujVc4AnZOaMjc/2RwXMHRZoimg2DJIuqlxMkyBSNeXLRz92NFPkM50jibE\ngaMzBPiZEQAcO3YMs2fPxvDhw3HPPff0+XjiwgsvRGVlJVpbWzNqM8q6Mh0ADB06NLURAf/boDJh\nI/KbxalZJpfBcmN1gUQnU5dp6sapGat3TXUSjnqO3LgwOap9uWxHZwjwbrq9e/eitrYWl1xyCX76\n058iLy8Pa9eu7XeD1pAhmXXWyMrNKBNBHcrmLLpgQcsYqmPTQEIzUPXZ7wnGFJh0pR5ubrZztNlR\nxzssjpzuQXPMJDsGjoA2o8OHD6Ourg51dXWor69PlRVffvllbNiwAQBw6NAhvPDCC7juuuvccHGE\nzNo6sxgmRzVlb6Zgpus3lTRUmdwzlUGDha6UwmW5pvFhcuT4BM3xdLLwMO3I6Rw0x0x5rzpBQHvd\nhg0bcOzYMWzZsgXPPPMMAODMM8/EY489hgceeADV1dVIJpOor6/HRRddFIzQkCCbUUzAOSKgDwTU\nIXXrqQ7KwSSTPpt0pXJUnUzBRqd3tnG02ZGul40cTXbkZIfNcdCgQbH/PaPZs2dj9uzZbN/q1auD\nERIRpEwXA+iyNK4cwTmRztm58or3oOPVdl3JwtNTnafLLrkAIBzjy5H2h82RIko7OoF8A4MVcjKK\nCWyO64FmpzpHo5mpLZs1yeB0tGWxnC5x5agiKo70OmiONjva4NqOOs5BcrTZMVO+gSFbIZtRDECd\nFdCXbziHVMfoHI8GA53z0oChC0C6zFKXaceZI+3PRo65YMcgODpDDv80hF9ImS4NJBIJVFdXo7Ky\nEvPmzTN+vdDdd9+NpqYm32t7TsM5i67dlJXqgokuQKjtqkPrxnttXDlFfdCSSlQcaabMBbls5miz\nozc/mzna7ChflBotZDPyiaNHj2L+/PlYs2YNnn/+eQwbNgyrVq1ix65fvx6vvPKK77WpA5syTVsJ\nQ4XqeDbQcTT7VcdwfWpA061nC/zq2kFz1OkcFscw7JjJHDlZHMLg6ASyGVkhm5FPtLW1YcKECRg1\nahQAoLa2Fi0tLf3G/f3vf8eOHTtw2223pbU+zdo4Z+IyeepYajvNeHUOS+VwsjjZakaqC5gmPag8\nlxy58lKYHG12pPqGzVG9dsXRZEdVv6js6PwzI7+PHIVsRj7R2dmJwsLC1OuCggJ0dnb2GXP8+HE8\n+OCD+MEPftDn6zn8gAYe6qQ60PKH2satQ/vUdi5YcOtzOnD6U6ePkiPN1HUBNyqOnA5BcswFOw6U\no5TpooXcwOAT3BuVbjgNDQ2YOXMmRo8enfb6asZHnUl1Gm4Ofc05oy1Y0OyRa9etqVtbp2/cOHJy\nbHrpZJ4uR24NsSOPMDgGjhzeZPxCNiOfKCwsRHt7e+p1V1cXCgoKUq87OzuxZ88e7N+/HytWrMCR\nI0dSGWVDQ4N23Z6enj7rRoHu7u5IdYiD/I6OjsjkezpE/TfIZfkAUFxc7G7xHC6/+YVsRj5RWlqK\nJUuW4MCBAxgzZgw2b96MioqKVH9BQQH++Mc/pl4vX74cx48fx7x584zr5uXlYdy4cWxmpstAaZmC\nu9b10Zr74MGD0d7ejuLiYraPW8umH8eDrqf2dXR09PsbBM2R01nH3wVHmx09HVxx5NZS2zgbBM3R\nZEeOf9Ac/djRGeRkZIV8ZuQT+fn5WLRoEWbNmoUbb7wRR44cwV133YXW1lY0NjYOeH1dqcY0Xv1Q\nVxcEVGflPtj1I89W+vAL4ciPF478jRBRcgwcp9J45CjkZJQGysrKUFZW1qetvLwc5eXl/cZ++9vf\nTmttk7Ok61xqsOAc2lTr967VoGGr/9PgYeuPG0dOVhQcOR2C4pgLdgyCozPIycgKORnFBDTbNI1R\nHVANqB5MWSfNtHVyPcfmxqmOzMn0+rgMOiqOnGwavKLkyM0NkmMu2DFIjoFDbu22QjajGIA6k9cG\n9HUUXYbIObTaR51bHcs5KxcMqH4UnO60vKTjyOkdNEcq2xb4guYYhh1NHMOwY6ZzlFu7o4VsRjED\nlzlyzqUr6XCZKBcI6KmABhz6bHJyGji4uSaOunHZxDEX7DgQjlR+FByd/tOrbEZWyGdGMQeXIXpQ\nM1LuWgXXRtczQRckOF1NJRE/cMHRjx4uOYZhx0znyOlmWl+HMN+rvhFg+W3Tpk1Yv3498vLycN55\n5+Ghhx5Cfn4+6uvr8cYbb2DQoEF44IEHcPXVVwcnNATIZhRT0OzR5FjeeDWD1J0+1LG6Np0eOpiy\nU9NcWm6JiqOtLwiOuWDHgXK0bV5hcHSGgE48HR0dWL16NZqbmzFixAg89dRTaGhowLhx45Cfn4/n\nnnsO//nPf1BXV4ft27fj7LPPDkZwCJAyXUxgciRdmcN0qvGcnSuzmEoY3rNaGtLJN8FU1okbR5N8\nEzKJY9ztSJ+j5hg4ArqBYfjw4Vi0aBFGjBgBALj88stx8OBBtLa2oqamBgBQVFSEkpISJBIJN1wc\nQTajGMFzCs7Z6ecGar8uizZ91qD2U/k0ANK1de1c/Z/7/EA4xo+jbr2wOKqyXHG02dHpDQwn03gY\nUFRUlCq/nTp1Co888ggmT57s67sz4w7ZjGIGzrm8dvWaOqc6Rg0QtjKJqVxBnVW3DqeDqfwhHOPH\nkdM/TI50nguONjtm0rd2Hzt2DHfccQfOOuss3H333ejp6V8H1CUbcUVmaZul4ByFliGA/jVz6qAe\nbAGHCxCqDlxmS8fStU3BwcZRvc5WjjY7cryyjWPc7Zgpt3bv3bsXtbW1uOSSS7B8+XIMGTIEo0eP\nxuHDh1Njurq6+pyUMgGyGcUAahlEdWbOaWjZhHM27pq+5jJaWyZO9TUFEl02y3Gk811w5GSHeSIO\n7gAAH3JJREFUydFmR52MbOJo4mHiHxbHTLi1+/Dhw6irq0NdXR3q6+tT7RUVFdi0aRMAYP/+/diz\nZw8mTpwYPA+HkLvpYgLqtLayhMkBbRk3dXTbmjRo0szUtIbaHyVHU1ASjv3XDpsj1dMFR5teyWTS\n3Ybks/xmw4YNG3Ds2DFs2bIFzzzzDADgzDPPxBNPPIHGxkZUVVUBABYsWIBzzz03GKEhQTajGIA6\nuq7fdKKg69jABQBVjkkP6tzcs7cmFwii4KjTVTj2L8FlK0ebHV2ejNK5s9v0s5yzZ8/G7Nmz2b6l\nS5empVPcIGW6mMDmnFxWxzmpab6tjct8aZ86jwYl9ZkbLxyFo9/5tjZXHF1BvoDBDjkZxQA0QzWV\nLehY71qXAXJtukySytSVNTh96VhdBh0VR13QMgW5bOLox45UhzA50n5XHG12dIV0fhliqDMt4g05\nGcUInPP19vb/LRhvrDrHlB2qbdwaVDangyqfzueCCp1n4sjJCJojDW5hc7TZUdU9Wzlmgh1doTeN\nR65CTkYxgi5jo0HAdK2O5xxWlwFymShdk3N8GjRsenIcKVxw1GXbYXEMw44D4chdh8nRNicIjn7s\n6Aq5XH7zC9mMYgTVkbgyjQqd09PXJsfUzeHWNwUG0xqcHI5b1Bx1OgTF0Y8dOdkmOUHa0bZGUBwz\n6b0aJGQzskM2o5jAb7ZGs0JairCtYwscqi66zNcWHNT2dHRzyVF3IosLR6pTNnKMux1d3tqdy+U3\nv3B/PhX4BpfV0UBgc0R1jJ8sWFei0TmxGhR04Gr1Jo6UiwuOnN5hcgzDjpnMkUPYHF3f2i1305kh\nJ6MYwHMGzmloZsllfHS+zglpNmr6rICTR8fYyjRc8NAFBtccdXLVfpccw7CjiaPNjja9XHOka7rg\n6NeOLpDLm4xfyGYUA1BH55xGdRb1mcsQdQHAj0y1j67lJ9Pk5ts4mmQFxZGTz411xdFmR5veA+UY\nhh0H+l7lNpEwOUqZLlrIZhQj0BIGl+VRh7aVQrg1uAyQytAFSqobN0d9rQu06jqc/DA5cgiao82O\n6nOm2jHT36suy3Tp/J9RrsLduVTgGzQg604r6rWfzM8U8Ci8NXW60DaardK51NlNHFUdXHHU6alb\nL2iONjuaEARHmx1VfVxxtJ1SXHP0Y0dXkM+M7JCTURpIJBJ49NFHcerUKUyYMAELFizA0KH/9//S\nPT09WLx4MV5++WUAwBVXXIHvf//7fcZw0GWdNFPknFpXwqBr2rJD03p+deeCiB85tvYgOHJ6hsnR\nZkdurTA5cnoHzTHudnRZpsvlTcYv5GTkE0ePHsX8+fOxZs0aPP/88xg2bBhWrVrVZ8yGDRvQ2dmJ\nlpYWbNu2DR988AF+/vOfpyWHC1DUsb3sjiuL2DI+b4wu4FCZdA7Vz++pRTiaOXL6h8nRhDDsqFs/\najsGhd40HrkK2Yx8oq2tDRMmTMCoUaMAALW1tWhpaekzpri4GPfee28quxo/fjwOHjzoW4bqtDR7\n9Pq917RNdXouW6Xj6DrqWpwMbr5OJ45P1BzpWnHjSOeGzZEbHzRHkx299ijtKLd2RwvZjHzCz2/M\nX3nllbj44osBAIcOHcK6deswefJk69o0Q6ROowYCzvG5DJALZJwcupY6x+S8dA63jtpn4siNCZoj\nl5mHyTEMOwrHgXN0haA3o7lz52LdunUAgCNHjuATn/gEbrnlltRj//79QVNwDvnMyCe4nyTOy+N/\neeT111/HnXfeibq6utP6tUXO0dVsz3vmArm6Bpd10nU5ULmmDJ2TRdtNwSEsjlQ/UyafjRzjbkfT\n+DA5ukJQ296+ffuwYMECvPrqqxg/fjwAYM+ePSgvL8cjjzwSkJRoIJuRTxQWFqK9vT31uqurCwUF\nBf3G7dy5E/X19aivr0d1dbV13Z6eHnR0dASqa7ro7u6OVIc4yFdtm4s6iA3+V1aP+w0MGzduxNSp\nU/vEnldffRUHDx5EbW0tenp6cMcdd+D6668PSGJ4kM3IJ0pLS7FkyRIcOHAAY8aMwebNm1FRUdFn\nzO7duzF37lysWrUKEyZM8LVuXl4eiouLjZmZLivUwZQVqvD62tvb++igm2dbk/absmYV7e3tGDdu\nnFOOpnlUvguO3FgVng1ccaTyaZ/pbxAUR934wYMH9+PvgqMfO7pCUP9ndP/99wMAXnzxxVTb0KFD\nMXnyZHz1q1/F3r17MX36dBQVFeGyyy4LSGo4kM3IJ/Lz87Fo0SLMmjUL3d3dGDt2LJqamtDa2oqd\nO3di4cKFWLZsGQDgoYceSt0meuWVV6KhocG6vuocnCNydXJ6rY5Xn2kJg1uHzqO1egrTXK9ffR1n\njlTPKDhyyCU70vFRcIzLz46ni3vuuSd1feGFF6KyshKtra2yGWUzysrKUFZW1qetvLwc5eXlAICn\nn356QOtTJ6F9qqNyTqtzfF3A02WUqjy6Nl1THaeTqfscIUyO3MYTJ44qouCokx+WHaneLjj6saMr\nuDx3rV27FtXV1cjPz0+1DRmSeaHdvRUEVtDssreX/8VMdaznWJxzef3cfLqOKRD4dVIuQ1bl2vqp\nHtnI0WZHtT1bOWaCHV3B5a3dL7/8MjZs2ADgf3fxvvDCC7juuuuCUDtUyGYUA3COxWWUars6T+dI\nNDvlxuqyRu+Zc1zuma6h05XjSPVwwVE3xk/wC4JjGHY0cbTZka4VNkduTtAcbXbk7pgNCi43owcf\nfBD//Oc/UV1djdtvvx319fW46KKLAtI8PGTeWS4L4TkyF5i5IJBOVkgDGV2bzrVlr1wfN4cGJxNH\nm7xs4JgLdsx0ji4/Mwr63NXU1JS6vuCCC7B69eqAJYQPORnFADSbBPRZHO3zXuv6TYGBG2sLFlQf\nbo6uVBMlR5O+wpFfN9s42uyYqSejbIFsRjEBV5LgskMuC9RlnzRY0GdTQOGySU6GrjRCedj6XHPk\nZIXJ0WZHVV4UHClccDTZkcqNwo6uf0LC7yNXIZtRTMA5O3U8ro0by82h4/3I4tZRAw3HgQsaXCmH\nK+lkM0ebHbn2bOOYCXZ0BTkZ2SGbUQxgc2gKXTZLM2lvbeqQXDnEy2K5IMEFCC4b5sb44Wjiki0c\n425H25hs4OjXji7Qm8YjVyE3MMQAJofTjfPG6Maa5lE53mvq1LbMnYMuY42ao67EIxx55CJH+T2j\naCEno5hA5zS6MgPQt9SgA9106DUNLlywsemh9nPyuDZuvk7/bOBosyPVRTcmkzlmgh1dQcp0dshm\nFAPQsoNuDL3mnI620YyWZqamwELBBRJ1fbUcwgUH4ajnyI0Lk6Pal8t2dAUp09khm1FMQB3K5iy6\nYEHLGKpj00DCZYdcOYaTS/X0w0nHUScvmzja7KjjHRZHTvegOWaSHYOGnIzskM0oJlCdVXUyPw7H\ntZlKEFy2qZaKqLNz2TAXLOjatDSj48jpFTRHdXwUHG121PEOi6P62hXHuNvR9T+9ysnIDLmBISbg\nMlWgvzOqjug9m5xczVo5mGTSZ5OuVI6qk67swiFbOdrsSNfLRo4mO3Kyw+Y4aNAgZxvSSSerZhfk\nZBQD0BKGqRzBOZHO2bnyiveg49V2XclCzZBtJxsuAAjH+HKk/WFzpIjSji4gJyM75GQUE9gc1wPN\nTnWOxpUz/GSWnAxOR1sWy+kSV44qouJIr4PmaLOjDa7tqOMcJEebHTP194yyBbIZxQDUWQF9+YZz\nSHWMzvFoMNA5Lw0YugCkyyx1mXacOdL+bOSYC3YMgqMryGZkh2xGMQGXbXptunY/DqtC55Tqaz9O\nrLbR4KMLPCaOVK4LjjRTDptjGHYcCEdVryg46nQKkqPNji7/6TWXy29+YT63CkIBdQxTpmkrYajo\n7fX/o2F0HHVedQzXp9tk1H5bwFDXDpqjTuewOIZhx0zmyMniEAZHF5Bbu+2QzSgm8AIOd2KgWaYH\nLhNV29U+LqBxwUPtM2XoarDxrnUZrk4PKs8lR668FCZHmx2pvmFzVK9dcTTZUdUvKjtm0q3dc+fO\nxbp16wAA77//PmbPno0bb7wRN910E3bv3h20+qFANqOYgAYerozAQQ0EtI1bh/ap7Vyw4NbndOD0\np04fJUeaqdtKQ2Fz5HQIkmMu2HGgHDPh94z27duHGTNm4Pe//32qbdmyZcjPz8dzzz2Hxx9/HHPn\nzsU777zjgoZTyGYUE5hKBqrT0Dmc8+pKI6agQTNk6qi6OTp5dB1Vv7hx1Olk0ovKpOuky5F7LXYM\nl2Mm/J7Rxo0bMXXqVFRWVqbaEokEampqAABFRUUoKSlBIpEInINryGYUM6gOpStpea8559OVOdR+\nurZuPRowuD6dbly7jqNpnWzhaLMjxyHbOGaiHYNCUCej+++/H1VVVX3aOjs7UVhYmHpdUFCAzs7O\nwHQPC3I3XQxAAxK95rJKU4bHjTPNoXJ05RSbXlQHGhh0HLn1s41j3O1o0iEMjiqitKMruLwxwXRC\nzSRknsYRIpFIoLq6GpWVlZg3bx5Onuz/JR8rVqzA5MmTccMNN+DJJ5/0vbbfjFntp5kj55Cqs5o2\nPZM8myP7hXDkxwvHvqeTOHAMGn5uXPAe6WL06NE4fPhw6nVXV1efk1KmQDYjnzh69Cjmz5+PNWvW\n4Pnnn8ewYcOwatWqPmNaW1uxa9cu/Pa3v0VzczO2b9+OP//5z77Wt2WCXBt9eFCDBefQnMPqxnHy\naTDSlUzoesJRz5FeUxnZwDET7OgKLm/tLi8vx6ZNmwAA+/fvx549ezBx4sQg1A4Vshn5RFtbGyZM\nmIBRo0YBAGpra9HS0tJnTCKRQFVVFYYOHYozzzwTN998c78xOvhxCpo56mrupqyTZtqmEo1uHA0A\nVKbXp6vPR8FRV8qwBcawOHJzg+SYC3YMkmPQcHkyuuuuu/Df//4XVVVVmDVrFhYsWIBzzz03IM3D\ng3xm5BN+PiTs7OzEtdde22fMrl27rGtzWSCX8XEZoq6so65DnZuO1V3rnjlQ3ekcE0dO7zA5cpyD\n5mizo+3vkQl2zPT3aib97HhTU1Pqevjw4Vi6dGnAEsKHnIx8gvsfhLy8vLTH2MBljrS04LVz87hM\nlAt2NGCoMuh46uSczqYA6yezznaOuWDHgXCk8qPg6PqLUuUbGMyQk5FPFBYWor29PfW6q6sLBQUF\n/cak+0FiT09Pn3WjQHd3d6Q65Lr8OOjQ3d2Njo6OnJUPAMXFxc7Wtv3/kEA2I98oLS3FkiVLcODA\nAYwZMwabN29GRUVFnzEVFRVYvXo1ampq0Nvbi23btuHOO+80rpuXl5dyApoxqtdciUKd443l2mk/\nXaO9vR3jxo1jyxo0A/ULXZmE49jR0dFHvguOJp1M/E1Ih6PNjp4Orjja7Nje3s4G4yA5muzovQdc\nctTBVNILCrl84vEL2Yx8Ij8/H4sWLcKsWbPQ3d2NsWPHoqmpCa2trdi5cycWLlyI8vJyvPHGG7j1\n1ltx6tQp3HzzzX0+QzLB5Eg6Z+QCFTeHq/ubnFwXNOg4E3SfEcSRo0l+tnDMBDvSEluUHINGOPfs\nZTZkM0oDZWVlKCsr69NWXl6O8vLy1OtZs2Zh1qxZp7W+yblsmSj9XIHOoeup/VS+zam5AMnpyK0X\nFUcu4MWJo9oWBUfdemHZ0aZbGHbMpBsYshGyGcUMugDBObZurppVcuCcWJcB+3V6qoNpXtw46sZn\nE0ebHXU6hMVRt17U79WgICcjO9wWSgW+oMsSvWeaFapBinNkW8BRs1NOB1N2Tq9t403BnlszWzna\n7MjxyjaOcbdjJnxrdzZDNqMYQM0eufKBB9WR6Hh1DHdNX3MZtyrblCX6ybK5AKTjSOe74MjJDpOj\nzY46GdnE0cTDxD8sjnJrd7SQMl1MQJ2WCwC2jNKDLeOmjm5bkwZNmpma1lD7o+RoK8XkOke6dtgc\nqZ4uONr0cvmZkdzabYecjGIAPycWWq6gpQt1nMn5dXJMGSzVkzo3V8rhxkbJ0Zal5zpH3XM2cbTZ\nUU5G0UI2o5jAlO1x/dSR/cy3tXGZL+1T56nj6TM3XjgKR7/zbW2uOLpCbxqPXIWU6WIAmnWayhZc\nhsqVIkxtnPNxDq0ra3D60rG0rEPlhM1RF7RMQS6bOPqxI9UhTI603xVHmx1dIZdPPH4hJ6MYgXM+\nXbmDZnam7FBt49agsjkdVPm2Egg3z8SRkxE0RxrcwuZos6Oqe7ZyzAQ7uoKcjOyQk1GMoMvYaBAw\nXavjOYfVZYBcJkrX5ByfBg2bnhxHChccddl2WBzDsONAOHLXYXK0zQmCox87uoKcjOyQzShGUB2J\nK9Oo0Dk9fW1yTN0cbn1TYDCtwcnhuEXNUadDUBz92JGTbZITpB1tawTFMZPeq0FCNiM7ZDOKCfxm\nazQrpKUI2zq2wKHqost8bcFBbU9HN5ccdSeyuHCkOmUjx7jb0eWt3UGW3+bPn48XX3wR55xzDgDg\ns5/9LL73ve8FKCEayGYUI6RTlgD44KVzWp0MWqLRnVRou9/NzJaRUtmuOHJzwuQYhh0zmSOHsDm6\nvLX7ZIBrvfbaa1izZg0+/vGPB7hq9JDNKAbwHIJzSppZchkfna9zchpQdNdqmyqPjrGVaWjw0Mmh\nOrvgqJOr9rvkGIYdTRxtdrTp5ZojXdMFR792dIGgTkbvvvsu9u7di0cffRT79u3D+PHjMW/ePIwc\nOTIgCdHB3V9f4Bs0k1QzOxooaD+3jpqdmsoSnEx6TeX6cVhVNs2uOY46WUFyNPGl8lxwDMOOJo5h\n2HEgHLkxYXPMhO+m6+rqwsSJE/HAAw+gpaUFI0eORENDgzO9w4ScjGIE6mRclkezRy6z5BzUVmLx\nW66junFz1Ne6oK+uw8kPkyOHoDna7Kg+Z6odM/296rJMF9TJ6KKLLsLKlStTr7/1rW+htLTU+cku\nDGS29lkCGpBVR9Vd08BlWteboz5T0AzYtEnQsg03lzq7iaOqgyuOOj116wXN0WZHE4LgaLOjqo8r\njiY7qnDF0Y8dXSGok9E///lPPPfcc6nXvb29yMvLy/iNCJDNKBbQZZ2mYOE9TI6mgrbpAoOpJGLT\nnQsitsya6uGKI/d3pO0uOdrsyK0VJMd0gpUrjq7tOND3aiaU6ZLJJBYvXowjR44AAJ588klcf/31\nrtQOFVKmixm4TI1zYm48F9R0jm0KOFy718atpwsCug1POPKBnVszLI4mhGFHk9yw7JgJZbri4mLc\nc889+MpXvoLe3l5ccsklePjhhwNaPVrIZhQjUEfVOTZXAqFOpmajNsel8nUyODk6nTn9o+bIBUeO\nVxQcdbKD4mizIzc+aI4mO3rtLjmm83cPGkH+0+u0adMwbdq0AFeMB6RMFwPQmrfqNDQz5rJLUwao\nzufkmE4cVA+d3lRnCqo/N941R5oph80xDDsKx4FzdIVTaTxyFbIZxRDUAblskWZ1nhPSQKAb743R\nBR2dHmo71VfHwxQcTFl3NnHMBTsOhKNpfJgcXUF+z8gOKdPFANSJvTYK6jDqPFuZgctSTfJ0TmzK\nmGnphLYLRz1H03g6N1M5xt2OmfJ1QNkK2YxiCJ1zc+2msTRLtWV/3DyvXV3DtKYacEzyhKMdYXJU\n+11x9Ds2Kju6/qVXgRmyGcUEqvPoskoPpizVG68+6zJSXYarytNlsqa5Xr/6Os4cqZ5RcOSQS3ak\n46PgKJtRtPCXogmwadMm3HjjjbjhhhuwZMkSdsy7776L7373u6iurkZVVRWWLl2algzVSdSH10az\nPnUO0D+zpevq5HnjTXOoPPrMyeQybo6j2ueKo8cvKo42O3J6hMlRJz9IjplgR1foTeORq5DNyAde\nf/11rFmzBhs3bsTvfvc7/Pvf/8ZvfvObfuOWLVuGc889F9u2bcPWrVvx6quv4re//a11fa58oNa9\nOcf1nFwdx2V/dD5dx+TUfh2UC6qqXFs/1SMbOdrsqLZnK8dMsKMryA0Mdshm5AOtra2oqKjAiBEj\nMHjwYNTU1KClpaXfuIkTJ+LrX/86AOBDH/oQxo4di4MHD1rX5xxLLYXQLJRmfjpHUudzDq2uwY3h\nnJQbo65FSyZcVmzqd8VRN8ZP8AuCYxh2NHG02ZGuFTZHbk7QHG12zIRvYMhmyGdGCpqbm9HQ0JCq\nHXt311x11VUoLS1NjSsoKMBbb73Vb/61116bun799dexfft2bNiwwSrXc2QuMHNBIJ2skAYyurau\nxKHLXrk+bg4NTiaONnnZwDEX7JjpHF1+ZpTL/z/kF3IyUjBlyhS0t7fjH//4B/7xj3+krseMGdNv\nbF5ennadl156CV//+tcxf/58XHLJJVa5NJsE9Fkc7fNe6/pNgYEbawsWVB9ujq5UEyVHk77CkV83\n2zja7OjyZNSbxiNXMSjp0gJZgpUrV+L48eOYM2cOgP+V7X71q1/hiSee6Dd206ZNePTRR7F06VJc\nffXVYasqEAgEGQk5GflAeXk5EokEjh07hp6eHmzZsgXl5eX9xm3duhUrVqzAr371K9mIBAKBIA3I\nycgnnnnmGaxduxbd3d24+uqr0djYiMGDB+Ppp5/G4cOHcdddd+Gaa65BMpnE+eefn/q86cYbb8Tt\nt98etfoCgUAQa8hmJBAIBILIIWU6gUAgEEQO2YwEAoFAEDlkMwoZYXytEIdEIoHq6mpUVlZi3rx5\nOHnyZL8xK1aswOTJk3HDDTfgySefHLDMdOT39PRg4cKFqK6uRnV1NRoaGlgdXeqg4u6770ZTU1Po\n8rdv346pU6eiqqoK9913H06dCu4/VPzIb2pqwk033YTq6mr86Ec/Ckw2xdy5c7Fu3Tq2z+X7UBBj\nJAWhoaOjI1lRUZF8++23kz09PcmZM2cmt2zZ0m/cww8/nFy4cGEymUwmT548mfzSl76UbG5uPm25\nR44cSX72s59NHjx4MJlMJpMPPvhgctmyZX3GJBKJ5LRp05InTpxIvvfee8lbb701+dJLL522zHTl\nr127NnnnnXcme3t7k8lkMvmd73wnuWLFikDk+9XBw7p165Kf+cxnkosXLw5V/muvvZa89tprk11d\nXclkMpm89957k0888URo8nfs2JGsra1N9vT0JLu7u5M1NTXJHTt2BCLfw969e5Nf+9rXkp/85CeT\nv/zlL/v1u3wfCuINORmFCNdfK6RDW1sbJkyYgFGjRgEAamtr+8lNJBKoqqrC0KFDceaZZ+Lmm29m\ndXMlv7i4GPfee2/qv+DHjx8/IM6nowMA/P3vf8eOHTtw2223BSbbr/xt27ahpqYG559/PgCgsbER\nVVVVocnv7e3FBx98gBMnTuCDDz7AyZMnccYZZwQi38PGjRsxdepUVFZWsv0u34eCeEM2Iwdobm5G\ncXExLr/8clx++eWp67/85S8oLCxMjTN9rZAXNLyvFZo0adJp69PZ2dlPbmdnp3UMp5sr+VdeeSUu\nvvhiAMChQ4ewbt06TJ48ORD5fnU4fvw4HnzwQfzgBz8wfsOGK/n79u3DiRMnMHPmTEyZMgXLly/H\nOeecE5r866+/HkVFRbjmmmtQVlaGj370o7jmmmsCke/h/vvvN26wLt+HgnhDNiMHiOprhXRIMnfv\nU7l+xriU7+H111/H9OnTUVdXh4kTJwYi368ODQ0NmDlzJkaPHh2Y3HTkd3d3o62tDT/84Q+xZcsW\nvP3221i2bFlo8p966im8++67aGtrQ1tbG5LJJJYvXx6IfL9w+T4UxBuyGYWIwsJCdHV1pV53dXX1\nyQJVbNq0Cd/5znfw4x//GDfddFPgcgsKCvqNOXz4sC/dXMgHgJ07d+JrX/sa7r33XnzjG98IRLZf\nHTo7O7Fnzx6sXLkSU6ZMwdNPP41t27bh4YcfDkU+AFxwwQX43Oc+h5EjRyIvLw/V1dV47bXXQpP/\nhz/8AZ///OcxbNgwnHHGGZg2bRp2794diPx09HT1PhTEG7IZhYiovlaotLQUf/3rX3HgwAEAwObN\nm1FRUdFnTEVFBVpaWnDixAm8//772LZtW78xLuXv3r0bc+fOxcqVK1FdXR2I3HR0KCgowB//+Eds\n3boVzc3NuO2221J39YUhHwAmTZqE1tZWvPPOO0gmk0gkEigpKQlNfnFxMXbs2JH6QtJEIoErrrgi\nEPl+4fJ9KIg35BsYQkZUXyv0hz/8AT/5yU/Q3d2NsWPHoqmpCbt378bOnTuxcOFCAMDjjz+O7du3\n49SpU7j55ptx5513BkXbKv+2227Dm2++idGjR6c4X3nllYFtBn50ULF8+XIcP34c8+bNC1X+hg0b\n8NRTT6G3txfjx4/HwoULcdZZZ4Ui/+TJk1i8eDFeeuklDB06FCUlJWhsbMSwYcMCka9i3rx5GDdu\nHL785S+jtbU1tPehIL6QzUggEAgEkUPKdAKBQCCIHLIZCQQCgSByyGYkEAgEgsghm5FAIBAIIods\nRgKBQCCIHLIZCQQCgSByDIlaAYEgE3HgwAFcd911uPTSS1P/F5VMJnHeeefhF7/4RdTqCQQZB9mM\nBILTxNlnn42tW7dGrYZAkBWQMp1AIBAIIoecjASC08Q777yDW265BQBSpbrKykp885vfjFgzgSDz\nIJuRQHCakDKdQBAcpEwnEAgEgsghm5FAcJqQ7xgWCIKDlOkEgtPEe++9l/rMCPi/z43Wrl2LkSNH\nRqiZQJB5kJ+QEAgEAkHkkDKdQCAQCCKHbEYCgUAgiByyGQkEAoEgcshmJBAIBILIIZuRQCAQCCKH\nbEYCgUAgiByyGQkEAoEgcvw/CW+QdYH0AaAAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x12089da58>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dplot(ds_do, hist2d_alex, S_max_norm=2, scatter=False);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Donor Leakage fit" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false }, "outputs": [], "source": [ "bandwidth = 0.03\n", "\n", "E_range_do = (-0.1, 0.15)\n", "E_ax = np.r_[-0.2:0.401:0.0002]\n", "\n", "E_pr_do_kde = bext.fit_bursts_kde_peak(ds_do, bandwidth=bandwidth, weights='size', \n", " x_range=E_range_do, x_ax=E_ax, save_fitter=True)" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "all: E_peak = 1.54%\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYIAAAENCAYAAAACHGKEAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ne5cAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x121877438>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "mfit.plot_mfit(ds_do.E_fitter, plot_kde=True, bins=np.r_[E_ax.min(): E_ax.max(): bandwidth])\n", "plt.xlim(-0.3, 0.5)\n", "print(\"%s: E_peak = %.2f%%\" % (ds.ph_sel, E_pr_do_kde*100))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Burst sizes" ] }, { "cell_type": "code", "execution_count": 39, "metadata": { "collapsed": true }, "outputs": [], "source": [ "nt_th1 = 50" ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": true }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.lines.Line2D at 0x121d47e80>" ] }, "execution_count": 40, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgQAAAEbCAYAAAClapxFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x121c94b38>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dplot(ds_fret, hist_size, which='all', add_naa=False)\n", "xlim(-0, 250)\n", "plt.axvline(nt_th1)" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": true }, "outputs": [], "source": [ "Th_nt = np.arange(35, 120)\n", "nt_th = np.zeros(Th_nt.size)\n", "for i, th in enumerate(Th_nt):\n", " ds_nt = ds_fret.select_bursts(select_bursts.size, th1=th)\n", " nt_th[i] = (ds_nt.nd[0] + ds_nt.na[0]).mean() - th" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": true }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.lines.Line2D at 0x121c6eba8>" ] }, "execution_count": 42, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAb0AAAE3CAYAAAA+BZ/6AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAAJ/wAACf8BB8w+RgAAIABJREFUeJzs3Xl4VPX1P/D3vXNnn8kyk0mAkJCF3QCKCAYXUMESEUHF\ntaTFjdjW4jdfWytCbaW0trUtrUt/ot+iFgRFqNRatbhvgFQRBRN2AiH7Nklmn7n3/v6Y3ElCZiaT\nWTPJeT1Pn/pkmTkMYU4+yzmHEUVRBCGEEDIMsIkOgBBCCIkXSnqEEEKGDUp6hBBChg1KeoQQQoYN\nSnqEEEKGDa6/L9i2bRs2bdoEmUwGg8GAtWvXAgAeeeQRNDY2QqfTYeXKlZg9e3bMgyWEEEIiwQQr\nWaisrMR9992HnTt3Qq/XY+vWrXj77bfB8zyuuOIK3HXXXWhtbcXtt9+Ol156CUajMZ6xE0IIIQMS\ndHtTq9Vi3bp10Ov1AICioiLU1taioqICixYtAgAYDAZMmDABn3zySeyjJYQQQiIQNOnl5uaiuLgY\nAOB2u7F+/XqUlJRg6tSpeO211wAAZ8+exZdffonGxsbYR0sIIYREoN8zPQAwm80oLy+HVqvF/fff\nj6amJvz617/Gddddh/Hjx2Pu3LmQy+WxjpUQQgiJSL9Jr6qqCmVlZZgzZw5WrVoFhmHgcDjwhz/8\nAUqlEgBw7733Yu7cuUEfRxCEqAQcTwzDIFm6tF18MQMA2Ls3OeKVJNNrLKGYYy/Z4gUo5nhh2ciK\nDoImvaamJpSWlqKsrAzLli3zffzJJ5/EBRdcgGXLluHgwYOorKwM6fZmS4s1omDjzWjUJk3MHo8G\nHMcmTbySZHqNJRRz7CVbvADFHC8mkz6i7w+a9DZv3gyz2YwdO3Zg+/btAAC1Wo0///nP+MlPfoJX\nXnkFarUaTz31FDQaTUSBEEIIIbEWNOmVl5ejvLzc7+c2bdoUk4AIIYSQWKGOLIQQQoYNSnqEEEKG\nDUp6hBBChg1KeoQQQoYNSnqEEEKGDUp6hBBChg1KeoQQQoYNSnqEEEKGDUp6hBBChg1KeoQQQoYN\nSnqEEEKGDUp6hBBChg1KeoQQQoYNSnqEEEKGDUp6hBBChg1KeoQQQoYNSnqEEEKGDUp6hBBChg1K\neoQQEiEPL6Le7El0GCQElPQIISRCT7/bgTE/OobX99sSHQrpByU9QgiJ0NF6Nzw8sGJjM7bvsyY6\nHBIEl+gACCEk2dW38xiVziFVzeBHf2+ByyPi9tm6RIdF/Og36W3btg2bNm2CTCaDwWDA2rVrodVq\nsWrVKtTW1gIA7r77blx33XUxD5YQQgajejOPcSMU2LA8HTc/1Yj/eakVLl7E8sv0iQ6NnCNo0qus\nrMSGDRuwc+dO6PV6bN26FatXr8b48eORn5+PZ555Bk1NTViwYAEuu+wypKenxytuQggZNOraecwY\ny8Ggk2HHyizc+nQjHny5DU0dAv63JAUylkl0iKRL0DM9rVaLdevWQa/3/rZSVFSE2tpaiKIIq9W7\nb221WsFxHFiWjgcJIcOPxSHA4hAxKt27hkjVsHj1x5mYM1GFx99sxy1PN6Gxg09wlEQSNFPl5uai\nuLgYAOB2u7F+/Xpcc801+NGPfoTPP/8cl156KRYtWoQf/OAHSE1NjUvAhBAymNSZvQkt2yD3fUyn\nYvHyj0z42bWp+PSIA1c+VodPjzoSFSLpIaTlmdlsxooVK6DRaLBy5UqsXbsWCxcuxKeffop33nkH\nW7duxeeffx7rWAkhZNCRkt4oQ+/TIhnL4IGSVGxfmQkAWPpEI373hhkOtxj3GEm3fi+yVFVVoays\nDHPmzMHDDz8MAPjwww/x0EMPAQBGjBiBK6+8Env37sWsWbMCPg7DMDAatVEKOz6SKWaO854ZJEu8\nkmR6jSUUc+wlU7wW3luUPtogh9Go7vP5xcVaXDwpFaVP1+KPb3XgH1/a8djtmVg6Sw+GSexZXzK9\nztESNOk1NTWhtLQUZWVlWLZsme/jRUVFeOutt7B8+XJYLBbs3bsXK1euDPpEoiiipSW56leMRm3S\nxOzxaMBxbNLEK0mm11hCMcdeMsV7vMYb56h0LmDMHICXVhjwjy9UWPdPM279cw1mFiix9sY0TM9T\nxjzGmjYPrvlDA15YkYELxnQ/XzK9zhKTKbIbsUGT3ubNm2E2m7Fjxw5s374dAKBWq/HHP/4Rv/zl\nL/Hqq6+CZVnccMMNuOKKKyIKhBBCkpG0vTkynUNnuyvg17Esg6UztbjmfDWeea8TT+zqwILHG/D8\nPRlYeL4mpjF+ddqFOjOPT444eyW94Sho0isvL0d5ebnfzz377LMxCYgQQpJJnZlHho6Fggttq1Kj\nYPG/Jam4vViLC35ei/crHDFPejWt3i3YU03umD5PMqA6A0IIiUC9mceINNmAv29EGoccAxeXRHS2\n1bsaPdlITbEp6RFCSATq2nmMDCPpAUBBJheXRHS2zfscJxpppUdJjxBCwsQLIho7eIxIDa+NcWEm\nh1ozD5tLiHJkvUkrvcYOARZHbJ9rsKOkRwghYWruFMALCH+lZ/IWtJ9qimy1V2/2QBQD1/9JZ3rR\neK5kR0mPEELCVNc1OHZEanhJLz/Tu0KMZIvzTIsHF/y8NuAsP5tLQLNFwORseddzDe8tTkp6hBAS\nJl+5QthnetJKL/xEdLrZA17wliX4U9vmjfHyCSoAwIlhfpmFkh4hhISprt2bUMK5vQkAOQYZ5LLI\nVnptVu8Z3ckA25bVXVubFxUooZIzAb9uuKCkRwghYaqXVnphbm/KWAZ5GZHd4GyxeGM4FeAxarou\nseQaOeSbONreTHQAhBCSrOrbeSg5IF0b/ltpQaY8olICaaVX1eyGIPS9zHK2VeoNKkO+iaOLLIkO\ngBBCklWdmceINC6ixtH5Jg5NnQI67eGVErR2JT2nB6hp6zu372wbD7WcgUHLojBTjhaLALNt+JYt\nUNIjhJAw1Zv5sG9uSgq7bnCGuwJrtXQnOn8rxrOtHmQbZGAYBgW+26LhrSxFUcRXp51hfe9gQUmP\nEELCVNfuCfvmpkS6wXkyzBuc0vam9zH6Js6zrR6M7pr1V2CKrETi/QoHvvP7Buw5lrwDcSnpEUJI\nGKxOAR12MeKVnrT6CreUoNUqYGxW12rxnMfgBRG1bTxGp8u6niuyBCsly4qa5L0ME17vHEIIGebq\nI6zRk4xMlXlLCcLccmy1Csg3cbA4xD7JrLGDh0eAb6WXmcJCq2TCXunVtSd/D09a6RFCSBjq26OT\n9FiW8ZYSRHCmZ9CyKMjk+qwWq7vKFbIN3hilc72wk15Xok/mWj9KeoQQEgYpAUS6vQl4tzgD1dkF\n4+ZFdDpEb9IzcTjT7IGH7y5bkHpu5hi6N/UKTHKcbHIH7dUZSEN78o8ooqRHCCFh6O7GEvkpUYFJ\njlargDZr35KDYKRLLOlaGQoy5fAI3l6cEt9KL71H0svk0GEX0WIZeNmClOirWz1weQaeNAcDSnqE\nEBKG+gibTfdUEGbjaalcwaBjfY/Rs/ThbKsHDAOMSu+OMZKLM9KWLn9Ock0mlPQIISQMdWbvWZpK\nHn5husRXSjDAszJppSdtbwK9L5nUtHkwIlUGuaw7Rt84owFeRum0C7A6RYzLinwyRCJR0iOEkDDU\ntfNhN5o+l6+UYICJqMW3vckizyQHw/RORmdbeYw29I7Rt6ocYIKVVnmzx6m6vj85b3BS0iOEkDA0\nmPmwG02fK9xSAmmlZ9R5yx5Gp8t6JbOzrR6MTu995mjQskhVD/y5pPO8WYVKsAyt9AghZNgQBBH1\nUVzp+UoJBrj6ks70pIbX+Zly32qx3Sag0yH6avR6PldhGE2upRq93AwOow2ypK3V6/fa0bZt27Bp\n0ybIZDIYDAY8+uijeOihh2Czeaf0iqKI48eP4yc/+QmWL18e63gJISThmi0CPEJ0LrFICkxyvF9h\nhyiKITewlppNG3Rs12Nw+PSIA063iLNt3iSVbegbY34mh7e+tvcpWwj23FK5wshU703R4/VDMOlV\nVlZiw4YN2LlzJ/R6PbZs2YI1a9bgpZde8n3N66+/jpdffhnLli2LebCEEDIYdHdjiV5Tq8JMDv/c\nL6LZIsCkDy2ZtlkFqOQMNIqupJfJQRCB0y0e30ihHEPfGAtMcthcNtS1eaDs+tgbB2z46dZWbLsv\nE1NyFH2+R9rezEqVocDE4aPDDjjcYlQu8sRT0O1NrVaLdevWQa/XAwCmTJmCuro63+ebm5vx+OOP\n43e/+x04jjqaEUKGB2mrL9JuLD3lh1G2IHVjkUg3M082unHWV6PXN8bCrhuYx+pdAIAPKu0o29iM\nFouAfSf8T1Gob+eRoWOh4Lzbo6IIVCXhZZagSS83NxfFxcUAALfbjfXr16OkpMT3+eeeew7XXXcd\ncnJyYhslIYQMItHsxiLpmbBC1WoVeg2wLeyROIOv9LwfO1rnwr6TTtzxbDOyUrx/lkC3MuvN3WeY\n4d4AHQxCushiNpuxYsUKaDQarFy5EgDgcDjw2muv4c4774xpgIQQMthEq+9mT+EUqLdahV4rvdwM\nDjLWm4xq2nikqBno1X3f5qUSide/sOC7f22EVslg+8pMjEqTBSxar+sxOzDfFNlkiETqd0+yqqoK\nZWVlmDNnDlatWuU75Pzkk09wwQUXwGg0hvREDMPAaNRGFm2cJVPMHOf9e0mWeCXJ9BpLKObYG+zx\nttnbIZcB43L1YFnvv71IYzYYRKRrWZxtF0N+HLNNxIUFil5fn2eSo7pNgN0lIs+k8PtYRgCmFBne\n/MqCNC2LXWvGYOoYFSZkt+N0s7vP9wiCiIYOHtdM18No1CI1TQQnq0NtR/K95wRNek1NTSgtLUVZ\nWVmfiyr//e9/MXPmzJCfSBRFtLRYw4syQYxGbdLE7PFowHFs0sQrSabXWEIxx95gj7eqwYkRqTK0\ntdl8H4tGzPkZHA6fdYT0OLwgos3KQyvv/d46xijD4RonBBGYmiMP+FjjszhYnQJeuteEbB2PlhYr\nRqex+LjSjfpGS68uLg3tPHgBSFMJvscbY+RQWW2P+9+TyaSP6PuDbm9u3rwZZrMZO3bswJIlS7Bk\nyRLcdtttAIAzZ85g5MiRET05IYQko2jW6PU0fqQch+vc+NuHnf1OQTDbBIhid7mCpDCTQ62ZR0MH\n36dGr6e/lBrx39/k46ICZa/v5QXgTHPvbUtfuUJa78bVyVigHnSlV15ejvLycr+fe+aZZ2ISECGE\nDHZ1Zh6XT1BF/XF/ek0qDte6serVNnx42IE/LzPAqPOfXHv23exJuhAjikB2kKSXa+RgNCrR0qNx\ndM8LKoVZct/HfbdVe1zcKTDJ8c4hBywOATpV8vQ5SZ5ICSEkQkufbMS6f5ojegyrU4DZJmCkn1KA\nSOUYObzxQBbum6/Hfw7aMfc39fj4sMPv10qjgdK1veOQSh8AIMdPYXow0gWXc7ut1Peo0Tv3eU4l\n2Q1OSnqEkGGh3Sbg48MO/N+HneiwD3yWnEQaqZNnjE1tsoJj8MiSdLz640wAwE1PNeL9Cnufr5Nm\n7xnPXelldq/QstMHFuMYI+e3r2adn9uqhV3PQ0mPEEIGocpabyG2zSXi1X3hX7443XXeNSYjtg05\n5kxU4Z0HsyCKwEd+Vnut0krvnDO9HIMMcln3fw+EUs5gtEHWp/6u3sxDLgOMup6F8FKJRXIVqFPS\nI4QMC9/WeN+c1XIGf//U0u9FkUDilfQA71R2k57FKT8XRnx9N8/Z3pSxDPIyOMhlQGbKwLdgCzLl\nfWbt1bd7a/R69uXMTpdBycWuQN3uErDvpP/uMJGgpEcIGRYqatyQscAP5+lRWevGvpOusB5HSno5\nMdrePFdBptxvl5RAF1kA4KICJabmKHw1hAN6PhOHs208HO7uXwrqzH1vq7Isg7yMgU9rCNXWvVYs\n+lMDmjv5qD4uJT1CyLBQUevCuCw5ll+mB8cCL37SGdbjnG7xTiOPV6PlAhOHqmYPeKH3yrTV6t1y\n1Kn6xvH4bQbs/J+s8J4vk+vTV7Ohnffbcq0gi/O7Co2Gpg4eogiEOHAiZJT0CCFDniCIqKxxY3K2\nHFmpMlwzTYN/fWXzzaMbiNPNnrhsbUoKMjm4PPA1kJZIfTf9jQKSyxgow0zKvh6gXduWDreIVqvg\nd6JEgYlDs0WI6GJQIFanN8lrldFNU5T0CCFD3ukWD2wuEZOzvW/o37tUB6cHeOXzgV1oEUURZ1r4\nOCc9KQn13kZstQh9zvOi83y9e4BKfUb9rvQyB94kO1RWpwgZCyij/FJT0iOEDHkVXZdYJo/yzom7\ndLwSBZkcXhzghZbGDgEOt4gxcTrPAwI3om6z8n26sURDrpEDx3Ynsnqz93n9Jr0YNp62OgXolEzI\nA3VDRUmPEDLk+ZJe10qPZRl87xIdTjZ68OnR0G8IVsXx5qYkP0BpwLljhaKFkzHIzeB825vBJkoU\nhjEZIlRWpxj1rU2Akh4hZBioqHUhTcP2euO+5WItlBzw4ieWkB8nnuUKEo3CG3fPxCKKItqssdne\nBLwruFC2N7NSZdAomIAz+CJhdQrQKqN/WYiSHiFkSOiwC7A6/V+oqKhx47xsea+tMqNOhkUXaPDm\n16FfaJGSXl4ckx7gXVH1rIfrsIvgBf/lCtF5Pjnq23lYHEL3wFw/Kz2GYZBvis0NTlrpEUJIEDc+\n0YgfPN/S5+MWh4CqZo9va7Onq85TwyMAh+tCW6mcbvFAJWeQmRLft858kxxnWjxw897zx5auJB2L\n7U2gd1/NhnbvMNpACaggk8OJRnfYxf6B0EqPEEKCONXkxjvf2tF0TjHzkTo3RBGYnK3o8z0DnVZ+\nutmDMUYu6pcr+lNwzsgfX2F6DC6yAN0XVE41eXpNTPenMFOOdrvo6xATLd6VHiU9QgjpgxdE35bf\nG1/Zen2uorb3JZaeumvSQlzpNXswJiM252jB+Ppcdm1xBmpBFrXn61GK4O3GEng7t7sHZ3S3OGl7\nkxBCAmi3da8ydn7ZO+l9e9YFhgEmjOyb9FI1LDJ0bEhX7u0uAfXt8a3Rk5xbD9faNWEhVis9qa/m\niUbv9ubIICu9/AB1hJEQRbFrTh+t9AghpA9zV9JTyxnsPeFEnbk7iVXUuFFg4qBR+H+7y88M7SJG\ndVdHlEQkvbwMDgzTvdIL1nczGmRdfTUPnHbB7haDbm9KW8TRvMzi8gAeAdDQSo8QQvqSkt71MzQQ\nReD1/d7VniiKqKh1+d3alBSY5Khq9kAQgl/ESES5gkQpZ5BjkPlWpNJYIUOAqerRkJ/J4Ui9d/Xm\n7+amJEPHQq9iolqgLt3CpTM9QgjxQ0p684vUGJkmw86upFfTxqPDLvq9xCIpyOTgcIuoNQcvW5CS\nXm4cu7H0lG/qHvnTahXAMkBKDLb/JNJZHeC/Rk/CMAwKA0yCCFes+m4ClPQIIUOAdKZn1LFYPF2D\nL0+5cKbF06P9WOCVnjQBvL+VyumWxCa9gszukT+tFh7pWjas0UGhKszqfs38dWM5N7aTjZ6olS3Q\nSo8QQoJo60p6qRoWSy7UAAD+ud+GihrvzLxgK71Abb7OdbrZA5OejcnqIxSFXSN/Tjd7urqxxDaO\nniu9/pJevomD1SmisSM6ZQvdKz1KeoQQ0oe00kvXsLhgjAK5Rhl2fmlFRa0bOpX3PCyQ/HPKAQKJ\n90ihc0nlFSca3V19N2NbOiFdUGEZwKTvb6UX3WkLCd3e3LZtGxYtWoQlS5bgzjvvxNmzZyEIAv70\npz/h+uuvR0lJCf72t79FPTBCCAmVdJsxVeOdL7fkQi0OVrvxYaUDk0bJg24D6lQsslJlvvMyf0RR\nTHzS61FI32oVYlauIBnR1VfTlCIDJwu+4vI1nu7nF4dQxXJ7M+jfYGVlJTZs2ICdO3dCr9djy5Yt\nWL16NS6//HJ8++232L59O5xOJxYvXoxZs2ahqKgo6gESQkh/2m0ClByg7ipLWHKhBk/s6oDZJvjG\nCQVTmMkFPdNrtgiwucSEJr0cIwcZ6+0802blY769yTAMJo6UQ871n3i6V3rRSXqWrpWeLt4rPa1W\ni3Xr1kGv1wMApkyZgtraWvzrX//CvffeC5lMBo1GgxdeeAF5eXlRD44QQkLRZhOQ1mO777xsOcZm\neRNUsHIFSYGJw+lmDzy8/4sYvkbTCbrEAninoY/J4HCw2g2XJ3Z9N3t67q4M/PX7xn6/Lk3DwqBl\no3aDM2EXWXJzc1FcXAwAcLvdWL9+PUpKSnD69GlUVFTg+9//PpYsWYJ3330XOp0u6sERQkgo2m0C\n0tTdb2feLU7vhZai0f2v9PIz5fAIQHWr/5VKImv0eiowcTh01ns5J5Y1epIcI4ecEBN9fiYXtZVe\nLM/0QvrTmM1mlJeXQ6vV4v7778fGjRtx5MgRbNy4Ee3t7fje976H3NxcXHHFFQEfg2EYGI3aqAUe\nD8kUM9e1BZEs8UqS6TWWUMyxN9B4O52AKZXr9T2P3KzG9LF6fGeGvt8G0dMKeABmNDs4zPDzvE02\nOwDg/HEpMBr9rxzj8RpPzlXj3W8dAICcTHXEzxfNmCeNVmP73g6kp2siLqUQWW+dZc5ILYwp0f1F\no99Hq6qqQllZGebMmYOHH34YAJCZmYlrr70WMpkMBoMBl19+Ob7++uugSU8URbS0WKMXeRwYjdqk\nidnj0YDj2KSJV5JMr7GEYo69gcbb0ulBdpqiz/fMmyBDa6stwHd1y1R7C9MPHLfgopy+b9iVZ2xQ\ncIBScKKlxRWVmMMxUt/930q4I36+aMY8OhVwuEUcOtmB7PTIElWT2TvN3mm1o8Xde7VnMun9fUvI\ngq4dm5qaUFpaitLSUl/CA4D58+fj9ddfBwDYbDbs2bMHU6ZMiSgQQggJl9kqIE0T/lZYnkne1dvS\n/5nU6RYPco1cTIvBQyHdkgTic6Y3EAMd0xSM1SmCZQCVPM63Nzdv3gyz2YwdO3Zg+/btAAC1Wo0X\nXngBjz32GBYuXAie57Fo0SJcddVVUQ+OEEL643SLsLvFiJKeSs4gO00W8A37dLMHE/1MaYg36ZYk\n4J38Ppj4xjQ1unHZBFVEjyUNkI3F3MKgSa+8vBzl5eV+P/fLX/4y6sEQQshASX030yJc+eRncn7r\nzFweb1/O70xRR/T40ZCdLoOCQ9xubw6EtNKLRuNpq1OEThWbP9/getUIIWSAfElPHdnbWWGmHNUt\nHrg8vcsWzrZ6IIqJ67nZk3fkjzeOSFa2saBTschMYXEqCgXqVocQk3IFgJIeISTJRWulV5DJQRC7\nyxMkVYOkXEEyYaQcmSlsv11SEqHAJI9KK7JYTU0HQixZIISQwcps8968jHTl4zuTanJj3Ijus7PB\nlvR+dWM6WizRaewcbQWZHPafdoIXRMgiuPRjdYow6ml7kxBC+jD3mLAQCX+3D0VRxMt7rEhVM71u\nTibSqHQOU3L6L7hPhIJMDi4PcLY1+GzC/kgXWWKBkh4hJKmZrd0TFiKR29XbsmfS+/fXdhw448KP\nr07x9fUkgeX3mAQRCasrdtub9LdICElq0VrpKTgGOQbOdybFCyJ++y8zMlNY3D03soLo4UJaDZ+K\n8AYnrfQIISQA30WWKNxmLOhRtvDq51Ycrffgf0tSoaFVXkjyfLMJw1/puTwiXJ7Y9N0EKOkRktTe\n/saGenN0mvwmK7NNgE7FROU2Y4GJQ00bjw67gMffbEeuUYZls6mZfqg0ChajghT5h8LmksYK0UqP\nENJDq4XH9zY04+c7zIkOJaHMViHi8zxJYVfHk0dfM6O6lceDC9OgCGGeHOlW0M9swv5YHN6Vu4ZW\neoSQno41eN9Y3v7Ghg774LzCHg9muxDxeZ4kv+tMatNnFkwcKceNF2mi8rjDSUGmHNWtHrgDzCbs\nT/dYIVrpEUJ6ONHgPTdxeoA3vup/ksBQFc2VXs/elg8tSo2o1my4KjBx4AXgTHN4q71YDpAFKOkR\nkrSOdSW9FDWDV/clz9igaGu3RW+ll2OQQaNgMH2MAiVTE99rMxn56h3DbEcWywGyACU9QpLWiQYP\nTHoWN83U4rNjTpwNMPV7KBNFEWabELXmyzKWwbYfZ+L5FRkx6fA/HEir5XBr9WilRwjx63ijG2Oz\n5Fh6kXfy9T++GH6rPatThEeIvEavp5kFSoxMGxzdV5LRGCMHlgl/rh6t9AgZBkRRHNBlFDcvoqrJ\ng7FZHKbnKVCQyeHVz20QxfAuD8TKJ0ccePPr2J03RrNGj0SHUs5gtEEW8famTkUrPUKGrI0fWTD1\n4Ro0d4bWs/B0swceARibJQfDMLhpphZH6t04WB15h/toeuiVVty/qQW8EJtk3GaVkt7gGqg63BVk\nynEqzO1NqWSBVnqEDGH/+NIKm0vEgdOukL7+eNcllrFZ3vOTG7u2OAfThZbGDh7HGjxot4uoqIlN\nMm6300pvMCowcTjbxsPhHvgvO1SyQMgQ19zJ44tT3mT3TXWoSc+7dST1OszL4DCzQIl/fGGFJ8z6\nqGjbc8zR/d/HHUG+MnzdKz16KxtMCjI5iCJQFUY7MrrIQsgQ916FHdJRXOhJzw25rPc075tmatDU\nKeDjw7FJMAO1+7gTLON989p9zBmT52inM71BSepsE865ntUpgmEAtZySHiFD0q6DdmgUDGYWKHFw\nACu9ApO8V7/JxRdqoeCAbYNki3P3USeKRstRPFaJvcedMblk0xalqekkuvJNfWcThkqasBCrkhH6\nSSEkgVweER9UOjBnkgoXFShQ3cqj1dL/ZZYTjW4UZvW+Vp+mYTG/SI03Dtjw7AcdEGJ0eSQUTZ08\njtS7MXucCsXjVGi1CjhSF/1zPVrpDU65Rg4cC9+YpoGI5Sw9gJIeIQm157gTFoeIq4vUmNo1Dfvg\n2eBvFK0WHi0WwXeJpadfXp+O87IVWLPdjCV/bgzrTSca9nZtZ84ep8TssUoA3u3OaGuzCpCxgD5G\n19tJeDgZg9wMLuztzVhNWABCSHrbtm3DokWLsGTJEtx55504e/YsmpubMW3aNFx//fW+/1VXV8cs\nSEKGql2QyzsDAAAgAElEQVQHvTVs887rTnr9nesd79oyGpvZt4B6TAaHfz+QhTWL07D/tBNX/KYe\nz7zfEbOSgUB2H3eAYYCLx6owNVcBjYLBnhic67XbBKSqWeqeMggVZnIRbW/GStC2A5WVldiwYQN2\n7twJvV6PLVu2YPXq1SgtLcWVV16J9evXxywwQoY6URTxn4N2TB+jQFaqDCY9C62S6fdcT2o0PXZE\n35Ue4P0te+XVKfjOFDXu39SCR3aYkaJT4vaZyqj/GQLZfcyJ87Llvm3HmYVK7D7mgCiKUU1Q0Zyw\nQKKrwCTHO4ccsDgE6FSh/x1ZHWLU2sr5E/SRtVot1q1bB71eDwCYMmUK6urq8NVXX6G2tha33HIL\nli5dil27dsUsQEKGqqP1Hpxp4TF/irexMcsymDJa0f9Kr6tcwd/2Zk8TRsrxxgNZMGhZvHcofpdb\nWiw8KmvduGScyvex2WOVaOoUIpqz5o/ZykdtwgKJLmlM06kBbnHGeqUX9KclNzcXxcXFAAC32431\n69djwYIFUCgUKCkpwSuvvII//OEPWLt2LQ4fPhyzIAkZiv5z0A4AuLqou5v/1FwFTjZ60BmkJdmx\nBjcydGxIlzc4GYNpuQp8cTJ+ZQx7us7uisd1ryyLuxJgtEsXzFGcsECiq8AUbtKL7UWWkLqqms1m\nlJeXQ6vV4v7774dM1t3yJy8vDwsWLMD777+PiRMnBnwMhmFgNGojjziOkilmrmu6c7LEK0mm1xgA\nyp6tQ7bBjkeWZkT8WB8ebsJoA4c509J8W37Fk9x49oNOnOmU4fLR/geYVjXXY2K2MuTX7ZJJOnxQ\n2QwHo0C2IfjqMBBRFLHrGysum6jpd6L1V9WdYBhg4UwDDDrve8W8VA1U8kZ8ecaD8hDiDvXnot0u\nIitdMSh+hpLtZxmIbcwXjpcDaEK9ZWDPYXWJMKTIYxZXv0mvqqoKZWVlmDNnDlatWgWGYfDCCy9g\n0aJFMBqN3Q/EBX8oURTR0jI46odCZTRqkyZmj0cDjmOTJl5JMr3GAPDK7nZoVSx+OFcV0dlUq4XH\n7qN2lF6iQ2trd0PmgnTvhZNPD7XjvMy+l0/cvIgTDS7MyAv9dZuQ6f3/974yY+H54U0C/7DSjpuf\nasJjN6fjrjn6oF/73kELJo2SQ3Q60NJjYTcjX4EPv7WiudnS72vXycuhY11Bv44XRLTbBKg5YVD8\nDCXbzzIQ25g1jAglBxw6bQv5Ody8CKdbBAc+4PeYTMF//voT9Fe2pqYmlJaWorS0FA8//LDvB3Df\nvn3YvHkzAKCurg67du3C/PnzIwqEkMGu0y6g0yGi3swPeMvmXO9+64AgAt+Z0ntQ6bgsOVRyJuC5\n3plmD9w8UNjPeV5P5+d6txlD7evpz5Y93jego/XBSyDarNJ5Xt9LM8VjVagz86jqZ6L26/ttKFx5\nAvN/V4+3vg48OUKq0aMzvcFJxjLIy5APaK6eLcZjhYB+VnqbN2+G2WzGjh07sH37dgCAWq3GE088\ngTVr1mDRokUQRREPP/ww8vPzYxYkIYNBTVv3m/XuY07fsMxwvHPI24Xl0gmqXh/nZAzOy5YHnJZw\nvFFqNB36vLesVBlGGzh8dSa8pNdq4X3jgfq7gr7nuBOi2H2G19PsrkS455gT+Sb/r50oivjzf9qR\nrmVR08bj+882o2i0HA+UpKJkqhos273yk8YK0Zne4JWfyeGLk6Gf40p9N2M1VgjoJ+mVl5ejvLzc\n7+c2bNgQk4AIGaxq27o7pew+5sCyS3RhPY7NJeC9Cjsun6CCyk9/wam5Crz4iQU2lwCNovcbunRz\nc9wAVnoAcNFYNd4/aIEgiL0SRyj+8YUNLo+368mpfhoIS7V4xWP7rvSm5ymg4LxF6rfP9v/afXTY\ngUNn3fjNbSbcOkOJFz6x4K/vduCO55pReokWf7y9+0jFTCu9Qa/AxOHtb+zosAtIUff/9+SbsKCg\njiyEJFyN2Zv0DDoZ9kbQXeSNr+ywOETceJH/87WpOQoIIvyO4znhp9F0KC4qVKHdLg54W1YURby0\n24IcgwyLp2tQ3crDGWRczGfHHJg0Sg6jru98O7WCxfQxyl7TF8719Lud0CoZlM1Lh07F4r75Kfjv\n2lG4fKIKO/5rg8vT/dy00hv8pG34UDsDWWI8YQGgpEdIyKTtzZsu1uNsG48zLeGd623ZY4FBy2LB\n1MBJD/DfmeVYgwd5GVyvRtOhmFHgPTv8aoDneger3fi2xo1bL9ZhbFbXuJgAZ3Jmm4Bva9y+bUx/\nZo9TorqVR7Wf1+5gtQsfHXag9BId0rTdSVOrZLF4ugY2l4gvTnX/suFb6VGz6UFLKlsItT7TGocz\nPfppISREtW08lBxww6wUAN4tzoE62ejG7mNOLJ2phTLA6JQJI+WQy+C3M8uJBveALrFILizwnrEd\nGOC53kt7LGAY4NaLtb4zzEC/tX912nueN6swcNKbM9Ebxy/+0danNdrT73aAY4GyK/vezpO+76Me\nY5NopTf4FWQObNpCrGfpAZT0CAlZTZsHI9M4zB6vhlyGsLY4t3bdgry9OHANkoJjMGmUvM9Kr83K\no9kiDPg8DwDStDKMzeKwvyr0mO0uAf/4rxWXT1Ahx8j5BtYGaiIsbccWjVYEfMyLxyrxg6v0eOOA\nHT97pc13M/NMiwf/3G/D9TM0yE7vu3Wba+RQkMnhw8rupEcTFga/EakyaBQMToY4TFZa6Wko6RGS\neLVtPLLTZdAoWZw/RjHg7iIeXsTLn1txwRgFJmcHTgyAd4vzcK271/lZd/uxgZ3nSc7PVeDQWTfc\nIU5Wf/NrO9rtoi9B5xg5yNjAv7VX1LigkjO+WWr+MAyDXyxJw00zNfj7pxb8/t/tAIAN73eAF4Af\nzUsJ+L1zJqpw4IwLbVbv2SpNTR/8GIZBnonDKdreJCS5iKKI2jYeo9K9Z03FY1Woavagzhz6ud77\nFQ40tPNBV3mSKTkKuHn4ZtCJouhb+YWzvQkAF4xRwuEWcbg2tN+6t+yxIE3DomSa9+xRLmOQa+QC\n3uCsqHFj4kg5ZP3cDmVZBn9eZsS881T441sdWP92O17abcWVk1VBfxmYO1EFUQQ+OeL9ZaPdJkDJ\neS/IkMGrMJPDiUZ3SEOE41GyQD8thISgzSrA7hZ9W2/SjLg9A9ji3LzbArWcwfUz+k960mWWp9/t\nwIqNzZi2uhartrVBxgLjA0xX6M8Fed7HDOVc73SzB58cceLGizS9yioKTJzfSwluXsTRejcmZ4cW\nm1zG4Lm7MjAjX4HH/tUOm0vEffMDr/IA4NLxKshY4KPD3p6lbTah14UXMjgVmORot4totQbuJyuh\nlR4hg0RNV42etNKbWagEy4TeQLmhncc7h+xYNF0TUr3S5GxvZ5bXvrRh10E7xo3g8NNrUvHvB7LC\n3s4rGq0AxwJfhXCut3WPBQBwe3HverrCLDnqzDxsrt5vYMcb3HDzCDnpAd43tpd+YMJ52XJcMk7p\nt4tLT3o1iwvzlPiw0juiqN0mIC2E15Ik1kAus1gcsb/IEt7hACHDTG3XNqa00tOpWEzNUQStOetp\n2z4reAH4bghbm4B3y+5f/5sFQRRRNFoB+QBLFPxRyRlMypb3W7Zgtgn4v486cWG+AlNyem835vfo\nnH9ej61I6RJLf2eV50rXyvDeQyPAiwipl+ncSSr8/t/tONnogdkmII3KFQY96WfmZJMbFxUE/8XG\n6hTBMIA6wM3maKCfGEJCcO5KD/COzjnW4EFTJx/o2wB4z+O27LagIJPDxX46lQQyLVeBC8Yoo5Lw\nJOfnKnG4zt1npdbTX9/tQIddxKpr0/p8LtBv7VLSmzRq4FuvLMuE/GeUShc+POzwJj26xDLodReo\n97/SszoFaBTMgLsGDQT9xBASAqkFWc/r9FIR9t4gW5yiKOK1L2040ejB7cXaqE4ND8f0PAV4ATh0\n1v9llMYOHs9+0IlLxytx+cS+/TMLTP5r9SpqXBiRKvPbiSWaLhijQIqawUeVDpitlPSSQYaOhV7F\nhJj0xJhubQKU9AgJSU2bBxoFg1R19z/IWYUqMAyw+7j/Lc5vzriw9MlG3Pt8C0x6FrdeHF6vzmg6\nf4x3+zHQud4Tuzpgc4lYtajvKg8ARhtkUHB+Vnq1oV9iiQQnY3DZBBU+PuKA3S1S0ksCDMOgIFMe\nUq1erAfIApT0CAmJVKPXc6WWpmExeZS81w1Om0vAobMu3Pt8M+b9rh7/PenC/VenYPcjo5CZkvib\nhhNGyKGWM37P9WraPHjhk05cXaQKePbiHRfD9SpQb7XwqDPzcUl6gHeL0+by3vKjbizJocDE4USD\np9+yBatTiPlKjy6yEBKCmjaP33E4s8cp8dyHFnzn9/WobvGg2eI9K2MZ4LuztXhwYSpGpg2ef2ac\njMGUHAX2nXCi1cL7JpsDwJ/eaofLA/zMz1leTwUmOb7ssVKs7Kr7mzxqYJdYwjV3khpAGwCasJAs\nCjI52FwiGjsEZKUG/uXP6hSRGuMbufQTQ0g/BEFEndm70jvX4gu1GJ0uAy+ImFmoRNmVeqxbmoaP\n14zE+u8aB1XCk1w3XY2zbTxm/rIWT77TAbtLwMlGN7bssWLJhZo+NzbPlZ/JoalTQKfdm+C7b27G\nZ6WXl8FhTIb3daWVXnLor2+rhFZ6hAwCTZ0C3Hzvm5uSmQVK7F+XnYCowrfiihRMzlZg7Wtm/Gqn\nGRs/6sTINBlEEXhwYWq/319g6u7BOS1XgYpaF+QyYGyYnWLCMXeiCi9+aqEJC0mi589M8bjAX0dn\neoQMAufW6A0Fl45X4e2fZmHDHUbIWOCLUy7cMksbUuI6d0ZaRY0b40bIoeDidzP1hhka6FQMxoXZ\nnYbEV6gF6vG4vTl0/hUTEiP+avSGApb1tkS7ZpoG735r99XA9afnb+284O3lufB8dSxD7aN4nAon\n/jA64SUgJDTpWhkMWhYngmxvengRDjclPUISrrZt6K30elLKGSw83/9AW39GpMqgljM42ehGVZMH\ndrc44E4s0UAJL7nkZ3I4FWAsFQDfjVza3iQkwc62dq300obWSi9cLOsdF3Oy0RP3SywkeRWYvElP\nEPyXLcRjgCxASY+QftW28UhVM9Cp6J+LpDDTW6v3ba233u+8BKz0SHIpyJTD4RZR1+6/bZ80YSHW\n/876ffRt27Zh0aJFWLJkCe68805UV1f7PtfZ2Yn58+fjvffei2mQhCRSjdmDUUN0azNcBZlytFkF\n7D7qhFHHIjOFfiEgwRV2XWY50eB/i7N7rFACV3qVlZXYsGEDtmzZgp07d2LevHlYs2aN7/OrV69G\nZ2dnTAMkJNGkbiykm3SZ5fOTTkweJafzNdIvX9/WAO3Iurc3E7jS02q1WLduHfR6PQBgypQpqKur\nAwBs2rQJo0ePxvjx42MaICGJ5OFFNLTztNI7h3QFXRQHPk6IDE/9lS1YHINgpZebm4vi4mIAgNvt\nxvr161FSUoJDhw7hP//5Dx544IGQRsATkqzq23kIImildw6pwwZAl1hIaHQqFiY9G/AGZ7wusoT0\n66vZbEZ5eTm0Wi3uuecelJaW4qmnnoJMRm8EZGgbqjV6kTLpWehUDCyOxJQrkORUmCkPWKvXfaYX\n2+3NfpNeVVUVysrKMGfOHKxatQpvv/02Ojo6cN9990EURZw+fRqPPfYYLBYLFi9eHPBxGIaB0Rja\n1OjBIpli5rq6YSRLvJLB/hp3HPH+VjppjM4X52CP2Z9YxDx+pBIHqhwoPi8VakV036joNY6PeMc8\nKUeFzZ+0IzVNA+7cwcGct4l5dpYWRmPsfpEKmvSamppQWlqKsrIyLFu2DABQUlKCkpIS39eUlpZi\n+fLluOqqq4I+kSiKaGmxRiHk+DEatUkTs8ejAcexgyZes03AmWYPpuYG/+Ed7K/xkTM2AICOdfvi\nHOwx+xOLmL9TpMQYAwtbpx22qD4yvcbxEu+Ys1MBNw98fbwDeRm9009jq3cupdvuQEtL4M4tJpM+\nohiCJr3NmzfDbDZjx44d2L59OwBArVZj69atvq+hW1vEn8f+ZcbzH1sw7zwVfnVjuq9fY7KRurHQ\nRZa+yhf035yakJ6k8VwnG919kl68ShaC/ksuLy9HeXl50Af4+9//HtWAyNDwTbULWiWDjw47cPmv\n63DPXD0eKEmFPsazsqKtxswjQ8dCJadf7giJVGGPG5xXTu79Oekii0ZBHVlIkhFFEcfq3bhqshof\nrx6JORNV+Ot7nbj40Vo8/W4HzDYh0SGGrLaNp0sshERJntSs3M9lFotThEbBgGUp6ZEk09ghoMMu\nYtwIDoVZcmz5YSa2/MCEdC2LR18zY9rDNXhgSwsqalyJDrVfNW3UjYWQaNEoWIxKk+Gkn7KFeAyQ\nBWjKAomBI3Xe3+ImjOw+x5tXpMZV56nw0WEH/vaRBZt3W7HpMyumjWlDTjqLHCOHXAOHfBOHuZNU\nMf9tLxROt4jmToFq9AiJosJMzm+BejwGyAKU9EgMHKv3Jr1zB3wyDIO5k9SYO0mN080ePP9xJ/ae\ndGP3MSdaD9h9X/ejeXr84vr0uMbsjzQ8llZ6hERPfqYcu4874fKIvQYP00qPJK0j9W6wjLcQNZAx\nGRx+eUO678q0xSGgutWDR18z45n3O3HzLC0mjUps0XNtV2E6rfQIiZ4CEwdeAM60eDC2x61uq1OE\nLg4rPTrTI1F3rN6NMRncgG486lQsJo1S4He3GKCQMXjw5baEt7j7sspbLCs1VyaERC5QD06rU4RO\nFfuVHiU9EnVH6t2YMCK8urwxGRzKF6Tg8xNOvPJ54gp9eUHEi59YMHGkHNP6KbAnhIRO2gHqOW2h\nzcqjxcLHZXuTkh6JqlYLj+ZOoc953kD84KoUjM3isPY1M9qs/gdOxtq739pR3cpj+eU6asBASBSN\nyeDAMt0rPYtDwG1PN8HqFLH0oti3RKOkR6LqaNcllvEjw98SVMoZ/PZmA5otAn7zenu0QhuQjR9Z\noFUyuHlmcvVSJGSwU3AMRhtkONHoht0l4HsbmrD/tAuP32pAyTRNzJ+fkh6JqmNdU5HHR7DSA4DL\nJ6pwwwwN/v6ZBfu7ztbi5WSjGx9UOnDzLC10KvonQki0FWTKcbzBg3v+1oxPjzrxyJI0fO9SXVye\nm/5Fk6g62lWjNy4KvTYfvSEdWiWDH77Ygq/PxK+Q/flPLACAOy6Lzz9CQoabwkwOdWYeuw45UL4g\nBffNT4nbc1PSI1F1tN6N7HRZVFZIWaky/GWZEQ3tPBY8Xo9HX2uDzRXbFmY2l4CX91hwyTglJia4\nZIKQoUo68797jg4PXRvfxuV0F5tE1dF6d0SXWM616AINpucp8ODLrXj63U78+4Adf/quAZeOV0Xt\nOXp67Qsb2u0i7rw8svElhJDAbpmlRY6Bw1WTVXG/KEYrPRI1FoeAmjY+7HKFQLLTOWy+14Rn7jCi\n0yHghr80YuseS1SfA/A2yt74cSdGpMqwYJo66o9PCPHSKlnML1InpN0grfRI1Bxv8N9+LBoYhsEN\nM7SYM1GFG59oxEOvtOGCMYqwtyAPnXVh2f9rwqRRclwxWYUrJ6vRZhVwsNqNBxemQn7uVGdCyJBA\nSY9EzRGpXGFE7H6sjDoZnrszA/N/V497/taC//wsCxrFwDcsnnm/Ew0dPBxuEe9VOACYoZIz4Fig\n9BK6wELIUEXbmyRqjtVHp1yhP+NGyPH7Ww04Uu/GmlfbBvz9ZpuA1/fbsGCqGt/+Nhtv/zQLP7s2\nFdNyFfjx1SnISqVem4QMVbTSI1FztM6NDD0Lgy72SePmWVp8csSBzbutuGyCCtfPCL2IfNvnFjjc\nIr5/qQ4ylsH0PCWm5ynxQEl8b5ERQuKPVnokao42uGO+yuvpsZvTMS6LwwNbW/1OYvZHFL09Ncdk\ncLh8QmxugBJCBi9KeiQqHG4RVU2euCY9nYrFs3dlwO0RUf5Sa0jfs/e4E8caPPjepbpBMaiWEBJf\nlPRIVJxsdEMQY3+ed67zshW443I99hx3oqat7zTmc734qQVyGXDrxdRTk5DhiJIeiQpfo+k4Jz0A\nWHi+t0nt21/bg35di4XHGwdsWHi+BiY9XVYhZDjq9yLLtm3bsGnTJshkMhgMBqxduxaCIGD16tXo\n6OiATCZDeXk5LrvssnjESwapo3EoVwhkRr4CGXoWb35jw11zA3dSeXmvFS4P8P04NbYlhAw+Qd+h\nKisrsWHDBuzcuRN6vR5bt27F6tWrIZPJcNNNN+G6667DsWPHcNttt2Hfvn1gWVo4DldH6zxIUTMJ\nue4vYxksmKLG1r1WtFl5pGv7xiAIIjZ9ZsHYLA6zxynjHiMhZHAImqW0Wi3WrVsHvd7723NRURHq\n6uqwceNGXHfddQCA6upqpKSkUMIb5o41eHtuJmrgask0DXgBeOeQw+/nPz3qxMlG7wUWGgpLyPAV\ndKWXm5uL3NxcAIDb7cb69etRUlLi+/y1116LU6dO4Re/+EVsoySDmocXcaLRjRsHUCsXbZdNUEGr\nZPDm1zbcPKtvHM9/0gkl5210SwgZvkJanpnNZqxYsQIajQYrV670ffyNN97A22+/jSeeeAKHDh2K\nWZAkfuwuAXuPO3C62QMPL4b0PW8ftMPlAS4qSNy2oUrO4KrJanxQ4egzfuir0078+4AdN8/S+d36\nJIQMH/3eOqiqqkJZWRnmzJmDVatWgWEYvPXWW5g/fz44jkNOTg6mT5+Ow4cPo6ioKODjMAwDozG5\nfstOppg5zrtlF2m8q7c24rf/bAEAyFggxyhHYZYcj95sQvF4jd/vefHTZqRpWdx9tQnaAc7Ri+Zr\nfNMlHrz+lQ1f1QDXda06RVHEb55qhkbJ4LFlI2BMj/x2aTL9XEiSLeZkixegmJNF0KTX1NSE0tJS\nlJWVYdmyZb6Pv/jii7BarVi6dCnq6urwzTff4H/+53+CPpEoimhpsUYn6jgxGrVJE7PHowHHsRHH\nu2NvOwoyOdxerMOZFg9ON3vw+XE7Sp+swac/H9ln+sDBahc+qrThh1fp4bDa4Rjg00fzNS7OYyGX\nAa982oZL8r3J9z8Hbfio0oYHSlKgEFxoaYl8Ansy/VxIki3mZIsXoJjjxWSKbNZl0KS3efNmmM1m\n7NixA9u3bwcAqNVqPP7441izZo2vlGHNmjUoKCiIKBCSeCcb3TjW4EH5ghSsvDrF9/GXdltQ/lIr\nNn9mwR3nDFf920edYBngzjmJH7qaomZxyXgVdh20+7Zmf7XTjAw9ix/NS+nnuwkhw0HQpFdeXo7y\n8nK/n3vxxRdjEhBJnHcOeYu75xf1HqB6yywt/vpuB/74VjtunqWFVuldRTV38tjxXysWTFUj1zg4\nepeXTFXjw0oH9p104niDB0frPfj9renQDXDblRAyNNE7AfHZdciODB2L6WN6D2blZAwevi4NjR0C\nnvug0/fxzZ9Z4PQAK65I/CpPUjLVm7Bf3WfF794wY2wWh2WzqRidEOJFSY8AADrtAvYcc2Jekdpv\nI+ZrpqlxYb4CT77TgVYLDzcv4vlPLJicLUfx2MFT7D0ijcOFeQq8tNuKpk4BP1+cBo6moBNCulDS\nIwCADyod8AjA1VPUfj/PMAx+vjgNnQ4Rf9nVgX8fsKHOzGPFXP2gK/Yumeb9M1xcqMSCqf7/PISQ\n4WlwHMSQhNt1yA4FB8ydGHjG3OxxKlw1WYWNH3Ui3ySHQcvi+hn+yxgSaelFWuw66MCvb0ofdAmZ\nEJJYtNIj4AUR731rx+xxqn4vfKxenAYXDxyuc6P0Eh3UisH3IzQqncMbD2RhSo6i/y8mhAwrg+8d\ni8Tdl1UutFgEXF3U/1Zg0WgFll6kgYID7ricLogQQpILbW8SvHPQf6lCIH+4zYCfXJOKUen040MI\nSS70rkWw65AdE0fKMSYjtB8HtYJFvok2CQghyYfeuYYRQRDR1Mn3+tiZFg8qa90hr/IIISSZUdIb\nRjZ9ZsF5D9Vg8foGvL7fBjcv+rqwBCpVIISQoYS2N4eRjw47oJIzOFznxt1/a8bINBkUHAODlsWM\nfLrpSAgZ+milN4zsr3JhZqESB9aNwp+/a4BRx+J0swffmaKGzE8XFkIIGWpopTdM1Js9qDXzuOVi\nLdQKFrfP1uG2Yi0qa93IMdCPASFkeKB3u2HiyyrvHLnped3bmAzDYHI2bWsSQoYP2t4cJvb7kt7g\naQ5NCCHxRklvmNhf5USuUQaTXpboUAghJGEo6Q0DvCDiq9MuWuURQoY9SnrDwOE6N2wusdd5HiGE\nDEeU9IYBOs8jhBAvSnrDwP4qJzgWmDJanuhQCCEkoSjpDQP7q1w4b7RiUM6+I4SQeKJ3wSHO4hBw\nuM5N53mEEIIQitO3bduGTZs2QSaTwWAwYO3atVAoFHjkkUdQV1cHQRBw4403Yvny5XEIlwzUgTMu\niCIo6RFCCPpJepWVldiwYQN27twJvV6PrVu3YvXq1dDpdJg1axbuuOMOWCwW3HjjjSgqKsKMGTPi\nFTcJ0f4qJwDgQrrEQgghwbc3tVot1q1bB71eDwAoKipCXV0dFi5ciKVLlwIAdDod8vLyUFtbG/to\nyYB9WeVCqppBgYk6zhFCSNCkl5ubi+LiYgCA2+3G+vXrUVJSgmuuucaXCD/77DMcOHAAl156aeyj\nJQGJIuB0i+d8TMT+Km9ROktTFAghJLSLLGazGStWrIBGo8HKlSt9H3/zzTfx05/+FE8++SQMBkPM\ngiT9a7Hw+Oa0A2t3tkEQvMmv1syjoZ2n8zxCCOnS755XVVUVysrKMGfOHKxatQoM410xPPHEE3jt\ntdewceNGTJw4sd8nYhgGRqM28ojjKJlibre7AQBPvdOJhk7ghR+OwtFjFgDA3Kmpg/bPkUyvsYRi\njr1kixegmJNF0KTX1NSE0tJSlJWVYdmyZb6PP/XUU3jnnXfw6quvIiMjI6QnEkURLS3WyKKNM6NR\nm6ZRybAAABGOSURBVBQx210COu0KGHQyLL1Cj2c/6MTpxioUZnr/escahEH750iW17gnijn2ki1e\ngGKOF5NJH9H3B016mzdvhtlsxo4dO7B9+3YwDAOGYXDs2DGYTCbcc889EEURDMNg+fLlWLx4cUTB\nkPDsOe6EKMqRqpVh3dJ05Bpl+PkOM/addCIvg4NRR5MVCCEE6CfplZeXo7y8PF6xkDB9UOEAoEOq\n2ntEu+KKFOQYONz7fAvmTFQlNjhCCBlE6B77EPBBpR1qBQs5131Ds2SaBt/8RgWlnG5tEkKIhJJe\nkjvb6sHReg+y1H2TW6qGuswRQkhP9K6Y5D6odAAAUtT0V0kIIf2hd8ok936FHToVA42S/ioJIaQ/\n9E6ZxNy8iI8PO3DpeBWo4QohhPSPkl4S+/KUE50OEVdOphuahBASCkp6SUw6z7tikjrBkRBCSHKg\npJfEPqhwoDCTw5gMuoRLCCGhoKSXpJo7eXxd7aKtTUIIGQBKeknqo8MOiCJw5WTa2iSEkFBR0ktS\n71fYoeSA4nE0EZ0QQkJFSS8JvbzXgtf32zB7nAoaBf0VEkJIqOgGRBJxuEWsfrUNmz6zYHK2HL+7\nlQb3EkLIQFDSSxLVLR7c9X/NOHDGhZtnafH7W9NplUcIIQNESS/BHG4RL++1oNMhwuXx/s/pFmF3\ni7A6RVgcAqxOEV+fccHmEvD7W9Px/Ut1vgn2hBBCQkdJL8H++m4HfvtGu9/PaRQMtEoGOhWLCSPl\nePSGNEzPo4srhBASLkp6CWRxCHj2g06cn6vA3+7OgIJjoJQzUHCAimPAUkNNQgiJKkp6CbTpMwta\nrQL+9N0U5Bjpr4IQQmKNbkIkiMMt4ul3OzBplBwLplCBOSGExAMlvQTZuseCxg4B938nhbYxCSEk\nTijpJYCbF/HUOx3IN3FYPF2T6HAIIWTYoKSXADv2WVHdyuP+q1Mgo1UeIYTETb9Jb9u2bVi0aBGW\nLFmCO++8E9XV1b7PHT58GHPmzIlpgEMNL4j4y64OZKfLsHSmNtHhEELIsBI06VVWVmLDhg3YsmUL\ndu7ciXnz5mHNmjUAgM2bN+Puu++G3W6PS6BDxRtf2XCi0YP75qVAwdEqjxBC4ilo0tNqtVi3bh30\nej0AYMqUKairq8OJEydw6NAhPPXUU3EJcih55oNOmPQsbp9NqzxCCIm3oMVhubm5yM3NBQC43W6s\nX78eJSUlKCwsxG9/+1vU1NTEJcihoqmTx5enXLhnrg5q6ptJCCFxF1JFtNlsRnl5ObRaLVauXBnW\nEzEMA6MxuVY30Y757Upvu7HFs9Kj/lpwXVulw/01jgeKOfaSLV6AYk4W/Sa9qqoqlJWVYc6cOVi1\nalXYjY5FUURLizWs700Uo1Eb1Zhf/9wMJQcUjYj+a+HxaMBx7LB/jeOBYo69ZIsXoJjjxWTSR/T9\nQffYmpqaUFpaitLSUjz88MN+E54oihEFkAj7q5xweeIbtyCI+LDSgWIa/EoIIQkT9N138+bNMJvN\n2LFjB5YsWYIlS5bgtttu6/U1yTbi5v0KOxY83oA/vuV/skGsfFPtQrNFwFWTVXF9XkIIId2Cbm+W\nl5ejvLw84Oezs7Oxb9++qAcVK7wg4tHXzACA5z+24MfzU6BTxWfV9X6FAwBw5WTqs0kIIYkyrPbZ\ntn1uRWWtG/OLVDDbBGzdE7+97PcrHMgxyDA2i6YpEEJIogybpGdzCXjsX+3IN3HYeLcJhZkcNnzQ\nAQ8f+7M9s03AF6ecuGKyOum2gwkhZCgZNklvw/udqG/nsea6NCjlDH5wVQrOtPD49wFbzJ/748MO\nCCLoPI8QQhJsWCS9pk4eT77TgRn5Clx7gfdM7aaZGmToWDz9bmfMb6C+X2EHxwKXTaCkRwghiTQs\nkt4f32yHxSHilzek+7YX1QoWd83V48AZF/Ycd8bsuUVRxPsVDswqVMbt0gwhhBD/hvy78IkGN/7+\nqQULz1djZoGy1+eWX6aDWs7g6Xc7Yvb8FbVu1LfzuIJubRJCSMIN6aS3+5gDt/21CQCw5rq0Pp83\n6mS4tViLdw45cKTOHZMYuksVaGuTEEISbUgmPYtDwM9eacWSPzei0yHgubsyUJgl9/u1ZVfowTCI\n2Wrvgwo7slJlOC/b//MTQgiJnyFXNPZhpR0PbGlFdSuPG2ZosG5pOjL0soBfX5Apx+LpGry814rs\ndBkeXJgaVllBi4XHr3aacaTOjRwjhxwDh2yDDJ+fcGLpRVoqVSCEkEFgyCQ9QRDx+zfb8ae3OpCZ\nwuLFFRkomaYJ6Xv/dLsBnXYBf3yrA/XtPB6/1QBOFlqSEkUR/9xvw8Pb2tBsETBhhBzvfWtHp6P7\nRui8IjrPI4SQwWBIJL12m4AfvNCMd791YN55Kjz9fSPStYFXd+fSqVj8/V4Tfrq1FS/ttqKhncdz\nd2XA2M/3NbTz+OnLrXj7GzvyMjg8d1cGLhmv8sV0psWDdruAS8Yp+3kkQggh8ZD0Sa+y1oXlzzbj\nVJMH/1uSggevSQXLDnwrUS5jsP67BoxIk+FPb3Xghr80YueDKgRao/3zSyt+srUVnQ4R916px0OL\nUntNT0jVsJiiUYT5pyKEEBILSZ309p104uYnG8GywAsrMnBNiNuZgTAMg4euTcOoNA4PvtyKop+c\nxMPXpmL55TrIuhKp1Sng59vbsHm3FQWZHLb+yIgZ+bSSI4SQZJDUSa/BzOPCPAV+e4sB40ZE73bk\n9y7VYWqOHA9uM2PVq23Y/l8r/nC7AaIIlG1sxrEGD269WIvf3JROBeeEEJJEkjrpLZquwaLpka3u\nAjl/jBKf/zofj22vx+//3Y75v60HywIKjsH/W27EjRdp/3979x8Tdf0HcPzJD2t+hW/ixYHOMXRI\nMaVyzNQO/HH4A+HkTsXpDIasxTdZqdQKlB/zB/7Y3AKVmkwjBVuCrt2kWKm02jAnOeeqga6aZwUT\nEJRAKHX3/v7huC8ooqHom++9Hn9xbz/bPffeh8/77nPH20F5XiGEEINnSC96g83by4O0Of/GMvlf\nZB+5SnuXk/xEA8HPyrQJIcRQJFfvBxBk8KbkP/5POkMIIcRDkg+khBBCuA1Z9IQQQrgNWfSEEEK4\nDVn0hBBCuA1Z9IQQQriN+357s7y8nNLSUry8vBg1ahSbNm3CYDCwfv16Lly4gIeHB9nZ2UyfPv1x\n9AohhBAD1u+iV1dXR1FREXa7HV9fXz799FOysrIICwvDYDBQWVnJb7/9RlJSEl988QU+Pj6Pq1sI\nIYT4x/q9vTlixAjy8vLw9fUFYNKkSTQ0NPD111+TkJAAQFBQEOHh4VRVVQ1+rRBCCPEQ+l30goKC\nXLctb968SX5+PgsWLKCxsZHAwEDXcQEBATQ2Ng5uqRBCCPGQHmhHlmvXrpGens6IESNYvXo1xcXF\ndx3j6dn/d2I8PT3x9/cdWOUTNFSaz57t/mlo9PY0VOa4J2kefEOtF6R5KLjvtzcdDgfLli1jwoQJ\nFBYW4u3tzZgxY2hubnYd09TU1OudnxBCCKGjfhe95uZmkpKSSEpKYv369a7x6OhoysvLAfj99985\nd+4cJpNpcEuFEEKIh+ShlFL3+sf8/HyKi4sJCQmh+7Dhw4fz0UcfkZOTw4ULFwB4++23MZvNj6dY\nCCGEGKB+Fz0hhBDi/4nsyCKEEMJtyKInhBDCbQzKord3717i4uKwWCysW7eOGzdu0NXVRXp6OrGx\nscTFxXHq1KnBeOqHcvDgQWw2G4D2vbm5uURHR7No0SIWLVrEjh07tG+uq6vj1VdfxWazkZiYyB9/\n/KF1c0VFBTabzTXHs2bNIiIiQutmALvdjsViwWq1kpaWRltbm9bN+/fvJyYmBpvNRm5urvbXi8zM\nTEpKSoD+rxNVVVUsXLiQmJgY13XwSenZ3O38+fPMnDmz15guzT17m5qaeOONN7BarSxcuJD9+/c/\nXK96xM6cOaMsFov6+++/lVJKrV69Wu3bt09t27ZNbd68WSml1KVLl9SMGTNUe3v7o376Afvxxx9V\nVFSUstlsSimltm7dqnVvfHy8+uWXX3qN6TzHnZ2dymQyqdOnTyullPrkk09Uamqq1s09/fXXX8pq\ntapvvvlG6+bW1lYVERGhWlpalFL/O491bT516pQym82qtbVVKaVUUVGR2r59u5a9DodDpaSkqJde\nekkdOHBAKXXv37krV66oV155RTU0NCillNqwYYPauXOnFs1Op1OVlpYqk8mkpkyZ4jpWh+a+etPS\n0lRxcbFSSqn29nY1b9489f333w+495G/04uIiMBut/PUU0/R0dFBa2srI0eOpKqqStuty9rb29mw\nYQPvvPOOa0znrdauX7+Ow+GgoKCA+Ph41q1bR1tbm9ZzfPLkScaPH8/LL78MQEJCAhkZGVo39/TB\nBx/w4osvMnPmTK2bnU4nTqeTjo4OlFJ0dnYyfPhwbc/n2tpaTCYTfn5+AJjNZr766iste8vKyli8\neDExMTGusTvPhRdeeIGqqiqqq6uZPHkyo0ePBmDZsmUcPXpUi+Zff/2Vn376icLCwl7H6tDcV29c\nXJxrjn18fAgODqahoWHAvYNye9PLy4sjR45gNpu5du0ac+bM0XrrsqysLNLS0lyTB2jd29TUhMlk\nIjs7m6NHj/LMM8+QlZV11yYBOjU7HA78/PzIzMxk8eLFrF27lmHDhmk9z91aWlooLy8nPT0d0Pvc\nMBgMrF27ltjYWKKioqipqSElJYXLly9r2RweHs53331HU1MTcPuWcnNzs5Zz/N5772GxWHqN3dlp\nNBppbGzUpr+v5pCQELZv346/v3+vcR2a++qNjY117f988uRJzp07R2Rk5IB7B+2LLAkJCdTU1DBj\nxgwyMjJcf+fX68nvs3XZ41BSUoLRaMRsNvdqdDqddx2rQy/AuHHj+PDDDwkICABg1apVfPvtt9y8\nefOuY3VpvnXrFtXV1axcuZLPPvuMqKgo1qxZo+150VNZWRkWi4WRI0cCaN18/vx5SktLOXbsGNXV\n1SxZskTreZ4yZQqpqamkpqayfPlygoOD8fb21vr3r6d7dfY1315eXo8jacB0b66srOTdd99l9+7d\njBo1asC9j/wsunjxIj/88IPrsc1mo66ujtGjR2u5dVlFRQWnT5/GZrORk5PDxYsXWb58udZbrdXW\n1lJZWel6rJTC09OTsWPHattsNBoJDQ3l+eefB26fF7W1tQQEBGjb3O3LL7/EarW6Hut6LsPtV8JT\np05lzJgxACQmJnLmzBltm69fv8706dOx2+0cOnSI0NBQgoKCtO29072uE4GBga53r93j3S9SdaVz\n865du9ixYwfFxcWuj0gG2vvIF736+noyMjLo7OwE4PPPP2fq1KlER0dTVlYG6LV12eHDh6moqMBu\nt5OXl8e4ceM4dOgQZrNZ263WlFJs3bqVK1euAPDxxx8zf/58becYICoqCofDwc8//wzAiRMnCAsL\nY+7cudo2A/z555/U19cTHh7uGtN5G76JEydSU1PD1atXATh27BhhYWHanhuNjY0kJyfT1dWF0+mk\nqKgIi8Wibe+d7nWdiIyM5OzZs9TX1wO3rzPR0dFPMrVPPd8t6dpcWFjI8ePHOXz4sOtFMwy894H+\nl4V/IjIykqVLl7J06VK8vb157rnnyMnJwdPTk9zcXNf92o0bN7o+vNbRW2+9pW3vxIkTWbNmDcnJ\nyTidTiZMmMCWLVu0nmN/f38KCgrIzMzkxo0b+Pj48P7772M0GrVtBrh06dJdrx7ffPNNbZunTZtG\ncnIyK1as4Omnn8ZgMFBQUIDBYNCyefz48aSkpLBkyRKcTiezZ8/mtddeo6urS8veO/V3ncjLy2PV\nqlXcunWL0NBQtm3b9iRT++Th4eH62WAwaNfc2dnJnj17MBqNvP766yil8PDwYOXKlVit1gH1yjZk\nQggh3IZ+nwwLIYQQg0QWPSGEEG5DFj0hhBBuQxY9IYQQbkMWPSGEEG5DFj0hhBBuQxY9IYQQbuO/\nSK6KOgB6FdUAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x121c6e940>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.figure()\n", "plot(Th_nt, nt_th)\n", "plt.axvline(nt_th1)" ] }, { "cell_type": "code", "execution_count": 43, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "22.002399108774739" ] }, "execution_count": 43, "metadata": {}, "output_type": "execute_result" } ], "source": [ "nt_mean = nt_th[np.where(Th_nt == nt_th1)][0]\n", "nt_mean" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Fret fit" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Max position of the Kernel Density Estimation (KDE):" ] }, { "cell_type": "code", "execution_count": 44, "metadata": { "collapsed": false }, "outputs": [], "source": [ "E_pr_fret_kde = bext.fit_bursts_kde_peak(ds_fret, bandwidth=bandwidth, weights='size')\n", "E_fitter = ds_fret.E_fitter" ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "collapsed": false }, "outputs": [], "source": [ "E_fitter.histogram(bins=np.r_[-0.1:1.1:0.03])\n", "E_fitter.fit_histogram(mfit.factory_gaussian(center=0.5))" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "collapsed": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Name Value Min Max Stderr Vary Expr\n", "amplitude 0.9798 -inf inf 0.01839 True None\n", "center 0.727 -1 2 0.001995 True None\n", "fwhm 0.2168 -inf inf 0.004698 False 2.3548200*sigma\n", "height 4.246 -inf inf 0.07968 False 0.3989423*amplitude/max(1.e-15, sigma)\n", "sigma 0.09206 0 inf 0.001995 True None\n" ] } ], "source": [ "E_fitter.fit_res[0].params.pretty_print()" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "all\n", "KDE peak 73.98 \n" ] }, { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>amplitude</th>\n", " <th>center</th>\n", " <th>sigma</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>97.9768</td>\n", " <td>72.7043</td>\n", " <td>9.20616</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " amplitude center sigma\n", "0 97.9768 72.7043 9.20616" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAuQAAAENCAYAAABHB3CyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nJpIOuRBCCCGEECaSDrkQQgghhBAm+v8B8bn+1oO78QMAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x121c4e400>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots(1, 2, figsize=(14, 4.5))\n", "mfit.plot_mfit(E_fitter, ax=ax[0])\n", "mfit.plot_mfit(E_fitter, plot_model=False, plot_kde=True, ax=ax[1])\n", "print('%s\\nKDE peak %.2f ' % (ds_fret.ph_sel, E_pr_fret_kde*100))\n", "display(E_fitter.params*100)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Weighted mean of $E$ of each burst:" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ 0.71692524])" ] }, "execution_count": 48, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ds_fret.fit_E_m(weights='size')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Gaussian fit (no weights):" ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ 0.72720534])" ] }, "execution_count": 49, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ds_fret.fit_E_generic(fit_fun=bl.gaussian_fit_hist, bins=np.r_[-0.1:1.1:0.03], weights=None)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Gaussian fit (using burst size as weights):" ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ 0.72752382])" ] }, "execution_count": 50, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ds_fret.fit_E_generic(fit_fun=bl.gaussian_fit_hist, bins=np.r_[-0.1:1.1:0.005], weights='size')" ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(0.73980000000002688,\n", " 0.7270431068081753,\n", " 0.092061568620043,\n", " 0.0029900207471414606,\n", " 0.0019951146629595459)" ] }, "execution_count": 51, "metadata": {}, "output_type": "execute_result" } ], "source": [ "E_kde_w = E_fitter.kde_max_pos[0]\n", "E_gauss_w = E_fitter.params.loc[0, 'center']\n", "E_gauss_w_sig = E_fitter.params.loc[0, 'sigma']\n", "E_gauss_w_err = float(E_gauss_w_sig/np.sqrt(ds_fret.num_bursts[0]))\n", "E_gauss_w_fiterr = E_fitter.fit_res[0].params['center'].stderr\n", "E_kde_w, E_gauss_w, E_gauss_w_sig, E_gauss_w_err, E_gauss_w_fiterr" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Stoichiometry fit" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Max position of the Kernel Density Estimation (KDE):" ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "collapsed": false }, "outputs": [], "source": [ "S_pr_fret_kde = bext.fit_bursts_kde_peak(ds_fret, burst_data='S', bandwidth=0.03) #weights='size', add_naa=True)\n", "S_fitter = ds_fret.S_fitter" ] }, { "cell_type": "code", "execution_count": 53, "metadata": { "collapsed": false }, "outputs": [], "source": [ "S_fitter.histogram(bins=np.r_[-0.1:1.1:0.03])\n", "S_fitter.fit_histogram(mfit.factory_gaussian(), center=0.5)" ] }, { "cell_type": "code", "execution_count": 54, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "all\n", "KDE peak 57.58 \n" ] }, { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>amplitude</th>\n", " <th>center</th>\n", " <th>sigma</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>100.911</td>\n", " <td>55.8745</td>\n", " <td>10.5387</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " amplitude center sigma\n", "0 100.911 55.8745 10.5387" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAuQAAAENCAYAAABHB3CyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n/w91QUBSw0larQAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x122e596a0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots(1, 2, figsize=(14, 4.5))\n", "mfit.plot_mfit(S_fitter, ax=ax[0])\n", "mfit.plot_mfit(S_fitter, plot_model=False, plot_kde=True, ax=ax[1])\n", "print('%s\\nKDE peak %.2f ' % (ds_fret.ph_sel, S_pr_fret_kde*100))\n", "display(S_fitter.params*100)" ] }, { "cell_type": "code", "execution_count": 55, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(0.57580000000002229,\n", " 0.5587446737031131,\n", " 0.10538745942473593,\n", " 0.003422825560022943,\n", " 0.0038226710502243782)" ] }, "execution_count": 55, "metadata": {}, "output_type": "execute_result" } ], "source": [ "S_kde = S_fitter.kde_max_pos[0]\n", "S_gauss = S_fitter.params.loc[0, 'center']\n", "S_gauss_sig = S_fitter.params.loc[0, 'sigma']\n", "S_gauss_err = float(S_gauss_sig/np.sqrt(ds_fret.num_bursts[0]))\n", "S_gauss_fiterr = S_fitter.fit_res[0].params['center'].stderr\n", "S_kde, S_gauss, S_gauss_sig, S_gauss_err, S_gauss_fiterr" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The Maximum likelihood fit for a Gaussian population is the mean:" ] }, { "cell_type": "code", "execution_count": 56, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(0.56475103353830014, 0.10159734277479977)" ] }, "execution_count": 56, "metadata": {}, "output_type": "execute_result" } ], "source": [ "S = ds_fret.S[0]\n", "S_ml_fit = (S.mean(), S.std())\n", "S_ml_fit" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Computing the weighted mean and weighted standard deviation we get:" ] }, { "cell_type": "code", "execution_count": 57, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[0.54995920736208226, 0.098099152805091572]" ] }, "execution_count": 57, "metadata": {}, "output_type": "execute_result" } ], "source": [ "weights = bl.fret_fit.get_weights(ds_fret.nd[0], ds_fret.na[0], weights='size', naa=ds_fret.naa[0], gamma=1.)\n", "S_mean = np.dot(weights, S)/weights.sum()\n", "S_std_dev = np.sqrt(\n", " np.dot(weights, (S - S_mean)**2)/weights.sum())\n", "S_wmean_fit = [S_mean, S_std_dev]\n", "S_wmean_fit" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Save data to file" ] }, { "cell_type": "code", "execution_count": 58, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sample = data_id" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The following string contains the list of variables to be saved. When saving, the order of the variables is preserved." ] }, { "cell_type": "code", "execution_count": 59, "metadata": { "collapsed": false }, "outputs": [], "source": [ "variables = ('sample n_bursts_all n_bursts_do n_bursts_fret '\n", " 'E_kde_w E_gauss_w E_gauss_w_sig E_gauss_w_err E_gauss_w_fiterr '\n", " 'S_kde S_gauss S_gauss_sig S_gauss_err S_gauss_fiterr '\n", " 'E_pr_do_kde nt_mean\\n')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This is just a trick to format the different variables:" ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "sample,n_bursts_all,n_bursts_do,n_bursts_fret,E_kde_w,E_gauss_w,E_gauss_w_sig,E_gauss_w_err,E_gauss_w_fiterr,S_kde,S_gauss,S_gauss_sig,S_gauss_err,S_gauss_fiterr,E_pr_do_kde,nt_mean\n", "\n", "12d, 1307, 329, 948, 0.739800, 0.727043, 0.092062, 0.002990, 0.001995, 0.575800, 0.558745, 0.105387, 0.003423, 0.003823, 0.015400, 22.002399\n", "\n" ] } ], "source": [ "variables_csv = variables.replace(' ', ',')\n", "fmt_float = '{%s:.6f}'\n", "fmt_int = '{%s:d}'\n", "fmt_str = '{%s}'\n", "fmt_dict = {**{'sample': fmt_str}, \n", " **{k: fmt_int for k in variables.split() if k.startswith('n_bursts')}}\n", "var_dict = {name: eval(name) for name in variables.split()}\n", "var_fmt = ', '.join([fmt_dict.get(name, fmt_float) % name for name in variables.split()]) + '\\n'\n", "data_str = var_fmt.format(**var_dict)\n", "\n", "print(variables_csv)\n", "print(data_str)" ] }, { "cell_type": "code", "execution_count": 61, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# NOTE: The file name should be the notebook name but with .csv extension\n", "with open('results/usALEX-5samples-E-corrected-all-ph.csv', 'a') as f:\n", " f.seek(0, 2)\n", " if f.tell() == 0:\n", " f.write(variables_csv)\n", " f.write(data_str)" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
tritemio/multispot_paper
out_notebooks/usALEX-5samples-PR-raw-dir_ex_aa-fit-out-AexAem-17d.ipynb
1
628102
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "**Executed:** Mon Mar 27 11:38:07 2017\n", "\n", "**Duration:** 10 seconds." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# usALEX-5samples - Template\n", "\n", "> *This notebook is executed through [8-spots paper analysis](8-spots paper analysis.ipynb).*\n", "> *For a direct execution, uncomment the cell below.*" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "ph_sel_name = \"AexAem\"" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "data_id = \"17d\"" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# ph_sel_name = \"all-ph\"\n", "# data_id = \"7d\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Load software and filenames definitions" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " - Optimized (cython) burst search loaded.\n", " - Optimized (cython) photon counting loaded.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "--------------------------------------------------------------\n", " You are running FRETBursts (version 0.5.9).\n", "\n", " If you use this software please cite the following paper:\n", "\n", " FRETBursts: An Open Source Toolkit for Analysis of Freely-Diffusing Single-Molecule FRET\n", " Ingargiola et al. (2016). http://dx.doi.org/10.1371/journal.pone.0160716 \n", "\n", "--------------------------------------------------------------\n" ] } ], "source": [ "from fretbursts import *" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "init_notebook()\n", "from IPython.display import display" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Data folder:" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "data_dir = './data/singlespot/'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Check that the folder exists:" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import os\n", "data_dir = os.path.abspath(data_dir) + '/'\n", "assert os.path.exists(data_dir), \"Path '%s' does not exist.\" % data_dir" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "List of data files in `data_dir`:" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from glob import glob" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/004_dsDNA_17d_green100u_red40u.hdf5',\n", " '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/005_dsDNA_27d_green100u_red40u.hdf5',\n", " '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/006_dsDNA_7d_green100u_red40u.hdf5',\n", " '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/007_dsDNA_12d_3nM_green100u_red40u.hdf5',\n", " '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/008_dsDNA_22d_500pM_green100u_red40u.hdf5',\n", " '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/2012-12-06_001_4 Channel Dark Count.hdf5']" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "file_list = sorted(f for f in glob(data_dir + '*.hdf5') if '_BKG' not in f)\n", "file_list" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [], "source": [ "## Selection for POLIMI 2012-12-6 dataset\n", "# file_list.pop(2)\n", "# file_list = file_list[1:-2]\n", "# display(file_list)\n", "# labels = ['22d', '27d', '17d', '12d', '7d']" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [], "source": [ "## Selection for P.E. 2012-12-6 dataset\n", "# file_list.pop(1)\n", "# file_list = file_list[:-1]\n", "# display(file_list)\n", "# labels = ['22d', '27d', '17d', '12d', '7d']" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [], "source": [ "## Selection for POLIMI 2012-11-26 datatset\n", "labels = ['17d', '27d', '7d', '12d', '22d']" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{'12d': '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/007_dsDNA_12d_3nM_green100u_red40u.hdf5',\n", " '17d': '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/004_dsDNA_17d_green100u_red40u.hdf5',\n", " '22d': '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/008_dsDNA_22d_500pM_green100u_red40u.hdf5',\n", " '27d': '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/005_dsDNA_27d_green100u_red40u.hdf5',\n", " '7d': '/Users/anto/Google Drive/notebooks/multispot_paper/data/singlespot/006_dsDNA_7d_green100u_red40u.hdf5'}" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "files_dict = {lab: fname for lab, fname in zip(labels, file_list)}\n", "files_dict" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "('17d', 'AexAem')" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ph_sel_map = {'all-ph': Ph_sel('all'), 'AexAem': Ph_sel(Aex='Aem')}\n", "ph_sel = ph_sel_map[ph_sel_name]\n", "\n", "data_id, ph_sel_name" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Data load" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Initial loading of the data:" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [], "source": [ "d = loader.photon_hdf5(filename=files_dict[data_id])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Laser alternation selection\n", "\n", "At this point we have only the timestamps and the detector numbers:" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "([array([ 1954, 2562, 3108, ..., 48000428736,\n", " 48000442778, 48000447993])],\n", " [array([1, 1, 1, ..., 1, 0, 0], dtype=uint32)])" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d.ph_times_t, d.det_t" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We need to define some parameters: donor and acceptor ch, excitation period and donor and acceptor excitiations:" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [], "source": [ "d.add(det_donor_accept=(0, 1), alex_period=4000, D_ON=(2850, 580), A_ON=(900, 2580), offset=0)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We should check if everithing is OK with an alternation histogram:" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAlIAAAFFCAYAAAAn5APNAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11ca3ce48>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot_alternation_hist(d)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If the plot looks good we can apply the parameters with:" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "# Total photons (after ALEX selection): 2,453,382\n", "# D photons in D+A excitation periods: 756,184\n", "# A photons in D+A excitation periods: 1,697,198\n", "# D+A photons in D excitation period: 1,462,400\n", "# D+A photons in A excitation period: 990,982\n", "\n" ] } ], "source": [ "loader.alex_apply_period(d)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Measurements infos" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "All the measurement data is in the `d` variable. We can print it:" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "singlespot_004_dsDNA_17d_green100u_red40u G1.000" ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Or check the **measurements duration**:" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "600.00559991249997" ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d.time_max" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Compute background" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Compute the background using automatic threshold:" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " - Calculating BG rates ... " ] }, { "name": "stdout", "output_type": "stream", "text": [ "[DONE]\n" ] } ], "source": [ "d.calc_bg(bg.exp_fit, time_s=60, tail_min_us='auto', F_bg=1.7)" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x121395c88>" ] }, "execution_count": 23, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAgEAAAEbCAYAAABDQ1cBAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1043e3630>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dplot(d, timetrace_bg)" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "([2286.0248813678868],\n", " [613.94010117705795],\n", " [914.22216629179422],\n", " [742.22554889663013])" ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" } ], "source": [ "d.rate_m, d.rate_dd, d.rate_ad, d.rate_aa" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Burst search and selection" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "lmfit version: 0.9.5\n" ] } ], "source": [ "from mpl_toolkits.axes_grid1 import AxesGrid\n", "import lmfit\n", "print('lmfit version:', lmfit.__version__)" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [], "source": [ "assert d.dir_ex == 0\n", "assert d.leakage == 0" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " - Performing burst search (verbose=False) ..." ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Recomputing background limits for AexAem ... " ] }, { "name": "stdout", "output_type": "stream", "text": [ "[DONE]\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Recomputing background limits for all ... " ] }, { "name": "stdout", "output_type": "stream", "text": [ "[DONE]\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Fixing burst data to refer to ph_times_m ... " ] }, { "name": "stdout", "output_type": "stream", "text": [ "[DONE]\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[DONE]\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Calculating burst periods ..." ] }, { "name": "stdout", "output_type": "stream", "text": [ "[DONE]\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Counting D and A ph and calculating FRET ... \n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Applying background correction.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Applying leakage correction.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " - Applying direct excitation correction.\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ " [DONE Counting D/A]\n" ] } ], "source": [ "d.burst_search(m=10, F=6, ph_sel=ph_sel)" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "AexAem [18675]\n" ] } ], "source": [ "print(d.ph_sel, d.num_bursts)" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([4456])" ] }, "execution_count": 29, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ds_sa = d.select_bursts(select_bursts.naa, th1=30)\n", "ds_sa.num_bursts" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Preliminary selection and plots" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([608])" ] }, "execution_count": 30, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mask = (d.naa[0] - np.abs(d.na[0] + d.nd[0])) > 30\n", "ds_saw = d.select_bursts_mask_apply([mask])\n", "\n", "ds_sas0 = ds_sa.select_bursts(select_bursts.S, S2=0.10)\n", "ds_sas = ds_sa.select_bursts(select_bursts.S, S2=0.15)\n", "ds_sas2 = ds_sa.select_bursts(select_bursts.S, S2=0.20)\n", "ds_sas3 = ds_sa.select_bursts(select_bursts.S, S2=0.25)\n", "\n", "ds_st = d.select_bursts(select_bursts.size, add_naa=True, th1=30)\n", "ds_sas.num_bursts" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAcMAAAFACAYAAADAoheoAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nnS0sgiDpAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1044ea5c0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dx = ds_sas0\n", "size = dx.na[0] + dx.nd[0]\n", "s_hist, s_bins = np.histogram(size, bins=np.r_[-15 : 25 : 1], density=True)\n", "s_ax = s_bins[:-1] + 0.5*(s_bins[1] - s_bins[0])\n", "plot(s_ax, s_hist, '-o', alpha=0.5)\n", "\n", "dx = ds_sas\n", "size = dx.na[0] + dx.nd[0]\n", "s_hist, s_bins = np.histogram(size, bins=np.r_[-15 : 25 : 1], density=True)\n", "s_ax = s_bins[:-1] + 0.5*(s_bins[1] - s_bins[0])\n", "plot(s_ax, s_hist, '-o', alpha=0.5)\n", "\n", "dx = ds_sas2\n", "size = dx.na[0] + dx.nd[0]\n", "s_hist, s_bins = np.histogram(size, bins=np.r_[-15 : 25 : 1], density=True)\n", "s_ax = s_bins[:-1] + 0.5*(s_bins[1] - s_bins[0])\n", "plot(s_ax, s_hist, '-o', alpha=0.5)\n", "\n", "dx = ds_sas3\n", "size = dx.na[0] + dx.nd[0]\n", "s_hist, s_bins = np.histogram(size, bins=np.r_[-15 : 25 : 1], density=True)\n", "s_ax = s_bins[:-1] + 0.5*(s_bins[1] - s_bins[0])\n", "plot(s_ax, s_hist, '-o', alpha=0.5)\n", "\n", "plt.title('(nd + na) for A-only population using different S cutoff');" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAa8AAAGJCAYAAAA9nrwqAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nP3PZKygCvHErAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1044f8b38>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dx = ds_sa\n", "\n", "alex_jointplot(dx);" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x1228cf908>" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfcAAAEbCAYAAADH883eAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nERFJDJM7ERGRxDC5ExERScz/B75yV8dQGi8WAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x12338ce10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dplot(ds_sa, hist_S)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# A-direct excitation fitting\n", "\n", "To extract the A-direct excitation coefficient we need to fit the \n", "S values for the A-only population.\n", "\n", "The S value for the A-only population is fitted with different methods:\n", "- Histogram git with 2 Gaussians or with 2 asymmetric Gaussians \n", "(an asymmetric Gaussian has right- and left-side of the peak\n", "decreasing according to different sigmas).\n", "- KDE maximum\n", "\n", "In the following we apply these methods using different selection\n", "or weighting schemes to reduce amount of FRET population and make\n", "fitting of the A-only population easier." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Even selection\n", "\n", "Here A-only and FRET population are evenly selected." ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false }, "outputs": [], "source": [ "dx = ds_sa\n", "\n", "bin_width = 0.03\n", "bandwidth = 0.03\n", "bins = np.r_[-0.2 : 1 : bin_width]\n", "x_kde = np.arange(bins.min(), bins.max(), 0.0002)" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Fitted direct excitation (na/naa) [2-Gauss]: 0.11949144651970635\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Fitted direct excitation (na/naa) [KDE]: 0.0834236186349\n" ] } ], "source": [ "## Weights\n", "weights = None\n", "\n", "## Histogram fit\n", "fitter_g = mfit.MultiFitter(dx.S)\n", "fitter_g.histogram(bins=np.r_[-0.2 : 1.2 : bandwidth])\n", "fitter_g.fit_histogram(model = mfit.factory_two_gaussians(p1_center=0.1, p2_center=0.4))\n", "S_hist_orig = fitter_g.hist_pdf\n", "\n", "S_2peaks = fitter_g.params.loc[0, 'p1_center']\n", "dir_ex_S2p = S_2peaks/(1 - S_2peaks)\n", "print('Fitted direct excitation (na/naa) [2-Gauss]:', dir_ex_S2p)\n", "\n", "## KDE\n", "fitter_g.calc_kde(bandwidth=bandwidth)\n", "fitter_g.find_kde_max(x_kde, xmin=0, xmax=0.15)\n", "\n", "S_peak = fitter_g.kde_max_pos[0]\n", "dir_ex_S_kde = S_peak/(1 - S_peak)\n", "print('Fitted direct excitation (na/naa) [KDE]: ', dir_ex_S_kde)" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAuQAAAENCAYAAABHB3CyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x123abe400>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots(1, 2, figsize=(14, 4.5))\n", "\n", "mfit.plot_mfit(fitter_g, ax=ax[0])\n", "ax[0].set_title('2-Gaussians fit (S_fit = %.2f %%)' % (S_2peaks*100))\n", "\n", "mfit.plot_mfit(fitter_g, ax=ax[1], plot_model=False, plot_kde=True)\n", "ax[1].set_title('KDE fit (S_fit = %.2f %%)' % (S_peak*100));" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Fitted direct excitation (na/naa) [2-Gauss]: 0.07037038847309614\n" ] } ], "source": [ "## 2-Asym-Gaussian\n", "fitter_ag = mfit.MultiFitter(dx.S)\n", "fitter_ag.histogram(bins=np.r_[-0.2 : 1.2 : bandwidth])\n", "fitter_ag.fit_histogram(model = mfit.factory_two_asym_gaussians(p1_center=0.1, p2_center=0.4))\n", "#print(fitter_ag.fit_obj[0].model.fit_report())\n", "\n", "S_2peaks_a = fitter_ag.params.loc[0, 'p1_center']\n", "dir_ex_S2pa = S_2peaks_a/(1 - S_2peaks_a)\n", "print('Fitted direct excitation (na/naa) [2-Gauss]:', dir_ex_S2pa)" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAuQAAAENCAYAAABHB3CyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x123d65f98>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots(1, 2, figsize=(14, 4.5))\n", "\n", "mfit.plot_mfit(fitter_g, ax=ax[0])\n", "ax[0].set_title('2-Gaussians fit (S_fit = %.2f %%)' % (S_2peaks*100))\n", "\n", "mfit.plot_mfit(fitter_ag, ax=ax[1])\n", "ax[1].set_title('2-Asym-Gaussians fit (S_fit = %.2f %%)' % (S_2peaks_a*100));" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Zero threshold on nd" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Select bursts with:\n", "\n", "$$n_d < 0$$." ] }, { "cell_type": "code", "execution_count": 39, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Fitted direct excitation (na/naa) [2-Gauss]: 0.03467701820142223\n" ] } ], "source": [ "dx = ds_sa.select_bursts(select_bursts.nd, th1=-100, th2=0)\n", "\n", "fitter = bext.bursts_fitter(dx, 'S')\n", "fitter.fit_histogram(model = mfit.factory_gaussian(center=0.1))\n", "S_1peaks_th = fitter.params.loc[0, 'center']\n", "dir_ex_S1p = S_1peaks_th/(1 - S_1peaks_th)\n", "print('Fitted direct excitation (na/naa) [2-Gauss]:', dir_ex_S1p)" ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(-0.1, 0.6)" ] }, "execution_count": 40, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYIAAAENCAYAAAACHGKEAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSiLhAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x123d690b8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "mfit.plot_mfit(fitter)\n", "plt.xlim(-0.1, 0.6)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Selection 1\n", "\n", "Bursts are weighted using $w = f(S)$, where the function $f(S)$ is a\n", "Gaussian fitted to the $S$ histogram of the FRET population." ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Fitted direct excitation (na/naa) [2-Gauss]: 0.09496065168631854\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Fitted direct excitation (na/naa) [KDE]: 0.0827197921178\n" ] } ], "source": [ "dx = ds_sa\n", "\n", "## Weights\n", "weights = 1 - mfit.gaussian(dx.S[0], fitter_g.params.loc[0, 'p2_center'], fitter_g.params.loc[0, 'p2_sigma'])\n", "weights[dx.S[0] >= fitter_g.params.loc[0, 'p2_center']] = 0\n", "\n", "## Histogram fit\n", "fitter_w1 = mfit.MultiFitter(dx.S)\n", "fitter_w1.weights = [weights]\n", "fitter_w1.histogram(bins=np.r_[-0.2 : 1.2 : bandwidth])\n", "fitter_w1.fit_histogram(model = mfit.factory_two_gaussians(p1_center=0.1, p2_center=0.4))\n", "S_2peaks_w1 = fitter_w1.params.loc[0, 'p1_center']\n", "dir_ex_S2p_w1 = S_2peaks_w1/(1 - S_2peaks_w1)\n", "print('Fitted direct excitation (na/naa) [2-Gauss]:', dir_ex_S2p_w1)\n", "\n", "## KDE\n", "fitter_w1.calc_kde(bandwidth=bandwidth)\n", "fitter_w1.find_kde_max(x_kde, xmin=0, xmax=0.15)\n", "S_peak_w1 = fitter_w1.kde_max_pos[0]\n", "dir_ex_S_kde_w1 = S_peak_w1/(1 - S_peak_w1)\n", "print('Fitted direct excitation (na/naa) [KDE]: ', dir_ex_S_kde_w1)" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def plot_weights(x, weights, ax):\n", " ax2 = ax.twinx()\n", " x_sort = x.argsort()\n", " ax2.plot(x[x_sort], weights[x_sort], color='k', lw=4, alpha=0.4)\n", " ax2.set_ylabel('Weights');" ] }, { "cell_type": "code", "execution_count": 43, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAwkAAAENCAYAAABElSmzAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x123d80080>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots(1, 2, figsize=(14, 4.5))\n", "mfit.plot_mfit(fitter_w1, ax=ax[0])\n", "mfit.plot_mfit(fitter_g, ax=ax[0], plot_model=False, plot_kde=False)\n", "plot_weights(dx.S[0], weights, ax=ax[0])\n", "ax[0].set_title('2-Gaussians fit (S_fit = %.2f %%)' % (S_2peaks_w1*100))\n", "\n", "mfit.plot_mfit(fitter_w1, ax=ax[1], plot_model=False, plot_kde=True)\n", "mfit.plot_mfit(fitter_g, ax=ax[1], plot_model=False, plot_kde=False)\n", "plot_weights(dx.S[0], weights, ax=ax[1])\n", "ax[1].set_title('KDE fit (S_fit = %.2f %%)' % (S_peak_w1*100));" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Selection 2\n", "\n", "Bursts are here weighted using weights $w$:\n", "\n", "$$w = n_{aa} - |n_a + n_d|$$" ] }, { "cell_type": "code", "execution_count": 44, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Fitted direct excitation (na/naa) [2-Gauss]: 0.08731556606975518\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Fitted direct excitation (na/naa) [KDE]: 0.0773540185305\n" ] } ], "source": [ "## Weights\n", "sizes = dx.nd[0] + dx.na[0] #- dir_ex_S_kde_w3*dx.naa[0]\n", "weights = dx.naa[0] - abs(sizes)\n", "weights[weights < 0] = 0\n", "\n", "## Histogram\n", "fitter_w4 = mfit.MultiFitter(dx.S)\n", "fitter_w4.weights = [weights]\n", "fitter_w4.histogram(bins=np.r_[-0.2 : 1.2 : bandwidth])\n", "fitter_w4.fit_histogram(model = mfit.factory_two_gaussians(p1_center=0.1, p2_center=0.4))\n", "S_2peaks_w4 = fitter_w4.params.loc[0, 'p1_center']\n", "dir_ex_S2p_w4 = S_2peaks_w4/(1 - S_2peaks_w4)\n", "print('Fitted direct excitation (na/naa) [2-Gauss]:', dir_ex_S2p_w4)\n", "\n", "## KDE\n", "fitter_w4.calc_kde(bandwidth=bandwidth)\n", "fitter_w4.find_kde_max(x_kde, xmin=0, xmax=0.15)\n", "S_peak_w4 = fitter_w4.kde_max_pos[0]\n", "dir_ex_S_kde_w4 = S_peak_w4/(1 - S_peak_w4)\n", "print('Fitted direct excitation (na/naa) [KDE]: ', dir_ex_S_kde_w4)" ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAuQAAAENCAYAAABHB3CyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1043e3588>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots(1, 2, figsize=(14, 4.5))\n", "\n", "mfit.plot_mfit(fitter_w4, ax=ax[0])\n", "mfit.plot_mfit(fitter_g, ax=ax[0], plot_model=False, plot_kde=False)\n", "#plot_weights(dx.S[0], weights, ax=ax[0])\n", "ax[0].set_title('2-Gaussians fit (S_fit = %.2f %%)' % (S_2peaks_w4*100))\n", "\n", "mfit.plot_mfit(fitter_w4, ax=ax[1], plot_model=False, plot_kde=True)\n", "mfit.plot_mfit(fitter_g, ax=ax[1], plot_model=False, plot_kde=False)\n", "#plot_weights(dx.S[0], weights, ax=ax[1])\n", "ax[1].set_title('KDE fit (S_fit = %.2f %%)' % (S_peak_w4*100));" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Selection 3\n", "\n", "Bursts are here selected according to:\n", "\n", "$$n_{aa} - |n_a + n_d| > 30$$" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[1056]\n", "Fitted direct excitation (na/naa) [2-Gauss]: 0.07289517598153095\n", "Fitted direct excitation (na/naa) [KDE]: 0.0713520462824\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Fitted direct excitation (na/naa) [2-Asym-Gauss]: 0.1743774277810383\n" ] } ], "source": [ "mask = (d.naa[0] - np.abs(d.na[0] + d.nd[0])) > 30\n", "ds_saw = d.select_bursts_mask_apply([mask])\n", "print(ds_saw.num_bursts)\n", "\n", "dx = ds_saw\n", "\n", "## Weights\n", "weights = None\n", "\n", "## 2-Gaussians\n", "fitter_w5 = mfit.MultiFitter(dx.S)\n", "fitter_w5.histogram(bins=np.r_[-0.2 : 1.2 : bandwidth])\n", "fitter_w5.fit_histogram(model = mfit.factory_two_gaussians(p1_center=0.1, p2_center=0.4))\n", "S_2peaks_w5 = fitter_w5.params.loc[0, 'p1_center']\n", "dir_ex_S2p_w5 = S_2peaks_w5/(1 - S_2peaks_w5)\n", "print('Fitted direct excitation (na/naa) [2-Gauss]:', dir_ex_S2p_w5)\n", "\n", "## KDE\n", "fitter_w5.calc_kde(bandwidth=bandwidth)\n", "fitter_w5.find_kde_max(x_kde, xmin=0, xmax=0.15)\n", "S_peak_w5 = fitter_w5.kde_max_pos[0]\n", "S_2peaks_w5_fiterr = fitter_w5.fit_res[0].params['p1_center'].stderr\n", "dir_ex_S_kde_w5 = S_peak_w5/(1 - S_peak_w5)\n", "print('Fitted direct excitation (na/naa) [KDE]: ', dir_ex_S_kde_w5)\n", "\n", "## 2-Asym-Gaussians\n", "fitter_w5a = mfit.MultiFitter(dx.S)\n", "fitter_w5a.histogram(bins=np.r_[-0.2 : 1.2 : bandwidth])\n", "fitter_w5a.fit_histogram(model = mfit.factory_two_asym_gaussians(p1_center=0.05, p2_center=0.3))\n", "S_2peaks_w5a = fitter_w5a.params.loc[0, 'p1_center']\n", "dir_ex_S2p_w5a = S_2peaks_w5a/(1 - S_2peaks_w5a)\n", "#print(fitter_w5a.fit_obj[0].model.fit_report(min_correl=0.5))\n", "print('Fitted direct excitation (na/naa) [2-Asym-Gauss]:', dir_ex_S2p_w5a)" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA+AAAAENCAYAAACPYg8IAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCPQU/HQYbwAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x12166a2e8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots(1, 3, figsize=(19, 4.5))\n", "\n", "mfit.plot_mfit(fitter_w5, ax=ax[0])\n", "mfit.plot_mfit(fitter_g, ax=ax[0], plot_model=False, plot_kde=False)\n", "ax[0].set_title('2-Gaussians fit (S_fit = %.2f %%)' % (S_2peaks_w5*100))\n", "\n", "mfit.plot_mfit(fitter_w5, ax=ax[1], plot_model=False, plot_kde=True)\n", "mfit.plot_mfit(fitter_g, ax=ax[1], plot_model=False, plot_kde=False)\n", "ax[1].set_title('KDE fit (S_fit = %.2f %%)' % (S_peak_w5*100));\n", "\n", "mfit.plot_mfit(fitter_w5a, ax=ax[2])\n", "mfit.plot_mfit(fitter_g, ax=ax[2], plot_model=False, plot_kde=False)\n", "ax[2].set_title('2-Asym-Gaussians fit (S_fit = %.2f %%)' % (S_2peaks_w5a*100));" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Save data to file" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sample = data_id\n", "n_bursts_aa = ds_sas.num_bursts[0]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The following string contains the list of variables to be saved. When saving, the order of the variables is preserved." ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": false }, "outputs": [], "source": [ "variables = ('sample n_bursts_aa dir_ex_S1p dir_ex_S_kde dir_ex_S2p dir_ex_S2pa '\n", " 'dir_ex_S2p_w1 dir_ex_S_kde_w1 dir_ex_S_kde_w4 dir_ex_S_kde_w5 dir_ex_S2p_w5 dir_ex_S2p_w5a '\n", " 'S_2peaks_w5 S_2peaks_w5_fiterr\\n')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This is just a trick to format the different variables:" ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "sample,n_bursts_aa,dir_ex_S1p,dir_ex_S_kde,dir_ex_S2p,dir_ex_S2pa,dir_ex_S2p_w1,dir_ex_S_kde_w1,dir_ex_S_kde_w4,dir_ex_S_kde_w5,dir_ex_S2p_w5,dir_ex_S2p_w5a,S_2peaks_w5,S_2peaks_w5_fiterr\n", "\n", "17d, 608, 0.034677, 0.083424, 0.119491, 0.070370, 0.094961, 0.082720, 0.077354, 0.071352, 0.072895, 0.174377, 0.067942, 0.003098\n", "\n" ] } ], "source": [ "variables_csv = variables.replace(' ', ',')\n", "fmt_float = '{%s:.6f}'\n", "fmt_int = '{%s:d}'\n", "fmt_str = '{%s}'\n", "fmt_dict = {**{'sample': fmt_str}, \n", " **{k: fmt_int for k in variables.split() if k.startswith('n_bursts')}}\n", "var_dict = {name: eval(name) for name in variables.split()}\n", "var_fmt = ', '.join([fmt_dict.get(name, fmt_float) % name for name in variables.split()]) + '\\n'\n", "data_str = var_fmt.format(**var_dict)\n", "\n", "print(variables_csv)\n", "print(data_str)" ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# NOTE: The file name should be the notebook name but with .csv extension\n", "with open('results/usALEX-5samples-PR-raw-dir_ex_aa-fit-%s.csv' % ph_sel_name, 'a') as f:\n", " f.seek(0, 2)\n", " if f.tell() == 0:\n", " f.write(variables_csv)\n", " f.write(data_str)" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
Juan-Mateos/coll_int_ai_case
notebooks/ml_topic_analysis_exploration.ipynb
1
999465
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Prototype pipeline for the analysis of ML arxiv data\n", "\n", "We query arxiv to get papers, and then run them against Crossref event data to find social media discussion and Microsoft Academic Knowledge to find institutional affiliations\n", "\n", "```\n", "Query Arxiv -> Paper repository -> Analysis -> Topic model -> Classify\n", " | |\n", " | |----> Social network analysis of researchers\n", " | |----> Geocoding of institutions (via GRID?)\n", " |\n", " Extract author data from Google Scholar ----> Geocode institution via Google Places API?\n", " | |\n", " Enrich paper data with MAK(?) |---> Spatial and network analysis\n", " |\n", " Obtain Crossref Event data\n", " \n", "```\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Preamble" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "\n", "#Some imports\n", "import time\n", "#import xml.etree.ElementTree as etree\n", "from lxml import etree\n", "import feedparser\n", "\n", "#Imports\n", "#Key imports are loaded from my profile (see standard_imports.py in src folder).\n", "\n", "#Paths\n", "\n", "#Paths\n", "top = os.path.dirname(os.getcwd())\n", "\n", "#External data (to download the GRID database)\n", "ext_data = os.path.join(top,'data/external')\n", "\n", "#Interim data (to place seed etc)\n", "int_data = os.path.join(top,'data/interim')\n", "\n", "#Figures\n", "fig_path = os.path.join(top,'reports')\n", "\n", "#Models\n", "mod_path = os.path.join(top,'models')\n", "\n", "\n", "#Get date for saving files\n", "today = datetime.datetime.today()\n", "\n", "today_str = \"_\".join([str(x) for x in [today.day,today.month,today.year]])\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#Functions" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 1. Get Arxiv data about machine learning\n", "\n", "* Write a APi querier and extract papers with the terms machine learning or artificial intelligence. Get 2000 results... and play nice!\n" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "class Arxiv_querier():\n", " '''\n", " This class takes as an input a query and the number of results, and returns all the parsed results.\n", " Includes routines to deal with multiple pages of results.\n", "\n", " '''\n", " \n", " def __init__(self,base_url=\"http://export.arxiv.org/api/query?\"):\n", " '''\n", " Initialise\n", " '''\n", " \n", " self.base_url = base_url\n", " \n", " def query(self,query_string,max_results=100,wait_time=3):\n", " '''\n", " Query the base url\n", " \n", " '''\n", " #Attribute query string\n", " \n", " #Load base URL\n", " base_url = self.base_url\n", " \n", " #Prepare query string\n", " processed_query = re.sub(' ','+',query_string)\n", " \n", " self.query_string=\"_\".join(query_string.split(\" \"))\n", " \n", " start=0\n", " pages = 0\n", " \n", " #Run the query and store results for as long as the number of results is bigger than the max results\n", " keep_running = True\n", " \n", " result_store = []\n", " \n", " while keep_running==True:\n", " pages +=1\n", " print(pages)\n", " \n", " #Query url (NB the start arg, which will change as we go through different\n", " #pages)\n", " query_url = base_url+'search_query=all:{q}&start={s}&max_results={max_res}'.format(\n", " q=processed_query,s=start,max_res=max_results)\n", " \n", " \n", " #Download\n", " source = requests.get(query_url)\n", " \n", " #Parse the xml and get the entries (papers)\n", " parsed = feedparser.parse(source.content)\n", " \n", " #Extract entries\n", " entries = parsed['entries']\n", " \n", " #If the number of entries is bigger than the maximum number of results\n", " #this means we need to go to another page. We do that by offseting the\n", " #start with max results\n", " \n", " result_store.append(entries)\n", " \n", " if len(entries)==max_results:\n", " start+=max_results\n", " \n", " #If we have less than max results this means we have run out of \n", " #results and we toggle the keep_running switch off.\n", " if len(entries)<max_results:\n", " keep_running=False\n", " \n", " time.sleep(wait_time)\n", " \n", " #Save results in a flat list\n", " self.entry_results = [x for el in result_store for x in el]\n", " \n", " def extract_data(self):\n", " '''\n", " Here we extract data from the entries \n", " \n", " '''\n", " \n", " #Load entries\n", " entries = self.entry_results\n", " \n", " #Create df\n", " output = pd.concat([pd.DataFrame({\n", " 'query':self.query_string,\n", " 'id':x['id'],\n", " 'link':x['link'],\n", " 'title':x['title'],\n", " 'authors':\", \".join([el['name'] for el in x['authors']]),\n", " 'summary':x['summary'],\n", " 'updated':x['updated'],\n", " 'published':x['published'],\n", " 'category':x['arxiv_primary_category']['term'],\n", " 'pdf':str([el['href'] for el in x['links'] if el['type']=='application/pdf'][0]\n", " )},index=[0]) for x in entries]).reset_index(drop=True)\n", " \n", " output['year_published'] = [x.split(\"-\")[0] for x in output['published']]\n", " \n", " self.output_df = output" ] }, { "cell_type": "code", "execution_count": 216, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "artificial intelligence\n", "run 0\n", "1\n", "2\n", "3\n", "4\n", "5\n", "6\n", "7\n", "8\n", "9\n", "10\n", "11\n", "12\n", "13\n", "14\n", "15\n", "16\n", "17\n", "18\n", "19\n", "20\n", "21\n", "22\n", "23\n", "run 1\n", "1\n", "2\n", "3\n", "4\n", "5\n", "6\n", "7\n", "8\n", "9\n", "10\n", "11\n", "12\n", "13\n", "14\n", "15\n", "16\n", "17\n", "18\n", "19\n", "20\n", "21\n", "22\n", "23\n", "run 2\n", "1\n", "2\n", "3\n", "4\n", "5\n", "6\n", "7\n", "8\n", "9\n", "10\n", "11\n", "12\n", "13\n", "14\n", "15\n", "machine learning\n", "run 0\n", "1\n", "2\n", "3\n", "4\n", "5\n", "6\n", "7\n", "8\n", "9\n", "10\n", "11\n", "12\n", "13\n", "14\n", "15\n", "16\n", "17\n", "18\n", "run 1\n", "1\n", "2\n", "3\n", "4\n", "5\n", "6\n", "7\n", "8\n", "9\n", "10\n", "11\n", "12\n", "13\n", "14\n", "15\n", "run 2\n", "1\n", "2\n", "3\n", "4\n", "5\n", "6\n", "7\n", "8\n", "deep learning\n", "run 0\n", "1\n", "2\n", "3\n", "4\n", "5\n", "6\n", "7\n", "run 1\n", "1\n", "2\n", "run 2\n", "1\n" ] } ], "source": [ "query_terms = ['artificial intelligence','machine learning','deep learning']\n", "\n", "\n", "#There are some inconsistencies in the number of results so we run the query three times for each\n", "#term and remove duplicated results\n", "\n", "def extract_arxiv_data(term,max_results=1000,wait_time=10, tests=3):\n", " '''\n", " This function initialises the Arxiv_querier class, extracts the data and outputs it\n", " \n", " '''\n", " print(term)\n", " \n", " collected = []\n", " \n", " #We collect the data thrice\n", " for i in np.arange(tests):\n", " print('run'+ ' ' +str(i))\n", " initialised = Arxiv_querier()\n", " initialised.query(term,max_results,wait_time)\n", " initialised.extract_data()\n", " out = initialised.output_df\n", " collected.append(out)\n", " \n", " #We concatenate the dfs and remove the duplicates.\n", " \n", " output = pd.concat(collected)\n", " output_no_dupes = output.drop_duplicates('id')\n", " \n", " #Return both\n", " return([output,output_no_dupes])\n", "\n", "\n", "arxiv_ai_results_three = [extract_arxiv_data(term=q) for q in query_terms]" ] }, { "cell_type": "code", "execution_count": 373, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(38999, 11)\n" ] }, { "data": { "text/html": [ "<div>\n", "<style>\n", " .dataframe thead tr:only-child th {\n", " text-align: right;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: left;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>authors</th>\n", " <th>category</th>\n", " <th>id</th>\n", " <th>link</th>\n", " <th>pdf</th>\n", " <th>published</th>\n", " <th>query</th>\n", " <th>summary</th>\n", " <th>title</th>\n", " <th>updated</th>\n", " <th>year_published</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>Tshilidzi Marwala</td>\n", " <td>q-fin.GN</td>\n", " <td>http://arxiv.org/abs/1509.01213v1</td>\n", " <td>http://arxiv.org/abs/1509.01213v1</td>\n", " <td>http://arxiv.org/pdf/1509.01213v1</td>\n", " <td>2015-07-01T16:26:21Z</td>\n", " <td>artificial_intelligence</td>\n", " <td>Artificial intelligence has impacted many aspe...</td>\n", " <td>Impact of Artificial Intelligence on Economic ...</td>\n", " <td>2015-07-01T16:26:21Z</td>\n", " <td>2015</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Dan Geiger, Prakash Shenoy</td>\n", " <td>cs.AI</td>\n", " <td>http://arxiv.org/abs/1304.3846v1</td>\n", " <td>http://arxiv.org/abs/1304.3846v1</td>\n", " <td>http://arxiv.org/pdf/1304.3846v1</td>\n", " <td>2013-04-13T20:44:25Z</td>\n", " <td>artificial_intelligence</td>\n", " <td>This is the Proceedings of the Thirteenth Conf...</td>\n", " <td>Proceedings of the Thirteenth Conference on Un...</td>\n", " <td>2013-04-13T20:44:25Z</td>\n", " <td>2013</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>David Heckerman, E. Mamdani</td>\n", " <td>cs.AI</td>\n", " <td>http://arxiv.org/abs/1304.3851v1</td>\n", " <td>http://arxiv.org/abs/1304.3851v1</td>\n", " <td>http://arxiv.org/pdf/1304.3851v1</td>\n", " <td>2013-04-13T21:03:12Z</td>\n", " <td>artificial_intelligence</td>\n", " <td>This is the Proceedings of the Ninth Conferenc...</td>\n", " <td>Proceedings of the Ninth Conference on Uncerta...</td>\n", " <td>2013-04-13T21:03:12Z</td>\n", " <td>2013</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>Laveen Kanal, John Lemmer</td>\n", " <td>cs.AI</td>\n", " <td>http://arxiv.org/abs/1304.3859v1</td>\n", " <td>http://arxiv.org/abs/1304.3859v1</td>\n", " <td>http://arxiv.org/pdf/1304.3859v1</td>\n", " <td>2013-04-13T21:37:12Z</td>\n", " <td>artificial_intelligence</td>\n", " <td>This is the Proceedings of the Second Conferen...</td>\n", " <td>Proceedings of the Second Conference on Uncert...</td>\n", " <td>2013-04-13T21:37:12Z</td>\n", " <td>2013</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>Michael Swan Laufer</td>\n", " <td>cs.AI</td>\n", " <td>http://arxiv.org/abs/1311.0716v1</td>\n", " <td>http://arxiv.org/abs/1311.0716v1</td>\n", " <td>http://arxiv.org/pdf/1311.0716v1</td>\n", " <td>2013-10-30T14:19:49Z</td>\n", " <td>artificial_intelligence</td>\n", " <td>In this paper, I put forward that in many inst...</td>\n", " <td>Artificial Intelligence in Humans</td>\n", " <td>2013-10-30T14:19:49Z</td>\n", " <td>2013</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " authors category id \\\n", "0 Tshilidzi Marwala q-fin.GN http://arxiv.org/abs/1509.01213v1 \n", "1 Dan Geiger, Prakash Shenoy cs.AI http://arxiv.org/abs/1304.3846v1 \n", "2 David Heckerman, E. Mamdani cs.AI http://arxiv.org/abs/1304.3851v1 \n", "3 Laveen Kanal, John Lemmer cs.AI http://arxiv.org/abs/1304.3859v1 \n", "4 Michael Swan Laufer cs.AI http://arxiv.org/abs/1311.0716v1 \n", "\n", " link pdf \\\n", "0 http://arxiv.org/abs/1509.01213v1 http://arxiv.org/pdf/1509.01213v1 \n", "1 http://arxiv.org/abs/1304.3846v1 http://arxiv.org/pdf/1304.3846v1 \n", "2 http://arxiv.org/abs/1304.3851v1 http://arxiv.org/pdf/1304.3851v1 \n", "3 http://arxiv.org/abs/1304.3859v1 http://arxiv.org/pdf/1304.3859v1 \n", "4 http://arxiv.org/abs/1311.0716v1 http://arxiv.org/pdf/1311.0716v1 \n", "\n", " published query \\\n", "0 2015-07-01T16:26:21Z artificial_intelligence \n", "1 2013-04-13T20:44:25Z artificial_intelligence \n", "2 2013-04-13T21:03:12Z artificial_intelligence \n", "3 2013-04-13T21:37:12Z artificial_intelligence \n", "4 2013-10-30T14:19:49Z artificial_intelligence \n", "\n", " summary \\\n", "0 Artificial intelligence has impacted many aspe... \n", "1 This is the Proceedings of the Thirteenth Conf... \n", "2 This is the Proceedings of the Ninth Conferenc... \n", "3 This is the Proceedings of the Second Conferen... \n", "4 In this paper, I put forward that in many inst... \n", "\n", " title updated \\\n", "0 Impact of Artificial Intelligence on Economic ... 2015-07-01T16:26:21Z \n", "1 Proceedings of the Thirteenth Conference on Un... 2013-04-13T20:44:25Z \n", "2 Proceedings of the Ninth Conference on Uncerta... 2013-04-13T21:03:12Z \n", "3 Proceedings of the Second Conference on Uncert... 2013-04-13T21:37:12Z \n", "4 Artificial Intelligence in Humans 2013-10-30T14:19:49Z \n", "\n", " year_published \n", "0 2015 \n", "1 2013 \n", "2 2013 \n", "3 2013 \n", "4 2013 " ] }, "execution_count": 373, "metadata": {}, "output_type": "execute_result" } ], "source": [ "all_papers = pd.concat([x[1] for x in arxiv_ai_results_three]).drop_duplicates('id').reset_index(drop=True)\n", "print(all_papers.shape)\n", "all_papers.head()" ] }, { "cell_type": "code", "execution_count": 374, "metadata": { "collapsed": false }, "outputs": [], "source": [ "all_papers.to_csv(int_data+'/{today}_ai_papers.csv'.format(today=today_str),index=False)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 2. Some exploratory analysis" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/usr/local/lib/python3.5/site-packages/gensim/utils.py:1015: UserWarning: Pattern library is not installed, lemmatization won't be available.\n", " warnings.warn(\"Pattern library is not installed, lemmatization won't be available.\")\n" ] } ], "source": [ "from nltk.corpus import stopwords\n", "from nltk.tokenize import word_tokenize, sent_tokenize, RegexpTokenizer, PunktSentenceTokenizer\n", "from nltk.stem import WordNetLemmatizer, SnowballStemmer, PorterStemmer\n", "import scipy\n", "import ast\n", "import string as st\n", "from bs4 import BeautifulSoup\n", "\n", "import gensim\n", "from gensim.models.coherencemodel import CoherenceModel\n", "from sklearn.feature_extraction.text import TfidfVectorizer\n", "from itertools import product\n", "\n", "stopwords_c = stopwords.words('english')\n", "stemmer = PorterStemmer()\n", "lemmatizer= WordNetLemmatizer()" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#Read papers\n", "all_papers = pd.read_csv(int_data+'/19_8_2017_ai_papers.csv'.format(today=today_str))" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x11079f198>" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAmYAAAFACAYAAAAWMbA8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10aa89550>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#Let's begin by looking at years\n", "\n", "#When where they published?\n", "\n", "#Year distribution\n", "year_pubs = all_papers['year_published'].value_counts()\n", "year_pubs.index = [int(x) for x in year_pubs.index]\n", "\n", "fig,ax = plt.subplots(figsize=(10,5))\n", "\n", "year_pubs_sorted = year_pubs[sorted(year_pubs.index)]\n", "year_pubs_subset = year_pubs_sorted[year_pubs_sorted.index>1991]\n", "\n", "ax.plot(np.arange(1993,2018),year_pubs_subset.cumsum(),color='red')\n", "ax.bar(np.arange(1993,2018),year_pubs_subset)\n", "ax.hlines(xmin=1993,xmax=2017,y=[10000,20000,30000,40000],colors='green',linestyles='dashed',alpha=0.7)\n", "\n", "\n", "ax.set_title(\"Papers on AI, ML and DL, total per year (bar) and cumulative (red)\",size=14)\n" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "cs.AI 6983\n", "cs.LG 5043\n", "stat.ML 4799\n", "cs.CV 3216\n", "cs.CL 1466\n", "cs.NE 952\n", "cs.IT 613\n", "stat.ME 586\n", "quant-ph 578\n", "astro-ph 562\n", "math.OC 550\n", "math.ST 492\n", "cond-mat.mes-hall 489\n", "cs.RO 485\n", "cs.IR 450\n", "cs.SI 435\n", "cs.LO 413\n", "astro-ph.IM 391\n", "cs.NI 362\n", "cs.CY 338\n", "Name: category, dtype: int64" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#What are the categories of the papers? Are we capturing what we think we are capturing\n", "#Top 20\n", "all_papers['category'].value_counts()[:20]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "See <a href='https://arxiv.org/help/api/user-manual'>here</a> for abbreviations of categories.\n", "\n", "In a nutshell, AI is AI, LG is 'Learning', CV is 'Computer Vision', 'CL' is 'computation and language' and NE is 'Neural and Evolutionary computing'. SL.ML is kind of self-explanatory. We seem to be picking up the main things" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#NB do we want to remove hyphens?\n", "punct = re.sub('-','',st.punctuation)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def comp_sentence(sentence):\n", " '''\n", " Takes a sentence and pre-processes it.\n", " The output is the sentence as a bag of words\n", " \n", " '''\n", " #Remove line breaks and hyphens\n", " sentence = re.sub('\\n',' ',sentence)\n", " sentence = re.sub('-',' ',sentence)\n", " \n", " #Lowercase and tokenise\n", " text_lowered = [x.lower() for x in sentence.split(\" \")]\n", " \n", " #Remove signs and digits\n", " text_no_signs_digits = [\"\".join([x for x in el if x not in punct+st.digits]) for \n", " el in text_lowered]\n", " \n", " #Remove stop words, single letters\n", " text_stopped = [w for w in text_no_signs_digits if w not in stopwords_c and\n", " len(w)>1]\n", " \n", " #Stem\n", " text_lemmatised = [lemmatizer.lemmatize(w) for w in text_stopped]\n", " \n", " #Output\n", " return(text_lemmatised)" ] }, { "cell_type": "code", "execution_count": 90, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#Process text\n", "clean_corpus = [comp_sentence(x) for x in all_papers['summary']]" ] }, { "cell_type": "code", "execution_count": 91, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "model 38373\n", "data 28715\n", "method 28506\n", "algorithm 27870\n", "learning 26955\n", "problem 24803\n", "network 23526\n", "based 21769\n", "system 18944\n", "approach 18448\n", "result 17895\n", "paper 17894\n", "show 17434\n", "using 16476\n", "time 13776\n", "two 12595\n", "set 12269\n", "also 11862\n", "new 11756\n", "feature 11753\n", "state 11549\n", "used 11492\n", "proposed 11445\n", "function 11360\n", "one 11321\n", "information 11137\n", "performance 11013\n", "machine 10880\n", "present 10670\n", "task 10076\n", "dtype: int64" ] }, "execution_count": 91, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#We remove rate words\n", "word_freqs = pd.Series([x for el in clean_corpus for x in el]).value_counts()\n", "\n", "word_freqs[:30]" ] }, { "cell_type": "code", "execution_count": 92, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Index(['certifiable', 'bake', 'aeraulic', 'spdvcfp', 'sobre', 'stitched',\n", " 'undithering', 'bookkeeping', 'recycle', 'lssol'],\n", " dtype='object')" ] }, "execution_count": 92, "metadata": {}, "output_type": "execute_result" } ], "source": [ "rare_words = word_freqs.index[word_freqs<=2]\n", "rare_words[:10]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Lots of the rare words seem to be typos and so forth. We remove them" ] }, { "cell_type": "code", "execution_count": 93, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#Removing rare words\n", "clean_corpus_no_rare = [[x for x in el if x not in rare_words] for el in clean_corpus]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 2 NLP (topic modelling & word embeddings)" ] }, { "cell_type": "code", "execution_count": 94, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#Identify 2-grams (frequent in science!)\n", "bigram_transformer = gensim.models.Phrases(clean_corpus_no_rare)\n", "\n", "#Train the model on the corpus\n", "\n", "#Let's do a bit of grid search\n", "\n", "#model = gensim.models.Word2Vec(bigram_transformer[clean_corpus], size=360, window=15, min_count=2, iter=20)" ] }, { "cell_type": "code", "execution_count": 472, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[('cybersecurity', 0.5190048813819885),\n", " ('ethic', 0.5039341449737549),\n", " ('ai', 0.5019052624702454),\n", " ('ethical_issue', 0.496062695980072),\n", " ('agi', 0.48768138885498047),\n", " ('astrobiology', 0.4816303849220276),\n", " ('technological_advance', 0.48043808341026306),\n", " ('deception', 0.4778890013694763),\n", " ('appreciation', 0.474555104970932),\n", " ('ethical', 0.4712696373462677)]" ] }, "execution_count": 472, "metadata": {}, "output_type": "execute_result" } ], "source": [ "model.most_similar('ai_safety')" ] }, { "cell_type": "code", "execution_count": 470, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[('computational_complexity', 0.5796849727630615),\n", " ('treewidth', 0.4251006245613098),\n", " ('ulbc', 0.3759896457195282),\n", " ('qrd', 0.37181398272514343),\n", " ('communication_cost', 0.36393606662750244),\n", " ('tree_width', 0.3622165024280548),\n", " ('worst_case', 0.35874366760253906),\n", " ('running_time', 0.35821208357810974),\n", " ('computational_cost', 0.3545072078704834),\n", " ('polynomial', 0.3506772816181183)]" ] }, "execution_count": 470, "metadata": {}, "output_type": "execute_result" } ], "source": [ "model.most_similar('complexity')" ] }, { "cell_type": "code", "execution_count": 475, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[('open_source', 0.7268495559692383),\n", " ('source_code', 0.7222407460212708),\n", " ('python', 0.705554723739624),\n", " ('released', 0.671886682510376),\n", " ('repository', 0.6573259830474854),\n", " ('downloaded', 0.6564698219299316),\n", " ('freely_available', 0.6537964344024658),\n", " ('download', 0.653651237487793),\n", " ('open_sourced', 0.6528508067131042),\n", " ('made_publicly', 0.6449865102767944)]" ] }, "execution_count": 475, "metadata": {}, "output_type": "execute_result" } ], "source": [ "model.most_similar('github')" ] }, { "cell_type": "code", "execution_count": 96, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#Create 3 different dictionaries and bows depending on word sizes\n", "\n", "def remove_words_below_threshold(corpus,threshold):\n", " '''\n", " Takes a list of terms and removes any which are below a threshold of occurrences\n", " \n", " '''\n", " #Produce token frequencies\n", " token_frequencies = pd.Series([x for el in corpus for x in el]).value_counts()\n", " \n", " #Identify tokens to drop (below a threshold)\n", " tokens_to_drop = token_frequencies.index[token_frequencies<=threshold]\n", " \n", " #Processed corpus\n", " processed_corpus = [[x for x in el if x not in tokens_to_drop] for el in corpus]\n", " \n", " #Dictionary\n", " dictionary = gensim.corpora.Dictionary(processed_corpus)\n", " corpus_bow = [dictionary.doc2bow(x) for x in processed_corpus]\n", " \n", " return([dictionary,corpus_bow,processed_corpus])" ] }, { "cell_type": "code", "execution_count": 97, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/usr/local/lib/python3.5/site-packages/gensim/models/phrases.py:248: UserWarning: For a faster implementation, use the gensim.models.phrases.Phraser class\n", " warnings.warn(\"For a faster implementation, use the gensim.models.phrases.Phraser class\")\n" ] } ], "source": [ "#Initial model run to see what comes out.\n", "\n", "#Transform corpus to bigrams\n", "transformed_corpus = bigram_transformer[clean_corpus]\n", "\n", "corpora_to_process = {str(x):remove_words_below_threshold(transformed_corpus,x) for x in [1,2,5,10]}" ] }, { "cell_type": "code", "execution_count": 534, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "100_2\n", "training\n", "coherence\n", "100_5\n", "training\n", "coherence\n", "200_2\n", "training\n", "coherence\n", "200_5\n", "training\n", "coherence\n", "300_2\n", "training\n", "coherence\n", "300_5\n", "training\n", "coherence\n" ] } ], "source": [ "#Need to turn this into a function.\n", "#Topic modelling\n", "\n", "#Parameters for Grid search.\n", "lda_params = list(product([100,200,300],[2,5]))\n", "\n", "#Model container\n", "lda_models = []\n", "\n", "for x in lda_params:\n", " #Print stage\n", " print('{x}_{y}'.format(x=x[0],y=x[1]))\n", " \n", " #Load corpus and dict\n", " \n", " dictionary = corpora_to_process[str(x[1])][0]\n", " corpus_bow = corpora_to_process[str(x[1])][1]\n", " corpus = corpora_to_process[str(x[1])][2]\n", " \n", " print('training')\n", " #Train model\n", " mod = gensim.models.LdaModel(corpus_bow,num_topics=x[0],id2word=dictionary,\n", " passes=10,iterations=50)\n", " \n", " print('coherence')\n", " #Extract coherence\n", " cm = CoherenceModel(mod,texts=corpus,\n", " dictionary=dictionary,coherence='u_mass')\n", " \n", " #Get value\n", " try:\n", " coherence_value = cm.get_coherence()\n", " except:\n", " print('coherence_error')\n", " coherence_value='error'\n", " \n", " \n", " lda_models.append([x,mod,[coherence_value,cm]])" ] }, { "cell_type": "code", "execution_count": 535, "metadata": { "collapsed": false }, "outputs": [], "source": [ "with open(mod_path+'/{t}_ai_topic_models.p'.format(t=today_str),'wb') as outfile:\n", " pickle.dump(lda_models,outfile)" ] }, { "cell_type": "code", "execution_count": 536, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x1dd2ecba8>" ] }, "execution_count": 536, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAmUAAAE/CAYAAAAHcrQrAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n7akAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1d6b06ef0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#Visualiase model performance\n", "\n", "model_eval = pd.DataFrame([[x[0][0],x[0][1],x[2][0]] for x in lda_models],columns=['topics','word_lim','coherence'])\n", "\n", "fig,ax = plt.subplots(figsize=(10,5))\n", "\n", "cols = ['red','green','blue']\n", "legs = []\n", "\n", "for num,x in enumerate(set(model_eval['word_lim'])):\n", " \n", " subset = model_eval.loc[[z == x for z in model_eval['word_lim']],:]\n", " \n", " ax.plot(subset.loc[:,'topics'],subset.loc[:,'coherence'],color=cols[num-1])\n", " \n", " legs.append([cols[num-1],x]) \n", "\n", "ax.legend(labels=[x[1] for x in legs],title='Min word count')\n", "ax.set_title('Model performance with different parameters')" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [], "source": [ "with open(mod_path+'/19_8_2017_ai_topic_models.p','rb') as infile:\n", " lda_models = pickle.load(infile)" ] }, { "cell_type": "code", "execution_count": 100, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/usr/local/lib/python3.5/site-packages/pyLDAvis/_prepare.py:387: DeprecationWarning: \n", ".ix is deprecated. Please use\n", ".loc for label based indexing or\n", ".iloc for positional indexing\n", "\n", "See the documentation here:\n", "http://pandas.pydata.org/pandas-docs/stable/indexing.html#ix-indexer-is-deprecated\n", " topic_term_dists = topic_term_dists.ix[topic_order]\n" ] }, { "data": { "text/html": [ "\n", "<link rel=\"stylesheet\" type=\"text/css\" href=\"https://cdn.rawgit.com/bmabey/pyLDAvis/files/ldavis.v1.0.0.css\">\n", "\n", "\n", "<div id=\"ldavis_el1008174796761449978178010\"></div>\n", "<script type=\"text/javascript\">\n", "\n", "var ldavis_el1008174796761449978178010_data = {\"topic.order\": [58, 10, 6, 43, 19, 14, 74, 41, 100, 47, 42, 55, 68, 71, 92, 57, 99, 22, 65, 16, 37, 63, 62, 13, 82, 56, 21, 38, 88, 11, 39, 78, 94, 73, 96, 27, 69, 40, 91, 5, 66, 20, 67, 83, 24, 54, 81, 17, 87, 28, 52, 46, 32, 98, 61, 84, 4, 29, 1, 2, 12, 86, 36, 77, 25, 93, 7, 45, 48, 30, 60, 64, 23, 8, 33, 18, 35, 90, 70, 95, 50, 59, 26, 34, 89, 97, 15, 85, 31, 72, 79, 9, 53, 80, 76, 75, 3, 49, 44, 51], \"lambda.step\": 0.01, \"tinfo\": {\"Freq\": [14303.0, 11475.0, 22826.0, 9216.0, 14031.0, 8429.0, 12144.0, 11907.0, 6886.0, 6518.0, 9229.0, 7351.0, 6013.0, 7972.0, 5651.0, 5157.0, 7119.0, 6003.0, 5056.0, 6295.0, 4801.0, 4509.0, 4749.0, 4274.0, 4079.0, 4038.0, 4546.0, 3878.0, 4460.0, 4432.0, 1224.232644833601, 1012.6870778579226, 998.0912018381497, 661.5991851090367, 423.93415139544743, 374.2085104833401, 334.9713591694535, 298.78877473127875, 274.59759726838115, 249.09046159379733, 234.52313075839666, 224.8475954209474, 215.91838138993856, 214.31292112872987, 213.45543333023048, 195.4107820130042, 183.7419706469544, 174.26910454220607, 172.01034730528116, 160.29636429274578, 154.74072385159212, 152.5598733115681, 151.9494545159375, 145.4449811264534, 145.1014022906518, 144.54259242679987, 142.5562555639134, 138.1982033824241, 131.54218494862337, 125.11750367032703, 485.9368513256462, 548.5974021201364, 1118.2355628671587, 222.42297220085499, 207.04176508999583, 559.112515723435, 209.33450039093202, 2871.185987595037, 2032.9861208776804, 790.9662284815134, 2046.186052080309, 1555.8127218764337, 11580.84308647459, 308.4793145417527, 1558.0006543835104, 921.7848140097597, 813.5018649104413, 1825.2808100897512, 1196.0012613557249, 1122.9922752686289, 1263.7843652869108, 2757.4715920813805, 1786.2311693591405, 1288.6824939681712, 1197.495229911342, 666.4842986605718, 1640.9300747221978, 858.5449121477659, 858.2910293511034, 989.3666331714059, 1950.3902736128055, 1896.5348288441992, 2232.525666892823, 1147.870545014526, 1054.4751895553868, 1156.5247395200672, 1352.3297566985902, 1220.1210507113497, 1087.65229549531, 1178.5757439259353, 1068.7695809889647, 1010.6787477752999, 1005.5740095001097, 989.3768536727891, 760.0195195240472, 536.8276205795416, 467.48315749092814, 440.85609162981353, 409.26155835394815, 334.5789397227073, 285.29018200210265, 268.1868380168958, 254.97715208077128, 254.14430436061986, 212.60989063216914, 203.58858412659848, 197.53204510363634, 191.50060756931123, 186.81137286148996, 185.66447073876134, 185.07164262821124, 157.0331822149748, 154.6431179478352, 150.4904839432177, 126.96640414096669, 118.64224995168964, 115.66543006995086, 114.85521113560395, 114.43343089401114, 110.75785914990058, 105.51926150290784, 101.9429970268587, 5587.729315698871, 1453.3772876591522, 833.9573026890372, 277.9787432319321, 260.9582486476572, 2092.650601698176, 182.73496655810214, 368.82806856273976, 736.2177706243707, 298.04417474781593, 384.6603367257569, 2120.44522973965, 809.0600589928482, 799.5138309402216, 289.37674422468, 746.9105067627416, 665.8816598825466, 384.6305763246479, 1854.6190686644045, 1076.0093898838797, 848.5320787903415, 1263.4463803165615, 1396.1864055134884, 1051.3650620937653, 2084.440882563599, 628.9345436156198, 1161.7617170289598, 671.7058381406314, 947.7715513180343, 1020.5493025655703, 821.643520655557, 1544.9565071602733, 1020.5696192570307, 1494.1934586086713, 1476.7318778965998, 1449.4673637791925, 1008.8570252377752, 1465.7459728396334, 1292.123665137669, 1206.296183058256, 943.9745961576422, 883.9976337007813, 843.0498499962715, 823.7649765962858, 777.7005081933798, 763.1012048871419, 509.9033288632089, 334.003549040852, 331.9048672327872, 271.6771472940659, 247.1789463780547, 231.42737605362706, 220.9476131396588, 205.3708498098525, 196.58995934675508, 193.60130897768965, 171.74766962614243, 161.77152950926117, 161.08667531346495, 142.0290304372535, 138.1486357669203, 134.2950807061402, 126.15551042627496, 120.96482068309624, 114.81140544922154, 114.55137808787944, 108.01742035099835, 100.34763135639166, 98.37368161429667, 90.50787550422982, 88.75968745039586, 88.61240828113655, 88.35004761188281, 86.92799389824843, 191.63299438083772, 221.06671644118668, 741.6877890275427, 3868.2858381760398, 1966.76013884107, 194.3058595725878, 263.30710555307166, 273.43272622059783, 647.725302003745, 698.450515329983, 810.9185754573554, 457.47439589452597, 1001.331707725185, 761.064269260821, 2046.3108969715563, 1123.714380624136, 575.7182090556371, 1538.9396198527254, 602.6943639916076, 1723.8755287074946, 1186.361079837222, 1143.8345997591114, 1030.9308523582167, 1209.5814044772615, 882.4935823046884, 798.711833537998, 823.1272104850435, 1022.0110533681617, 1239.0226744039155, 795.0511780182948, 1134.8120566196037, 663.387263400852, 1033.7486509000673, 835.1798652817372, 782.9540746272323, 780.5219719115125, 785.362632132408, 730.9461292546291, 2025.7865314265257, 661.782001493177, 618.7563715867811, 587.3708512260264, 571.2461901187677, 446.75735047556975, 431.3855720579788, 426.3372003055439, 400.7827243299767, 309.07120628458057, 298.3877297219196, 286.3845321225161, 254.27452926802803, 231.8506134518273, 210.4651565742494, 185.48237048417784, 168.85923085691144, 168.48623537654709, 151.65131680948824, 151.25172185776538, 150.35054139104935, 142.40656970574022, 132.3498986145849, 115.30236879330197, 111.99805388401015, 108.21930756421341, 106.01761083174145, 104.09803814970094, 103.8878569515023, 103.74794139942979, 3886.8008907633807, 261.7983034183237, 197.864231101693, 2109.794853029411, 495.0402300968492, 1564.9321565385558, 4066.5407085611573, 513.0349193312612, 798.290491165907, 317.5353753136673, 348.37181695998123, 397.39766177293444, 7996.555463760521, 1450.2651246710923, 793.5173626020331, 877.7871128701661, 568.0176485479832, 1232.6070624613392, 934.4166141326084, 792.1050043895957, 2247.9176369580173, 695.2616891498446, 1247.9800747905108, 582.9691761771677, 1063.0901852060847, 1216.746502477175, 1055.9278460784287, 946.004259534733, 885.6232529534685, 801.8427295837616, 868.0388776722099, 622.3651255394543, 647.9355964553329, 596.7198968515439, 613.4007140724306, 598.6241167989408, 942.6360833447076, 936.9441970775397, 849.7468581400458, 796.4505750573716, 735.5070690921235, 671.7805274203946, 576.316468483319, 531.5383791742057, 498.6105807753885, 410.0477107155188, 348.7026985363954, 346.11679889952103, 344.5494779923827, 305.5199202140688, 275.4603949608489, 268.1972847794871, 264.386692042866, 258.032290039092, 251.72023787464798, 247.46297262798686, 244.22405791971423, 238.39338475439723, 222.31081812165823, 220.66075961229765, 214.99517270064578, 213.81088172986966, 212.06855382681746, 204.78316500245398, 203.4586544493985, 177.62250737514955, 935.139253319112, 419.86477629422416, 602.5781638554263, 1821.2215898840063, 782.0964864341364, 479.854815584927, 887.7728528771153, 440.13315722916315, 954.1087692107598, 446.04591523913183, 346.293481832485, 370.74094047219126, 641.7937744462956, 586.357241786372, 464.4452859146029, 460.3017805126602, 767.9153937581127, 472.3750066920228, 1042.6970370965746, 815.9824282104078, 526.786333902783, 551.6927182441649, 671.8179484175097, 601.27655695421, 513.8290749714708, 472.24994472395196, 194.46562288519542, 182.56385010854456, 148.03751686951247, 140.08433059766864, 126.6956937128937, 116.80615665993365, 112.23392312741748, 89.10289668076655, 84.83910448160916, 76.58773872421105, 69.01939108744214, 68.88601063795876, 68.660370080591, 66.6023870540347, 63.41708546073037, 63.36174153937242, 61.5171984181193, 54.30746734060697, 49.52110490711401, 49.128152863610424, 48.812979598290525, 46.349650182611335, 39.92043214894786, 38.12842542061707, 37.65259288834191, 37.529238084756464, 36.98853433429307, 36.07571378989933, 11156.305648578065, 1537.148669240668, 137.64867535797302, 62.63537329685084, 279.316849999693, 373.72451130669356, 1527.931245580859, 124.30589617062479, 1453.8512544049643, 222.87275559991596, 2102.1945963218454, 438.5499040400262, 637.833446205849, 216.64120674773417, 172.0171943578322, 1635.0491819743647, 703.272161027006, 413.75274486576006, 537.6900665529258, 387.2733037263686, 424.066837808128, 977.9881790520625, 321.33714694394075, 355.8937855739326, 634.5173208019523, 840.0435469661193, 365.2948467525194, 735.117231678867, 734.4839345457897, 495.68174617264424, 715.5021914761919, 432.9852284591805, 603.3088267190025, 595.507198545887, 553.0192515748693, 475.19743706566237, 465.2445322849893, 521.7389290549673, 532.1738295858153, 456.9361542936682, 448.3023468562028, 434.98295161289604, 1586.5277613408862, 903.0292858282927, 402.235891892511, 398.3777240826959, 1908.5225189888972, 374.23124988653103, 360.0684501622416, 336.61752251106105, 334.3609863933479, 320.6851293980344, 312.4018225768762, 305.03448803464255, 283.0530642280632, 280.1025734202595, 273.0949814415863, 265.1874559602118, 259.10233104815546, 247.03916490917982, 244.1791809914147, 243.86879722307535, 240.30920584596436, 237.27067447529666, 232.1992317638161, 230.56772168832697, 223.01452537004883, 220.9958758192909, 219.88093580370446, 216.68778499750232, 212.28406892107589, 194.572706198321, 487.0864276083243, 3491.837755333339, 475.0815738610134, 701.2949594720009, 622.3789032517908, 279.5925715792576, 874.6721653335172, 2553.427918205956, 1508.0949565032386, 388.4744147013603, 928.0643517588928, 415.96923278299045, 1007.9313024407626, 438.05179651930865, 348.9841696462454, 690.3190346857876, 463.90404330600177, 594.6219662612073, 420.55644226748893, 531.1105776294185, 471.3952744037031, 503.60112008382566, 409.27276056512403, 523.9602306537689, 394.919427780351, 380.17416942867607, 383.1765642274547, 383.5905135216506, 2049.976788463758, 1341.838907595353, 1074.71095793828, 852.3567687141388, 600.2487512412126, 599.708646365847, 481.31091476673294, 406.8160908414514, 355.5177562424438, 343.5545572960766, 341.9375683739759, 331.23597493515626, 322.3721882407208, 319.2963547392286, 300.90186134754805, 295.6918083913629, 295.39297355796134, 287.4420306432035, 280.8930651991575, 258.84893337737304, 234.5686086082194, 230.94123901215465, 229.35377056226264, 214.4419248329898, 200.07891972022983, 197.43542511451156, 187.2221520856354, 186.4244040529361, 167.15987753366022, 161.69347406006355, 6026.706739366992, 273.19483852266734, 747.0282962404718, 558.9391058523987, 1949.7344891347561, 674.5509407163128, 389.0579791067565, 666.1493749502991, 1448.8258593683279, 873.5909347692518, 788.6462949495393, 1064.3117978304015, 540.6748305535319, 442.5184173015418, 489.11874899971684, 488.09500193332883, 434.0581845971109, 447.77520976477484, 421.52382349257755, 448.8969047469015, 400.38288137404095, 448.2678566613519, 384.2022156155038, 3352.031206107265, 329.9695176459885, 296.7959155305928, 296.1755932590954, 242.49051506320245, 227.91805370634825, 220.51420015715004, 197.7318155275491, 192.27630538163862, 167.94970808562934, 217.33684419856613, 115.4618691875184, 112.50310917719285, 108.59793068020242, 98.39741129291079, 95.01958490139407, 93.4525855573181, 93.23819680787805, 86.71632983068997, 85.52770327771893, 84.5338619662986, 77.58780321752883, 76.92434030629389, 76.85955211789975, 66.8948048453665, 65.22610399076667, 64.58618656753912, 59.05735953955504, 57.772013228512535, 52.20638321180568, 821.444603376645, 405.2061098088267, 1307.6376515737165, 116.32743466843932, 335.681757022984, 197.19854750187613, 258.42875003197537, 126.43165133443026, 206.1512761554639, 1286.0759349180337, 112.7430621938735, 334.8427865968433, 893.1127205341676, 262.3197616286955, 383.1562510702415, 262.2567003840161, 209.01687098890864, 902.6467476173399, 310.05255639433193, 506.65680110105257, 792.9189309530221, 1239.8178622302455, 2113.221900735613, 1063.4268801586727, 1005.7597741722425, 590.4700699979555, 419.13349395826464, 352.7209726867054, 545.0659321378602, 704.8314174816521, 745.0063138569262, 602.4094928744739, 485.9801806557074, 493.26072838001426, 492.5117492161167, 586.7375987677145, 432.3720817573169, 487.2981390374712, 391.11472567056194, 432.3901182002969, 379.61740340602506, 385.88193912067356, 1755.8721380525517, 1422.4233325776624, 1420.5362952074836, 1044.8682280534747, 850.218593195673, 776.1429980716753, 726.872563312103, 626.2420621152006, 589.4392657614271, 577.3684313948809, 576.2733066664972, 548.6774285940703, 545.4401821910569, 493.0605617009528, 439.195885701428, 422.7379783531536, 420.9677018531796, 411.88223000571594, 396.16579154940337, 391.8375900671362, 383.2747893593202, 382.65317782297, 382.34398178474004, 378.621486213888, 376.6054770587995, 355.39434725912514, 350.85245524251746, 342.6307044405119, 329.0288664431504, 319.79286484026454, 763.1872440201147, 717.3593152910777, 952.6583250591835, 989.5096427787125, 1002.1259810041189, 1361.693387858143, 655.920545847578, 454.9822050019436, 618.9436175383145, 427.23552287698925, 596.0124878927058, 623.913488237883, 463.7196495483575, 461.3264106952833, 464.3726403264966, 745.0846478485482, 180.53891158804748, 133.53367379869184, 121.94819255638781, 117.17032253791922, 113.98823160658009, 99.16828304177133, 82.22706628301248, 78.65621913757678, 69.9108113542402, 52.588598168992355, 51.34466762102478, 50.81468093717652, 50.4554658850778, 50.44720951674427, 49.182855239059855, 41.78341888989097, 40.21727757940497, 39.13921024461674, 37.064243865738064, 36.885240369679835, 36.143135688892706, 35.241126617577486, 33.24361845175712, 32.90417616301174, 31.90176083356172, 31.89735092717856, 31.486588824673724, 31.312885577826595, 31.014023703825483, 219.74825077369366, 58.780308759352494, 1958.977655983623, 6595.8498374772735, 200.52819873911037, 913.470089479927, 237.05931475360205, 159.74801886507413, 1882.5162056601273, 87.55014823508876, 592.0344569537394, 2585.816157178868, 1977.5269388527504, 1884.3060378237744, 1103.6885723212745, 252.61247140819094, 1121.952003857191, 1295.6405335540533, 927.5694676011158, 382.7906807123473, 946.5710068466396, 276.9329403883543, 414.2132203244528, 657.1621508008482, 250.48028366174606, 268.55466753511354, 366.56471435493626, 235.42524342265094, 276.63364713490563, 588.2750520740943, 496.221410958226, 515.6049182796338, 405.39007366493115, 352.450409456911, 400.3715258573082, 386.5451697272064, 383.8362543739867, 331.1142643319687, 292.5004730393704, 284.50921584850744, 283.5709913375716, 4431.82029047384, 1050.7150058955322, 938.4953765174354, 687.3789519690242, 599.9729723691125, 521.9510763646914, 501.3009852172659, 452.25396887672866, 442.868566455655, 441.212868662841, 404.6109209942738, 379.2768737547025, 371.98471128773724, 363.1659453781807, 358.9908043217734, 336.2846474676673, 307.2311165691704, 279.7747410415857, 265.8498002420874, 263.0975901984841, 262.12779753566696, 256.12637149410784, 239.91639929207983, 223.33727561149632, 222.068463046484, 215.9607994822182, 215.40807252634144, 211.35873593232313, 209.44921786935913, 207.21473019637668, 6892.106920158788, 626.4308340903946, 541.9475529223134, 421.09169222756196, 596.7166723348071, 300.16658107801373, 502.36011962626304, 345.8269849513641, 649.3651276779193, 453.43547963504346, 440.6089049981323, 644.6501554154929, 496.36580796961675, 669.4749759384863, 485.22675712908705, 426.21199217466955, 424.38759674688765, 166.07303160832558, 147.26925708285765, 131.18899293871877, 119.50819527532734, 105.4947582463036, 104.48330364992333, 96.72414833202114, 85.03837712759105, 80.39304306385458, 77.89941625259912, 76.47599343671206, 74.17395091567083, 66.91172265786022, 64.95235196100866, 60.57212076733111, 57.48877621836174, 46.988759109655064, 44.52886974559925, 38.26619216407642, 36.38731356001504, 36.19510029508753, 34.550006414622544, 33.76484808305038, 33.50402710225002, 33.46928959534152, 32.979299469172815, 32.31835020574961, 31.737158819028938, 31.24948187034571, 5598.800146082554, 265.627901376998, 115.28781629220317, 555.0259373830911, 265.12091641350463, 437.02192434441156, 409.949095021669, 707.841012082039, 1096.6606540200862, 1032.7570642814555, 821.8730671565354, 1067.8722547302627, 655.5459680762348, 982.90842826994, 381.4934008911843, 491.2836419771109, 807.9140606045854, 415.46488705184004, 765.6016552990012, 241.03548001934473, 544.7517195504589, 635.1695372149087, 537.746978306799, 303.3032439884636, 275.37248068876994, 246.92640169961368, 263.17279210804435, 313.3193701848764, 254.48788180219626, 288.1662013952, 276.59190771941286, 968.3753107786903, 809.808715532533, 664.8821104730304, 594.2769161087199, 546.7269711975472, 509.3493593492938, 505.1740702628167, 462.42602274227033, 461.34598869680434, 477.7516879572212, 422.20664485342934, 387.77914077620164, 320.45138719339207, 293.6427985984814, 265.03318115899214, 264.9007686127794, 240.00108024298243, 225.05018511259706, 222.84919945036518, 195.17253496117948, 187.93138623279407, 174.283990193163, 173.77372981874694, 171.72903780331035, 152.30483175051867, 144.00988565715298, 138.4355179009516, 134.14915438977576, 132.68083943390118, 117.4100966600851, 356.992634958952, 941.3588122436422, 773.2357842279713, 1122.8186054420491, 466.2552695974997, 1714.72848664497, 350.25524204730755, 309.7676125425207, 348.35140489247783, 252.03514122032055, 1748.7234702775986, 541.5956349481181, 469.3297149973071, 403.6348305645727, 479.61950997758447, 309.48106304356537, 297.8208114319957, 345.2360820691239, 3523.0310126518757, 2249.3110364709664, 1213.9676290211344, 714.408027879434, 655.8769286185952, 562.5533165765038, 346.4573194148166, 332.6739088144761, 330.56768491028527, 271.11424366648345, 264.5672940348478, 246.97685485098555, 233.14554465348567, 230.11799481698094, 218.02906141042698, 206.802193232956, 188.04600849272006, 184.44534254297128, 175.35388283408605, 170.17042630134364, 165.46769062728913, 164.81764419674568, 157.5485038498285, 152.24500582009992, 120.03314269668861, 116.76152810613443, 114.86989216135228, 113.27836832751514, 111.1892709214951, 110.04470699977078, 5773.176218000994, 677.1539695766222, 229.28457374207005, 522.2301620986827, 544.116085696758, 176.16683920776072, 631.5161107765483, 570.2124595884612, 489.3616342668945, 392.66592665996916, 378.13877186845133, 294.8192354998051, 299.7483190537615, 334.7982127685165, 333.0588188750943, 316.11356004453205, 228.81526724063033, 768.5598453576803, 371.58637703721774, 297.6632694818136, 275.1186170795506, 266.2637346271293, 244.71508570840658, 192.37060364652666, 147.6510300111931, 144.9360626235057, 123.60671409555283, 106.49414655976197, 94.19011842595623, 90.67017267912712, 88.85520919988875, 73.2276891038286, 66.0085206288096, 56.76584160554659, 56.198087754419525, 53.2429078376128, 52.20391946296047, 51.88038680315638, 50.66191633618323, 48.34968127627948, 47.091378777581255, 46.28106475068453, 44.920518044471095, 44.67140762168748, 42.977782734754015, 42.857261327226475, 41.10019822054591, 176.88059445291046, 316.20451323659074, 128.63708065003587, 242.08521757030596, 1035.7695574538068, 343.4977669320879, 249.5634732020744, 378.6851794023935, 198.79397294812628, 1374.914054515675, 140.79117691668202, 202.28394186713064, 638.9595797921226, 567.8329291878836, 625.6817004910799, 485.9813554696349, 1877.7964245735047, 445.5488943954208, 183.68403864263135, 1074.459994961728, 862.4571759105095, 828.3835763278579, 451.1761624832563, 600.5972337874807, 609.1153089939489, 343.68419785826023, 413.6540693850752, 341.05009187458273, 378.34274812621857, 348.31039474767965, 339.24739795296887, 314.51213206517224, 276.4710930864644, 1069.1612630267414, 459.3472344246788, 395.31108485277684, 312.0331405430178, 267.1864113850629, 212.30321150129785, 207.67915288086735, 161.15561673582832, 112.33315393743106, 111.06382158314138, 89.81653503571816, 88.32319837680707, 87.29966433139292, 76.11482721443336, 74.12604629464418, 68.6948360250938, 68.69418448128653, 67.63253572262467, 67.07710207479155, 63.49713413105068, 62.424553897403065, 61.159420683821324, 58.90069927790777, 55.06032831974729, 54.720779821154665, 49.226730601731084, 49.12657607237648, 46.8723357910362, 45.63002537617295, 45.42275695493933, 147.71788946342025, 856.1756171659447, 2574.438372261551, 450.7361548159845, 1369.9823415440324, 288.4032339215011, 1643.5518214085068, 481.09643456062884, 635.1442270895794, 290.1464436357527, 393.9861852782572, 1930.4001497061302, 199.7666425796872, 401.7550718228587, 544.2224011166654, 717.6890349226994, 255.4935183109266, 248.58993997833832, 223.2512557999111, 294.6384970232968, 421.0187256876518, 375.10139308915757, 336.1592028445831, 329.2703329382562, 318.9579298190509, 244.02948420724633, 231.0514260684114, 2327.0039714965983, 1372.6099532464586, 1140.5765922513567, 701.8185066844737, 441.87612053637775, 421.64128985902846, 340.23965957259287, 250.88926731210887, 254.53926569772463, 196.2325426893479, 192.62795708460175, 174.48382468158744, 169.17222113752197, 162.54714532119417, 162.09459079213758, 157.5362154461044, 141.8670885054225, 138.7163891286575, 135.85801621599504, 132.49212545083506, 131.1504971274553, 129.8006203881273, 127.29780123488051, 120.52114131961768, 112.96092617177666, 109.73577913614209, 97.7290666492023, 94.84984815210804, 88.2228914364508, 87.56626652130139, 1321.1330399924882, 410.54393018487116, 283.1649030279237, 152.3713209188526, 627.1593426978275, 701.9799134159127, 696.3769962291304, 663.1820413926786, 673.7407712147833, 281.08491972441493, 650.9110531365842, 566.9523949411001, 217.38861151904172, 1024.7497394949294, 320.48047805517336, 339.54236271344416, 194.99228336682842, 274.95955945204776, 349.08596327820976, 297.28080283323914, 283.9222124035687, 315.6377931569511, 262.25850323352745, 219.0870552779373, 1027.996061673886, 174.84188691528215, 155.66565264725224, 154.53317876208715, 148.04918442209566, 93.6623969060301, 93.20922220743876, 77.06623668916319, 65.72097498730672, 62.73234444685544, 59.53893159351445, 59.313131032379694, 58.74924654492141, 57.49101843811029, 57.38233876582626, 50.708951443825185, 45.30070966635826, 43.72668575056455, 43.70703455297135, 36.344953787610095, 35.308568086146856, 35.19270718473761, 33.82111381796756, 30.652791641660656, 27.294203806353938, 26.993505876581587, 24.820403455208265, 24.207971309867588, 23.742089827555105, 23.717766165939, 85.30526885138967, 47.971327184669555, 1303.098513126794, 318.0721916348673, 83.88375555870455, 5122.389813730177, 105.64976068032942, 122.99662770809424, 361.4708656098143, 516.8980919215568, 137.0415068914289, 160.31559396173458, 282.8211922053712, 156.00857417748125, 889.0572830329803, 682.4395262719505, 249.22891489403077, 176.77929460999368, 626.6984236706546, 426.5926141660553, 437.9422931797043, 104.32516352454962, 556.2001014744909, 253.6424814865721, 398.5942602464481, 429.63078640835914, 262.88434154705976, 234.5276385081638, 319.56701115605875, 299.3471128601775, 219.2333739753509, 202.88286456600238, 233.56566762841803, 232.81576812535843, 195.77334552575, 188.3971983257443, 185.20950021890667, 190.90892183867916, 178.57368596455726, 2935.2471503202264, 637.783835464186, 414.8297585884227, 287.39441882234314, 249.59296243106905, 214.14933457662477, 213.88921121870064, 198.94849744704513, 186.69058866032907, 168.14156304396136, 153.162958070244, 146.64084494598632, 132.17822517513844, 125.92888577514773, 122.03235929217803, 117.40068124375534, 111.88138984423504, 98.11885688581252, 94.25818431701329, 92.07934869704182, 90.85703615791225, 89.35785646614447, 87.35372295982798, 86.14683574304068, 83.64281808580972, 79.58844563722695, 77.86823453397213, 76.46223907768712, 73.34744012065764, 71.00846406155286, 4300.363289189472, 2380.645559247249, 181.71037615216085, 344.96199596033455, 152.0815925854178, 275.26718042525744, 862.5376151861827, 169.95382286726047, 1019.1650159511029, 480.1926524996782, 122.65948071782016, 226.28360468833455, 887.8721474035851, 403.1139956472487, 309.41902215551573, 301.19448951620996, 349.2212005536964, 221.15374415538108, 276.71485657519725, 190.43603638765006, 189.6200591542439, 200.12868558981853, 178.61494967739455, 175.2051609695488, 175.4163134544026, 1579.5960103092136, 514.7063598849371, 401.0935977837889, 303.4705820565301, 180.7711588988387, 153.98679577390214, 150.4140384509871, 128.45893423319757, 126.74640165603992, 139.2454424722404, 118.47327017605615, 74.1923475939234, 72.19318951949973, 69.244422223601, 68.90823186251826, 63.33910336568912, 61.68753609238655, 55.12235557146215, 52.060535274196596, 40.983284397277345, 34.2446587515549, 32.91486770604881, 30.094147351504297, 27.156501152252112, 27.05621170212146, 26.504370767890627, 25.999643189020595, 25.282611533141253, 24.89871755680213, 24.00368870103319, 778.2885309539479, 210.2729317776096, 733.5355481285605, 54.91158883149564, 5469.064321041904, 509.4545854963072, 247.53017335408595, 627.079325625604, 122.70459570487442, 295.7861638891202, 888.0836604215649, 158.63607091154773, 222.04572670760368, 252.72279654379957, 709.8709307906709, 420.30080504306045, 498.65730785836405, 254.35205886233408, 145.3995396478288, 211.79650461388061, 269.88614173542686, 235.18806753644648, 256.0127109326344, 241.7230203524501, 267.75217300609575, 214.68756198715633, 221.9508171910633, 195.12446817236838, 201.41382055482114, 198.02442311545832, 195.33342035603272, 2263.440354082822, 563.3416501456563, 277.7040450685317, 227.34133532539124, 202.20829373857225, 191.94791609600978, 137.30145099745963, 130.6620527243889, 127.3059280597531, 123.40560949312481, 120.98269956309873, 113.45815491996393, 110.34911610246402, 105.73006877171446, 102.13869521435834, 89.31087583226615, 88.04849152514937, 85.00033006087384, 80.66027219554223, 78.77221104551069, 76.16513235714872, 73.01376616175129, 71.48305397221687, 69.2988888482078, 66.08484751582712, 62.37156147251697, 62.18650849129295, 60.97254873949262, 60.26002742458176, 59.76684301535405, 501.60217541076764, 2438.479909778903, 372.62999096174786, 347.33835734348054, 654.8598420011053, 432.2083728720185, 1113.5110143520626, 179.1693804480384, 473.0527358306212, 175.25455388843585, 138.67498248118758, 1082.5781750293434, 431.1477458568611, 683.4641629735557, 608.2262704940191, 281.1919192226929, 201.31422308947464, 510.3108976987061, 383.5461141633668, 394.36329331364993, 328.3736580789906, 306.5463325188525, 408.7456193134639, 243.65197778723527, 448.1878371790073, 414.1751437540672, 238.89318842438118, 302.8477219927049, 291.04049177239375, 284.49123216788655, 275.9738437606219, 1870.0818525200673, 1636.9074067634565, 1595.2010353532207, 1388.928433534604, 862.9999848277653, 566.0318565263394, 561.1361341563332, 442.5481398889986, 368.068256399018, 319.4073578451426, 312.313700464233, 275.91012125897777, 267.1771007438233, 255.65948298413392, 250.48837697285157, 243.36434327927196, 218.56333575903335, 211.80240046019983, 201.19938989794466, 199.9990919325523, 198.92843530036498, 179.39187995494407, 177.198446713918, 169.4699607993348, 166.68902076380593, 162.7889747373432, 161.31157823838038, 155.68004516135952, 154.22204943449344, 144.62031369753774, 427.26040714746233, 1059.5305951564362, 856.8564056640299, 499.433180666746, 378.23278928877744, 377.21428859946553, 262.58483870861403, 317.7567104312758, 953.5222897600673, 301.6441216697142, 271.5275019389961, 357.2548987348169, 304.28259986817807, 405.36276583947335, 331.0860946658683, 328.0029013885977, 283.2304884177911, 279.8727854147162, 253.36061285991335, 252.8056536910948, 236.89714632821006, 233.55832160668047, 218.74449646792522, 136.67233638962924, 134.42313009287565, 132.4055408190945, 116.97034747247439, 107.8783016527468, 102.08358238341863, 100.3213349974739, 98.14812847111712, 94.25142263561983, 93.3782972854947, 89.93527525144019, 84.9746372782012, 306.8513044362052, 71.23453859147422, 63.37450669483767, 62.68263047343041, 60.93980732206385, 59.935833532879535, 59.448601387789374, 55.3041268817896, 435.2326166796709, 67.20018254273127, 66.42557838309835, 395.3639789417965, 138.43763963429055, 736.3074576445603, 970.7188672884466, 560.9485909302231, 167.90212763789827, 660.0059768752931, 515.6606289015757, 463.1253269748719, 455.3290797824527, 434.6489925405169, 284.6226890401179, 240.061296841018, 441.8283971622646, 362.7039687963279, 276.04490740960864, 316.9328878991384, 220.80429087181466, 249.2407125855133, 243.12159903478334, 243.20225452631385, 3646.684302804555, 196.44626014605169, 184.4467021921771, 144.62036939695875, 125.59414492847486, 124.47058324023605, 77.20222696204637, 68.49920759879603, 64.50318485528359, 59.63446393847032, 59.365569972079854, 51.953325481728314, 49.41296094630593, 49.02527975778367, 42.03825576154701, 35.76021569146963, 35.54364831531478, 35.20210294422042, 34.40373699614647, 33.84276830140101, 33.32731622793863, 32.5346023146072, 30.51093710182382, 28.502888626906863, 28.313711856955422, 26.61832627964257, 25.96014479255604, 25.89636534773641, 25.48875859645085, 23.418051640679515, 120.1745533488595, 830.3241195726438, 148.68874403137576, 643.3206926825219, 142.25739056570643, 90.43297000683566, 2310.713071549609, 95.24674086197868, 113.42739861259906, 260.8652812146516, 1116.1737687037073, 655.1897171450481, 661.4136074390941, 209.537796641233, 403.5023845087212, 231.27724587947458, 687.0313157690514, 262.7820600200354, 200.38553298267996, 402.606243111328, 251.1535274153356, 302.8800010530005, 221.7573148128309, 561.8360912958007, 400.405777241492, 359.3180201909263, 286.3739814524118, 310.79274029762325, 256.0425414417443, 276.86991610587285, 331.59175291594727, 343.6079494581254, 326.183795081484, 280.4394334029026, 256.6614779629577, 249.01764815488733, 228.39756069929214, 573.6913631485658, 454.52443085036543, 331.0278203832979, 328.6917436875074, 278.9773440818842, 277.24970963930383, 276.39461052517527, 255.9363451801548, 246.43917695910034, 204.06951206274897, 202.10762740166462, 198.46383124234814, 176.3302762056553, 171.0820732261323, 149.71274322709266, 142.1359601839019, 136.93125933835134, 134.84633207635247, 127.13970361210905, 126.45336340836029, 123.23463238929722, 120.72182058824795, 117.77560965697154, 115.23191368569672, 114.43316570282305, 111.10071264627788, 109.48822187829208, 109.43043446316297, 108.78290468634036, 101.14475103759499, 226.15467499510694, 2034.4686586641392, 247.69195163140455, 727.3108133622259, 425.48025831562427, 586.2067192783533, 271.018153765378, 240.3695535808458, 464.16922213776115, 224.5794268173267, 204.49664887988877, 188.8482914757456, 305.7851129184549, 191.97393384596262, 178.62503562398706, 195.56550795482957, 198.3042065090141, 201.39874755993503, 5055.647609975176, 1130.5769342023466, 924.6140808241495, 583.7171133849386, 557.9341426773384, 535.4793487724438, 534.4292107329811, 513.5391771416414, 496.98502625822414, 366.717088391443, 350.83973833180096, 350.19853893168084, 274.11285848545015, 250.4277783789014, 242.70704933540466, 236.84741930605242, 229.24856605001403, 204.71943605121012, 202.32802692967834, 165.41090823671598, 145.7727983647694, 142.34278206484163, 138.67624800811086, 135.55965705996118, 124.24359536421082, 122.04357996637658, 118.44706837474988, 111.31053839683418, 104.9666507687825, 100.40819278521273, 2711.2376862796286, 1178.7383321526806, 543.9960517370998, 211.1610058947448, 248.956516454432, 368.80199559164447, 1614.873267335557, 215.75562282138227, 164.42291498314026, 201.1587518708025, 6517.042370546538, 1096.0274286557328, 964.0498961329412, 682.02073139192, 525.1116901009218, 521.1954225123771, 433.00923565891316, 395.2260736149894, 385.0410762154392, 361.79570971898096, 356.5915752447064, 324.15439756771445, 320.1114117348031, 310.23332871723363, 303.96835112200796, 273.0323029147498, 256.45566589675457, 243.4175567186981, 239.1688836923532, 204.28939383732336, 199.96134453543337, 173.98179391198184, 130.3024532880312, 128.05941080061913, 127.04488859996282, 123.07731055398337, 107.12204374943155, 104.78496910600879, 104.27827780034585, 101.47074719923204, 2712.010688995221, 199.286067031079, 342.0328282211875, 312.5119257253904, 143.5752037301096, 246.15810608772927, 157.7169955660385, 244.051762308332, 282.3915966061406, 258.7529163729548, 194.67978550003912, 4800.314112450669, 1356.243773553628, 1303.359383108745, 435.2927529768042, 343.24796205507494, 289.3697657651604, 252.16795853896227, 197.37813097179998, 190.0844721250953, 180.15054403057036, 177.49429969894203, 173.67521640525888, 168.98304327364096, 158.6061706243537, 157.85068152683527, 157.03982135608416, 149.60494320508147, 146.34988675335822, 134.43352342243247, 131.9309636560342, 129.52306598036938, 123.45150645588114, 118.57516809430756, 118.33150633669092, 118.2231790479347, 117.59348029450052, 116.51172222425193, 110.61152583206429, 108.09345122634882, 106.23196251135958, 3258.0959887830168, 263.54604443916094, 1492.20449169535, 150.93225074086376, 283.45665337293656, 145.62668566117992, 515.6795558490178, 166.59076657549832, 271.5479602167151, 312.3184357449993, 252.17920530553542, 168.62586193056634, 169.92454540155506, 159.50788250080066, 1331.3453774296843, 1039.3718233013592, 961.4292962249459, 841.8320375647844, 486.5517836374273, 460.62547914496264, 431.9959588494563, 424.1962961477976, 420.1611609912491, 398.33925938749405, 335.86767862723116, 334.3154901853171, 332.8321662318755, 327.526554952449, 299.9094334128024, 291.39201274508844, 262.84796474228256, 252.24902614291307, 229.53725668239923, 216.15261328591652, 179.44881197018339, 177.2070204010877, 177.17986288953554, 158.2692445402148, 145.65225012138686, 140.25056824038452, 139.5703201046889, 139.24064268987925, 132.41010150078924, 130.4245374328023, 835.9643557065044, 1041.4982289619088, 496.10837537019853, 174.75878580871398, 204.34155423196202, 285.3961254005196, 204.88279215100982, 195.1528317073759, 1418.376679975593, 543.6181340357311, 519.8914979638475, 431.43674089135425, 312.69762171185306, 265.90250804194153, 245.96843055922764, 245.55931157805128, 216.39786308241474, 197.50150251490876, 185.19170242114112, 163.66574740345527, 142.41941813621648, 141.0120944517876, 130.09176089507042, 290.12735879689495, 128.54747997430385, 124.01321613837648, 122.76014782091681, 105.77689744753415, 99.18799662452534, 88.78939908295956, 85.09693420878372, 84.93779700722618, 84.3636298566878, 79.80394536835882, 79.32720949175221, 79.08578663003014, 77.34899253893248, 77.33863461046707, 159.905569542274, 135.96819932274036, 113.79351762224965, 184.86017985341886, 822.1424590831433, 712.4748358900937, 543.6259852972325, 326.5209871235657, 237.77637377876454, 319.96541238218197, 384.57891634661854, 291.8703242426639, 298.1178880475504, 343.09616686841787, 199.27996348307954, 168.81242259524387, 244.41006359186633, 196.642959100201, 178.2110317600347, 2571.841825297829, 1065.5830287585275, 980.1846422392625, 969.5750863075963, 832.9758596606229, 1612.4020145799832, 529.7516809796894, 514.5022778202482, 415.8807228341232, 390.8884446228448, 388.1985006714436, 355.79907392415095, 300.7771219035979, 291.4948312416109, 249.50820485198898, 195.6257356227234, 186.33296931798742, 144.75718281259995, 125.1394225390469, 123.04406478048175, 103.32172896172384, 102.18484467516062, 99.14034688009073, 97.0108018482809, 89.67099537106337, 84.07712348078745, 83.98850593181916, 79.73227988251685, 79.68577244535336, 75.16623419638199, 261.51402091390287, 359.5713313615734, 323.48550930798064, 249.9981482458301, 212.27286225737893, 160.03853265835667, 402.8018795208578, 579.2687868075533, 235.95988495874087, 234.3250661643271, 364.9783183245701, 427.7247968960386, 233.0382568620773, 239.59804368837513, 206.27744762469968, 6012.440514225454, 1248.0475661901069, 1180.4494986305886, 716.5584363083652, 664.0977501584355, 656.4206440619647, 649.9411833059216, 364.8383338979469, 325.2289396762718, 322.89001874960667, 285.216934756944, 239.4692406595814, 237.93863572958224, 235.13982792679843, 198.2239206010443, 196.16396158579101, 186.44782187727037, 186.0059830611458, 180.15694006896823, 150.58500956698785, 144.85644122450725, 136.07341263144264, 121.16295662039225, 120.82886212085685, 105.22131729128516, 104.5912960039195, 100.8233379494524, 97.76994393053765, 92.67534807122738, 92.06551171576446, 369.74259374732446, 271.5102412486293, 618.7673784314434, 175.16621556930534, 502.1857865876442, 318.73825266057986, 219.24908420902116, 204.30012092870498, 276.61886735344007, 284.1067260041118, 214.01222612785094, 186.43948009562698, 181.34730577645692, 524.3667731856225, 362.2203716254558, 233.16816636676185, 184.54416832236, 290.4987298691178, 144.39763856723835, 90.68825150792023, 78.75010052063877, 74.66762275522713, 67.63226643270458, 66.17261630192124, 63.82369316586618, 47.5498540311297, 45.50456219133251, 41.565929090505946, 40.157413643473646, 34.66709570331883, 34.46577680093131, 32.21014122831585, 31.269553933340045, 28.980248083320394, 26.01783473041818, 25.18168481661166, 25.07239424926047, 24.52002392100638, 24.07640539463899, 23.346513888224536, 22.667687827595234, 21.970920970416937, 20.550880096884175, 191.58062069000528, 1191.7016898922943, 81.68214687151277, 122.43782748122466, 63.31239598790448, 356.83603212554783, 224.6472317403931, 718.7109157705453, 438.84574693386014, 547.0736483281573, 129.833973458256, 1974.9018825219132, 57.42824157627408, 791.7029809994059, 465.7857739018889, 334.40704124346775, 212.20844795283622, 867.4134714678556, 358.42382619659105, 375.67472003074505, 367.9167862434401, 251.3189953989321, 384.5199413290598, 207.41130156132704, 193.4460869982958, 326.6609966552623, 273.30623160829884, 190.37025715776787, 216.65313934330217, 247.9911290839558, 968.6392181766647, 635.3101656765477, 614.0318150859681, 566.6877058901168, 209.25156646741013, 207.81726491350085, 204.9671580382693, 201.35069232809352, 164.314840207475, 156.2104096608944, 131.88845910900224, 123.68327599073832, 121.55909776369822, 107.72969011499096, 99.03437032485148, 98.2200702179924, 97.10868604942978, 93.5483308655626, 92.61292092738151, 91.3493959025979, 90.57636872447951, 88.91400600117407, 84.8102450287779, 81.41400929970169, 77.8880815400663, 75.38793393862447, 74.79239969538695, 73.93890813614993, 64.9208605169825, 63.643027101377555, 2338.5541954818796, 1613.6299981239085, 277.8614126790207, 135.06278134394455, 315.21506250706966, 327.4224891263531, 163.0036799048297, 459.12866329537223, 823.1935084231701, 147.11884250696835, 660.6854770418696, 261.63082737894763, 379.44424955494753, 296.89444886590456, 482.78237023564884, 186.86922570715907, 284.1836837059833, 287.01805968305183, 213.77962154579723, 176.81189510109644, 235.69368359280978, 224.9765193412907, 193.97588508767868, 190.44250634962305, 178.3200377420332, 1263.0741140565165, 1153.6199062441192, 1036.4973223348923, 996.8698342989679, 689.9251426599039, 597.2609380959202, 476.95925773510544, 369.63271359694755, 368.05759740572023, 366.3004707997572, 362.04919062061373, 361.4508141017993, 359.03837456027134, 358.1870184823815, 346.9743150014179, 320.82444161263084, 306.6066745748464, 304.48071023776833, 259.17084030350213, 252.13366927644523, 243.33604043700302, 242.94784330199883, 192.98973368463788, 191.97385766883838, 185.5444171473751, 179.9752578928177, 174.24082230943475, 171.444156844705, 170.82009254738296, 160.59581349901313, 218.44806804321476, 2129.966336969522, 392.6290368619681, 501.30689318478784, 277.0596614543867, 421.89867487770994, 212.31139212402815, 199.57727660409603, 203.30640234814004, 205.3549274985989, 2099.0311369314477, 1128.6918893217617, 890.4063679819229, 641.4207614787886, 555.6570879090781, 493.1261378974402, 359.73298188028195, 346.09960780886405, 330.07914735592635, 321.91567785805796, 281.05602754686413, 269.32045384638656, 261.102110350161, 250.47667247201468, 243.01806204967318, 226.57283695546064, 222.16691605435645, 211.3701014227279, 201.0611714313909, 193.32595525060484, 191.86696521200219, 191.36408762253205, 187.876516768306, 179.3714516192631, 178.15410495247835, 172.78196377326998, 168.84755550395636, 164.7902377990955, 156.87570142628059, 155.6137984470448, 494.65710789216996, 1040.003738108672, 280.78313706980254, 497.9991871427592, 456.42420013148137, 210.39788913755362, 9215.307598419744, 1453.2537070728079, 555.1415935884698, 487.7328592480359, 222.11574157284073, 151.50522954344964, 148.73963935591266, 144.49689332236545, 137.74762384729712, 113.71119438580973, 107.38450032596211, 100.9519409200269, 83.96539911548939, 68.26193055617551, 66.51389117442926, 60.72816518852872, 56.92499156336189, 54.718926126021394, 50.93282027229492, 48.86790278484061, 46.44058394022098, 42.734971804574165, 41.924567891105006, 41.26003971617492, 38.91674907372093, 38.717342333702334, 37.311041161689396, 36.211638976785, 34.58050780748933, 33.94597360580966, 603.2280198256535, 932.7942036010089, 463.9633676337134, 614.095704752175, 684.2026672936125, 181.73328418820952, 207.88658294136584, 138.52597233142598, 61.27327039805852, 75.90712775985938, 208.9817067996419, 113.9108284932218, 137.49303203038653, 185.48099290802128, 127.1997379285564, 89.97216353797022, 180.95673687766862, 187.58860739843334, 185.35065573531915, 267.57390385776665, 178.9978948787071, 150.62070158774569, 180.67487254660293, 177.24390427232126, 178.03845009669976, 153.56552951021692, 146.82595508816078, 140.61002364789704, 920.1852261745537, 540.9763344395711, 335.47686845663884, 299.6410511076584, 282.9026011477431, 253.60599391023868, 249.79981247512282, 246.70219487785317, 244.27296094051144, 192.95184930432944, 182.9520769754384, 173.10823344662768, 169.87544509258146, 155.65755909018122, 145.07674959238312, 142.79732469262171, 136.485841820173, 118.74918718107831, 115.11393449184409, 107.45395829639507, 107.13092820639959, 104.39276800594185, 100.21064424575647, 85.84591251984321, 83.84343177975542, 83.36354764995662, 81.18625542990605, 77.57335938295438, 74.98255637848821, 72.43335641634968, 3830.8941530274706, 291.48361616616216, 937.6709910724446, 266.49818260842636, 158.5686085084655, 1486.1621782126854, 167.7313515950815, 183.61187962372622, 325.68296154953225, 178.0700542713005, 347.80338503649233, 215.01551083524163, 265.35328182888315, 250.73845907692515, 205.5637248049638, 308.2611934246907, 245.14628331996366, 239.9934103822134, 207.39864155311415, 182.61474098047705, 164.6276150033816, 93.3416263898565, 68.74109468896292, 61.74023421720007, 61.32328039844234, 59.109369827011264, 42.938596204149235, 40.733188940893875, 38.913692377706454, 33.06136507754623, 30.77910807709575, 30.41771079478072, 29.630840186620258, 29.19543411196712, 29.038606270156414, 28.409345256207214, 26.831921936718302, 24.224267213831435, 22.571298694606387, 21.188255970904592, 19.889820886999882, 19.72340449463499, 19.115868408343268, 18.219905358828154, 16.99349487175776, 16.505005920580935, 16.234542995981734, 15.69082893780662, 15.126924189668847, 15.011090518117916, 403.42611314967525, 1186.498717614022, 732.1666070105216, 410.5995719041882, 652.7748736327457, 855.3104223412173, 260.9231343178675, 119.74516857417728, 281.9854042268176, 446.0386589567326, 505.2740327991292, 143.55898085510427, 1228.406800055271, 283.0878876818848, 969.8411445216519, 96.60242561007134, 588.5312295738282, 112.6062994312141, 427.6118513117591, 338.5099116399559, 225.74582341913, 451.1158624508912, 253.05004277448694, 157.8062583561787, 248.0953871083154, 376.16689253569274, 349.03106448189504, 178.36278442183726, 234.0824837704477, 219.00525279270866, 174.72063099397423, 208.56436714172025, 198.77690691581614, 221.82832054947647, 172.59052376435906, 225.14375873162476, 155.1208145989499, 105.2383520581622, 90.72581521543577, 86.7167824619601, 85.50009229983802, 73.51923387795436, 68.96163408989308, 52.11596098510216, 50.987815283964814, 50.357277630380395, 47.97330846820593, 41.09817346443874, 40.041917225553625, 39.96180589731953, 35.33129696381211, 34.68771405386657, 34.22904102508149, 32.12157126564935, 30.700890146306396, 30.53097852376485, 30.302827789124905, 29.876449514808314, 28.72863127821876, 27.313028331108416, 26.41626926352293, 26.11943047132251, 24.81432544409741, 24.49839037598684, 24.17050030867659, 11363.359899798597, 68.6537238212045, 75.53368029675632, 205.5482882700947, 627.4969263100276, 88.40042298558555, 87.99559650095122, 421.41077099368437, 138.92148440554033, 97.93092348185087, 78.9115911049645, 345.8473979484021, 141.52123819731924, 408.47224298742714, 210.82050279715264, 101.23448444050052, 117.43542116132168, 115.36499471326105, 210.64314014817543, 110.58673341762865, 125.28640334916265, 92.74488544118118, 138.43247643881202, 139.9377681578413, 128.75253602711985, 101.5501102594341, 108.081456291458, 92.00694219448118, 90.30225019739312, 5155.983233248169, 1776.6203282293097, 849.0544519941235, 716.9759947015311, 498.6579211938175, 441.31068741938896, 396.74787766964306, 386.93673148363416, 308.99295936257533, 298.15117324850235, 208.7156904410935, 185.75554396128516, 162.9014067816041, 153.14680924337813, 148.54976109869688, 144.04843062400622, 143.33830908457674, 140.93260250779295, 132.6105258594759, 129.75800862987987, 118.58237872643531, 114.89412273612653, 108.52488140010709, 107.14290016002685, 106.6681864405784, 103.72064769521312, 98.83660353213052, 98.16762563591834, 95.35508091622117, 92.19912970954427, 1818.9649118558868, 301.93010016668995, 1954.3116595530041, 154.90111881228367, 200.59288876732376, 189.41511428439847, 171.41929672300236, 159.6051145575767, 171.45246109646936, 1080.5187214993975, 835.5756290254037, 486.12276732671216, 246.95685457811018, 151.02934113913852, 142.58045343526788, 93.50857661583369, 93.10420469510717, 84.8980223519157, 80.3964309164381, 73.96889702138276, 69.14817165372719, 65.6466578776491, 62.69001582682924, 60.077533885085245, 55.680625432571766, 48.93827175426006, 47.182726201363664, 42.51799489772978, 41.05950424257782, 35.57794496800324, 34.26554097931641, 32.85849805522526, 32.85027206280107, 32.3198273253364, 31.815687036818147, 29.81403383328767, 29.68723342251125, 29.255994748692927, 27.719723718639788, 301.5835452017124, 173.99911506312233, 37.960085005555975, 601.8836725931207, 358.8609818013041, 54.60360560884987, 282.63675221731603, 146.5007459626406, 153.4699509945189, 67.99244526958313, 2306.5644358816885, 107.82486881668723, 466.3601886561765, 139.8651625774351, 188.48803128903506, 310.1002948435881, 245.35319556875635, 160.42453952112757, 274.13460830838284, 245.22875013434236, 249.92307122956458, 222.73693204282796, 257.36384377404823, 207.0378046989691, 322.84668294916463, 178.63362359936892, 160.56003088253985, 140.28702976666094, 232.00109397258126, 238.28615310043563, 176.1958123103601, 194.96054006354495, 167.62314368919291, 164.74665757193222, 162.89707410846287, 162.6274946141017, 2916.480199443924, 961.6697730247294, 756.3604353847946, 447.3647062479234, 851.5964267111847, 271.9825181634314, 186.4691350585144, 2888.1458948243912, 172.6180793163465, 166.44205909300308, 161.09908187436724, 158.67295874358302, 144.537399016678, 144.32431616808304, 135.98410111759753, 133.26117459960832, 124.99604101426516, 119.58347482635038, 110.56854068548667, 106.62816729263982, 106.34967435067955, 98.27817607742591, 95.69849679607029, 94.31522043808627, 93.31972623362914, 93.08822293211466, 90.72303842371136, 83.27819891106496, 80.28696159532994, 79.86583328704893, 3299.284437261921, 562.8880490047388, 385.9557763600943, 136.17290042848097, 433.9030515544139, 377.8952471089936, 210.05468332597724, 159.10549272824028, 364.87727406588454, 235.97594096627282, 156.26233240880265, 252.09973463957147, 178.61113956769532, 150.34784104019639, 139.42805149384486, 1579.3660242048493, 1390.9741039338119, 1082.83009868982, 1059.788186017373, 518.4006986488047, 474.92578731727133, 429.2884486890716, 377.3176962044734, 371.8191649874863, 339.93442378517494, 335.45146961086584, 315.92240689372017, 257.41740495045525, 240.25994542736544, 196.21502751120227, 172.94740474451154, 157.2757029667389, 151.80718243014647, 145.73874403725645, 140.97446257420214, 136.01532867652074, 135.4217687859456, 135.12914298911502, 132.54424814031563, 130.1877935699878, 122.38633274788077, 117.41678295547945, 107.45304306258602, 107.43756847769417, 103.6504652887106, 636.985178283457, 512.6437814960037, 272.93158381226004, 192.39770646228612, 152.3443410503851, 394.20247840948525, 276.87617610603655, 311.8746658132837, 177.1760132274699, 214.41953813065066, 232.26887163990997, 220.89668944246145, 316.5732545275467, 287.6086986666988, 163.57485518325728, 4508.416123353606, 2262.938022990777, 1687.974524460544, 813.3577347290241, 487.7900580293943, 440.52897955658443, 438.172057514982, 397.30862200662983, 378.6694669535771, 344.8517520622802, 235.99697727905217, 532.641677248107, 218.9827720006426, 201.84255180212693, 198.5603552802753, 192.01402109240982, 176.0724060513011, 170.70907357251727, 154.68364546433557, 150.27014709043306, 140.02944349376602, 138.7146907789678, 137.62031429335002, 136.97180625468224, 136.38144014508805, 130.81964202529818, 127.95117238777706, 124.3977888886288, 122.22191211710735, 119.2676303476432, 235.05063572812804, 442.25585417698863, 165.29394868207646, 142.82206713806727, 220.99673199459704, 143.68131109527693, 140.28373021678064, 517.4696613206838, 297.2218113545843, 150.53791552127473, 140.6342066280355, 126.66281732899786, 101.28978459547528, 93.25647473895383, 91.81545522556073, 83.60742983999357, 80.6762768650289, 75.41269069026713, 74.28780105428307, 73.53697483181554, 68.04546965748968, 67.0503513184848, 59.16978293789259, 59.16355513580236, 54.30660092637419, 50.68585239227082, 50.56356651864868, 49.02213810124049, 48.26901731423495, 47.229779485491164, 46.6185756913048, 43.08983853362135, 41.717562524251086, 40.59917079116053, 40.254985302281135, 38.485936304268975, 37.25855662086996, 10245.60484408829, 1643.9631873545634, 331.7882768265724, 109.45060167470434, 467.8229310473649, 651.0247196552489, 284.03474190006006, 357.5449216305154, 642.7343541747518, 207.66202037870082, 246.58729045068398, 177.99244000932683, 156.1872662617027, 235.8803099031509, 145.19090832301734, 138.78840088415265, 198.9382640039124, 125.23402035987756, 120.36458745081607, 117.37259568182817, 117.92343851501523, 103.02383301059852, 102.16909810361582, 100.35277286099307, 2335.849840823663, 1147.8829399569865, 612.6395504202299, 567.2569735727589, 509.0705842690197, 484.88809446910517, 462.24461268183717, 436.5050634113895, 376.32055519166045, 351.1470446201599, 331.9829098669217, 216.5561628859371, 171.8227619021247, 165.87217655751027, 159.0362073166748, 148.04588338175702, 141.01037586685862, 135.14550342291298, 132.58061772025167, 132.33440395760522, 129.02609992939645, 127.58561926636777, 123.622969170608, 118.36725392044225, 117.97010524918163, 114.28319887346709, 111.73246270316123, 110.76208953304645, 102.32695794440232, 100.32167009654371, 3592.3307578267127, 304.4472157950493, 254.7945587370031, 267.9863433481183, 157.76729134651012, 288.32173622622736, 191.62034491434272, 202.98483956272668, 149.35952036692714, 191.20928302847742, 2351.992557060688, 1412.9742248614687, 1071.1918928601197, 699.1116084383095, 625.4134605620486, 540.0725792078728, 415.03724591426567, 394.78063107962265, 324.3412687085519, 254.42625054091965, 251.65648382438388, 220.56318578021074, 218.45350200253156, 213.2160344856175, 209.67410687952489, 181.9282953548587, 180.19575098871067, 178.49432684462394, 164.38956312621536, 154.6134415787139, 150.23103888611936, 150.1719240141784, 149.7936186511628, 145.16809703310338, 142.16032629486597, 141.78666680917752, 131.55217891184603, 126.24234249865864, 119.7726369448208, 112.86182484497155, 295.5611085749802, 235.03411367123266, 270.60071477054487, 518.9237623989949, 240.4473120432478, 748.2094548698165, 176.65082280361355, 160.6579009148263, 193.18527954472816, 161.15973438972267, 2656.52358700249, 1225.7301361647148, 887.1494719670334, 719.5002877523368, 662.8972706449664, 403.6453747653175, 401.46171327021585, 361.54734929717125, 361.07550337021394, 347.0144518754679, 268.08203547797024, 244.3424748349423, 207.61573299287585, 169.46317173688558, 144.32768142453415, 126.33903835882259, 119.50531054623704, 115.42401264667484, 103.69541053898806, 100.26719000004007, 97.92098654604374, 97.03013955166521, 90.09808232840376, 78.79046328454594, 76.99259580264307, 76.34193580991649, 72.5929560846266, 71.84617592028754, 69.34715942932627, 71.1137239924781, 3585.6788797565023, 234.20530826479862, 190.2544875214992, 198.9001555656257, 90.88129342720372, 121.27684389313963, 246.992526967922, 162.2074624464164, 121.76650520504096, 126.02930996832039, 156.59655284330796, 141.75847193340712, 137.534867908525, 128.71906977336346, 128.23403335424564, 122.11152429232182, 1778.3422121646981, 1454.1073073376622, 949.4940809234243, 770.3183710770666, 659.6479885048681, 626.9520390956044, 565.5545518822637, 562.2055307387003, 417.5916767889965, 393.13137512700655, 382.2355633946309, 373.8989804178464, 314.53849128149136, 289.0400839660925, 252.47117850888512, 235.18125585860784, 227.5827710525576, 189.34593050459884, 168.05921748589887, 162.61122080016523, 160.02187514978283, 154.01546440308852, 145.94363179810233, 144.62596090166656, 133.31339135088723, 130.17900460090135, 126.30336502495294, 125.24572337051342, 123.90765591216099, 112.36750039921603, 603.1344556260703, 392.32447856517047, 580.0506169197895, 148.45936083112724, 172.59561532872667, 181.89768069951592, 180.562029695787, 213.10644047861953, 189.91494036462873, 181.57680021568692, 4037.2994864873617, 862.9926298087007, 640.784567301872, 355.86141267220756, 297.17316935389846, 287.73440669077854, 260.8059083842496, 250.20940465275683, 195.63702686376323, 183.54385514914333, 177.12329712976182, 167.87924929209817, 165.0233963123737, 153.0958552290957, 145.02967743392793, 143.7662968217591, 139.58557394064286, 134.68807071665995, 277.95817574036664, 122.23994894049932, 122.22174592845936, 117.39863002960918, 114.55167196610302, 111.98109040543561, 103.69293996281498, 99.31470110565542, 93.36043588844225, 91.42615780546846, 91.17585280655456, 89.778554516968, 1508.5920367198569, 262.0156189952002, 967.9607275715009, 465.1897889738772, 219.3213517319661, 140.47910690362218, 297.1412649415765, 180.1368145420705, 158.69754749211614, 152.41903105609688, 133.20470089592777, 3009.4527768487114, 2099.399204323282, 1182.5988245245017, 1095.2283846155085, 679.9414223081299, 602.6596229032766, 270.7059624128341, 219.7136958801643, 208.0438105523307, 192.09974880781542, 163.65949901044664, 153.3795124041178, 144.55543370937943, 137.8748833304463, 128.02855730303654, 121.74556219665767, 113.50537029347045, 110.06499933592058, 106.7590338199459, 100.09155846314279, 98.38654930025058, 93.95751706673795, 90.88377959167336, 89.88879380778458, 88.85637604383913, 86.72435331193923, 77.26846595291178, 73.92368895945313, 69.32295331444597, 69.04396012982461, 134.41269915301305, 300.9983416076109, 101.80658020359945, 94.53222411140172, 218.6418459508649, 353.5154776803279, 146.84519018854888, 159.40852985519228, 302.39439339198424, 203.50253341799893, 196.21856737234958, 208.92593894933938, 225.8160409402092, 202.65356756118263, 184.5344963220417, 183.66356992191547, 123.07917938223977, 918.1185302895728, 667.0056372995068, 591.1652074996508, 576.2102065318863, 441.58762876078265, 378.6390974140337, 348.1883479395561, 306.5336090081364, 292.76689953279754, 195.34733059989833, 163.62873483681867, 126.8959760965435, 126.02740056144377, 109.70681528362088, 106.85120866167294, 99.85677985771363, 96.9845673540243, 91.95178696241167, 84.91878081860561, 84.7768457904587, 84.24233384219751, 84.15246466286551, 75.74019384550732, 74.58734943524315, 74.31006398109258, 68.9451341735366, 63.83156710098787, 63.458951258125886, 61.080535588547825, 59.622055896675334, 323.70761738869624, 5894.417952298656, 256.9411629428476, 228.2059457246414, 546.8352303946564, 1395.5546414782314, 118.26996544021803, 134.91256122433825, 196.77693675330443, 404.07046762997663, 156.69069785090954, 183.46028957372286, 148.2892806136505, 129.73231936432737, 2282.6738786585092, 1321.3937072103313, 496.0008274325028, 399.90891877232025, 392.1168753753616, 390.8642744723514, 346.6537226303018, 346.61883363961516, 260.45826881204283, 231.51004331518308, 213.95299863800176, 200.4880043301646, 189.81120093618887, 146.43471116446403, 145.540944608202, 144.86571405222463, 137.95617889339186, 127.93692703825785, 126.11181619231479, 122.47115811395619, 115.93566504254306, 110.73787017472628, 100.7734494366757, 93.79140519343797, 90.68364413936992, 87.31357173664541, 86.17525700055903, 85.61384620533867, 85.28416536241765, 82.05262748483901, 273.5983595900263, 569.4666529186256, 354.76508919671915, 215.75512341506197, 328.7183009880363, 3487.6930107149965, 162.461668810131, 150.42968393709288, 253.6772407071471, 317.1652368475867, 190.42938837477413, 131.96770600716997, 132.53761973270662, 1408.6547539775765, 775.94186839509, 751.7754774695643, 551.6225142339522, 408.69610151634095, 351.7701916549476, 249.45099693128134, 247.49413968944484, 207.79811494365075, 196.94434396548297, 188.8570312196852, 157.83880697277095, 148.8177482907861, 140.63561205570693, 135.7165923113315, 134.57898053485377, 132.1790857630878, 127.33815628447219, 113.54815577955407, 113.26223843809946, 104.62227978386547, 99.82353869896477, 95.72695161874499, 90.78837206491046, 90.14824543750944, 88.57885713007214, 83.39947758403707, 81.9181672837215, 81.39120335890114, 80.90260124372799, 1151.696515500565, 459.90440689417443, 1948.558561566204, 441.48426795032645, 257.67890758223524, 156.49386212870772, 594.1864109463256, 328.710699112621, 186.93652817877313, 193.51380884313767, 396.5079596100427, 141.9583748418832, 251.6578129432739, 210.15860714006186, 332.4595498902934, 143.56245033791328, 2574.705288461277, 609.0689524742517, 514.6138987963851, 508.1489988690946, 496.4413949189198, 489.4673975148967, 388.1259107580294, 351.66156828952916, 345.28292856393, 338.6929930788562, 336.3552319751297, 297.5716434512994, 280.85119173040715, 265.37288839408706, 256.65382131176216, 249.22072985834095, 236.5176470742428, 233.6273279097405, 231.40813101975894, 223.17953107930472, 217.04685435339363, 214.20956641999805, 189.69846510247717, 171.7556398639143, 162.9827576877828, 159.29017423889687, 155.44996686439845, 155.04346671175713, 149.59966721355738, 147.13912749620945, 1122.281449525366, 651.3450385317288, 315.72504835830136, 337.0349613284445, 278.5638860153318, 231.6468378191434, 2411.12908699591, 737.342316427438, 551.3035137004217, 427.9945194706238, 367.2257420006273, 365.3750857718609, 357.9116732656545, 312.87582400308594, 308.91408866954237, 273.50514381332397, 245.78889880006972, 230.29157578923156, 215.99624951204467, 215.91902499991488, 179.7994606452199, 160.9354240451906, 158.99139117398863, 147.43737360482933, 143.23619619491842, 136.17903896078658, 133.5823247500888, 130.72100276117675, 128.0621273600666, 119.76655134092395, 116.9293279393188, 111.47892401999184, 101.78681169688656, 81.76664059270415, 80.08742968503252, 76.44567546509323, 2960.824452232042, 2018.273188101441, 135.09356718928436, 605.3659059960992, 240.6183685223331, 165.9807129733535, 152.93574121362235, 250.63935487710265, 134.3571331752519, 968.1016623914854, 831.8977095227954, 614.8656814861888, 608.8897520240824, 495.84710149556025, 291.97461391469267, 271.4461706410661, 230.10599289327428, 173.6425506023758, 164.07109790808224, 157.11246075803433, 136.14777686702607, 117.86707366176962, 104.99717693199237, 95.42297918398324, 88.8192202026831, 86.1818394691733, 85.9954754625815, 84.10195297960819, 82.75729277982664, 82.40971083238111, 76.79503111168233, 65.75939012810647, 65.14441918336982, 62.87809367741386, 62.25918168976366, 56.59657847252104, 55.90303029019249, 54.14614512706323, 52.269016438284794, 7953.425629287763, 513.5693337629349, 418.6138432361243, 288.2634089648551, 685.1596243788741, 117.42713226139182, 114.20733266788764, 480.2007053886761, 107.31918858971237, 129.48442958168488, 147.38534946308994, 129.1459762233388, 102.00423851952768, 104.40332492921783, 111.31372344789911, 1541.2927809442747, 1185.3409790340088, 810.8290119568063, 681.4146822878304, 439.7135700609818, 397.9218882249667, 316.16238884193365, 284.1747151138908, 269.60309156362143, 269.57782061950076, 255.64773560101406, 249.02059717467415, 211.70470452034846, 206.29359478048826, 201.18628382837576, 199.4586407294576, 190.97624425770857, 181.31368546585017, 177.98382750623367, 172.67337733126487, 149.71345128867873, 131.34324971156818, 119.31890070369066, 113.74367575069303, 113.60438000976087, 105.23086296176623, 101.92353204348846, 94.03441076220659, 93.63696678494757, 92.04612548881687, 495.06516394293703, 170.19601824925616, 469.590608179992, 125.46235042477002, 179.69309145448776, 135.04759010932906, 126.66243403569676, 129.31727340565735, 1436.9377652592746, 1106.8378957148536, 931.8743666489055, 715.0462965245865, 536.4711260059295, 529.5576320719548, 416.3308218425238, 350.78829294325516, 648.0978894196504, 314.10332480822194, 307.9962599338563, 307.8602855067712, 299.3923633814599, 298.59593889981807, 250.91948466731282, 230.93637779112404, 214.3758968617759, 202.50118614139458, 190.45614391178958, 188.0484139233843, 182.8173130257028, 176.0717990940781, 136.22733315855285, 125.16293295623828, 122.5381556157774, 119.41082118156145, 118.56857276399771, 116.42008608886356, 113.14100815252522, 112.0541580447308, 323.0889520583085, 217.52601985409717, 312.7400305031804, 262.8129428215748, 362.6046384334626, 139.9682479834132, 2370.1716009960483, 1926.06351787991, 715.4638726457841, 701.361076723449, 565.6895199315794, 558.1159706225509, 284.1666645615394, 251.4323330204633, 208.06048730022061, 196.648758316142, 194.07853342704192, 190.2146163953736, 171.52608467927715, 159.70663402974162, 151.02775807983855, 149.95323963167024, 140.7617492760775, 134.581129174224, 125.33827878783079, 110.81776092182473, 107.79999816938194, 103.82947708833876, 97.76084305007375, 90.4487851352087, 84.2755824345132, 78.93425343542187, 74.91707246888774, 73.70221762189047, 69.90164133433773, 67.76967830914681, 771.8432161394675, 209.01925648010584, 683.0694603731728, 390.44871395029264, 242.985977411395, 284.9252127723216, 205.3191022011931, 500.2061891894236, 512.0116563410712, 128.45617394301533, 259.590097239646, 161.86664583793421, 176.30031820990322, 996.4328296836449, 408.5282172598347, 241.73401952039916, 193.40678355224998, 153.93465248914757, 133.43683375727556, 120.57805894156132, 107.36609517378797, 78.7095853415133, 76.25215698294858, 73.77140660449636, 71.48623765759773, 71.3884452318498, 67.40789348477455, 66.62287997707351, 65.37798934697413, 60.82416739506373, 56.113151056641385, 56.05436386689683, 55.442916757911014, 54.74336362466778, 50.82977407356943, 49.065345067253325, 48.28024380868993, 47.87786645420011, 45.78406163488881, 43.92521559674497, 43.37749846146779, 42.28647928950433, 37.47229261231091, 4618.883614943759, 149.5151159176851, 982.4539345807233, 600.1704839094047, 343.2574322809543, 260.420688195321, 69.1974708934723, 335.0987877076786, 155.15634780612194, 117.66885243816158, 318.9166399093361, 259.30146582076105, 83.40185595696167, 86.81241472123753, 116.46774844231561, 155.21361227202382, 106.19525696984223, 123.18894560535362, 134.1821098061606, 109.61968738054448, 106.81062736517566, 98.5401470288622, 138.45471792476462, 108.76139402584782, 97.045455510789, 3166.923937928397, 786.6373439295118, 453.8688083911421, 437.5699052084428, 406.23584775140114, 380.851128869501, 377.3055554213849, 323.586336230747, 309.11864316673285, 284.8028489202445, 271.2136331289905, 256.3402297781881, 176.01380092105202, 165.21921760636934, 130.74173785587067, 130.503511186151, 135.53246741899363, 117.4953509654454, 110.90682045990278, 106.58750259273403, 104.31123744922438, 99.9733460908435, 97.87886025463578, 81.8281291117671, 81.82259525653329, 81.00717231657445, 80.09660086139446, 79.34021154427383, 78.90306396745393, 77.83542625706723, 470.8625830914011, 1691.3809105430175, 227.9898101833499, 202.42910042175717, 188.99875076572474, 433.0221685308301, 130.49306276937412, 137.325401201992, 206.80284801097824, 300.1406336467189, 140.90577923021743, 160.3877540836602, 159.65313987447448, 151.89513630417764, 2774.1178251078554, 1057.8116320051383, 325.06928043423005, 297.65831548314486, 218.86645021032714, 214.9194700370225, 206.52851311443993, 180.40899444193627, 175.6041595956394, 131.30509874709705, 126.15104976094057, 124.02055203519245, 110.57412358872764, 106.47464750484849, 104.43343234711448, 90.05320539792883, 89.40739003562511, 70.9355374014742, 68.21349110977175, 67.38520985607725, 65.44105600790562, 61.205446504424685, 60.79733178890923, 60.65921986062297, 56.187336938420806, 56.15311783767258, 54.06528675407582, 52.300714280602904, 52.09905414731508, 51.81983083583715, 130.1826338199698, 228.66542287544866, 1328.3214230570386, 1876.1452272557888, 617.8233119664882, 109.4523819495836, 238.4855265723567, 114.90612713898182, 125.71052459359774, 348.2996766742702, 391.752942523983, 562.315592284291, 96.46986589927945, 197.08460640132637, 170.28323581287503, 124.07967282647843, 145.899238194697, 164.67207093604594, 155.51729074335367, 155.7029913768858, 149.82572411181766, 141.0128860853156, 1369.3854567072856, 1233.9152231027144, 809.9046633859906, 552.6398733946669, 453.18917578285857, 336.6244375933189, 319.6725684271694, 282.12007008392146, 258.38348479071146, 215.17537389540954, 194.32740802243285, 179.61735924932114, 173.384183760965, 171.87730075389774, 167.0159945485892, 164.47998341066784, 163.39896786698313, 155.5391941945005, 154.61060175789584, 135.25503444117726, 135.13798316833325, 125.07755753860401, 123.64088099799223, 123.43472261023281, 121.78345929335178, 120.46862360474968, 115.93289743603124, 114.29018401803695, 112.5343491974402, 103.46211343803121, 262.30093367255273, 303.81355652115894, 129.35059792641792, 278.679991563385, 151.57684372552748, 136.99796174915338, 151.07450040155626, 4273.70489701345, 1101.5206087265703, 1024.2244389891823, 422.0744110332607, 362.6256924158828, 221.41195468693525, 220.8767885647931, 183.6753536584853, 172.76490918385747, 155.9334169327804, 151.14457432234198, 142.68025302284252, 127.4118863276325, 109.80658449089103, 107.7122145626111, 105.33451406488953, 102.38569399085402, 98.96837865042716, 96.94598246919743, 94.5148327114731, 93.38668018678378, 93.0457096857025, 91.09351181539404, 90.67634883594188, 88.81081325724726, 85.50531587158886, 81.22245992225317, 78.76309412690928, 78.04018791094295, 76.35488805466088, 144.95406531424635, 309.591800548529, 273.7766011770994, 331.8068742349968, 106.89378402961566, 397.71453706727743, 184.41490134082238, 177.52485783993302, 193.40359879905947, 137.07220897177885, 160.18827443990756, 141.66627407969958, 158.09127326417882, 149.91659243542145, 121.22934540605604, 110.53332161950438, 109.3888265860386, 2092.770819193835, 1262.5056433622926, 690.8337069107639, 608.616952384367, 429.22451591521275, 358.1097747538985, 279.6039366333095, 255.23833927618634, 236.66620350881172, 232.73380933624142, 231.2665741117211, 225.31483420259457, 208.13515450165522, 201.02824792311807, 190.62815591348505, 188.4295804572289, 177.50537082005576, 151.92963453931176, 142.67511740327492, 133.58896399792627, 133.21495679621273, 133.21228726581214, 133.09060380574942, 127.22770979935791, 117.83408450887427, 114.99169970198187, 113.68701953205917, 111.18133059093897, 110.28804170081702, 107.78764212535205, 357.0476638070318, 165.89877296881696, 151.1964028930853, 175.39640249326646, 194.58055834545536, 1571.706212489218, 1569.5922524023738, 1485.5567869733916, 928.7526362938675, 901.4746441865026, 792.5383187282845, 534.9779351776504, 533.4695438961182, 326.7397054623685, 300.92630481646603, 244.05565987145948, 216.14837237326805, 214.77266547338516, 209.92151535485254, 200.99767355888287, 185.20465516997785, 184.46160625936864, 171.03757908539427, 160.4807689406765, 144.66954363622847, 144.39601140245242, 142.119769935245, 141.60879758646718, 133.2173742484571, 132.2635700865521, 131.0988185928363, 128.26014380740233, 125.38377488828982, 120.99831912705228, 114.9591831993165, 223.3030276933088, 322.064221783525, 152.93212561320678, 552.7101458231568, 451.3075459359615, 421.635702711097, 398.9341193944174, 354.75142114573174, 339.2923980728146, 327.8352803973384, 321.47066216411105, 293.36255641320236, 270.1339451947951, 260.80554092480253, 210.10185163701962, 203.72019119091357, 198.58557336038717, 186.73387082086504, 185.82539294448213, 185.04305418878926, 182.38589371050125, 180.13422477537614, 158.6248708179895, 151.691610961936, 146.07215242499214, 128.8809960344535, 119.71657598749414, 118.75624975445128, 118.21287085787816, 116.95819049481952, 116.11112356035429, 112.83074059570637, 107.5955256784659, 384.6627031534348, 288.402298221782, 349.26843200451106, 365.67889651543214, 166.1907495454257, 239.72506168649082, 207.76528195823278, 271.8293059208701, 207.40279926559379, 167.56274720391357, 167.76878575003553, 2147.8320819425903, 596.9914346913815, 413.90926136814045, 411.22463383289636, 331.34163112894, 322.9015881485418, 230.91151957656794, 196.02509637533586, 171.87822392550052, 160.71409724944408, 149.0377017804945, 138.4162821169748, 126.17236536900225, 118.48831576995244, 97.88764509366688, 91.51021248113209, 86.71886368175441, 82.82370363262243, 80.99679186088466, 80.77622963507012, 79.4941629396944, 79.1067269275139, 75.3959931124178, 72.79391622224776, 71.40110053662315, 70.46862890657387, 69.61747119006355, 62.9424946565962, 60.13633653432056, 59.05484594276152, 130.62630461718942, 432.2567069683014, 1858.5499606417397, 150.89262736754603, 358.85699976214204, 195.45630883990805, 501.29706606325084, 117.22856666015737, 631.5335815066613, 145.87732895605242, 523.1209301812968, 373.069435050081, 109.82153691652006, 138.69740042506504, 110.69578542392077, 100.0575248326081, 2298.911574427773, 826.5931915219468, 635.3024045191416, 372.6906797050403, 307.17939111241185, 300.00067473315426, 253.4107567955189, 252.65188088594493, 239.63050903309232, 221.78582018118863, 203.99167857341948, 195.31166974990552, 190.9816953004815, 187.91293330112188, 174.33550268726898, 166.76800027959254, 144.95243311921755, 141.82210253403974, 120.84549312940374, 96.23578117153174, 95.58923144574463, 94.24369666934376, 86.96843867649282, 86.55871606505272, 86.22296381585396, 81.43407213209824, 80.08010310982795, 76.02482157456335, 75.98053815592685, 73.98431101897678, 111.58991374697041, 189.35152053357297, 307.73404868027154, 286.55562473903376, 228.325216983521, 427.5647880828602, 132.75151538365225, 97.0516001565529, 212.17315030541482, 233.4034219033096, 199.33078421539597, 147.2352032215211, 140.96671283705285, 134.58124548560653, 4078.1929982652114, 932.5359435792246, 837.2303387414916, 729.787264991199, 540.7772199817745, 409.7387097302337, 372.549088861492, 320.775373789915, 276.10421268708546, 207.49250751805187, 205.32663795455483, 202.38347299832776, 201.76770060631685, 180.50687618290175, 172.3946773113827, 167.33641708344385, 150.7881073495272, 149.93055866272095, 146.18782717403533, 130.4755750384708, 128.0821137740849, 127.30392538217126, 123.77752752495319, 120.81327247939227, 114.07618250456612, 111.9281256479955, 106.72779099197555, 100.079505708506, 99.40642664675757, 96.17211742959536, 229.51184627957676, 295.24000392370215, 260.61977433058115, 169.19840768018025, 221.15257988745375, 163.221737690225, 243.72629844672568, 179.48605585451745, 1583.2288224830124, 1182.5322121282227, 1171.9508621030839, 1113.0740101278109, 679.2143510126167, 531.8743621273312, 487.31611257525475, 444.7547464572836, 369.20389756363227, 311.27693840757235, 295.28674266462946, 215.85039861102305, 214.4165853356534, 192.06924232711143, 172.4912991492338, 167.96817081386172, 161.5448752584255, 145.8792452637206, 133.44108537236048, 129.3808801536049, 124.65198062453346, 108.48967393923161, 103.70619379795566, 102.89964524747808, 102.20000761187032, 99.93313243511834, 82.26230275181697, 81.95411903583567, 81.54384116663397, 80.88049247021237, 329.54588462759926, 730.4369334826072, 184.6988588015913, 425.7086177459079, 129.48717037338238, 132.51025395544028, 104.35612456137011, 108.26183443539392, 2041.8220443186315, 1129.6580771135762, 1059.1066510591859, 950.727247636176, 913.205543600614, 899.6075849940744, 617.006734760031, 440.41487436016, 280.21414420762596, 269.98455254712337, 266.5331245316597, 224.90532621247038, 170.05857478250655, 169.96749041044083, 167.07357163540627, 160.30770533318184, 145.50215537233768, 141.0719177689344, 138.20633712382806, 137.48978870038067, 134.76706956409953, 125.0327052714799, 123.78889833650759, 123.42253731699188, 117.59130006412252, 110.01461938276672, 109.81088016919915, 133.61198476618318, 94.5514949008524, 92.6493198419585, 381.383986055756, 195.5914730042811, 131.31065014035525, 223.01498916413763, 176.62049113465767, 140.1047110084148, 914.219616337042, 567.6621553787845, 457.7005753133047, 376.29174333522917, 247.73437807377343, 225.65343819021047, 189.59937344311274, 186.0367373853294, 172.36960927650313, 160.45433396345075, 156.2548842541044, 146.6878180490981, 143.16520415378534, 142.92433737896945, 130.74260047676316, 129.2039283438797, 125.91750738536837, 125.26208947216575, 116.93865661768062, 114.53631233977364, 111.4980087153797, 111.49174192566853, 108.32403615100188, 107.34357286226303, 106.34812674862476, 105.4633289599147, 104.57772361953988, 103.8230296616226, 97.22378676873755, 94.96391626417797, 321.87037147123164, 539.3391078159082, 174.01278299517233, 142.7217802221775, 190.14194300332971, 163.35248669189744, 118.57628189049595, 226.22275539609717, 197.08849163354523, 227.57886740670057, 169.37762874248074, 150.63516468388326, 942.8483089727839, 690.0877812747509, 582.0104583376408, 562.4618763711743, 511.3504858435655, 464.7381991949715, 445.68888690309564, 373.6747380849682, 291.3726041470118, 277.4628049650951, 241.61768277608456, 214.87829762512635, 176.39015994436392, 164.54764050596887, 158.1195706994794, 147.2565618561009, 136.21339202111722, 134.73742510472633, 110.03280716420473, 106.0270534768616, 103.24173061431311, 103.1902281751294, 100.21205499577626, 93.76887249202792, 89.28578840859115, 82.46844957974488, 80.18610877530165, 70.0872305465797, 68.10265676264964, 62.36357515677688, 357.1496389595032, 1749.0274066075458, 2914.061693609477, 222.66418886601548, 134.72241486147718, 181.70105377128712, 132.87735296427869, 123.91893761499358, 105.2201167981329, 2219.115342920734, 1574.950332959787, 1225.487395664882, 693.6662845576531, 675.6445314144119, 656.209408712609, 291.28943717251354, 267.5770862669157, 256.96711044280545, 208.20196689300238, 202.61842122773407, 201.06212384997633, 193.97716129186122, 186.37242009747098, 185.077518434495, 165.28414764778006, 158.628722914182, 158.09172378682214, 133.5102555698115, 128.23807896727, 126.07172321987078, 112.47744391819566, 101.22346673738596, 99.6085075727791, 94.60902215773807, 91.62706035936091, 90.32956418750454, 90.02630984317074, 89.71882109119107, 88.90447490249996, 271.83761017261537, 400.5687222075119, 161.5720218355412, 246.39631119228926, 144.36479563437845, 237.59681255328806, 2205.637682264297, 758.684564962084, 383.9004949058529, 300.6759077814565, 296.8351277624301, 295.0163319785855, 287.9520493989887, 284.51072557197324, 250.7461730257038, 226.56817833000986, 226.12516303405616, 173.66787449145397, 172.00404129380723, 162.39587579856766, 161.6376906787067, 155.14852205800324, 148.1648731716971, 131.4126150679207, 118.34015496985488, 117.0212089758813, 114.74645400747514, 102.43579790327729, 101.54680379806335, 98.85785644202042, 97.8856217684189, 97.41146137398243, 93.9112866068725, 93.13152442786469, 91.54632622407968, 87.94899013640678, 297.5098923103754, 195.60531598105288, 285.8390577414816, 256.7821839389769, 369.2109237395474, 336.1722222840145, 201.4858518954164, 134.5628730703073, 151.84381517834387, 169.29708752887595, 184.6462735072066, 154.4063123102671, 1726.673831558088, 1028.3460568719292, 895.3117429121066, 455.3289757704138, 452.2617854481079, 398.9380525882423, 375.33445326666464, 300.8507075690471, 255.24854570258978, 247.42802871301174, 241.22721372946256, 224.7877915448851, 219.1864261013423, 204.5949244957452, 199.93621720368512, 197.9353715333209, 159.66235054460125, 147.7429415247388, 146.60245206045118, 146.50222625275447, 145.5589754694466, 144.22329702519218, 141.54153181834235, 117.04602235662594, 106.44294979287899, 102.65121797452032, 93.57419642016362, 90.40867326278125, 85.85823533741886, 84.50101626520771, 167.01155568946544, 334.874963339625, 170.35483717280985, 769.9862450328374, 144.5411816762102, 131.3664128257647, 1321.597041738526, 983.7690160441804, 563.670133962782, 259.5590325389985, 236.11810507795914, 223.71167989332636, 206.36331343252522, 172.63483828235732, 168.79329013595932, 164.682252396909, 138.68173055780366, 122.01698173828134, 112.1001204978559, 90.81308555114201, 87.15006047774268, 82.80310295944768, 79.73805211575001, 76.20978615436533, 75.24629202585376, 72.31820580723648, 69.49845817989555, 67.03456787718181, 66.78219515268978, 64.60460497375139, 59.97354255587219, 59.73404302983366, 54.525164106985706, 53.06580184268212, 52.31181064495746, 52.07014711938696, 3699.8705557168046, 1252.132765768026, 183.14329100277345, 201.04643180450657, 283.3835626312053, 127.6027706269806, 167.01199003714694, 381.6463771185092, 327.38219872893416, 79.33732313697625, 206.02073084437123, 132.52490957470346, 84.3513446265806, 90.47763141477448, 87.04612966702362, 85.61827010873733, 1610.5487515802959, 543.792450530277, 456.4249403318282, 383.2424701955728, 368.62936946076377, 340.61082897684093, 331.9703007846155, 306.53080571624434, 285.46102476620194, 234.86827573735044, 181.29119496486027, 176.750644244427, 157.4109331618549, 141.9864795109171, 134.92078326430052, 128.55953176510295, 124.18373146828309, 120.53243812445058, 118.48768634754866, 111.31479783637236, 111.06172698449159, 109.19405652746369, 105.97098569143401, 105.47761328721565, 103.79018124672822, 100.2207471124447, 99.71412327741994, 99.27625450992045, 96.19090936209595, 95.17223213192753, 2122.6125175933244, 336.0073913145871, 205.7716473705139, 426.1030503628971, 625.4992743054686, 474.703773508435, 163.6985351377764, 142.47401010639734, 144.6453489713996, 115.12670984258193, 2065.324185956283, 898.6143444948437, 823.0460275752262, 505.87705973440666, 382.8103312847596, 312.57197267827445, 261.13712083551366, 185.62857989828726, 181.26969921696738, 178.39392371427797, 170.81786157175372, 184.77115290285317, 151.80191829013964, 128.27786098279896, 126.23811848795188, 123.48458329577149, 112.4692395020268, 108.16177374278662, 102.52170569800921, 93.91273429842148, 91.0048689705074, 87.73965200325051, 87.07544033315723, 86.5817916230632, 86.25477059248863, 83.77865473948764, 82.7503884200008, 80.79907351818613, 72.27636371926037, 72.08895810496611, 304.0518771121324, 154.44706353515227, 439.680089114805, 119.44429803956315, 185.09539836929397, 435.4882995293195, 101.26766876794935, 155.4342227081961, 141.83521281055164, 1651.37440336345, 1207.6100389155374, 668.2255626215305, 570.6185232492543, 486.65678511469355, 348.52485492991514, 311.5581632277733, 253.78301013410115, 249.7888281913316, 170.48182894037419, 162.19971181167605, 159.84000064981714, 146.78103048872933, 141.090551432171, 127.32004119732855, 119.17324205669918, 109.58485336569008, 104.95731388679216, 103.11596580133477, 93.58048569758444, 80.66047625450194, 80.45930646923004, 75.35566038737632, 70.70264845541463, 68.45512638888009, 66.56904908436486, 59.600878656872894, 57.085445221746944, 54.95277445465773, 52.158115976560715, 2604.041865206301, 218.25198094249455, 190.84414300437288, 139.99536234758415, 135.39119658202466, 421.11360602488617, 119.12185504280961, 115.04630664848365, 240.33657752464268, 88.13365730287596, 1116.5384942668481, 974.3613245210531, 724.412826357538, 391.2510526239101, 314.6785110627002, 239.21004235512325, 205.4024574212391, 165.3735505333211, 114.19820845517707, 103.58234643570601, 103.0861993048665, 99.74908548091888, 98.14682601948657, 97.51563092116164, 93.17531663491208, 91.40589100492801, 85.3822642383252, 78.20477897981401, 72.82664622226413, 67.04476666415323, 66.9468506060228, 65.11357470676285, 64.7945692586243, 61.86815659508184, 58.09536133343095, 54.164097586675915, 53.82453941190471, 53.16748220378945, 52.33178820522214, 51.19131871116155, 182.91079562913654, 552.4184051260156, 112.13683583826402, 167.58521888643904, 1110.1348939739944, 172.86742155887475, 121.07010706906507, 284.0428519008603, 329.53709339328583, 153.19070073268637, 282.88345219435257, 265.3152963645853, 252.2950324921423, 214.70321339720215, 241.00353870480453, 166.83855922586028, 112.7975664785859, 117.11311946253286, 115.66064905561782, 104.91341764446142, 2901.9517625655026, 1489.7157118679813, 963.4034274908527, 690.2401528055784, 368.05358201128394, 273.29232987636766, 263.57625678373176, 160.57133900996166, 160.39912009387217, 154.61257901059776, 140.3348628073518, 132.09426357934433, 122.29963028701788, 122.17285815145232, 118.4515625302713, 117.30925213126163, 110.63664460818184, 97.47209011301823, 96.43560456203842, 90.89605501929294, 90.2884112185705, 89.04696807786934, 79.99260155129355, 77.65371833377381, 77.55005876658898, 75.62607551658823, 75.54030174520565, 74.82313988168967, 73.72914979589173, 73.28675368046851, 136.081104597595, 90.52685856011941, 101.17167258467803, 159.43599535760458, 130.99358733465823, 89.58904140405743, 92.95128635301117, 887.1093676292053, 674.6056143025389, 659.7934577003591, 461.0234894307123, 425.2118170805109, 412.9423905324414, 345.6431155091947, 312.35086356443634, 285.803742819552, 260.5065961015711, 251.53162113744347, 236.57515572600536, 226.72880989223705, 221.63000719299836, 202.94658764321005, 184.71578466499852, 181.7077991261792, 179.5951804225984, 177.65638736532242, 165.33913288310004, 162.1589377824626, 161.32971020009035, 157.95325650390754, 149.02483308778295, 146.6251227649936, 135.71453547457457, 135.15142004871757, 130.8105565734337, 116.01305242119358, 108.28804493797568, 865.3219444114097, 460.36531495672534, 238.8993734992069, 256.15205695333333, 1176.9598356357105, 625.6115497884209, 526.4089076413557, 519.7355466752489, 445.8127649321103, 380.70533414504627, 271.9997624202193, 211.66740542413675, 199.2988899941268, 199.278732795074, 149.14922421937493, 146.62768023913853, 131.1631840095334, 129.1028979473518, 121.18135948038807, 116.70280208400297, 109.8424565870275, 109.68330501350812, 96.78335802531514, 93.28351940751187, 88.93052121039334, 79.03973034029214, 74.35692499497084, 72.59257224381807, 71.94084958172114, 71.3835550998004, 63.86121541389247, 63.113986955658994, 61.0947532951517, 57.66205752337259, 362.47550305255254, 116.52211599101977, 724.542318529065, 120.04398918323412, 1114.3771201796956, 108.37142472996108, 150.4599906136373, 111.49890623087389, 208.970945139895, 96.06593656285035, 127.86300663029357, 907.921933005062, 734.0868709234921, 513.6567064961341, 399.51075791679983, 361.9698110910818, 313.4335335682878, 263.27308836748176, 220.43564372596302, 218.99373126800577, 187.18932770877598, 174.17940289628197, 172.05135090755675, 166.13874384879264, 163.25219611559265, 161.19253749740628, 157.32885199855335, 146.51547587959107, 145.5216739145337, 141.21565988736356, 132.03273485969765, 126.3388493433767, 123.62252498938915, 112.69626672659568, 105.93000349208042, 101.66166981805628, 99.36572005457143, 86.7047974411115, 86.67458976918932, 85.15929332285124, 83.3826320693872, 615.8927221078305, 125.12628434489142, 463.9805192497155, 198.84670424216364, 268.7794292195603, 149.17727899331572, 183.44186804375008, 128.3127189226029, 1906.5748770295909, 1649.730034735015, 512.2919556044166, 379.2141307583515, 251.03518944516492, 243.66046050294295, 217.8705821881837, 216.9638136637781, 184.1460020173386, 134.30814638570376, 132.75351657693687, 121.33317728564671, 117.70325248385045, 99.3739531226956, 98.41787945104589, 97.18798639396432, 95.4770609922373, 85.7610967167481, 77.05491614309406, 72.45182907714317, 67.5334770707386, 65.98060741654149, 64.97075409726828, 62.83966555004401, 62.533043181971586, 62.389112280600344, 59.59418938422169, 56.68068121886298, 55.270531678037706, 54.20368769472573, 130.24976989868148, 480.66748559702694, 149.19610021147335, 104.87554657107643, 119.98253334695454, 215.82979013009873, 75.93449554247802, 83.19720649941166, 73.79076424129704, 71.29632424582655, 604.7088456563871, 2163.1384371675563, 499.03871876489944, 349.1269082907651, 240.40522696400268, 178.98320765024573, 138.22587978659584, 113.4846862937397, 94.2333895103528, 84.33963836102933, 75.66904384234539, 69.30391661322685, 68.82317296402186, 67.99342443324251, 66.31392049347976, 66.04309266019568, 65.93065676467425, 60.129932628269685, 51.54014455905768, 50.88125095911569, 49.06179592437992, 45.12257204647014, 44.86000270937999, 44.65712561499257, 43.55379508945444, 43.34505820235396, 41.17044401734722, 38.56692513620408, 38.04347126517596, 37.27486388391246, 211.6463855025624, 980.5159167170509, 503.5378406665497, 122.1018554973762, 705.3288566866811, 127.75894666210256, 212.59204354768426, 110.19661718420224, 135.1290742079353, 100.00065166061816, 153.40827346651537, 144.98631450545605, 165.66545757653856, 95.02307206174119, 105.54651292451386, 170.15182527552844, 150.98765269394193, 112.56851402499339, 109.3537247083136, 75.85690937680647, 1038.9805856895748, 1017.977734038264, 693.3820919943291, 523.8524542041275, 471.8557901087361, 334.0127082431145, 333.8936991495532, 300.6430532821002, 285.8461673035099, 270.200510714938, 213.41140342993967, 211.60123725710372, 201.5307530897696, 192.61564476476966, 191.68498005776863, 175.59035654698675, 148.2607141309053, 141.38607579311397, 140.8580984543714, 130.70434812234888, 123.46825612378521, 120.5908540131283, 102.96808556555416, 95.09250985344752, 94.3423037502698, 94.19089630567414, 93.49699260592703, 93.31543354929411, 89.1395264352728, 84.90320619110129, 250.25089041450397, 324.39581289728744, 149.7385899674936, 111.59434140139331, 112.00020404973537, 104.67400650051886, 396.4474925418046, 282.64843846530596, 243.86787421440366, 233.28011679324504, 228.88422164739922, 208.14883462867058, 204.50839526017, 905.2700268778204, 166.97494126096296, 153.82106085230058, 135.77246950854664, 134.70482343804883, 129.55977465901745, 123.97735896672852, 120.8288351900514, 90.23323635004522, 82.22704347871203, 82.11068837723788, 75.02853598938144, 70.07714713031817, 66.49117982629261, 65.8941577682465, 63.42513927240174, 61.52256757443813, 60.32701827360185, 59.314647559627005, 58.41831911925767, 54.944727941990315, 54.26968606850596, 50.64267140858496, 218.8477492776394, 2041.7909776379884, 179.42826256420796, 491.1848722025219, 112.8493708844853, 85.66416899843998, 68.13615557332297, 133.79930842618813, 82.98617974168513, 158.2163011128669, 115.02736110580588, 80.30964852380018, 77.15897503865801, 1660.7221184631608, 690.4593135361492, 605.337309914004, 478.09459956352725, 419.5566840126148, 374.60335921748265, 354.1254961259788, 291.5315352639526, 235.49550096639734, 177.0055038758489, 166.66215409527493, 159.2822010482727, 151.31901327160668, 149.53056350746877, 112.00145046308306, 102.82474447418467, 99.19685159879424, 98.43910946224733, 98.09979055889602, 92.4760250071577, 90.60712886913127, 86.38874710562357, 86.38384751948162, 84.16607345275962, 83.18195408345409, 75.98099675650917, 75.66897564891491, 75.44570064284467, 69.52512183715166, 67.18157245200042, 839.2722096227253, 224.27252755524003, 250.98135246304548, 101.99669892039697, 77.45126148575294, 924.056612713299, 768.9925963893994, 557.9199745563608, 305.62732572849796, 267.2134497628038, 205.4758332848633, 191.62570342701952, 187.6530137485396, 183.70039892334398, 151.3186656578217, 141.73074048247972, 138.826059539161, 137.76813895664503, 132.40889647966586, 106.42032910341196, 104.91196366441761, 103.09850798638571, 99.85828942239011, 97.70804625258259, 92.917448012468, 90.42821998795417, 85.7343827714683, 78.88695278766349, 77.2192326278943, 76.95705614142834, 73.44061564198448, 71.62341876963868, 68.03128066576626, 62.39408489484731, 60.05974360402953, 154.92962929545664, 219.82060564011454, 996.1157963467202, 271.7824204858502, 258.77052161365305, 177.00919020028763, 144.32541936537166, 96.8423591679151, 2517.9876889024, 983.3313128016111, 897.4044585087661, 529.9475712245858, 399.4380680775248, 352.63673434652355, 342.36787863049483, 189.89810922706508, 181.00327952229006, 155.34308668867806, 151.42130626498204, 136.72917548056907, 133.02943069087564, 115.89227304410633, 114.47200508629348, 110.30566565444917, 105.67903437678463, 96.68245095940024, 83.78917908725244, 83.25615074777181, 82.85441856846552, 77.99361221691815, 77.76246572818154, 75.92642318611722, 75.79662505236602, 66.7825214811759, 66.59910613424682, 63.90100448378508, 63.322260958489665, 62.94583985479705, 239.94791732089504, 187.71108366384857, 274.46211376298015, 130.11867045028848, 88.31788404360513, 83.68373935777045, 3126.9149564066392, 1907.4647615963695, 635.9022836389254, 447.9805694214705, 262.6313052645048, 110.34055002987266, 106.17443631121462, 104.01487356345011, 88.65877148883388, 81.47047923670206, 76.4508745871108, 76.31025400119862, 71.4008406518889, 68.78870739578704, 62.051301203455495, 58.97951093215889, 54.391333504935226, 48.47269372412982, 44.61611982435146, 42.747087636920796, 41.60001225835695, 40.83286978152058, 40.049995462390655, 39.24867949701828, 37.9149883025675, 33.44835923216697, 29.83908803665453, 29.011110746437705, 28.351292142458323, 27.473104300562245, 172.15971437379906, 81.24605114477407, 50.183897184241935, 704.5149013226919, 89.24855200304303, 303.02193619924236, 271.5133363313851, 98.7920160513228, 145.16371717848992, 111.71460013089501, 119.52612712858657, 135.4879730594119, 62.37427931252983, 62.072994375509644, 61.89382982223554, 2171.1114028011243, 487.27229498790996, 288.93180468564367, 230.4188286360302, 223.2876857472373, 217.345741620945, 190.34821410580773, 180.1466415987683, 129.41782834308103, 127.36265927800372, 106.28868840544274, 101.43658219289995, 100.13761764967296, 94.74735685603541, 85.64178903884063, 84.86059649921279, 83.60227985353251, 81.94605242643658, 75.36720111025966, 75.23016472529586, 73.81583870572302, 68.9905331531202, 66.22452077000273, 54.14016276341438, 56.74440119581337, 53.09241484491595, 52.8450012006872, 50.57979377623173, 48.875774933898356, 46.54351029144592, 392.6290917636793, 1823.2221584992574, 123.31529795690442, 90.1066728424751, 98.9260920332329, 76.96737087840995, 205.8065586666159, 132.50057025613856, 70.27841083517752, 2997.053876888894, 1209.3766651113956, 145.8773637916857, 140.58112724799497, 98.81146922927694, 95.27892972120351, 79.55511772420881, 75.33313803278924, 68.05515551490635, 54.12005613160333, 53.49270469820317, 50.02661881890991, 47.26698907047138, 39.779778510699835, 37.182535425862966, 36.681160621376684, 36.52285758885477, 33.90731452639146, 31.978847831368277, 30.85114832924353, 30.784138804122833, 30.391398244888425, 29.74086175548589, 29.378139229936153, 29.061421774212764, 28.855517472985007, 28.832264619777188, 23.68404929877658, 21.233294203741558, 20.861650603945684, 123.85547212957471, 1545.7622824553657, 390.2740230347445, 379.31725340044744, 46.65188086900194, 42.201039387341716, 64.27939218140797, 196.87625118801438, 100.27708869196279, 81.00077022103784, 65.02612083542142, 57.57635735632277, 64.32946092836404, 49.75882306151124, 57.813305452953074, 47.432045995973475, 46.155431123196934, 43.67938611402498, 1188.6742554983628, 767.6616868508618, 627.6615567092027, 434.5034933344734, 382.21327026736594, 375.45934063796176, 196.1609507421287, 182.2455157645644, 165.01467739685168, 156.56953992368284, 144.3139412302559, 144.1329526827819, 135.3323626925506, 134.32941115468668, 130.51518562773066, 102.7764105636669, 95.76808876814091, 88.08365195220136, 86.24079839593401, 183.46209070910993, 78.76632667399402, 78.23360624750211, 67.98591844695869, 66.97267533567283, 55.92431454646529, 54.91175649599497, 49.96378764349797, 49.32796155303156, 48.16779692429184, 47.897983782117436, 70.58048712528799, 90.23186772180688, 143.1136037840776, 185.5445659193584, 266.59302951536216, 57.517836707514775, 65.94828840312339], \"loglift\": [30.0, 29.0, 28.0, 27.0, 26.0, 25.0, 24.0, 23.0, 22.0, 21.0, 20.0, 19.0, 18.0, 17.0, 16.0, 15.0, 14.0, 13.0, 12.0, 11.0, 10.0, 9.0, 8.0, 7.0, 6.0, 5.0, 4.0, 3.0, 2.0, 1.0, 2.9142, 2.914, 2.914, 2.9134, 2.9124, 2.912, 2.9116, 2.9112, 2.9109, 2.9104, 2.9101, 2.9099, 2.9097, 2.9097, 2.9096, 2.9091, 2.9087, 2.9084, 2.9083, 2.9078, 2.9075, 2.9074, 2.9074, 2.9071, 2.907, 2.907, 2.9069, 2.9066, 2.9062, 2.9057, 2.8879, 2.8781, 2.8364, 2.8897, 2.8896, 2.8226, 2.8795, 2.5898, 2.6078, 2.7108, 2.578, 2.5731, 2.2366, 2.8182, 2.5425, 2.5736, 2.5556, 2.3065, 2.3823, 2.3819, 2.3325, 1.9114, 2.0603, 2.1112, 2.1354, 2.4165, 1.8919, 2.266, 2.2426, 2.1164, 1.4144, 1.4196, 1.0222, 1.495, 1.6342, 1.3553, 0.8756, 1.1238, 1.397, 0.8989, 1.4287, 1.6133, 3.1612, 3.1611, 3.1608, 3.1602, 3.1599, 3.1597, 3.1595, 3.1589, 3.1583, 3.158, 3.1577, 3.1577, 3.1568, 3.1566, 3.1564, 3.1562, 3.1561, 3.156, 3.156, 3.1549, 3.1547, 3.1545, 3.1531, 3.1524, 3.1522, 3.1521, 3.1521, 3.1517, 3.1512, 3.1508, 3.1342, 3.0953, 3.092, 3.1128, 3.1038, 2.9175, 3.1152, 3.0541, 2.9813, 3.0625, 3.021, 2.7552, 2.8778, 2.8648, 3.0361, 2.7524, 2.7781, 2.9184, 2.2854, 2.4941, 2.5597, 2.2548, 2.1647, 2.2314, 1.7281, 2.5142, 2.0054, 2.4486, 2.1161, 2.0375, 2.2091, 1.4958, 1.9347, 1.4284, 1.3845, 1.3531, 1.8616, 0.8487, 1.1374, 1.1053, 1.3405, 1.297, 1.0013, 1.4383, 3.3688, 3.3688, 3.368, 3.3668, 3.3667, 3.3659, 3.3655, 3.3652, 3.3649, 3.3645, 3.3643, 3.3642, 3.3634, 3.363, 3.3629, 3.362, 3.3617, 3.3615, 3.3609, 3.3605, 3.36, 3.36, 3.3593, 3.3585, 3.3583, 3.3572, 3.357, 3.3569, 3.3569, 3.3567, 3.3544, 3.3161, 3.1547, 2.8894, 2.9403, 3.2412, 3.1802, 3.1323, 2.872, 2.8323, 2.7456, 2.8648, 2.5141, 2.6248, 2.175, 2.3679, 2.6987, 2.0369, 2.5512, 1.7793, 2.0471, 1.9551, 2.0211, 1.8553, 2.1001, 2.1296, 2.0887, 1.7899, 1.5666, 2.0483, 1.2522, 2.1718, 1.2347, 1.5265, 1.6907, 1.604, 1.1384, 1.504, 3.4857, 3.4845, 3.4843, 3.4842, 3.4842, 3.4836, 3.4835, 3.4833, 3.4833, 3.4824, 3.4823, 3.4821, 3.4816, 3.4811, 3.4806, 3.4799, 3.4792, 3.4792, 3.4785, 3.4784, 3.4784, 3.4779, 3.4773, 3.476, 3.4757, 3.4753, 3.4751, 3.4749, 3.4749, 3.4749, 3.3435, 3.4393, 3.4476, 3.3055, 3.3551, 3.2494, 3.1436, 3.3336, 3.2583, 3.3742, 3.3493, 3.2689, 2.4373, 2.8951, 2.9749, 2.8989, 3.0307, 2.4357, 2.4585, 2.5745, 1.6001, 2.6261, 1.6897, 2.6426, 1.8054, 1.352, 1.5779, 1.5856, 1.3744, 1.6074, 1.0033, 2.0746, 1.7337, 2.3281, 0.8571, 1.6492, 3.5484, 3.5484, 3.5482, 3.5481, 3.548, 3.5479, 3.5476, 3.5474, 3.5473, 3.5467, 3.5462, 3.5462, 3.5462, 3.5458, 3.5453, 3.5452, 3.5451, 3.545, 3.5449, 3.5448, 3.5448, 3.5447, 3.5443, 3.5443, 3.5441, 3.5441, 3.544, 3.5438, 3.5438, 3.543, 3.5222, 3.5339, 3.4979, 3.4259, 3.4369, 3.4695, 3.3579, 3.4311, 3.0066, 3.1822, 3.3175, 3.2079, 2.6491, 2.7165, 2.9445, 2.939, 2.2687, 2.8953, 1.4237, 1.7173, 2.4609, 2.1388, 1.0115, 0.7105, 3.7311, 3.7309, 3.7272, 3.7268, 3.7253, 3.7249, 3.724, 3.7232, 3.7228, 3.72, 3.7193, 3.7178, 3.7161, 3.7161, 3.716, 3.7155, 3.7146, 3.7146, 3.7141, 3.7109, 3.7094, 3.7092, 3.7091, 3.7078, 3.7037, 3.7024, 3.702, 3.7019, 3.7014, 3.7006, 3.5041, 3.5516, 3.6387, 3.6344, 3.3064, 3.0806, 2.4993, 3.3722, 2.2346, 3.0566, 1.9992, 2.6835, 2.3463, 2.9631, 3.0734, 1.529, 2.1124, 2.3783, 2.156, 2.3725, 2.2907, 1.5423, 2.5296, 2.4323, 1.6763, 1.2779, 2.2855, 1.2901, 1.1802, 1.6519, 0.9017, 1.7934, 1.0877, 1.1135, 1.2052, 1.4364, 1.4497, 0.9023, 0.7612, 1.3212, 0.6007, 0.9106, 3.7453, 3.7447, 3.7431, 3.743, 3.743, 3.7428, 3.7427, 3.7425, 3.7425, 3.7423, 3.7422, 3.7421, 3.7418, 3.7418, 3.7417, 3.7416, 3.7415, 3.7412, 3.7412, 3.7412, 3.7411, 3.741, 3.7409, 3.7409, 3.7407, 3.7407, 3.7406, 3.7406, 3.7404, 3.7399, 3.7267, 3.6133, 3.7062, 3.6708, 3.6416, 3.6581, 3.206, 2.5431, 2.6266, 3.45, 2.8538, 3.371, 2.6342, 3.2805, 3.4334, 2.6762, 3.08, 2.7244, 2.8852, 2.1862, 2.3991, 1.431, 2.4553, 0.9191, 2.6741, 2.8914, 2.1819, 0.6862, 3.8418, 3.8415, 3.8413, 3.841, 3.8404, 3.8404, 3.8399, 3.8395, 3.839, 3.8389, 3.8389, 3.8388, 3.8387, 3.8387, 3.8385, 3.8384, 3.8384, 3.8383, 3.8382, 3.8378, 3.8373, 3.8373, 3.8372, 3.8369, 3.8365, 3.8364, 3.8361, 3.836, 3.8353, 3.8351, 3.7987, 3.7808, 3.6508, 3.5506, 3.0951, 3.4012, 3.5726, 3.3205, 2.9098, 2.6393, 2.2709, 1.8287, 2.5981, 2.9733, 2.7319, 2.5726, 2.7085, 2.5924, 2.5811, 2.2151, 2.5067, 0.6986, 1.8689, 3.9244, 3.9215, 3.9211, 3.9211, 3.9202, 3.9199, 3.9197, 3.9191, 3.9189, 3.918, 3.9155, 3.9148, 3.9145, 3.9141, 3.913, 3.9126, 3.9124, 3.9123, 3.9114, 3.9112, 3.911, 3.9098, 3.9097, 3.9096, 3.9074, 3.9069, 3.9067, 3.905, 3.9046, 3.9024, 3.8513, 3.8428, 3.7741, 3.8747, 3.7975, 3.8298, 3.8087, 3.8127, 3.7115, 3.1088, 3.8173, 3.3266, 2.8663, 3.4342, 3.2331, 3.3988, 3.4679, 2.5041, 3.1967, 2.8391, 2.4334, 1.9997, 1.5454, 2.0752, 1.995, 2.4426, 2.6859, 2.9297, 2.2153, 1.6125, 1.3495, 1.6538, 2.0838, 1.8844, 1.8338, 1.2904, 2.0697, 0.8758, 2.127, 0.842, 1.8614, 1.5579, 3.9924, 3.9922, 3.9922, 3.9919, 3.9917, 3.9916, 3.9915, 3.9912, 3.9911, 3.991, 3.991, 3.9909, 3.9909, 3.9907, 3.9904, 3.9903, 3.9903, 3.9902, 3.9901, 3.9901, 3.99, 3.99, 3.99, 3.99, 3.99, 3.9898, 3.9897, 3.9897, 3.9895, 3.9894, 3.975, 3.935, 3.8953, 3.8579, 3.8513, 3.7256, 3.8471, 3.8902, 3.6832, 3.9119, 3.5842, 2.5044, 3.3374, 3.0143, 2.299, 3.9973, 3.9923, 3.9899, 3.9891, 3.9887, 3.9884, 3.9868, 3.9844, 3.9837, 3.9818, 3.9763, 3.9757, 3.9755, 3.9753, 3.9753, 3.9747, 3.9705, 3.9694, 3.9686, 3.9669, 3.9668, 3.9661, 3.9653, 3.9633, 3.963, 3.9619, 3.9619, 3.9614, 3.9612, 3.9608, 3.8493, 3.926, 3.6076, 3.3885, 3.708, 3.2047, 3.474, 3.5213, 2.7585, 3.6821, 3.0021, 2.2528, 2.3503, 2.2911, 2.4953, 3.0416, 2.1236, 2.0133, 2.1592, 2.6735, 2.0082, 2.7541, 2.417, 1.9211, 2.7981, 2.6829, 2.2302, 2.8291, 2.546, 1.1871, 1.453, 1.1677, 1.4323, 1.4595, 0.948, 0.9468, 0.8608, 1.5013, 1.9003, 1.418, 1.2201, 4.0728, 4.0719, 4.0718, 4.0713, 4.0711, 4.0708, 4.0707, 4.0704, 4.0704, 4.0703, 4.0701, 4.0699, 4.0699, 4.0698, 4.0697, 4.0695, 4.0692, 4.0688, 4.0686, 4.0685, 4.0685, 4.0684, 4.0681, 4.0678, 4.0677, 4.0676, 4.0676, 4.0675, 4.0674, 4.0673, 4.0085, 4.0485, 4.0328, 4.0043, 3.8588, 3.9986, 3.7954, 3.9117, 3.4722, 3.6587, 3.6147, 3.1401, 2.9348, 2.008, 2.8017, 2.6495, 4.2474, 4.243, 4.2421, 4.2411, 4.2402, 4.2389, 4.2388, 4.2379, 4.2362, 4.2354, 4.2349, 4.2346, 4.2342, 4.2324, 4.2319, 4.2306, 4.2295, 4.225, 4.2236, 4.2193, 4.2177, 4.2175, 4.216, 4.2152, 4.2149, 4.2149, 4.2144, 4.2137, 4.213, 4.2124, 4.1805, 3.718, 3.9001, 3.3344, 3.5236, 3.217, 3.1399, 2.8409, 2.6005, 2.0601, 2.1811, 1.9745, 2.096, 1.7361, 2.5294, 2.2703, 1.5598, 2.1649, 1.3419, 2.7849, 1.5668, 1.1003, 1.3486, 2.2075, 2.0913, 2.2668, 1.9584, 1.4317, 1.9937, 0.8372, 1.0292, 4.3159, 4.3156, 4.3153, 4.3151, 4.3149, 4.3148, 4.3148, 4.3145, 4.3145, 4.3145, 4.3143, 4.314, 4.3134, 4.3131, 4.3126, 4.3126, 4.3122, 4.3118, 4.3118, 4.311, 4.3108, 4.3103, 4.3103, 4.3102, 4.3093, 4.3089, 4.3086, 4.3083, 4.3082, 4.307, 4.2541, 4.1769, 4.1365, 4.0882, 4.1656, 3.8007, 4.0487, 4.056, 3.9114, 4.0918, 2.3988, 2.4349, 2.7344, 2.9357, 2.2698, 3.5586, 2.9965, 0.9122, 4.3528, 4.3526, 4.3521, 4.3515, 4.3513, 4.351, 4.3497, 4.3496, 4.3495, 4.3487, 4.3486, 4.3483, 4.348, 4.348, 4.3477, 4.3474, 4.3468, 4.3467, 4.3463, 4.3461, 4.3459, 4.3459, 4.3456, 4.3453, 4.3432, 4.343, 4.3428, 4.3427, 4.3425, 4.3423, 4.0304, 4.129, 4.2402, 3.9896, 3.9332, 4.2246, 3.7956, 3.6307, 2.7248, 2.7796, 2.5966, 2.9843, 2.8711, 2.4708, 2.134, 2.1145, 2.3802, 4.3793, 4.3777, 4.3767, 4.3765, 4.3764, 4.376, 4.3747, 4.3728, 4.3726, 4.3712, 4.3697, 4.3682, 4.3677, 4.3675, 4.3646, 4.3628, 4.3599, 4.3597, 4.3586, 4.3581, 4.358, 4.3574, 4.3563, 4.3557, 4.3552, 4.3545, 4.3543, 4.3533, 4.3532, 4.3521, 4.2938, 4.2585, 4.2467, 4.1506, 3.7341, 3.8635, 3.8513, 3.6809, 3.8936, 3.2106, 4.01, 3.8818, 3.3881, 3.2947, 3.1548, 3.2739, 2.3697, 3.1945, 3.7898, 2.1715, 2.0926, 1.948, 2.5293, 1.6905, 1.408, 2.6065, 1.9486, 2.4696, 1.7452, 2.0007, 1.3885, 1.3904, 2.1119, 4.4109, 4.4094, 4.409, 4.4082, 4.4075, 4.4064, 4.4063, 4.4046, 4.4014, 4.4013, 4.3987, 4.3985, 4.3983, 4.3964, 4.3959, 4.3947, 4.3947, 4.3944, 4.3943, 4.3933, 4.3929, 4.3926, 4.3918, 4.3904, 4.3903, 4.3879, 4.3878, 4.3867, 4.386, 4.3859, 4.3417, 4.2647, 4.1186, 4.2306, 4.0822, 4.1716, 3.7019, 3.9305, 3.8215, 3.8068, 3.4256, 2.4092, 3.7471, 3.2815, 2.9409, 2.1507, 3.1689, 2.8013, 2.9649, 2.2067, 1.3246, 1.0901, 1.0406, 0.9707, 0.7724, 1.7419, 2.1193, 4.4188, 4.4184, 4.4182, 4.4176, 4.4166, 4.4164, 4.4158, 4.4145, 4.4136, 4.4132, 4.4131, 4.4124, 4.4122, 4.4119, 4.4119, 4.4117, 4.4109, 4.4107, 4.4105, 4.4103, 4.4102, 4.4101, 4.4099, 4.4094, 4.4088, 4.4084, 4.4071, 4.4068, 4.4058, 4.4057, 4.3599, 4.3742, 4.3672, 4.3845, 4.3037, 4.2342, 4.2261, 4.204, 4.1714, 4.2455, 3.8898, 3.9007, 4.1853, 3.2008, 3.7564, 3.1193, 4.0083, 3.1444, 1.8483, 2.0865, 2.0913, 0.9357, 1.1695, 1.8942, 4.4302, 4.4245, 4.4237, 4.4236, 4.4233, 4.4186, 4.4186, 4.4159, 4.4132, 4.4124, 4.4114, 4.4113, 4.4111, 4.4107, 4.4106, 4.4079, 4.4052, 4.4042, 4.4042, 4.3988, 4.3979, 4.3977, 4.3964, 4.3929, 4.3882, 4.3877, 4.384, 4.3828, 4.3819, 4.3819, 4.3723, 4.3768, 4.3377, 4.3336, 4.3317, 3.8426, 4.2447, 3.9917, 3.6232, 3.4945, 3.9003, 3.7833, 3.2563, 3.4962, 2.146, 2.2155, 2.932, 3.2135, 1.6227, 2.0609, 2.0035, 3.6731, 1.1487, 2.2384, 1.4935, 1.2561, 2.1384, 2.3139, 1.4455, 1.2349, 1.8021, 2.0272, 1.2126, 0.7933, 1.8869, 1.9781, 1.1922, 0.5308, 0.7458, 4.461, 4.4596, 4.4586, 4.4573, 4.4567, 4.4559, 4.4559, 4.4555, 4.4551, 4.4543, 4.4537, 4.4533, 4.4525, 4.452, 4.4517, 4.4513, 4.4508, 4.4494, 4.4489, 4.4486, 4.4484, 4.4482, 4.4479, 4.4477, 4.4473, 4.4466, 4.4463, 4.446, 4.4453, 4.4448, 4.4058, 4.3314, 4.412, 4.2994, 4.3396, 4.178, 3.6943, 4.1297, 3.1771, 3.4108, 4.259, 3.8275, 2.1747, 2.7957, 2.6097, 2.1935, 1.6449, 2.1262, 0.4806, 2.0966, 1.9393, 0.5714, 1.8252, 2.5008, 2.288, 4.478, 4.4764, 4.4757, 4.4748, 4.4721, 4.471, 4.4708, 4.4694, 4.4693, 4.4687, 4.4686, 4.4627, 4.4622, 4.4615, 4.4614, 4.4599, 4.4594, 4.4572, 4.4559, 4.4498, 4.4442, 4.4429, 4.4396, 4.4354, 4.4353, 4.4344, 4.4335, 4.4323, 4.4316, 4.4299, 4.3354, 4.31, 4.1851, 4.35, 3.7007, 3.9765, 4.0847, 3.9277, 4.1428, 3.7772, 3.0911, 3.7371, 3.1039, 2.9188, 1.7946, 2.2805, 1.5016, 2.4278, 3.2674, 2.5844, 1.616, 1.7188, 1.3741, 1.5622, 1.0255, 1.3719, 0.9554, 1.5754, 0.6958, 0.7578, 0.6769, 4.4794, 4.4778, 4.4756, 4.4747, 4.474, 4.4737, 4.4713, 4.4708, 4.4706, 4.4703, 4.4701, 4.4694, 4.4691, 4.4687, 4.4683, 4.4666, 4.4664, 4.4659, 4.4652, 4.4648, 4.4643, 4.4637, 4.4633, 4.4628, 4.462, 4.4609, 4.4608, 4.4605, 4.4602, 4.4601, 4.4379, 4.3362, 4.2626, 4.2667, 4.1866, 4.2098, 4.0672, 4.2807, 4.0227, 4.258, 4.3098, 3.6965, 3.8062, 3.0834, 3.1551, 3.6073, 3.8915, 2.8004, 3.0278, 2.7455, 2.981, 3.0788, 2.5296, 3.339, 1.5457, 1.6871, 2.8432, 1.54, 1.001, 0.9417, 1.012, 4.5002, 4.5001, 4.5001, 4.5, 4.4995, 4.4988, 4.4988, 4.4982, 4.4976, 4.4972, 4.4971, 4.4966, 4.4964, 4.4962, 4.4961, 4.496, 4.4954, 4.4953, 4.495, 4.4949, 4.4949, 4.4943, 4.4942, 4.4939, 4.4938, 4.4936, 4.4935, 4.4933, 4.4932, 4.4927, 4.4876, 4.4702, 4.44, 4.4339, 4.3454, 4.3396, 4.391, 4.3061, 3.9326, 4.2755, 4.2625, 3.3324, 3.1416, 4.5165, 4.5158, 4.5158, 4.5152, 4.5152, 4.5147, 4.5147, 4.5144, 4.5143, 4.514, 4.5107, 4.5106, 4.5104, 4.5093, 4.5084, 4.5078, 4.5076, 4.5073, 4.5068, 4.5067, 4.5062, 4.5054, 4.5031, 4.5028, 4.5007, 4.5005, 4.5, 4.4996, 4.4995, 4.498, 4.4328, 4.4675, 4.459, 3.7106, 4.1018, 3.2676, 3.0547, 3.3405, 3.979, 2.6889, 2.7882, 2.794, 2.1644, 2.1915, 2.7929, 2.8448, 1.4214, 1.5694, 1.3209, 0.2424, 1.962, 0.8855, 0.9247, 0.7751, 4.5227, 4.5169, 4.5165, 4.5147, 4.5135, 4.5134, 4.5076, 4.5056, 4.5045, 4.503, 4.503, 4.5001, 4.499, 4.4988, 4.4948, 4.4899, 4.4897, 4.4894, 4.4887, 4.4881, 4.4876, 4.4867, 4.4844, 4.4817, 4.4814, 4.4788, 4.4777, 4.4776, 4.4769, 4.4729, 4.4716, 4.3803, 4.3698, 4.2248, 4.3323, 4.3587, 3.864, 4.0946, 3.9532, 3.3708, 2.5313, 2.7906, 2.7841, 3.4573, 2.909, 3.1795, 2.2118, 2.958, 3.2082, 2.4718, 2.9438, 2.5718, 2.9657, 1.7018, 2.119, 2.0048, 2.3818, 2.0229, 2.4487, 2.1041, 1.5078, 1.1735, 0.9255, 1.0711, 1.3985, 1.6025, 0.9108, 4.5765, 4.576, 4.575, 4.575, 4.5743, 4.5743, 4.5743, 4.5739, 4.5738, 4.5728, 4.5727, 4.5726, 4.5718, 4.5716, 4.5706, 4.5702, 4.5699, 4.5698, 4.5692, 4.5692, 4.5689, 4.5687, 4.5685, 4.5683, 4.5682, 4.5679, 4.5677, 4.5677, 4.5677, 4.5668, 4.565, 4.4391, 4.5143, 4.023, 4.1058, 3.9051, 4.1927, 4.2287, 3.4633, 3.8406, 3.8938, 3.7857, 2.5473, 3.2266, 3.3786, 2.8782, 2.6837, 1.4576, 4.5959, 4.595, 4.5948, 4.5941, 4.594, 4.5939, 4.5939, 4.5938, 4.5937, 4.5929, 4.5927, 4.5927, 4.5918, 4.5914, 4.5912, 4.5911, 4.5909, 4.5903, 4.5902, 4.5889, 4.588, 4.5878, 4.5876, 4.5874, 4.5866, 4.5864, 4.5861, 4.5855, 4.5848, 4.5843, 4.5703, 4.5434, 4.4336, 4.5232, 4.4964, 4.2472, 2.9076, 4.2773, 4.4315, 3.2015, 4.5988, 4.5979, 4.5977, 4.5972, 4.5967, 4.5967, 4.5962, 4.5959, 4.5959, 4.5957, 4.5956, 4.5953, 4.5952, 4.5951, 4.595, 4.5946, 4.5943, 4.5941, 4.594, 4.5931, 4.593, 4.5921, 4.5899, 4.5897, 4.5896, 4.5893, 4.5879, 4.5877, 4.5876, 4.5873, 4.316, 4.4983, 4.3932, 4.3855, 4.4681, 3.8322, 4.3284, 3.388, 2.6406, 1.1067, 1.9457, 4.6008, 4.6002, 4.6001, 4.5983, 4.5976, 4.5969, 4.5963, 4.595, 4.5948, 4.5945, 4.5944, 4.5942, 4.594, 4.5936, 4.5935, 4.5935, 4.5931, 4.5929, 4.5922, 4.5921, 4.5919, 4.5915, 4.5911, 4.591, 4.591, 4.591, 4.5909, 4.5903, 4.5901, 4.5899, 4.5725, 4.5833, 4.3851, 4.5595, 4.3922, 4.5103, 4.0062, 4.4007, 3.3137, 2.9355, 1.9637, 2.8316, 2.4736, 2.3838, 4.6433, 4.6431, 4.643, 4.6428, 4.6418, 4.6416, 4.6415, 4.6414, 4.6414, 4.6412, 4.6407, 4.6407, 4.6406, 4.6406, 4.6403, 4.6401, 4.6397, 4.6395, 4.639, 4.6387, 4.6376, 4.6375, 4.6375, 4.6367, 4.6361, 4.6358, 4.6357, 4.6357, 4.6353, 4.6351, 4.6288, 4.5725, 4.3803, 4.5203, 4.4088, 2.4275, 2.6619, 2.0966, 4.6497, 4.6483, 4.6482, 4.6478, 4.6467, 4.6461, 4.6457, 4.6457, 4.645, 4.6445, 4.6441, 4.6433, 4.6422, 4.6421, 4.6414, 4.6413, 4.6413, 4.6409, 4.6408, 4.6393, 4.6385, 4.6371, 4.6366, 4.6365, 4.6364, 4.6356, 4.6356, 4.6355, 4.6352, 4.6352, 4.6309, 4.5757, 4.5944, 4.4238, 3.935, 3.1045, 2.9381, 3.1616, 3.2052, 2.3993, 1.9307, 2.18, 2.0053, 1.2503, 2.4367, 3.2809, 0.997, 0.8436, 1.4311, 4.6521, 4.6515, 4.6514, 4.6514, 4.6512, 4.6507, 4.6503, 4.6503, 4.6497, 4.6495, 4.6495, 4.6492, 4.6486, 4.6485, 4.6478, 4.6465, 4.6462, 4.6444, 4.6431, 4.6429, 4.6411, 4.6409, 4.6406, 4.6403, 4.6393, 4.6384, 4.6384, 4.6377, 4.6377, 4.6368, 4.2917, 4.0009, 3.9806, 4.0459, 4.1192, 4.2684, 3.6515, 2.8675, 3.6009, 3.4518, 2.6288, 1.1072, 2.084, 1.0561, 0.7928, 4.6619, 4.6611, 4.6611, 4.6604, 4.6603, 4.6602, 4.6602, 4.6588, 4.6584, 4.6584, 4.6579, 4.6571, 4.6571, 4.657, 4.6561, 4.656, 4.6557, 4.6557, 4.6555, 4.6542, 4.6539, 4.6533, 4.6523, 4.6522, 4.6508, 4.6507, 4.6503, 4.6499, 4.6493, 4.6492, 4.5788, 4.593, 4.4962, 4.552, 4.2599, 4.3303, 4.2512, 3.5945, 1.9619, 0.2757, 2.1423, 2.9097, 2.2117, 4.7043, 4.7033, 4.7014, 4.7001, 4.6994, 4.6983, 4.6934, 4.6914, 4.6906, 4.6889, 4.6886, 4.6879, 4.6816, 4.6805, 4.678, 4.677, 4.6724, 4.6723, 4.6699, 4.6688, 4.6659, 4.6613, 4.6599, 4.6597, 4.6587, 4.6578, 4.6563, 4.6548, 4.6532, 4.6497, 4.6208, 4.5398, 4.6106, 4.4472, 4.4922, 4.1852, 4.2615, 3.9064, 3.9708, 3.8979, 4.2222, 3.1647, 4.4221, 2.9047, 3.1169, 3.2415, 3.5459, 2.0675, 2.8178, 2.6925, 2.218, 2.6962, 1.917, 2.7936, 2.845, 1.2573, 1.4798, 2.5539, 1.8675, 0.9708, 4.7084, 4.7078, 4.7077, 4.7076, 4.704, 4.7039, 4.7038, 4.7037, 4.7024, 4.702, 4.7006, 4.7, 4.6999, 4.6986, 4.6976, 4.6975, 4.6974, 4.6969, 4.6968, 4.6966, 4.6965, 4.6963, 4.6956, 4.695, 4.6944, 4.6939, 4.6938, 4.6936, 4.6914, 4.691, 4.6739, 4.3526, 4.5461, 4.6099, 4.4346, 4.3162, 4.4097, 3.961, 3.5083, 4.362, 3.0263, 3.5793, 2.4151, 2.7964, 1.7369, 3.448, 2.4887, 2.3162, 2.8017, 3.1678, 0.9832, 1.0823, 1.7382, 0.9109, 2.3678, 4.7157, 4.7157, 4.7155, 4.7155, 4.715, 4.7147, 4.7142, 4.7135, 4.7135, 4.7135, 4.7134, 4.7134, 4.7134, 4.7134, 4.7133, 4.713, 4.7128, 4.7128, 4.7121, 4.712, 4.7118, 4.7118, 4.7106, 4.7105, 4.7103, 4.7101, 4.7099, 4.7098, 4.7098, 4.7093, 4.672, 4.1247, 4.319, 4.047, 4.3715, 3.6684, 4.4975, 4.6107, 4.4388, 4.2797, 4.7545, 4.754, 4.7537, 4.7532, 4.7529, 4.7526, 4.7518, 4.7516, 4.7515, 4.7514, 4.7508, 4.7506, 4.7505, 4.7503, 4.7502, 4.7498, 4.7497, 4.7494, 4.7492, 4.7489, 4.7489, 4.7489, 4.7487, 4.7484, 4.7484, 4.7482, 4.748, 4.7479, 4.7475, 4.7474, 4.736, 4.2418, 4.487, 3.9187, 3.3591, 2.5955, 4.7591, 4.7584, 4.757, 4.7567, 4.7538, 4.7513, 4.7512, 4.7509, 4.7505, 4.7487, 4.7481, 4.7474, 4.745, 4.7417, 4.7413, 4.7396, 4.7383, 4.7375, 4.7359, 4.7349, 4.7336, 4.7315, 4.7309, 4.7305, 4.7288, 4.7286, 4.7275, 4.7265, 4.725, 4.7244, 4.6849, 4.6502, 4.6685, 4.6114, 4.5534, 4.6352, 4.5857, 4.5212, 4.6647, 4.6238, 4.2965, 4.4648, 4.3917, 3.8678, 4.0943, 4.342, 3.3397, 3.1703, 3.0051, 1.601, 2.5705, 3.0756, 1.8893, 1.6736, 1.5381, 2.3008, 2.0976, 0.6297, 4.7699, 4.769, 4.7676, 4.7672, 4.767, 4.7665, 4.7664, 4.7664, 4.7663, 4.765, 4.7647, 4.7643, 4.7642, 4.7635, 4.763, 4.7629, 4.7625, 4.7612, 4.7609, 4.7601, 4.7601, 4.7598, 4.7593, 4.7573, 4.757, 4.7569, 4.7566, 4.7559, 4.7554, 4.7548, 4.619, 4.6274, 4.251, 4.4134, 4.5434, 3.5991, 4.4729, 4.3282, 3.8821, 4.3088, 3.6051, 3.9604, 3.4297, 3.4087, 3.4351, 1.8523, 2.7207, 2.0315, 1.85, 2.9054, 4.7676, 4.7621, 4.7575, 4.7556, 4.7554, 4.7547, 4.7472, 4.7457, 4.7444, 4.7391, 4.7365, 4.736, 4.735, 4.7344, 4.7342, 4.7333, 4.7309, 4.7263, 4.7229, 4.7195, 4.716, 4.7156, 4.7137, 4.7108, 4.7063, 4.7044, 4.7032, 4.7008, 4.6982, 4.6976, 4.6952, 4.6202, 4.6116, 4.6144, 4.5859, 4.4558, 4.4195, 4.3832, 4.1099, 3.6906, 3.5075, 3.9586, 2.5404, 3.1858, 2.0838, 3.7943, 2.1688, 3.5572, 2.2674, 2.1964, 2.5828, 1.6976, 2.1315, 2.8081, 2.1116, 1.4558, 1.3919, 2.5769, 1.6938, 1.4639, 2.171, 1.3623, 1.454, 0.7722, 0.7957, 4.7801, 4.7777, 4.7741, 4.7723, 4.7717, 4.7715, 4.7692, 4.7682, 4.7626, 4.7621, 4.7618, 4.7607, 4.7566, 4.7558, 4.7558, 4.752, 4.7514, 4.7509, 4.7487, 4.747, 4.7468, 4.7465, 4.746, 4.7444, 4.7423, 4.7409, 4.7404, 4.7381, 4.7375, 4.7369, 4.5553, 4.5873, 4.5073, 4.2197, 3.8683, 4.3084, 4.1927, 2.6784, 3.4613, 3.6858, 3.8141, 2.1554, 2.9989, 1.194, 1.8947, 3.02, 2.6288, 2.5708, 1.2651, 2.3204, 1.8794, 2.5877, 0.8723, 0.4885, 0.9713, 2.0424, 0.2794, 1.5828, 2.0112, 4.7862, 4.7857, 4.785, 4.7847, 4.784, 4.7837, 4.7834, 4.7833, 4.7826, 4.7824, 4.7807, 4.78, 4.7792, 4.7787, 4.7785, 4.7782, 4.7782, 4.778, 4.7775, 4.7773, 4.7765, 4.7761, 4.7755, 4.7754, 4.7754, 4.775, 4.7745, 4.7744, 4.7741, 4.7736, 4.6366, 4.7145, 4.4949, 4.6918, 4.5498, 4.48, 4.489, 4.1316, 2.7756, 4.7871, 4.7868, 4.7857, 4.7833, 4.7803, 4.7798, 4.7754, 4.7754, 4.7741, 4.7733, 4.7721, 4.7709, 4.77, 4.7692, 4.7684, 4.7668, 4.7639, 4.763, 4.7603, 4.7593, 4.7549, 4.7537, 4.7522, 4.7522, 4.7516, 4.7511, 4.7486, 4.7485, 4.7479, 4.7457, 4.6506, 4.5974, 4.7262, 4.4042, 4.3218, 4.6344, 4.2076, 4.3778, 4.2621, 4.5067, 3.1271, 4.2033, 3.1965, 3.8831, 3.4532, 2.8568, 3.0016, 3.4488, 2.5566, 2.5471, 2.2899, 2.4825, 2.1617, 2.5096, 1.1805, 2.6535, 2.9753, 3.3595, 1.3091, 0.6578, 2.4403, 0.872, 2.4363, 2.0968, 0.6322, 0.6415, 4.7885, 4.7877, 4.7873, 4.7862, 4.7854, 4.7845, 4.7825, 4.7821, 4.782, 4.7817, 4.7815, 4.7814, 4.7807, 4.7806, 4.7801, 4.78, 4.7794, 4.7789, 4.7781, 4.7777, 4.7777, 4.7768, 4.7765, 4.7763, 4.7762, 4.7761, 4.7758, 4.7746, 4.7741, 4.774, 4.7132, 4.6342, 4.5908, 4.6703, 4.3424, 4.3511, 4.4899, 4.5498, 3.5621, 3.8079, 4.3078, 2.7171, 3.4139, 3.7155, 2.8861, 4.7914, 4.7913, 4.7911, 4.7911, 4.7899, 4.7897, 4.7894, 4.789, 4.789, 4.7887, 4.7886, 4.7884, 4.7876, 4.7872, 4.7861, 4.7853, 4.7847, 4.7844, 4.7841, 4.7838, 4.7835, 4.7834, 4.7834, 4.7833, 4.7831, 4.7825, 4.7821, 4.7812, 4.7812, 4.7808, 4.6767, 4.6599, 4.6971, 4.7166, 4.7426, 4.3998, 4.5077, 4.3567, 4.3111, 3.8449, 3.3783, 3.0984, 1.4742, 1.673, 3.3994, 4.8005, 4.8002, 4.8, 4.7993, 4.7983, 4.798, 4.798, 4.7977, 4.7976, 4.7973, 4.7957, 4.7954, 4.7953, 4.7948, 4.7947, 4.7945, 4.794, 4.7937, 4.793, 4.7928, 4.7922, 4.7921, 4.7921, 4.792, 4.792, 4.7916, 4.7914, 4.7912, 4.791, 4.7908, 4.6734, 3.8749, 4.3875, 4.5913, 2.4447, 3.8208, 2.6311, 4.819, 4.8173, 4.8134, 4.8128, 4.8119, 4.8096, 4.8085, 4.8083, 4.8071, 4.8066, 4.8055, 4.8053, 4.8051, 4.8039, 4.8036, 4.8013, 4.8013, 4.7995, 4.7979, 4.7979, 4.7971, 4.7968, 4.7962, 4.7959, 4.7939, 4.793, 4.7922, 4.792, 4.7906, 4.7896, 4.708, 4.736, 4.7014, 4.6081, 4.3075, 3.9989, 3.8554, 3.5248, 2.811, 3.5474, 2.0873, 2.5709, 2.5867, 1.0957, 2.2623, 2.3505, 1.0388, 2.3468, 1.5924, 1.0209, 0.9382, 1.2793, 1.0515, 0.6109, 4.8248, 4.8243, 4.8234, 4.8232, 4.823, 4.8229, 4.8228, 4.8226, 4.8222, 4.8219, 4.8218, 4.8198, 4.8184, 4.8182, 4.8179, 4.8172, 4.8169, 4.8166, 4.8164, 4.8164, 4.8161, 4.816, 4.8157, 4.8153, 4.8153, 4.815, 4.8147, 4.8146, 4.8138, 4.8135, 4.8128, 4.6566, 4.6685, 4.4767, 4.6688, 4.1008, 4.2455, 4.1612, 4.4582, 3.3603, 4.8454, 4.845, 4.8448, 4.8442, 4.844, 4.8437, 4.843, 4.8429, 4.8422, 4.8412, 4.8412, 4.8405, 4.8404, 4.8403, 4.8402, 4.8394, 4.8393, 4.8392, 4.8387, 4.8382, 4.838, 4.838, 4.838, 4.8377, 4.8375, 4.8375, 4.8369, 4.8365, 4.836, 4.8354, 4.829, 4.7442, 4.7163, 4.5815, 4.7227, 3.8694, 4.5975, 4.6822, 3.2485, 3.368, 4.8576, 4.8571, 4.8567, 4.8564, 4.8562, 4.8551, 4.855, 4.8547, 4.8547, 4.8546, 4.8536, 4.8531, 4.8523, 4.851, 4.8498, 4.8486, 4.848, 4.8477, 4.8465, 4.8461, 4.8459, 4.8457, 4.8448, 4.8429, 4.8426, 4.8424, 4.8416, 4.8415, 4.8409, 4.8408, 4.5769, 4.7319, 4.6796, 4.3065, 4.676, 4.2402, 3.1916, 3.3039, 3.8552, 3.7302, 2.727, 2.4579, 2.6077, 2.9563, 2.8006, 2.2962, 4.869, 4.8689, 4.8684, 4.8681, 4.8679, 4.8678, 4.8676, 4.8676, 4.8668, 4.8667, 4.8666, 4.8665, 4.8659, 4.8656, 4.865, 4.8646, 4.8645, 4.8634, 4.8626, 4.8624, 4.8623, 4.862, 4.8616, 4.8615, 4.8608, 4.8606, 4.8603, 4.8602, 4.8601, 4.8591, 4.7858, 4.6685, 4.5818, 4.7632, 4.5935, 4.317, 4.1918, 3.6112, 3.2717, 2.5343, 4.8862, 4.8851, 4.8847, 4.8832, 4.8825, 4.8824, 4.8819, 4.8817, 4.8804, 4.88, 4.8798, 4.8794, 4.8793, 4.8787, 4.8783, 4.8782, 4.878, 4.8777, 4.8771, 4.8768, 4.8768, 4.8764, 4.8761, 4.8759, 4.875, 4.8745, 4.8738, 4.8735, 4.8734, 4.8732, 3.9851, 4.5226, 4.0075, 3.6928, 3.7474, 4.384, 3.0343, 3.052, 2.3027, 2.5197, 3.4665, 4.9129, 4.9127, 4.9123, 4.9122, 4.9115, 4.9113, 4.9089, 4.9079, 4.9076, 4.9071, 4.906, 4.9055, 4.9051, 4.9047, 4.904, 4.9035, 4.9028, 4.9025, 4.9022, 4.9014, 4.9012, 4.9007, 4.9002, 4.9001, 4.8999, 4.8996, 4.898, 4.8973, 4.8962, 4.8962, 4.8703, 4.6234, 4.789, 4.8064, 3.7753, 2.7614, 4.0984, 3.9558, 2.7557, 3.1846, 2.9576, 2.5903, 2.1219, 1.8167, 1.431, 1.2559, 0.4879, 4.9149, 4.9144, 4.9142, 4.9141, 4.9135, 4.913, 4.9127, 4.9123, 4.9121, 4.9101, 4.9089, 4.9068, 4.9067, 4.9053, 4.905, 4.9043, 4.9039, 4.9032, 4.9022, 4.9022, 4.9021, 4.9021, 4.9005, 4.9003, 4.9002, 4.899, 4.8976, 4.8975, 4.8968, 4.8963, 4.8798, 4.7606, 4.7284, 4.6983, 4.4347, 4.1374, 4.6844, 4.5942, 4.3908, 3.783, 3.7857, 2.5266, 3.1282, 2.8726, 4.932, 4.9316, 4.9301, 4.9296, 4.9295, 4.9295, 4.9291, 4.9291, 4.928, 4.9274, 4.927, 4.9266, 4.9263, 4.9244, 4.9244, 4.9244, 4.9239, 4.9233, 4.9231, 4.9229, 4.9223, 4.9218, 4.9208, 4.9199, 4.9195, 4.919, 4.9188, 4.9187, 4.9187, 4.9181, 4.8698, 4.8318, 4.8197, 4.8278, 4.7795, 4.219, 4.7173, 4.7453, 4.2019, 3.8961, 4.17, 4.5839, 3.6122, 4.9438, 4.9431, 4.9431, 4.9425, 4.9417, 4.9413, 4.9399, 4.9398, 4.9389, 4.9386, 4.9384, 4.9371, 4.9367, 4.9362, 4.9359, 4.9358, 4.9357, 4.9353, 4.9342, 4.9342, 4.9333, 4.9328, 4.9323, 4.9316, 4.9315, 4.9313, 4.9304, 4.9302, 4.9301, 4.93, 4.8227, 4.7736, 4.5484, 4.6018, 4.6443, 4.7669, 4.1804, 4.1848, 4.5139, 4.3488, 3.638, 4.6009, 2.9488, 3.4239, 1.703, 3.7629, 4.9513, 4.9498, 4.9494, 4.9494, 4.9494, 4.9493, 4.9487, 4.9484, 4.9483, 4.9482, 4.9482, 4.9478, 4.9475, 4.9473, 4.9471, 4.947, 4.9467, 4.9467, 4.9466, 4.9464, 4.9463, 4.9462, 4.9455, 4.9449, 4.9445, 4.9443, 4.9441, 4.9441, 4.9438, 4.9437, 4.9053, 4.6327, 4.7647, 4.7221, 4.0636, 3.3891, 4.9728, 4.9716, 4.9711, 4.9705, 4.97, 4.97, 4.9699, 4.9694, 4.9694, 4.9689, 4.9684, 4.9681, 4.9677, 4.9677, 4.9666, 4.9659, 4.9658, 4.9652, 4.9649, 4.9645, 4.9643, 4.9641, 4.964, 4.9633, 4.9631, 4.9626, 4.9616, 4.9587, 4.9584, 4.9577, 4.8879, 4.8544, 4.9347, 4.7507, 4.752, 4.8104, 4.8161, 4.4082, 2.6737, 4.9809, 4.9806, 4.9801, 4.9801, 4.9797, 4.978, 4.9777, 4.9769, 4.9752, 4.9748, 4.9745, 4.9733, 4.972, 4.9707, 4.9696, 4.9687, 4.9683, 4.9683, 4.968, 4.9677, 4.9677, 4.9666, 4.964, 4.9639, 4.9632, 4.963, 4.9612, 4.9609, 4.9602, 4.9594, 4.924, 4.8498, 4.5234, 4.5596, 4.207, 4.6285, 4.6386, 3.1364, 4.3088, 3.7282, 2.8388, 2.3237, 3.758, 2.9134, 1.794, 4.9849, 4.9846, 4.9842, 4.9839, 4.9829, 4.9826, 4.9819, 4.9814, 4.9812, 4.9812, 4.981, 4.9809, 4.98, 4.9799, 4.9797, 4.9797, 4.9794, 4.9791, 4.979, 4.9787, 4.9777, 4.9766, 4.9757, 4.9752, 4.9752, 4.9744, 4.974, 4.973, 4.973, 4.9728, 4.892, 4.9188, 3.4041, 4.3283, 3.1597, 3.5776, 3.6947, 2.2224, 4.9889, 4.9886, 4.9884, 4.988, 4.9875, 4.9874, 4.9868, 4.9863, 4.9862, 4.9859, 4.9858, 4.9858, 4.9857, 4.9857, 4.985, 4.9845, 4.9842, 4.9838, 4.9835, 4.9834, 4.9832, 4.9829, 4.981, 4.9802, 4.98, 4.9798, 4.9797, 4.9795, 4.9792, 4.9791, 4.9276, 4.9163, 4.8217, 4.5001, 4.3012, 2.654, 4.9915, 4.9913, 4.9903, 4.9903, 4.9899, 4.9898, 4.9878, 4.9872, 4.9863, 4.9859, 4.9859, 4.9856, 4.9851, 4.9845, 4.9841, 4.9841, 4.9836, 4.9832, 4.9825, 4.9813, 4.981, 4.9806, 4.9799, 4.9789, 4.978, 4.977, 4.9762, 4.976, 4.9751, 4.9746, 4.9047, 4.9322, 4.837, 4.8483, 4.8318, 4.7142, 4.741, 4.4448, 4.3238, 4.497, 1.9012, 2.9769, 0.5603, 4.999, 4.9973, 4.9953, 4.994, 4.9924, 4.9912, 4.9903, 4.9891, 4.985, 4.9846, 4.984, 4.9835, 4.9835, 4.9825, 4.9823, 4.982, 4.9806, 4.979, 4.979, 4.9787, 4.9785, 4.9768, 4.976, 4.9756, 4.9754, 4.9743, 4.9732, 4.9728, 4.9722, 4.9686, 4.7984, 4.9011, 4.6089, 4.4179, 4.3824, 3.7627, 4.6072, 2.5883, 3.3106, 3.4609, 1.1613, 1.4827, 3.9963, 3.804, 2.604, 1.2014, 2.7183, 1.8192, 0.6612, 1.9859, 2.1473, 2.6935, -0.1049, 1.2863, 1.4968, 5.0004, 4.9992, 4.9981, 4.998, 4.9978, 4.9976, 4.9976, 4.9971, 4.9969, 4.9966, 4.9964, 4.9961, 4.994, 4.9935, 4.9916, 4.9916, 4.991, 4.9906, 4.99, 4.9896, 4.9894, 4.9889, 4.9886, 4.9862, 4.9862, 4.9861, 4.9859, 4.9858, 4.9857, 4.9855, 4.945, 4.8301, 4.93, 4.9142, 4.8726, 4.6886, 4.8471, 4.8221, 4.584, 4.2149, 4.6549, 4.1659, 3.1647, 2.9221, 5.0067, 5.006, 5.0034, 5.0031, 5.0017, 5.0016, 5.0013, 5.0005, 5.0003, 4.998, 4.9977, 4.9975, 4.9963, 4.9959, 4.9957, 4.9939, 4.9938, 4.9904, 4.9897, 4.9895, 4.989, 4.9877, 4.9876, 4.9875, 4.986, 4.986, 4.9852, 4.9844, 4.9844, 4.9842, 4.943, 4.7772, 4.4871, 4.3934, 4.5716, 4.8468, 4.5239, 4.702, 4.4399, 3.5551, 3.3663, 2.7734, 4.6245, 3.5632, 3.4048, 3.979, 3.45, 2.9353, 2.8446, 2.0835, 2.1952, 2.5741, 5.0139, 5.0138, 5.0133, 5.0126, 5.0122, 5.0113, 5.0111, 5.0106, 5.0102, 5.0093, 5.0087, 5.0082, 5.0079, 5.0079, 5.0077, 5.0076, 5.0075, 5.0072, 5.0071, 5.006, 5.006, 5.0053, 5.0052, 5.0052, 5.0051, 5.0049, 5.0046, 5.0044, 5.0043, 5.0033, 4.8851, 4.7957, 4.961, 2.4774, 2.9341, 3.49, 1.7325, 5.0464, 5.0455, 5.0455, 5.0438, 5.0433, 5.0412, 5.0412, 5.0401, 5.0397, 5.039, 5.0387, 5.0383, 5.0373, 5.0358, 5.0356, 5.0353, 5.035, 5.0346, 5.0344, 5.034, 5.0339, 5.0338, 5.0336, 5.0335, 5.0332, 5.0327, 5.032, 5.0315, 5.0314, 5.0311, 5.0123, 4.7833, 4.5818, 4.2746, 4.7815, 2.9176, 3.9704, 3.5096, 2.725, 3.6996, 2.8534, 3.3411, 2.7337, 1.2131, 2.3604, 2.0791, 1.4415, 5.0582, 5.0578, 5.057, 5.0568, 5.056, 5.0554, 5.0545, 5.0541, 5.0537, 5.0536, 5.0536, 5.0535, 5.053, 5.0528, 5.0525, 5.0524, 5.052, 5.0509, 5.0504, 5.0498, 5.0498, 5.0498, 5.0498, 5.0494, 5.0487, 5.0484, 5.0483, 5.0481, 5.048, 5.0477, 4.9792, 4.8894, 4.6524, 3.953, 3.1753, 5.0589, 5.0589, 5.0589, 5.0584, 5.0583, 5.0582, 5.0574, 5.0574, 5.056, 5.0557, 5.0548, 5.0542, 5.0541, 5.054, 5.0538, 5.0533, 5.0532, 5.0527, 5.0523, 5.0515, 5.0515, 5.0513, 5.0513, 5.0508, 5.0507, 5.0506, 5.0504, 5.0502, 5.0499, 5.0494, 4.8841, 4.5215, 4.8798, 5.0699, 5.0694, 5.0692, 5.069, 5.0687, 5.0685, 5.0684, 5.0683, 5.0679, 5.0676, 5.0674, 5.0663, 5.0662, 5.066, 5.0656, 5.0656, 5.0656, 5.0655, 5.0654, 5.0645, 5.0642, 5.0639, 5.0628, 5.0621, 5.062, 5.062, 5.0619, 5.0618, 5.0615, 5.061, 4.9822, 4.988, 4.9063, 4.6713, 4.8348, 4.135, 3.6086, 2.7525, 3.2524, 4.0896, 1.8945, 5.08, 5.0785, 5.0777, 5.0776, 5.0769, 5.0769, 5.0754, 5.0745, 5.0736, 5.0731, 5.0726, 5.072, 5.0711, 5.0705, 5.0684, 5.0676, 5.0669, 5.0662, 5.0659, 5.0659, 5.0656, 5.0656, 5.0648, 5.0643, 5.0639, 5.0637, 5.0635, 5.0617, 5.0609, 5.0605, 5.0409, 4.9775, 4.8169, 4.996, 4.6174, 4.7417, 3.6961, 4.6928, 3.2286, 4.4941, 3.0176, 2.7706, 4.2411, 1.8454, 2.9921, 3.6893, 5.0869, 5.086, 5.0856, 5.0842, 5.0836, 5.0835, 5.0827, 5.0827, 5.0825, 5.0821, 5.0816, 5.0813, 5.0812, 5.0811, 5.0806, 5.0803, 5.0792, 5.079, 5.0776, 5.0751, 5.075, 5.0748, 5.0738, 5.0737, 5.0737, 5.0729, 5.0726, 5.0718, 5.0718, 5.0714, 5.0477, 4.9669, 4.8543, 4.8564, 4.8702, 4.5801, 4.9115, 4.9126, 3.3979, 2.5128, 2.0596, 3.3404, 2.405, 2.626, 5.1113, 5.1103, 5.1101, 5.1099, 5.1094, 5.1087, 5.1084, 5.1078, 5.1072, 5.1058, 5.1058, 5.1057, 5.1057, 5.105, 5.1047, 5.1045, 5.1037, 5.1036, 5.1034, 5.1025, 5.1023, 5.1022, 5.102, 5.1017, 5.1011, 5.101, 5.1004, 5.0997, 5.0996, 5.0992, 5.0206, 4.9513, 4.7974, 4.9304, 4.8007, 4.8439, 4.4074, 4.4994, 5.1129, 5.1126, 5.1126, 5.1126, 5.1119, 5.1114, 5.1112, 5.1109, 5.1104, 5.1098, 5.1096, 5.1081, 5.1081, 5.1074, 5.1067, 5.1065, 5.1062, 5.1055, 5.1047, 5.1044, 5.1041, 5.1027, 5.1022, 5.1021, 5.102, 5.1017, 5.0992, 5.0991, 5.0991, 5.0989, 5.0343, 4.5224, 4.3926, 3.6105, 3.7965, 2.2091, 4.5911, 1.7953, 5.1243, 5.1239, 5.1238, 5.1237, 5.1236, 5.1236, 5.123, 5.1222, 5.1207, 5.1205, 5.1205, 5.1196, 5.1179, 5.1179, 5.1178, 5.1175, 5.1168, 5.1165, 5.1163, 5.1163, 5.1161, 5.1154, 5.1153, 5.1153, 5.1148, 5.1141, 5.1141, 5.1131, 5.1124, 5.1121, 4.6259, 4.6395, 4.8686, 3.2274, 3.6883, 4.4583, 5.1301, 5.1293, 5.1288, 5.1282, 5.1266, 5.1261, 5.1251, 5.125, 5.1245, 5.124, 5.1238, 5.1233, 5.1231, 5.1231, 5.1223, 5.1222, 5.122, 5.1219, 5.1212, 5.121, 5.1208, 5.1208, 5.1204, 5.1203, 5.1202, 5.1201, 5.1201, 5.12, 5.1192, 5.1189, 5.1109, 4.8186, 4.9945, 4.9704, 4.5964, 4.6209, 4.9864, 3.9437, 4.0885, 3.0503, 3.3798, 2.2574, 5.1324, 5.1319, 5.1316, 5.1315, 5.1313, 5.1311, 5.131, 5.1305, 5.1296, 5.1294, 5.1287, 5.1281, 5.1269, 5.1264, 5.1261, 5.1256, 5.1249, 5.1248, 5.1229, 5.1224, 5.1221, 5.1221, 5.1218, 5.121, 5.1204, 5.1193, 5.1189, 5.1167, 5.1163, 5.1147, 5.0687, 4.9961, 4.9168, 5.0037, 4.8146, 2.5483, 3.684, 2.7697, 4.03, 5.1509, 5.1507, 5.1505, 5.1497, 5.1497, 5.1497, 5.1474, 5.147, 5.1468, 5.1457, 5.1456, 5.1455, 5.1453, 5.1451, 5.145, 5.1443, 5.144, 5.1439, 5.1426, 5.1422, 5.142, 5.1409, 5.1397, 5.1395, 5.1389, 5.1385, 5.1383, 5.1383, 5.1382, 5.1381, 5.0671, 4.3894, 4.8476, 4.5701, 3.7262, 1.5739, 5.158, 5.157, 5.1555, 5.1546, 5.1546, 5.1545, 5.1544, 5.1544, 5.1536, 5.1533, 5.1533, 5.1517, 5.1516, 5.1512, 5.1512, 5.1509, 5.1505, 5.1495, 5.1485, 5.1484, 5.1482, 5.147, 5.1469, 5.1465, 5.1464, 5.1464, 5.1459, 5.1458, 5.1456, 5.1451, 5.1365, 5.1286, 5.1097, 5.085, 4.6527, 4.4133, 4.4264, 4.8084, 4.6189, 3.5971, 2.82, 3.4207, 5.169, 5.1685, 5.1682, 5.1671, 5.1671, 5.1667, 5.1665, 5.1657, 5.165, 5.1649, 5.1647, 5.1644, 5.1643, 5.1639, 5.1637, 5.1637, 5.1622, 5.1616, 5.1616, 5.1616, 5.1615, 5.1614, 5.1613, 5.1595, 5.1585, 5.1581, 5.157, 5.1565, 5.1558, 5.1556, 5.1262, 4.9843, 5.0444, 4.3687, 4.7123, 4.7568, 5.1804, 5.1801, 5.1792, 5.1767, 5.1763, 5.176, 5.1755, 5.1744, 5.1743, 5.1741, 5.1727, 5.1716, 5.1707, 5.1682, 5.1677, 5.167, 5.1664, 5.1657, 5.1655, 5.1649, 5.1642, 5.1636, 5.1635, 5.1629, 5.1615, 5.1615, 5.1596, 5.159, 5.1587, 5.1586, 5.1342, 5.1451, 5.0997, 5.0047, 4.9119, 4.9552, 4.5477, 3.8445, 3.4156, 4.9194, 2.6879, 3.3405, 4.4752, 2.239, 3.0712, 2.5807, 5.1819, 5.1805, 5.1801, 5.1796, 5.1794, 5.1792, 5.1791, 5.1788, 5.1785, 5.1776, 5.1761, 5.1759, 5.1751, 5.1743, 5.1739, 5.1734, 5.1731, 5.1728, 5.1726, 5.172, 5.172, 5.1718, 5.1715, 5.1714, 5.1712, 5.1708, 5.1708, 5.1707, 5.1703, 5.1702, 5.1318, 5.1184, 5.1251, 5.0744, 4.8759, 4.694, 4.712, 4.7041, 3.5915, 4.8811, 5.2075, 5.2067, 5.2066, 5.2057, 5.2049, 5.2042, 5.2035, 5.2016, 5.2015, 5.2014, 5.2011, 5.201, 5.2002, 5.1988, 5.1986, 5.1984, 5.1975, 5.1971, 5.1965, 5.1954, 5.195, 5.1945, 5.1944, 5.1944, 5.1943, 5.1939, 5.1937, 5.1934, 5.1917, 5.1916, 5.0141, 5.0782, 4.5263, 5.0038, 4.6863, 3.6352, 4.9868, 2.794, 1.82, 5.2124, 5.2122, 5.2114, 5.211, 5.2107, 5.2097, 5.2093, 5.2084, 5.2084, 5.2061, 5.2058, 5.2057, 5.205, 5.2047, 5.2038, 5.2031, 5.2023, 5.2018, 5.2016, 5.2004, 5.1984, 5.1984, 5.1974, 5.1964, 5.1958, 5.1953, 5.1933, 5.1924, 5.1916, 5.1905, 5.1655, 5.1049, 5.0517, 5.0145, 4.8889, 4.2765, 4.8931, 4.7195, 3.5311, 4.3706, 5.2346, 5.2343, 5.234, 5.2326, 5.2318, 5.2306, 5.2298, 5.2284, 5.2252, 5.2241, 5.2241, 5.2237, 5.2235, 5.2234, 5.2228, 5.2226, 5.2217, 5.2204, 5.2193, 5.2179, 5.2179, 5.2174, 5.2173, 5.2164, 5.2152, 5.2137, 5.2136, 5.2133, 5.213, 5.2125, 5.1821, 5.1259, 5.1723, 4.9817, 4.1297, 4.6284, 4.6758, 3.4322, 3.1281, 4.0284, 3.1265, 3.1482, 2.7951, 2.5854, 1.6723, 2.7956, 3.5636, 2.8473, 1.6862, 2.0181, 5.2473, 5.2469, 5.2465, 5.246, 5.2445, 5.2434, 5.2432, 5.2403, 5.2403, 5.24, 5.2392, 5.2387, 5.238, 5.238, 5.2377, 5.2376, 5.237, 5.2355, 5.2354, 5.2347, 5.2346, 5.2344, 5.2329, 5.2324, 5.2324, 5.232, 5.232, 5.2319, 5.2316, 5.2315, 5.0078, 4.9671, 4.6841, 2.8, 2.5213, 3.0434, 1.7851, 5.2486, 5.2482, 5.2481, 5.2474, 5.2471, 5.2471, 5.2465, 5.2461, 5.2458, 5.2454, 5.2452, 5.2449, 5.2447, 5.2446, 5.2441, 5.2435, 5.2434, 5.2433, 5.2432, 5.2427, 5.2426, 5.2426, 5.2424, 5.242, 5.2418, 5.2412, 5.2411, 5.2409, 5.2397, 5.239, 5.2025, 5.0879, 5.1238, 4.6458, 5.324, 5.3231, 5.3228, 5.3228, 5.3224, 5.3219, 5.3207, 5.3194, 5.319, 5.319, 5.317, 5.3169, 5.3159, 5.3158, 5.3152, 5.3148, 5.3142, 5.3142, 5.3127, 5.3123, 5.3116, 5.31, 5.309, 5.3086, 5.3085, 5.3084, 5.3064, 5.3062, 5.3056, 5.3044, 5.2115, 5.2694, 5.0552, 5.2287, 4.5823, 5.1887, 4.9325, 4.8009, 2.876, 4.7648, 2.2049, 5.3924, 5.392, 5.3914, 5.3907, 5.3904, 5.3899, 5.3892, 5.3883, 5.3882, 5.3873, 5.3868, 5.3868, 5.3865, 5.3864, 5.3863, 5.3861, 5.3856, 5.3855, 5.3853, 5.3847, 5.3843, 5.3841, 5.3831, 5.3825, 5.382, 5.3817, 5.38, 5.38, 5.3798, 5.3795, 5.197, 5.3296, 5.0153, 5.0288, 4.5829, 4.95, 3.9962, 2.0165, 5.4047, 5.4046, 5.4027, 5.4022, 5.4006, 5.4004, 5.3998, 5.3998, 5.3988, 5.3964, 5.3963, 5.3955, 5.3952, 5.3933, 5.3932, 5.3931, 5.3929, 5.3915, 5.3899, 5.3889, 5.3877, 5.3873, 5.3871, 5.3864, 5.3863, 5.3863, 5.3854, 5.3844, 5.3839, 5.3835, 5.3329, 5.1391, 5.0483, 4.9359, 4.5965, 3.517, 3.596, 1.9813, 2.2744, 2.7631, 5.4046, 5.4045, 5.4042, 5.4032, 5.4016, 5.3999, 5.3979, 5.3961, 5.3939, 5.3925, 5.3908, 5.3894, 5.3893, 5.3891, 5.3886, 5.3886, 5.3885, 5.3868, 5.3836, 5.3833, 5.3824, 5.3803, 5.3802, 5.3801, 5.3794, 5.3793, 5.3778, 5.3759, 5.3755, 5.3749, 5.343, 5.1077, 5.06, 5.1374, 4.6796, 5.0957, 4.8016, 5.007, 4.9174, 4.9879, 4.7222, 4.6143, 3.625, 4.5055, 4.1183, 2.7448, 2.8222, 3.739, 2.9664, 4.8826, 5.4195, 5.4195, 5.419, 5.4184, 5.4181, 5.4171, 5.4171, 5.4167, 5.4165, 5.4163, 5.4151, 5.415, 5.4148, 5.4145, 5.4145, 5.4139, 5.4126, 5.4122, 5.4122, 5.4116, 5.411, 5.4108, 5.4091, 5.4082, 5.4081, 5.4081, 5.408, 5.4079, 5.4073, 5.4067, 5.0138, 4.7945, 4.6354, 5.1049, 4.9344, 3.6729, 5.4291, 5.4279, 5.4272, 5.427, 5.4269, 5.4263, 5.4262, 5.426, 5.4249, 5.4243, 5.4233, 5.4232, 5.4229, 5.4225, 5.4222, 5.4189, 5.4176, 5.4176, 5.4162, 5.4151, 5.4142, 5.4141, 5.4134, 5.4128, 5.4124, 5.4121, 5.4118, 5.4105, 5.4102, 5.4087, 5.4021, 5.3368, 5.2598, 4.8402, 5.0304, 5.1655, 5.2425, 3.8359, 4.7947, 2.8944, 3.5108, 2.6344, 1.9902, 5.4379, 5.4369, 5.4366, 5.4361, 5.4358, 5.4354, 5.4352, 5.4345, 5.4336, 5.4319, 5.4315, 5.4311, 5.4307, 5.4306, 5.428, 5.427, 5.4266, 5.4265, 5.4265, 5.4258, 5.4255, 5.4249, 5.4249, 5.4245, 5.4243, 5.423, 5.4229, 5.4229, 5.4215, 5.421, 5.1365, 5.0683, 4.5708, 3.3552, 5.0421, 5.4748, 5.4745, 5.4739, 5.4721, 5.4716, 5.4702, 5.4698, 5.4697, 5.4696, 5.4682, 5.4676, 5.4675, 5.4674, 5.4671, 5.4649, 5.4647, 5.4645, 5.4641, 5.4639, 5.4632, 5.4629, 5.4622, 5.461, 5.4607, 5.4606, 5.4599, 5.4595, 5.4586, 5.457, 5.4563, 5.4511, 5.4009, 5.3067, 5.3553, 5.0675, 4.8482, 3.274, 2.8954, 5.4788, 5.478, 5.4779, 5.477, 5.4762, 5.4758, 5.4757, 5.4729, 5.4726, 5.4715, 5.4713, 5.4705, 5.4703, 5.4689, 5.4688, 5.4684, 5.4679, 5.4669, 5.465, 5.4649, 5.4649, 5.464, 5.4639, 5.4636, 5.4635, 5.4614, 5.4614, 5.4606, 5.4605, 5.4604, 5.1526, 5.0806, 4.9133, 5.0718, 4.8834, 2.3047, 5.4971, 5.4968, 5.4956, 5.4947, 5.4929, 5.4866, 5.4862, 5.486, 5.484, 5.4828, 5.4819, 5.4818, 5.4808, 5.4801, 5.4783, 5.4773, 5.4756, 5.473, 5.4709, 5.4697, 5.469, 5.4685, 5.4679, 5.4673, 5.4663, 5.4622, 5.458, 5.4569, 5.456, 5.4547, 5.3278, 5.2745, 5.221, 3.8608, 4.914, 3.4235, 2.6254, 3.9521, 2.9852, 2.7608, 2.5222, 2.0099, 3.7567, 2.4933, 1.6034, 5.5409, 5.539, 5.5373, 5.5363, 5.5361, 5.536, 5.5352, 5.5348, 5.5322, 5.5321, 5.5302, 5.5297, 5.5295, 5.5289, 5.5275, 5.5274, 5.5272, 5.5269, 5.5257, 5.5256, 5.5253, 5.5242, 5.5235, 5.5195, 5.5193, 5.5191, 5.519, 5.518, 5.5172, 5.516, 5.483, 5.3132, 5.1612, 5.2738, 5.1272, 5.2784, 3.7979, 3.0726, 3.5446, 5.6023, 5.6017, 5.5945, 5.5942, 5.5906, 5.5902, 5.5877, 5.5869, 5.5852, 5.5808, 5.5805, 5.579, 5.5776, 5.5729, 5.5709, 5.5705, 5.5703, 5.5679, 5.5658, 5.5645, 5.5644, 5.5639, 5.5631, 5.5626, 5.5622, 5.5619, 5.5619, 5.553, 5.5476, 5.5467, 5.4378, 5.0825, 5.1038, 4.7548, 5.3126, 5.315, 4.9706, 3.1519, 3.6047, 2.9959, 3.4865, 2.5195, 1.5464, 2.1743, 0.4217, 2.6117, 2.6894, 2.7045, 5.7607, 5.7601, 5.7598, 5.759, 5.7586, 5.7585, 5.7556, 5.7552, 5.7545, 5.7541, 5.7534, 5.7534, 5.7529, 5.7528, 5.7526, 5.7501, 5.7493, 5.7482, 5.7479, 5.7471, 5.7466, 5.7465, 5.7443, 5.744, 5.7405, 5.7401, 5.738, 5.7377, 5.7372, 5.737, 5.7269, 5.6175, 5.4832, 5.4092, 5.1336, 5.6699, 5.134], \"logprob\": [30.0, 29.0, 28.0, 27.0, 26.0, 25.0, 24.0, 23.0, 22.0, 21.0, 20.0, 19.0, 18.0, 17.0, 16.0, 15.0, 14.0, 13.0, 12.0, 11.0, 10.0, 9.0, 8.0, 7.0, 6.0, 5.0, 4.0, 3.0, 2.0, 1.0, -4.9572, -5.1469, -5.1614, -5.5726, -6.0177, -6.1425, -6.2532, -6.3675, -6.452, -6.5495, -6.6097, -6.6519, -6.6924, -6.6998, -6.7039, -6.7922, -6.8537, -6.9067, -6.9197, -6.9903, -7.0255, -7.0397, -7.0437, -7.0875, -7.0898, -7.0937, -7.1075, -7.1386, -7.188, -7.238, -5.8812, -5.7599, -5.0478, -6.6627, -6.7344, -5.7409, -6.7233, -4.1048, -4.45, -5.394, -4.4435, -4.7175, -2.7102, -6.3356, -4.7161, -5.241, -5.3659, -4.5578, -4.9805, -5.0435, -4.9254, -4.1452, -4.5794, -4.9059, -4.9793, -5.5653, -4.6643, -5.312, -5.3123, -5.1702, -4.4915, -4.5195, -4.3564, -5.0216, -5.1065, -5.0141, -4.8577, -4.9606, -5.0755, -4.9952, -5.093, -5.1489, -4.9067, -4.923, -5.1867, -5.5344, -5.6727, -5.7313, -5.8057, -6.0072, -6.1665, -6.2284, -6.2789, -6.2822, -6.4606, -6.504, -6.5342, -6.5652, -6.59, -6.5961, -6.5993, -6.7636, -6.7789, -6.8062, -6.9761, -7.0439, -7.0694, -7.0764, -7.0801, -7.1127, -7.1612, -7.1956, -3.1917, -4.5384, -5.0939, -6.1925, -6.2557, -4.1739, -6.612, -5.9097, -5.2185, -6.1228, -5.8677, -4.1607, -5.1242, -5.136, -6.1523, -5.2041, -5.3189, -5.8678, -4.2946, -4.839, -5.0765, -4.6785, -4.5786, -4.8622, -4.1778, -5.376, -4.7624, -5.3102, -4.9659, -4.892, -5.1087, -4.4773, -4.8919, -4.5107, -4.5225, -4.5411, -4.9035, -4.5299, -4.656, -4.7247, -4.97, -5.0356, -5.083, -5.1062, -4.9558, -4.9747, -5.3779, -5.801, -5.8073, -6.0075, -6.102, -6.1679, -6.2142, -6.2873, -6.331, -6.3463, -6.4661, -6.5259, -6.5302, -6.6561, -6.6838, -6.7121, -6.7746, -6.8166, -6.8688, -6.8711, -6.9298, -7.0035, -7.0234, -7.1067, -7.1262, -7.1279, -7.1308, -7.147, -6.3565, -6.2137, -5.0032, -3.3516, -4.028, -6.3427, -6.0388, -6.0011, -5.1387, -5.0633, -4.914, -5.4864, -4.703, -4.9774, -3.9883, -4.5877, -5.2565, -4.2733, -5.2107, -4.1598, -4.5335, -4.57, -4.6739, -4.5141, -4.8294, -4.9291, -4.899, -4.6826, -4.49, -4.9337, -4.5779, -5.1148, -4.6712, -4.8845, -4.949, -4.9522, -4.946, -5.0178, -3.8825, -5.0013, -5.0685, -5.1206, -5.1484, -5.3942, -5.4292, -5.441, -5.5028, -5.7626, -5.7978, -5.8389, -5.9578, -6.0501, -6.1469, -6.2732, -6.3671, -6.3694, -6.4746, -6.4773, -6.4832, -6.5375, -6.6108, -6.7487, -6.7777, -6.812, -6.8326, -6.8509, -6.8529, -6.8542, -3.2309, -5.9286, -6.2086, -3.8419, -5.2916, -4.1406, -3.1857, -5.2559, -4.8137, -5.7356, -5.6429, -5.5113, -2.5094, -4.2167, -4.8197, -4.7188, -5.1541, -4.3793, -4.6563, -4.8215, -3.7784, -4.9519, -4.3669, -5.1281, -4.5273, -4.3923, -4.534, -4.644, -4.7099, -4.8093, -4.73, -5.0627, -5.0224, -5.1048, -5.0772, -5.1016, -4.5841, -4.5902, -4.6879, -4.7527, -4.8323, -4.9229, -5.0762, -5.157, -5.221, -5.4166, -5.5786, -5.586, -5.5906, -5.7108, -5.8144, -5.8411, -5.8554, -5.8797, -5.9045, -5.9216, -5.9347, -5.9589, -6.0287, -6.0362, -6.0622, -6.0677, -6.0759, -6.1109, -6.1174, -6.2532, -4.5921, -5.3929, -5.0316, -3.9256, -4.7708, -5.2593, -4.6441, -5.3457, -4.572, -5.3324, -5.5855, -5.5173, -4.9686, -5.0589, -5.292, -5.3009, -4.7891, -5.2751, -4.4833, -4.7284, -5.166, -5.1198, -4.9228, -5.0338, -5.0072, -5.0916, -5.9788, -6.042, -6.2516, -6.3068, -6.4073, -6.4885, -6.5285, -6.7593, -6.8083, -6.9106, -7.0147, -7.0166, -7.0199, -7.0503, -7.0993, -7.1002, -7.1297, -7.2544, -7.3467, -7.3546, -7.3611, -7.4128, -7.5622, -7.6081, -7.6207, -7.6239, -7.6385, -7.6634, -1.9293, -3.9114, -6.3244, -7.1117, -5.6167, -5.3255, -3.9174, -6.4263, -3.9671, -5.8425, -3.5983, -5.1656, -4.791, -5.8708, -6.1015, -3.8496, -4.6933, -5.2238, -4.9618, -5.2899, -5.1992, -4.3636, -5.4766, -5.3744, -4.7962, -4.5156, -5.3484, -4.649, -4.6499, -5.0431, -4.6761, -5.1784, -4.8466, -4.8596, -4.9337, -5.0853, -5.1065, -4.9919, -4.9721, -5.1245, -5.1436, -5.1738, -3.8672, -4.4307, -5.2394, -5.2491, -3.6824, -5.3116, -5.3502, -5.4175, -5.4242, -5.466, -5.4922, -5.516, -5.5908, -5.6013, -5.6266, -5.656, -5.6792, -5.7269, -5.7386, -5.7398, -5.7545, -5.7673, -5.7889, -5.7959, -5.8292, -5.8383, -5.8434, -5.858, -5.8785, -5.9657, -5.048, -3.0783, -5.073, -4.6835, -4.8029, -5.6031, -4.4626, -3.3913, -3.9179, -5.2742, -4.4034, -5.2058, -4.3208, -5.1541, -5.3814, -4.6993, -5.0968, -4.8485, -5.1949, -4.9615, -5.0808, -5.0147, -5.2221, -4.975, -5.2578, -5.2958, -5.288, -5.2869, -3.5145, -3.9383, -4.1603, -4.3921, -4.7427, -4.7436, -4.9636, -5.1317, -5.2665, -5.3007, -5.3055, -5.3373, -5.3644, -5.374, -5.4333, -5.4508, -5.4518, -5.4791, -5.5021, -5.5838, -5.6823, -5.6979, -5.7048, -5.772, -5.8414, -5.8547, -5.9078, -5.9121, -6.0211, -6.0544, -2.4361, -5.5299, -4.524, -4.814, -3.5646, -4.626, -5.1764, -4.6386, -3.8616, -4.3675, -4.4698, -4.17, -4.8473, -5.0476, -4.9475, -4.9496, -5.0669, -5.0358, -5.0962, -5.0333, -5.1477, -5.0347, -5.1889, -2.94, -5.2584, -5.3643, -5.3664, -5.5664, -5.6284, -5.6614, -5.7704, -5.7984, -5.9337, -5.6759, -6.3084, -6.3344, -6.3697, -6.4683, -6.5033, -6.5199, -6.5222, -6.5947, -6.6085, -6.6202, -6.7059, -6.7145, -6.7154, -6.8542, -6.8795, -6.8894, -6.9788, -7.0008, -7.1021, -4.3463, -5.053, -3.8814, -6.3009, -5.2412, -5.7731, -5.5027, -6.2177, -5.7287, -3.898, -6.3322, -5.2437, -4.2626, -5.4878, -5.1089, -5.488, -5.7149, -4.252, -5.3206, -4.8295, -4.3816, -3.9346, -3.4014, -4.0881, -4.1439, -4.6764, -5.0192, -5.1917, -4.7564, -4.4994, -4.444, -4.6564, -4.8712, -4.8563, -4.8578, -4.6828, -4.9881, -4.8685, -5.0884, -4.988, -5.1182, -5.1018, -3.5187, -3.7293, -3.7306, -4.0378, -4.2439, -4.3351, -4.4007, -4.5497, -4.6102, -4.6309, -4.6328, -4.6819, -4.6878, -4.7888, -4.9045, -4.9427, -4.9469, -4.9687, -5.0076, -5.0186, -5.0407, -5.0423, -5.0431, -5.0529, -5.0582, -5.1162, -5.129, -5.1528, -5.1933, -5.2217, -4.3519, -4.4138, -4.1302, -4.0922, -4.0795, -3.7729, -4.5034, -4.8691, -4.5614, -4.9321, -4.5991, -4.5534, -4.8501, -4.8553, -4.8487, -4.3701, -5.7876, -6.0892, -6.18, -6.2199, -6.2475, -6.3867, -6.5741, -6.6185, -6.7363, -7.0211, -7.045, -7.0554, -7.0625, -7.0626, -7.088, -7.2511, -7.2893, -7.3164, -7.3709, -7.3757, -7.3961, -7.4213, -7.4797, -7.49, -7.5209, -7.521, -7.534, -7.5395, -7.5491, -5.5911, -6.9097, -3.4034, -2.1894, -5.6826, -4.1663, -5.5152, -5.91, -3.4432, -6.5113, -4.6, -3.1258, -3.3939, -3.4422, -3.9771, -5.4517, -3.9607, -3.8168, -4.151, -5.0361, -4.1307, -5.3598, -4.9572, -4.4956, -5.4602, -5.3905, -5.0794, -5.5222, -5.3609, -4.6064, -4.7765, -4.7382, -4.9787, -5.1186, -4.9912, -5.0263, -5.0333, -5.1811, -5.3051, -5.3328, -5.3361, -2.5129, -3.9522, -4.0652, -4.3766, -4.5126, -4.6519, -4.6922, -4.7952, -4.8162, -4.8199, -4.9065, -4.9712, -4.9906, -5.0146, -5.0261, -5.0915, -5.1818, -5.2755, -5.3265, -5.3369, -5.3406, -5.3638, -5.4292, -5.5008, -5.5065, -5.5343, -5.5369, -5.5559, -5.565, -5.5757, -2.0713, -4.4694, -4.6143, -4.8666, -4.518, -5.2051, -4.6901, -5.0635, -4.4335, -4.7926, -4.8213, -4.4407, -4.7021, -4.403, -4.7248, -4.8545, -4.6816, -5.6198, -5.7399, -5.8556, -5.9488, -6.0735, -6.0832, -6.1603, -6.2891, -6.3453, -6.3768, -6.3952, -6.4258, -6.5288, -6.5585, -6.6284, -6.6806, -6.8823, -6.9361, -7.0876, -7.138, -7.1433, -7.1898, -7.2128, -7.2205, -7.2216, -7.2363, -7.2566, -7.2747, -7.2902, -2.1019, -5.1501, -5.9848, -4.4132, -5.152, -4.6522, -4.7162, -4.17, -3.7322, -3.7922, -4.0206, -3.7588, -4.2467, -3.8417, -4.7881, -4.5352, -4.0377, -4.7028, -4.0915, -5.2473, -4.4319, -4.2783, -4.4448, -5.0175, -5.1141, -5.2231, -5.1594, -4.985, -5.1929, -5.0687, -5.1097, -3.7897, -3.9686, -4.1657, -4.278, -4.3614, -4.4322, -4.4405, -4.5289, -4.5312, -4.4963, -4.6199, -4.7049, -4.8956, -4.983, -5.0855, -5.086, -5.1847, -5.249, -5.2589, -5.3915, -5.4293, -5.5047, -5.5076, -5.5194, -5.6395, -5.6955, -5.7349, -5.7664, -5.7774, -5.8997, -4.7876, -3.818, -4.0148, -3.6418, -4.5206, -3.2183, -4.8067, -4.9295, -4.8121, -5.1358, -3.1987, -4.3708, -4.514, -4.6648, -4.4924, -4.9305, -4.9689, -4.8211, -2.4623, -2.911, -3.5277, -4.0579, -4.1434, -4.2968, -4.7816, -4.8222, -4.8285, -5.0268, -5.0512, -5.12, -5.1777, -5.1907, -5.2447, -5.2976, -5.3926, -5.412, -5.4625, -5.4925, -5.5206, -5.5245, -5.5696, -5.6038, -5.8416, -5.8692, -5.8855, -5.8995, -5.9181, -5.9284, -1.9684, -4.1114, -5.1944, -4.3712, -4.3302, -5.4579, -4.1812, -4.2833, -4.4362, -4.6564, -4.6941, -4.943, -4.9264, -4.8158, -4.821, -4.8732, -5.1964, -3.957, -4.6838, -4.9056, -4.9844, -5.0171, -5.1015, -5.3421, -5.6067, -5.6253, -5.7844, -5.9335, -6.0562, -6.0943, -6.1145, -6.308, -6.4118, -6.5626, -6.5727, -6.6267, -6.6464, -6.6526, -6.6764, -6.7231, -6.7495, -6.7668, -6.7967, -6.8022, -6.8409, -6.8437, -6.8855, -5.4261, -4.8452, -5.7446, -5.1123, -3.6587, -4.7624, -5.0818, -4.6648, -5.3093, -3.3754, -5.6543, -5.2919, -4.1417, -4.2597, -4.1627, -4.4154, -3.0637, -4.5022, -5.3883, -3.622, -3.8418, -3.8821, -4.4897, -4.2036, -4.1895, -4.7618, -4.5765, -4.7695, -4.6658, -4.7485, -4.7748, -4.8505, -4.9794, -3.5958, -4.4406, -4.5908, -4.8273, -4.9825, -5.2124, -5.2344, -5.4881, -5.849, -5.8603, -6.0727, -6.0894, -6.1011, -6.2382, -6.2647, -6.3408, -6.3408, -6.3563, -6.3646, -6.4194, -6.4365, -6.4569, -6.4946, -6.562, -6.5682, -6.674, -6.676, -6.723, -6.7499, -6.7544, -5.5751, -3.818, -2.717, -4.4595, -3.3479, -4.9061, -3.1658, -4.3944, -4.1166, -4.9, -4.5941, -3.0049, -5.2733, -4.5746, -4.2711, -3.9944, -5.0272, -5.0546, -5.1621, -4.8847, -4.5278, -4.6432, -4.7528, -4.7736, -4.8054, -5.0731, -5.1278, -2.8109, -3.3387, -3.5239, -4.0095, -4.4722, -4.519, -4.7335, -5.0382, -5.0237, -5.2839, -5.3024, -5.4014, -5.4323, -5.4722, -5.475, -5.5035, -5.6083, -5.6308, -5.6516, -5.6767, -5.6868, -5.6972, -5.7167, -5.7714, -5.8361, -5.8651, -5.981, -6.0109, -6.0833, -6.0908, -3.3769, -4.5457, -4.9172, -5.5369, -4.122, -4.0093, -4.0173, -4.0661, -4.0503, -4.9245, -4.0848, -4.2229, -5.1815, -3.631, -4.7934, -4.7356, -5.2902, -4.9466, -4.7079, -4.8685, -4.9145, -4.8086, -4.9939, -5.1737, -3.6157, -5.3872, -5.5034, -5.5107, -5.5535, -6.0114, -6.0162, -6.2064, -6.3657, -6.4122, -6.4644, -6.4682, -6.4778, -6.4994, -6.5013, -6.625, -6.7377, -6.7731, -6.7736, -6.958, -6.9869, -6.9902, -7.03, -7.1283, -7.2444, -7.2555, -7.3394, -7.3644, -7.3838, -7.3848, -6.1048, -6.6805, -3.3786, -4.7888, -6.1216, -2.0097, -5.8909, -5.7389, -4.6609, -4.3032, -5.6308, -5.4739, -4.9063, -5.5012, -3.7609, -4.0254, -5.0327, -5.3762, -4.1106, -4.4952, -4.469, -5.9036, -4.2299, -5.0151, -4.5631, -4.4881, -4.9794, -5.0935, -4.7841, -4.8495, -5.1609, -5.2384, -5.0976, -5.1008, -5.2741, -5.3125, -5.3296, -5.2993, -5.3661, -2.5365, -4.063, -4.4932, -4.8602, -5.0012, -5.1544, -5.1556, -5.228, -5.2916, -5.3962, -5.4895, -5.533, -5.6369, -5.6853, -5.7167, -5.7554, -5.8036, -5.9348, -5.975, -5.9984, -6.0117, -6.0284, -6.0511, -6.065, -6.0945, -6.1442, -6.166, -6.1842, -6.2258, -6.2582, -2.1546, -2.7459, -5.3186, -4.6776, -5.4966, -4.9033, -3.7611, -5.3855, -3.5943, -4.3468, -5.7116, -5.0992, -3.7322, -4.5218, -4.7863, -4.8133, -4.6653, -5.1222, -4.898, -5.2717, -5.276, -5.2221, -5.3358, -5.3551, -5.3539, -3.1388, -4.2601, -4.5095, -4.7884, -5.3065, -5.4669, -5.4903, -5.6481, -5.6615, -5.5675, -5.729, -6.1971, -6.2244, -6.2661, -6.271, -6.3552, -6.3816, -6.4942, -6.5513, -6.7906, -6.9702, -7.0098, -7.0994, -7.2021, -7.2058, -7.2264, -7.2456, -7.2736, -7.2889, -7.3255, -3.8466, -5.1553, -3.9059, -6.498, -1.8969, -4.2704, -4.9922, -4.0627, -5.6939, -4.8141, -3.7147, -5.4371, -5.1008, -4.9714, -3.9386, -4.4628, -4.2918, -4.965, -5.5242, -5.1481, -4.9057, -5.0433, -4.9585, -5.0159, -4.9137, -5.1345, -5.1013, -5.2301, -5.1984, -5.2153, -5.229, -2.7779, -4.1686, -4.876, -5.0761, -5.1932, -5.2453, -5.5803, -5.6299, -5.6559, -5.687, -5.7069, -5.7711, -5.7989, -5.8416, -5.8762, -6.0104, -6.0246, -6.0599, -6.1123, -6.1359, -6.1696, -6.2119, -6.233, -6.2641, -6.3116, -6.3694, -6.3724, -6.3921, -6.4038, -6.4121, -4.2847, -2.7034, -4.5819, -4.6522, -4.0181, -4.4336, -3.4872, -5.3142, -4.3433, -5.3363, -5.5704, -3.5154, -4.4361, -3.9753, -4.092, -4.8635, -5.1976, -4.2675, -4.553, -4.5252, -4.7084, -4.7771, -4.4894, -5.0068, -4.3973, -4.4762, -5.0265, -4.7893, -4.829, -4.8518, -4.8822, -2.9479, -3.081, -3.1068, -3.2453, -3.7212, -4.1429, -4.1516, -4.389, -4.5733, -4.7151, -4.7376, -4.8615, -4.8937, -4.9377, -4.9582, -4.987, -5.0945, -5.1259, -5.1773, -5.1833, -5.1886, -5.292, -5.3043, -5.3489, -5.3655, -5.3891, -5.3983, -5.4338, -5.4432, -5.5075, -4.4242, -3.516, -3.7283, -4.2681, -4.5461, -4.5488, -4.911, -4.7203, -3.6214, -4.7723, -4.8775, -4.6031, -4.7636, -4.4582, -4.6606, -4.67, -4.8168, -4.8287, -4.9282, -4.9304, -4.9954, -5.0096, -5.0751, -5.5454, -5.562, -5.5771, -5.7011, -5.782, -5.8372, -5.8546, -5.8765, -5.917, -5.9264, -5.9639, -6.0207, -4.7367, -6.197, -6.314, -6.3249, -6.3531, -6.3697, -6.3779, -6.4502, -4.3871, -6.2553, -6.2669, -4.4832, -5.5326, -3.8614, -3.585, -4.1334, -5.3396, -3.9708, -4.2176, -4.325, -4.342, -4.3885, -4.8119, -4.9821, -4.3721, -4.5694, -4.8425, -4.7043, -5.0657, -4.9446, -4.9695, -4.9691, -2.2579, -5.1791, -5.2421, -5.4853, -5.6264, -5.6354, -6.113, -6.2326, -6.2927, -6.3712, -6.3757, -6.5091, -6.5592, -6.5671, -6.7209, -6.8826, -6.8887, -6.8984, -6.9213, -6.9377, -6.9531, -6.9772, -7.0414, -7.1095, -7.1161, -7.1779, -7.2029, -7.2054, -7.2212, -7.306, -5.6705, -3.7376, -5.4576, -3.9928, -5.5018, -5.9549, -2.7141, -5.903, -5.7283, -4.8955, -3.4418, -3.9745, -3.9651, -5.1146, -4.4593, -5.0158, -3.9271, -4.8881, -5.1592, -4.4615, -4.9334, -4.7461, -5.0579, -4.1282, -4.467, -4.5753, -4.8022, -4.7203, -4.9141, -4.8359, -4.6556, -4.62, -4.672, -4.8231, -4.9117, -4.9419, -5.0284, -4.0518, -4.2846, -4.6016, -4.6087, -4.7727, -4.7789, -4.782, -4.8589, -4.8967, -5.0854, -5.095, -5.1132, -5.2315, -5.2617, -5.3951, -5.4471, -5.4844, -5.4997, -5.5586, -5.564, -5.5898, -5.6104, -5.6351, -5.6569, -5.6639, -5.6934, -5.708, -5.7086, -5.7145, -5.7873, -4.9826, -2.7859, -4.8917, -3.8145, -4.3506, -4.0302, -4.8017, -4.9217, -4.2636, -4.9896, -5.0833, -5.1629, -4.681, -5.1465, -5.2186, -5.1279, -5.114, -5.0986, -1.8581, -3.3559, -3.557, -4.017, -4.0621, -4.1032, -4.1052, -4.145, -4.1778, -4.4818, -4.526, -4.5279, -4.7728, -4.8632, -4.8945, -4.919, -4.9516, -5.0647, -5.0765, -5.2779, -5.4043, -5.4281, -5.4542, -5.477, -5.5641, -5.582, -5.6119, -5.674, -5.7327, -5.7771, -2.4812, -3.3142, -4.0874, -5.0337, -4.8691, -4.4761, -2.9994, -5.0122, -5.2839, -5.0823, -1.6013, -3.3841, -3.5124, -3.8584, -4.1199, -4.1274, -4.3127, -4.404, -4.4302, -4.4924, -4.5069, -4.6023, -4.6148, -4.6462, -4.6666, -4.7739, -4.8366, -4.8887, -4.9063, -5.064, -5.0854, -5.2246, -5.5136, -5.531, -5.539, -5.5707, -5.7095, -5.7316, -5.7364, -5.7637, -2.4781, -5.0888, -4.5486, -4.6389, -5.4166, -4.8775, -5.3227, -4.8861, -4.7402, -4.8276, -5.1122, -1.905, -3.1689, -3.2087, -4.3054, -4.5429, -4.7137, -4.8513, -5.0963, -5.1339, -5.1876, -5.2025, -5.2242, -5.2516, -5.315, -5.3198, -5.3249, -5.3734, -5.3954, -5.4803, -5.4991, -5.5175, -5.5656, -5.6059, -5.6079, -5.6088, -5.6142, -5.6234, -5.6754, -5.6984, -5.7158, -2.2925, -4.8072, -3.0734, -5.3646, -4.7343, -5.4004, -4.1359, -5.2659, -4.7773, -4.6374, -4.8513, -5.2537, -5.246, -5.3093, -3.1443, -3.3919, -3.4698, -3.6027, -4.1509, -4.2057, -4.2698, -4.288, -4.2976, -4.3509, -4.5215, -4.5262, -4.5306, -4.5467, -4.6348, -4.6636, -4.7667, -4.8078, -4.9022, -4.9623, -5.1483, -5.1609, -5.1611, -5.2739, -5.357, -5.3948, -5.3997, -5.402, -5.4523, -5.4674, -3.6096, -3.3898, -4.1314, -5.1748, -5.0184, -4.6844, -5.0158, -5.0645, -3.0746, -4.0337, -4.0783, -4.2648, -4.5867, -4.7488, -4.8267, -4.8284, -4.9548, -5.0462, -5.1105, -5.2341, -5.3731, -5.3831, -5.4637, -4.6616, -5.4756, -5.5115, -5.5217, -5.6706, -5.7349, -5.8456, -5.8881, -5.89, -5.8968, -5.9523, -5.9583, -5.9614, -5.9836, -5.9837, -5.2573, -5.4195, -5.5975, -5.1123, -3.62, -3.7632, -4.0337, -4.5434, -4.8606, -4.5637, -4.3798, -4.6556, -4.6344, -4.4939, -5.0372, -5.2031, -4.8331, -5.0505, -5.1489, -2.4775, -3.3586, -3.4421, -3.453, -3.6048, -2.9444, -4.0574, -4.0867, -4.2995, -4.3614, -4.3683, -4.4555, -4.6235, -4.6548, -4.8104, -5.0537, -5.1023, -5.3548, -5.5004, -5.5173, -5.692, -5.7031, -5.7333, -5.755, -5.8337, -5.8981, -5.8992, -5.9512, -5.9518, -6.0102, -4.7634, -4.4449, -4.5507, -4.8084, -4.972, -5.2544, -4.3314, -3.9681, -4.8662, -4.8731, -4.43, -4.2714, -4.8787, -4.8509, -5.0006, -1.6188, -3.1911, -3.2467, -3.7459, -3.822, -3.8336, -3.8435, -4.4209, -4.5359, -4.5431, -4.6671, -4.842, -4.8484, -4.8602, -5.031, -5.0414, -5.0922, -5.0946, -5.1266, -5.3059, -5.3447, -5.4072, -5.5233, -5.526, -5.6643, -5.6703, -5.707, -5.7378, -5.7913, -5.7979, -4.4076, -4.7164, -3.8927, -5.1547, -4.1014, -4.556, -4.9302, -5.0008, -4.6978, -4.671, -4.9544, -5.0923, -5.12, -4.0137, -4.3836, -4.8241, -5.058, -4.6043, -5.3033, -5.7684, -5.9096, -5.9628, -6.0618, -6.0836, -6.1197, -6.4141, -6.4581, -6.5486, -6.5831, -6.7301, -6.7359, -6.8036, -6.8332, -6.9093, -7.0171, -7.0498, -7.0541, -7.0764, -7.0946, -7.1254, -7.1549, -7.1862, -7.253, -5.0206, -3.1927, -5.873, -5.4683, -6.1278, -4.3986, -4.8613, -3.6984, -4.1917, -3.9713, -5.4096, -2.6876, -6.2253, -3.6017, -4.1321, -4.4635, -4.9183, -3.5104, -4.3942, -4.3471, -4.368, -4.7491, -4.3239, -4.9412, -5.0109, -4.4869, -4.6653, -5.0269, -4.8976, -4.7625, -3.3969, -3.8187, -3.8527, -3.933, -4.9292, -4.9361, -4.9499, -4.9677, -5.171, -5.2216, -5.3908, -5.4551, -5.4724, -5.5932, -5.6773, -5.6856, -5.6969, -5.7343, -5.7443, -5.7581, -5.7666, -5.7851, -5.8324, -5.8732, -5.9175, -5.9501, -5.9581, -5.9695, -6.0996, -6.1195, -2.5155, -2.8865, -4.6457, -5.367, -4.5195, -4.4815, -5.179, -4.1434, -3.5596, -5.2815, -3.7795, -4.7058, -4.3341, -4.5794, -4.0932, -5.0424, -4.6232, -4.6132, -4.9078, -5.0977, -4.8102, -4.8568, -5.005, -5.0234, -5.0892, -3.1245, -3.2151, -3.3222, -3.3612, -3.7292, -3.8734, -4.0983, -4.3533, -4.3575, -4.3623, -4.374, -4.3756, -4.3823, -4.3847, -4.4165, -4.4949, -4.5402, -4.5472, -4.7083, -4.7358, -4.7713, -4.7729, -5.0031, -5.0084, -5.0425, -5.073, -5.1053, -5.1215, -5.1252, -5.1869, -4.8792, -2.6019, -4.2929, -4.0486, -4.6415, -4.221, -4.9077, -4.9696, -4.9511, -4.941, -2.5782, -3.1986, -3.4357, -3.7637, -3.9073, -4.0266, -4.342, -4.3807, -4.4281, -4.4531, -4.5888, -4.6315, -4.6625, -4.704, -4.7343, -4.8043, -4.824, -4.8738, -4.9238, -4.963, -4.9706, -4.9732, -4.9916, -5.0379, -5.0448, -5.0754, -5.0984, -5.1227, -5.1719, -5.18, -4.0235, -3.2804, -4.5898, -4.0168, -4.104, -4.8784, -1.0946, -2.9417, -3.904, -4.0335, -4.8201, -5.2026, -5.2211, -5.25, -5.2978, -5.4896, -5.5468, -5.6086, -5.7929, -5.9999, -6.0258, -6.1169, -6.1815, -6.221, -6.2928, -6.3341, -6.3851, -6.4682, -6.4874, -6.5034, -6.5618, -6.567, -6.604, -6.6339, -6.68, -6.6985, -3.821, -3.3851, -4.0835, -3.8031, -3.695, -5.0207, -4.8863, -5.2922, -6.1079, -5.8937, -4.881, -5.4878, -5.2997, -5.0003, -5.3775, -5.7238, -5.025, -4.989, -5.001, -4.6339, -5.0359, -5.2085, -5.0266, -5.0457, -5.0413, -5.1891, -5.234, -5.2773, -3.3867, -3.9179, -4.3957, -4.5087, -4.5661, -4.6755, -4.6906, -4.7031, -4.713, -4.9488, -5.002, -5.0573, -5.0762, -5.1636, -5.234, -5.2498, -5.295, -5.4342, -5.4653, -5.5342, -5.5372, -5.5631, -5.604, -5.7587, -5.7823, -5.788, -5.8145, -5.86, -5.894, -5.9286, -1.9604, -4.5363, -3.3679, -4.6259, -5.1451, -2.9073, -5.0889, -4.9984, -4.4253, -5.0291, -4.3596, -4.8405, -4.6302, -4.6868, -4.8855, -4.4803, -4.7094, -4.7306, -4.8766, -5.0039, -5.1039, -5.6713, -5.9772, -6.0846, -6.0914, -6.1282, -6.4478, -6.5005, -6.5462, -6.7092, -6.7807, -6.7925, -6.8187, -6.8335, -6.8389, -6.8608, -6.918, -7.0202, -7.0909, -7.1541, -7.2173, -7.2257, -7.257, -7.305, -7.3747, -7.4039, -7.4204, -7.4545, -7.4911, -7.4988, -4.2075, -3.1288, -3.6115, -4.1899, -3.7263, -3.4561, -4.6433, -5.4222, -4.5657, -4.1071, -3.9824, -5.2408, -3.0941, -4.5618, -3.3304, -5.6369, -3.8299, -5.4836, -4.1493, -4.383, -4.7881, -4.0958, -4.674, -5.1462, -4.6937, -4.2775, -4.3524, -5.0237, -4.7519, -4.8184, -5.0444, -4.8673, -4.9154, -4.8056, -5.0566, -4.7803, -5.1528, -5.5408, -5.6891, -5.7343, -5.7485, -5.8994, -5.9634, -6.2435, -6.2654, -6.2778, -6.3263, -6.481, -6.5071, -6.5091, -6.6322, -6.6506, -6.6639, -6.7275, -6.7727, -6.7782, -6.7857, -6.7999, -6.8391, -6.8896, -6.923, -6.9343, -6.9856, -6.9984, -7.0119, -0.8588, -5.9679, -5.8724, -4.8713, -3.7553, -5.7151, -5.7197, -4.1534, -5.2631, -5.6127, -5.8287, -4.351, -5.2445, -4.1846, -4.846, -5.5796, -5.4311, -5.4489, -4.8468, -5.4912, -5.3664, -5.6671, -5.2666, -5.2558, -5.3391, -5.5764, -5.5141, -5.6751, -5.6938, -1.6482, -2.7136, -3.4519, -3.621, -3.9842, -4.1063, -4.2128, -4.2378, -4.4628, -4.4985, -4.8551, -4.9716, -5.1029, -5.1647, -5.1952, -5.2259, -5.2309, -5.2478, -5.3087, -5.3304, -5.4205, -5.4521, -5.5091, -5.5219, -5.5263, -5.5544, -5.6026, -5.6094, -5.6385, -5.6721, -2.69, -4.4859, -2.6183, -5.1533, -4.8948, -4.9521, -5.052, -5.1234, -5.0518, -3.2091, -3.4661, -4.0078, -4.685, -5.1768, -5.2343, -5.6562, -5.6605, -5.7528, -5.8073, -5.8906, -5.958, -6.01, -6.056, -6.0986, -6.1746, -6.3037, -6.3402, -6.4443, -6.4792, -6.6225, -6.6601, -6.702, -6.7023, -6.7186, -6.7343, -6.7993, -6.8035, -6.8182, -6.8721, -4.4852, -5.0352, -6.5577, -3.7942, -4.3113, -6.1941, -4.5501, -5.2072, -5.1607, -5.9749, -2.4507, -5.5137, -4.0493, -5.2536, -4.9552, -4.4574, -4.6916, -5.1164, -4.5806, -4.6921, -4.6731, -4.7883, -4.6438, -4.8613, -4.4171, -5.0089, -5.1156, -5.2506, -4.7475, -4.7208, -5.0227, -4.9215, -5.0725, -5.0898, -5.1011, -5.1028, -2.2154, -3.3249, -3.565, -4.0902, -3.4464, -4.5878, -4.9653, -2.2252, -5.0425, -5.0789, -5.1115, -5.1267, -5.22, -5.2215, -5.281, -5.3013, -5.3653, -5.4095, -5.4879, -5.5242, -5.5268, -5.6058, -5.6324, -5.6469, -5.6575, -5.66, -5.6858, -5.7714, -5.808, -5.8132, -2.0921, -3.8605, -4.2378, -5.2796, -4.1207, -4.2589, -4.8462, -5.124, -4.294, -4.7298, -5.142, -4.6637, -5.0084, -5.1806, -5.256, -2.8255, -2.9525, -3.2029, -3.2244, -3.9395, -4.0271, -4.1281, -4.2572, -4.2719, -4.3615, -4.3748, -4.4348, -4.6396, -4.7086, -4.9111, -5.0373, -5.1323, -5.1677, -5.2085, -5.2417, -5.2775, -5.2819, -5.284, -5.3034, -5.3213, -5.3831, -5.4245, -5.5132, -5.5134, -5.5492, -3.7335, -3.9507, -4.5811, -4.9307, -5.1641, -4.2134, -4.5667, -4.4477, -5.0131, -4.8223, -4.7424, -4.7926, -4.4327, -4.5287, -5.093, -1.768, -2.4573, -2.7505, -3.4806, -3.9919, -4.0938, -4.0991, -4.197, -4.2451, -4.3386, -4.7179, -3.9039, -4.7927, -4.8742, -4.8906, -4.9242, -5.0108, -5.0418, -5.1404, -5.1693, -5.2399, -5.2493, -5.2572, -5.262, -5.2663, -5.3079, -5.3301, -5.3583, -5.3759, -5.4004, -4.7219, -4.0898, -5.074, -5.2201, -4.7836, -5.2141, -5.2381, -3.9122, -4.4666, -5.1469, -5.215, -5.3196, -5.5431, -5.6258, -5.6413, -5.735, -5.7707, -5.8382, -5.8532, -5.8633, -5.941, -5.9557, -6.0807, -6.0808, -6.1665, -6.2355, -6.2379, -6.2689, -6.2843, -6.3061, -6.3191, -6.3978, -6.4302, -6.4574, -6.4659, -6.5108, -6.5432, -0.9265, -2.7563, -4.3566, -5.4657, -4.013, -3.6826, -4.512, -4.2819, -3.6954, -4.8252, -4.6534, -4.9794, -5.1101, -4.6978, -5.1831, -5.2282, -4.8681, -5.3309, -5.3706, -5.3958, -5.3911, -5.5262, -5.5345, -5.5524, -2.401, -3.1115, -3.7393, -3.8163, -3.9245, -3.9732, -4.021, -4.0783, -4.2267, -4.2959, -4.352, -4.7793, -5.0107, -5.0459, -5.088, -5.1596, -5.2083, -5.2508, -5.2699, -5.2718, -5.2971, -5.3083, -5.3399, -5.3833, -5.3867, -5.4184, -5.441, -5.4497, -5.529, -5.5487, -1.9706, -4.4386, -4.6167, -4.5662, -5.096, -4.493, -4.9016, -4.844, -5.1508, -4.9038, -2.3736, -2.8831, -3.1601, -3.5868, -3.6982, -3.8449, -4.1082, -4.1583, -4.3548, -4.5976, -4.6085, -4.7404, -4.75, -4.7743, -4.791, -4.933, -4.9425, -4.952, -5.0343, -5.0957, -5.1244, -5.1248, -5.1273, -5.1587, -5.1796, -5.1823, -5.2572, -5.2984, -5.351, -5.4104, -4.4477, -4.6769, -4.5359, -3.8848, -4.6541, -3.5189, -4.9624, -5.0573, -4.8729, -5.0542, -2.2397, -3.0131, -3.3364, -3.5459, -3.6278, -4.1239, -4.1293, -4.234, -4.2353, -4.2751, -4.5331, -4.6259, -4.7887, -4.9918, -5.1523, -5.2855, -5.3411, -5.3758, -5.483, -5.5166, -5.5403, -5.5494, -5.6235, -5.7576, -5.7807, -5.7892, -5.8396, -5.8499, -5.8853, -5.8602, -1.9397, -4.6682, -4.8761, -4.8316, -5.6149, -5.3264, -4.6151, -5.0356, -5.3223, -5.2879, -5.0708, -5.1703, -5.2006, -5.2668, -5.2706, -5.3195, -2.6293, -2.8306, -3.2569, -3.466, -3.6211, -3.6719, -3.775, -3.7809, -4.0783, -4.1386, -4.1667, -4.1888, -4.3617, -4.4462, -4.5815, -4.6524, -4.6853, -4.8692, -4.9885, -5.0214, -5.0375, -5.0757, -5.1296, -5.1386, -5.2201, -5.2439, -5.2741, -5.2825, -5.2932, -5.391, -3.7106, -4.1407, -3.7497, -5.1125, -4.9618, -4.9093, -4.9167, -4.751, -4.8662, -4.9111, -1.7926, -3.3355, -3.6332, -4.2214, -4.4016, -4.4339, -4.5322, -4.5736, -4.8197, -4.8835, -4.9191, -4.9727, -4.9899, -5.0649, -5.119, -5.1278, -5.1573, -5.193, -4.4685, -5.29, -5.2901, -5.3304, -5.3549, -5.3776, -5.4545, -5.4976, -5.5595, -5.5804, -5.5832, -5.5986, -2.777, -4.5275, -3.2207, -3.9535, -4.7054, -5.1509, -4.4017, -4.9022, -5.0289, -5.0693, -5.2041, -2.0597, -2.4198, -2.9937, -3.0704, -3.5472, -3.6678, -4.4681, -4.6768, -4.7314, -4.8112, -4.9714, -5.0363, -5.0955, -5.1428, -5.2169, -5.2672, -5.3373, -5.3681, -5.3986, -5.4631, -5.4803, -5.5263, -5.5596, -5.5706, -5.5821, -5.6064, -5.7219, -5.7661, -5.8304, -5.8344, -5.1683, -4.3621, -5.4461, -5.5202, -4.6817, -4.2012, -5.0798, -4.9977, -4.3574, -4.7535, -4.7899, -4.7272, -4.6494, -4.7577, -4.8513, -4.8561, -5.2563, -3.244, -3.5635, -3.6842, -3.7098, -3.9759, -4.1297, -4.2135, -4.341, -4.3869, -4.7915, -4.9687, -5.2229, -5.2298, -5.3685, -5.3949, -5.4626, -5.4917, -5.545, -5.6246, -5.6263, -5.6326, -5.6337, -5.739, -5.7543, -5.758, -5.833, -5.91, -5.9159, -5.9541, -5.9783, -4.2864, -1.3845, -4.5174, -4.636, -3.7621, -2.8252, -5.2933, -5.1617, -4.7842, -4.0647, -5.012, -4.8543, -5.0671, -5.2008, -2.3168, -2.8635, -3.8433, -4.0587, -4.0784, -4.0816, -4.2016, -4.2017, -4.4875, -4.6053, -4.6842, -4.7492, -4.8039, -5.0633, -5.0695, -5.0741, -5.123, -5.1984, -5.2127, -5.242, -5.2969, -5.3427, -5.437, -5.5088, -5.5425, -5.5804, -5.5935, -5.6001, -5.6039, -5.6426, -4.4383, -3.7052, -4.1785, -4.6758, -4.2547, -1.8929, -4.9595, -5.0364, -4.5138, -4.2905, -4.8006, -5.1674, -5.163, -2.7874, -3.3837, -3.4154, -3.7249, -4.0248, -4.1748, -4.5185, -4.5264, -4.7012, -4.7549, -4.7968, -4.9762, -5.0351, -5.0916, -5.1272, -5.1356, -5.1536, -5.191, -5.3056, -5.3081, -5.3874, -5.4344, -5.4763, -5.5293, -5.5363, -5.5539, -5.6142, -5.6321, -5.6385, -5.6446, -2.9888, -3.9068, -2.463, -3.9477, -4.4861, -4.9848, -3.6506, -4.2426, -4.807, -4.7724, -4.0551, -5.0823, -4.5097, -4.6899, -4.2313, -5.071, -2.1772, -3.6188, -3.7873, -3.7999, -3.8233, -3.8374, -4.0694, -4.168, -4.1864, -4.2056, -4.2125, -4.3351, -4.3929, -4.4496, -4.483, -4.5124, -4.5647, -4.577, -4.5865, -4.6227, -4.6506, -4.6638, -4.7853, -4.8846, -4.9371, -4.96, -4.9844, -4.987, -5.0228, -5.0393, -3.0076, -3.5517, -4.2758, -4.2105, -4.4011, -4.5855, -2.2213, -3.4061, -3.6969, -3.9501, -4.1032, -4.1083, -4.1289, -4.2634, -4.2761, -4.3979, -4.5047, -4.5699, -4.6339, -4.6343, -4.8174, -4.9282, -4.9403, -5.0158, -5.0447, -5.0952, -5.1145, -5.1361, -5.1567, -5.2237, -5.2476, -5.2954, -5.3863, -5.6053, -5.6261, -5.6726, -2.016, -2.3992, -5.1032, -3.6034, -4.526, -4.8973, -4.9792, -4.4852, -5.1087, -3.125, -3.2767, -3.579, -3.5887, -3.7941, -4.3237, -4.3966, -4.5618, -4.8434, -4.9001, -4.9434, -5.0866, -5.2308, -5.3464, -5.4421, -5.5138, -5.5439, -5.5461, -5.5683, -5.5845, -5.5887, -5.6592, -5.8144, -5.8238, -5.8592, -5.8691, -5.9644, -5.9768, -6.0087, -6.044, -1.019, -3.759, -3.9634, -4.3365, -3.4707, -5.2346, -5.2624, -3.8262, -5.3246, -5.1368, -5.0073, -5.1394, -5.3754, -5.3521, -5.288, -2.6565, -2.919, -3.2988, -3.4727, -3.9107, -4.0106, -4.2406, -4.3472, -4.3999, -4.4, -4.453, -4.4793, -4.6416, -4.6675, -4.6926, -4.7012, -4.7447, -4.7966, -4.8151, -4.8454, -4.9881, -5.119, -5.215, -5.2629, -5.2641, -5.3407, -5.3726, -5.4532, -5.4574, -5.4745, -3.7921, -4.8599, -3.845, -5.1648, -4.8056, -5.0912, -5.1553, -5.1346, -2.7225, -2.9835, -3.1556, -3.4204, -3.7078, -3.7207, -3.9613, -4.1326, -3.5187, -4.243, -4.2627, -4.2631, -4.291, -4.2937, -4.4676, -4.5506, -4.625, -4.682, -4.7433, -4.7561, -4.7843, -4.8219, -5.0784, -5.1632, -5.1843, -5.2102, -5.2173, -5.2356, -5.2641, -5.2738, -4.2148, -4.6104, -4.2474, -4.4213, -4.0995, -5.0514, -2.2198, -2.4273, -3.4176, -3.4375, -3.6525, -3.6659, -4.3409, -4.4633, -4.6527, -4.7091, -4.7222, -4.7424, -4.8458, -4.9172, -4.973, -4.9802, -5.0434, -5.0883, -5.1595, -5.2826, -5.3102, -5.3478, -5.408, -5.4857, -5.5564, -5.6219, -5.6741, -5.6905, -5.7434, -5.7744, -3.3417, -4.6481, -3.4639, -4.0232, -4.4975, -4.3383, -4.6659, -3.7755, -3.7522, -5.1349, -4.4314, -4.9037, -4.8183, -3.0781, -3.9697, -4.4944, -4.7174, -4.9457, -5.0886, -5.1899, -5.306, -5.6165, -5.6482, -5.6813, -5.7127, -5.7141, -5.7715, -5.7832, -5.8021, -5.8743, -5.9549, -5.9559, -5.9669, -5.9796, -6.0538, -6.0891, -6.1052, -6.1136, -6.1583, -6.1998, -6.2123, -6.2378, -6.3586, -1.5443, -4.9748, -3.0922, -3.585, -4.1438, -4.4199, -5.7453, -4.1678, -4.9378, -5.2144, -4.2173, -4.4242, -5.5586, -5.5185, -5.2246, -4.9374, -5.317, -5.1685, -5.083, -5.2852, -5.3112, -5.3918, -5.0517, -5.2931, -5.4071, -1.9212, -3.3139, -3.8639, -3.9005, -3.9748, -4.0393, -4.0487, -4.2022, -4.248, -4.3299, -4.3788, -4.4352, -4.8111, -4.8744, -5.1085, -5.1103, -5.0725, -5.2153, -5.273, -5.3127, -5.3343, -5.3768, -5.398, -5.5771, -5.5772, -5.5872, -5.5985, -5.608, -5.6135, -5.6271, -3.8271, -2.5484, -4.5524, -4.6713, -4.74, -3.9109, -5.1104, -5.0594, -4.6499, -4.2775, -5.0336, -4.9041, -4.9087, -4.9585, -2.0472, -3.0114, -4.1913, -4.2794, -4.5869, -4.6051, -4.6449, -4.7801, -4.8071, -5.0978, -5.1378, -5.1549, -5.2696, -5.3074, -5.3268, -5.4749, -5.4821, -5.7136, -5.7527, -5.7649, -5.7942, -5.8611, -5.8678, -5.8701, -5.9466, -5.9472, -5.9851, -6.0183, -6.0222, -6.0276, -5.1064, -4.5431, -2.7837, -2.4384, -3.5491, -5.2798, -4.501, -5.2312, -5.1413, -4.1223, -4.0047, -3.6433, -5.4061, -4.6917, -4.8379, -5.1544, -4.9924, -4.8714, -4.9286, -4.9274, -4.9659, -5.0265, -2.7455, -2.8497, -3.2707, -3.653, -3.8513, -4.1487, -4.2004, -4.3253, -4.4132, -4.5962, -4.6981, -4.7768, -4.8121, -4.8209, -4.8496, -4.8649, -4.8715, -4.9208, -4.9267, -5.0605, -5.0614, -5.1387, -5.1503, -5.1519, -5.1654, -5.1763, -5.2146, -5.2289, -5.2444, -5.3285, -4.3982, -4.2512, -5.1051, -4.3376, -4.9466, -5.0477, -4.9499, -1.5756, -2.9314, -3.0041, -3.8906, -4.0425, -4.5358, -4.5382, -4.7227, -4.7839, -4.8864, -4.9176, -4.9752, -5.0884, -5.2371, -5.2564, -5.2787, -5.3071, -5.341, -5.3617, -5.3871, -5.3991, -5.4027, -5.4239, -5.4285, -5.4493, -5.4872, -5.5386, -5.5694, -5.5786, -5.6004, -4.9594, -4.2006, -4.3235, -4.1313, -5.264, -3.9501, -4.7186, -4.7567, -4.671, -5.0153, -4.8595, -4.9824, -4.8727, -4.9257, -5.1381, -5.2305, -5.2409, -2.2775, -2.7829, -3.3858, -3.5125, -3.8617, -4.0429, -4.2903, -4.3815, -4.4571, -4.4738, -4.4801, -4.5062, -4.5855, -4.6203, -4.6734, -4.685, -4.7447, -4.9003, -4.9631, -5.0289, -5.0317, -5.0318, -5.0327, -5.0777, -5.1544, -5.1789, -5.1903, -5.2125, -5.2206, -5.2435, -4.0458, -4.8123, -4.9051, -4.7567, -4.6529, -2.5629, -2.5642, -2.6192, -3.089, -3.1188, -3.2476, -3.6406, -3.6434, -4.1336, -4.2159, -4.4254, -4.5468, -4.5532, -4.5761, -4.6195, -4.7013, -4.7054, -4.7809, -4.8446, -4.9483, -4.9502, -4.9661, -4.9697, -5.0308, -5.038, -5.0468, -5.0687, -5.0914, -5.127, -5.1782, -4.5143, -4.148, -4.8928, -3.5956, -3.7983, -3.8663, -3.9217, -4.039, -4.0836, -4.1179, -4.1376, -4.229, -4.3115, -4.3467, -4.5629, -4.5937, -4.6192, -4.6808, -4.6856, -4.6899, -4.7043, -4.7168, -4.8439, -4.8886, -4.9264, -5.0516, -5.1253, -5.1334, -5.138, -5.1486, -5.1559, -5.1846, -5.2321, -3.9581, -4.2461, -4.0546, -4.0087, -4.7973, -4.431, -4.574, -4.3053, -4.5758, -4.7891, -4.7879, -2.2297, -3.51, -3.8763, -3.8828, -4.0988, -4.1246, -4.4599, -4.6237, -4.7551, -4.8223, -4.8977, -4.9716, -5.0643, -5.1271, -5.3181, -5.3855, -5.4392, -5.4852, -5.5075, -5.5102, -5.5262, -5.5311, -5.5792, -5.6143, -5.6336, -5.6467, -5.6589, -5.7597, -5.8053, -5.8234, -5.0296, -3.8329, -2.3744, -4.8853, -4.019, -4.6266, -3.6847, -5.1378, -3.4538, -4.9191, -3.6421, -3.9801, -5.2031, -4.9696, -5.1951, -5.2962, -2.1548, -3.1777, -3.4409, -3.9743, -4.1676, -4.1912, -4.36, -4.363, -4.4159, -4.4933, -4.5769, -4.6204, -4.6428, -4.659, -4.734, -4.7784, -4.9186, -4.9404, -5.1005, -5.3282, -5.335, -5.3491, -5.4295, -5.4342, -5.4381, -5.4952, -5.512, -5.564, -5.5645, -5.5912, -5.1802, -4.6514, -4.1658, -4.2371, -4.4642, -3.8369, -5.0065, -5.3198, -4.5376, -4.4423, -4.6001, -4.903, -4.9465, -4.9929, -1.5575, -3.033, -3.1408, -3.2781, -3.5779, -3.8554, -3.9505, -4.1002, -4.2501, -4.5358, -4.5463, -4.5607, -4.5638, -4.6751, -4.7211, -4.7509, -4.855, -4.8607, -4.886, -4.9997, -5.0182, -5.0243, -5.0524, -5.0766, -5.134, -5.153, -5.2006, -5.2649, -5.2717, -5.3048, -4.4349, -4.1831, -4.3078, -4.7398, -4.472, -4.7758, -4.3748, -4.6808, -2.5016, -2.7934, -2.8024, -2.8539, -3.3479, -3.5924, -3.6799, -3.7713, -3.9575, -4.1281, -4.1809, -4.4942, -4.5009, -4.611, -4.7185, -4.745, -4.784, -4.886, -4.9752, -5.0061, -5.0433, -5.1822, -5.2273, -5.2351, -5.2419, -5.2643, -5.4589, -5.4627, -5.4677, -5.4758, -4.0711, -3.2752, -4.6501, -3.8151, -5.0052, -4.9822, -5.221, -5.1843, -2.2359, -2.8279, -2.8924, -3.0003, -3.0406, -3.0556, -3.4327, -3.7698, -4.222, -4.2592, -4.272, -4.4419, -4.7214, -4.7219, -4.7391, -4.7804, -4.8773, -4.9083, -4.9288, -4.934, -4.954, -5.029, -5.039, -5.0419, -5.0903, -5.1569, -5.1588, -4.9626, -5.3084, -5.3287, -3.9137, -4.5815, -4.98, -4.4503, -4.6835, -4.9151, -3.033, -3.5095, -3.7248, -3.9207, -4.3387, -4.4321, -4.6061, -4.6251, -4.7014, -4.773, -4.7996, -4.8627, -4.8871, -4.8887, -4.9778, -4.9897, -5.0154, -5.0206, -5.0894, -5.1102, -5.137, -5.1371, -5.1659, -5.175, -5.1843, -5.1927, -5.2011, -5.2084, -5.274, -5.2976, -4.0769, -3.5607, -4.6919, -4.8902, -4.6033, -4.7551, -5.0755, -4.4295, -4.5674, -4.4236, -4.7189, -4.8362, -2.9999, -3.312, -3.4823, -3.5165, -3.6118, -3.7073, -3.7492, -3.9254, -4.1742, -4.2231, -4.3615, -4.4787, -4.6761, -4.7456, -4.7855, -4.8566, -4.9346, -4.9455, -5.148, -5.1851, -5.2117, -5.2122, -5.2415, -5.308, -5.357, -5.4364, -5.4645, -5.5991, -5.6278, -5.7158, -3.9707, -2.382, -1.8715, -4.4431, -4.9456, -4.6464, -4.9594, -5.0292, -5.1928, -2.1261, -2.469, -2.7199, -3.289, -3.3153, -3.3445, -4.1567, -4.2416, -4.282, -4.4925, -4.5197, -4.5274, -4.5633, -4.6032, -4.6102, -4.7233, -4.7644, -4.7678, -4.9368, -4.9771, -4.9941, -5.1082, -5.2137, -5.2297, -5.2812, -5.3133, -5.3275, -5.3309, -5.3343, -5.3434, -4.2258, -3.8381, -4.746, -4.3241, -4.8586, -4.3604, -2.1251, -3.1923, -3.8735, -4.1178, -4.1307, -4.1368, -4.1611, -4.1731, -4.2994, -4.4008, -4.4028, -4.6667, -4.6764, -4.7338, -4.7385, -4.7795, -4.8256, -4.9455, -5.0503, -5.0615, -5.0812, -5.1946, -5.2034, -5.2302, -5.2401, -5.2449, -5.2815, -5.2899, -5.307, -5.3471, -4.1284, -4.5478, -4.1685, -4.2757, -3.9125, -4.0063, -4.5182, -4.9218, -4.801, -4.6922, -4.6054, -4.7843, -2.3588, -2.8771, -3.0156, -3.6917, -3.6985, -3.824, -3.8849, -4.1061, -4.2705, -4.3016, -4.327, -4.3976, -4.4228, -4.4917, -4.5148, -4.5248, -4.7397, -4.8173, -4.825, -4.8257, -4.8322, -4.8414, -4.8602, -5.0502, -5.1452, -5.1814, -5.274, -5.3084, -5.3601, -5.376, -4.6947, -3.999, -4.6749, -3.1664, -4.8392, -4.9348, -2.6145, -2.9097, -3.4666, -4.2421, -4.3368, -4.3908, -4.4715, -4.6499, -4.6724, -4.6971, -4.8689, -4.997, -5.0817, -5.2923, -5.3335, -5.3846, -5.4224, -5.4676, -5.4803, -5.52, -5.5598, -5.5959, -5.5997, -5.6328, -5.7072, -5.7112, -5.8025, -5.8296, -5.8439, -5.8485, -1.5851, -2.6685, -4.5908, -4.4976, -4.1543, -4.9522, -4.683, -3.8566, -4.01, -5.4274, -4.4731, -4.9143, -5.3661, -5.296, -5.3347, -5.3512, -2.4155, -3.5012, -3.6764, -3.8511, -3.89, -3.9691, -3.9948, -4.0745, -4.1457, -4.3408, -4.5997, -4.6251, -4.7409, -4.8441, -4.8951, -4.9434, -4.978, -5.0079, -5.025, -5.0874, -5.0897, -5.1067, -5.1366, -5.1413, -5.1574, -5.1924, -5.1975, -5.2019, -5.2335, -5.2441, -2.1394, -3.9827, -4.473, -3.7451, -3.3612, -3.6371, -4.7018, -4.8406, -4.8255, -5.0538, -2.1414, -2.9735, -3.0614, -3.5481, -3.8269, -4.0296, -4.2094, -4.5506, -4.5744, -4.5904, -4.6338, -4.5553, -4.7518, -4.9202, -4.9362, -4.9583, -5.0517, -5.0908, -5.1443, -5.232, -5.2635, -5.3, -5.3076, -5.3133, -5.3171, -5.3462, -5.3586, -5.3824, -5.4939, -5.4965, -4.0572, -4.7345, -3.6884, -4.9915, -4.5535, -3.6979, -5.1566, -4.7282, -4.8197, -2.36, -2.6729, -3.2647, -3.4226, -3.5818, -3.9156, -4.0277, -4.2328, -4.2487, -4.6307, -4.6805, -4.6951, -4.7804, -4.8199, -4.9226, -4.9887, -5.0726, -5.1158, -5.1335, -5.2305, -5.3791, -5.3816, -5.4471, -5.5108, -5.5431, -5.5711, -5.6817, -5.7248, -5.7628, -5.815, -1.9045, -4.3837, -4.5179, -4.8277, -4.8612, -3.7264, -4.9892, -5.024, -4.2873, -5.2905, -2.7288, -2.865, -3.1614, -3.7775, -3.9953, -4.2695, -4.4218, -4.6386, -5.0089, -5.1064, -5.1112, -5.1442, -5.1603, -5.1668, -5.2123, -5.2315, -5.2997, -5.3875, -5.4587, -5.5414, -5.5429, -5.5707, -5.5756, -5.6218, -5.6847, -5.7548, -5.7611, -5.7734, -5.7892, -5.8112, -4.5378, -3.4325, -5.0271, -4.6253, -2.7346, -4.5943, -4.9504, -4.0977, -3.9491, -4.7151, -4.1018, -4.1659, -4.2162, -4.3776, -4.262, -4.6298, -5.0212, -4.9837, -4.9961, -5.0937, -1.7616, -2.4284, -2.8643, -3.1977, -3.8265, -4.1242, -4.1604, -4.656, -4.6571, -4.6938, -4.7907, -4.8512, -4.9283, -4.9293, -4.9602, -4.9699, -5.0285, -5.1552, -5.1658, -5.225, -5.2317, -5.2456, -5.3528, -5.3825, -5.3838, -5.4089, -5.4101, -5.4196, -5.4343, -5.4403, -4.8215, -5.2291, -5.1179, -4.6631, -4.8596, -5.2395, -5.2026, -2.9446, -3.2184, -3.2406, -3.5991, -3.6799, -3.7092, -3.8871, -3.9884, -4.0772, -4.1699, -4.205, -4.2663, -4.3088, -4.3315, -4.4196, -4.5137, -4.5301, -4.5418, -4.5527, -4.6245, -4.6439, -4.6491, -4.6702, -4.7284, -4.7446, -4.822, -4.8261, -4.8588, -4.9788, -5.0477, -2.9694, -3.6005, -4.2565, -4.1867, -2.5867, -3.2187, -3.3913, -3.4041, -3.5575, -3.7154, -4.0516, -4.3024, -4.3626, -4.3627, -4.6525, -4.6695, -4.781, -4.7968, -4.8601, -4.8978, -4.9584, -4.9598, -5.0849, -5.1218, -5.1695, -5.2874, -5.3485, -5.3725, -5.3816, -5.3893, -5.5007, -5.5125, -5.545, -5.6028, -3.7644, -4.8993, -3.0719, -4.8695, -2.6413, -4.9718, -4.6437, -4.9434, -4.3152, -5.0924, -4.8064, -2.7776, -2.9902, -3.3472, -3.5986, -3.6972, -3.8412, -4.0156, -4.1932, -4.1997, -4.3567, -4.4287, -4.441, -4.476, -4.4935, -4.5062, -4.5305, -4.6017, -4.6085, -4.6385, -4.7057, -4.7498, -4.7716, -4.8641, -4.926, -4.9671, -4.99, -5.1263, -5.1266, -5.1443, -5.1654, -3.1657, -4.7595, -3.449, -4.2963, -3.9949, -4.5837, -4.3769, -4.7343, -2.0241, -2.1688, -3.3382, -3.639, -4.0515, -4.0814, -4.1932, -4.1974, -4.3614, -4.677, -4.6886, -4.7786, -4.809, -4.9782, -4.9879, -5.0005, -5.0183, -5.1256, -5.2326, -5.2942, -5.3645, -5.3878, -5.4032, -5.4366, -5.4414, -5.4437, -5.4896, -5.5397, -5.5649, -5.5844, -4.7077, -3.402, -4.5719, -4.9244, -4.7898, -4.2026, -5.2473, -5.1559, -5.2759, -5.3103, -3.1711, -1.8965, -3.3632, -3.7204, -4.0935, -4.3886, -4.647, -4.8442, -5.0301, -5.141, -5.2495, -5.3374, -5.3443, -5.3565, -5.3815, -5.3856, -5.3873, -5.4794, -5.6335, -5.6464, -5.6828, -5.7665, -5.7723, -5.7768, -5.8019, -5.8067, -5.8581, -5.9235, -5.9371, -5.9575, -4.2209, -2.6878, -3.3542, -4.771, -3.0172, -4.7257, -4.2165, -4.8736, -4.6696, -4.9707, -4.5428, -4.5992, -4.4659, -5.0217, -4.9167, -4.4392, -4.5587, -4.8523, -4.8813, -5.247, -2.6158, -2.6362, -3.0202, -3.3006, -3.4051, -3.7506, -3.751, -3.8559, -3.9063, -3.9626, -4.1986, -4.2071, -4.2558, -4.3011, -4.3059, -4.3936, -4.5628, -4.6103, -4.614, -4.6888, -4.7458, -4.7694, -4.9274, -5.0069, -5.0148, -5.0165, -5.0238, -5.0258, -5.0716, -5.1203, -4.0393, -3.7798, -4.5529, -4.8469, -4.8433, -4.9109, -3.5678, -3.9062, -4.0538, -4.0981, -4.1172, -4.2121, -4.2298, -2.7421, -4.4325, -4.5146, -4.6394, -4.6473, -4.6862, -4.7303, -4.756, -5.048, -5.1409, -5.1423, -5.2325, -5.3008, -5.3533, -5.3623, -5.4005, -5.431, -5.4506, -5.4675, -5.4827, -5.5441, -5.5564, -5.6256, -4.162, -1.9288, -4.3606, -3.3536, -4.8243, -5.0999, -5.3289, -4.654, -5.1317, -4.4864, -4.8052, -5.1645, -5.2045, -2.1288, -3.0065, -3.1381, -3.374, -3.5046, -3.618, -3.6742, -3.8687, -4.0821, -4.3677, -4.4279, -4.4732, -4.5244, -4.5363, -4.8253, -4.9108, -4.9467, -4.9544, -4.9579, -5.0169, -5.0373, -5.085, -5.085, -5.111, -5.1228, -5.2134, -5.2175, -5.2204, -5.3021, -5.3364, -2.8113, -4.131, -4.0185, -4.9189, -5.1942, -2.6776, -2.8613, -3.1822, -3.784, -3.9184, -4.1811, -4.2509, -4.2718, -4.2931, -4.487, -4.5525, -4.5732, -4.5808, -4.6205, -4.839, -4.8533, -4.8707, -4.9026, -4.9244, -4.9747, -5.0018, -5.0551, -5.1384, -5.1597, -5.1632, -5.2099, -5.235, -5.2864, -5.3729, -5.4111, -4.4634, -4.1136, -2.6025, -3.9014, -3.9505, -4.3302, -4.5343, -4.9333, -1.672, -2.6123, -2.7037, -3.2304, -3.5132, -3.6378, -3.6673, -4.2567, -4.3047, -4.4576, -4.4832, -4.5852, -4.6127, -4.7506, -4.7629, -4.8, -4.8428, -4.9318, -5.0749, -5.0813, -5.0861, -5.1466, -5.1496, -5.1735, -5.1752, -5.3018, -5.3045, -5.3459, -5.355, -5.361, -4.0228, -4.2683, -3.8884, -4.6348, -5.0223, -5.0762, -1.4372, -1.9315, -3.03, -3.3803, -3.9143, -4.7814, -4.8199, -4.8405, -5.0002, -5.0848, -5.1484, -5.1502, -5.2167, -5.254, -5.357, -5.4078, -5.4888, -5.604, -5.6869, -5.7297, -5.7569, -5.7755, -5.7949, -5.8151, -5.8497, -5.975, -6.0892, -6.1173, -6.1403, -6.1718, -4.3366, -5.0875, -5.5693, -2.9275, -4.9936, -3.7712, -3.881, -4.892, -4.5071, -4.7691, -4.7015, -4.5761, -5.3518, -5.3567, -5.3596, -1.758, -3.2522, -3.7748, -4.0011, -4.0325, -4.0595, -4.1921, -4.2472, -4.5779, -4.5939, -4.7748, -4.8215, -4.8344, -4.8898, -4.9908, -5.0, -5.0149, -5.0349, -5.1186, -5.1204, -5.1394, -5.207, -5.2479, -5.4494, -5.4024, -5.4689, -5.4736, -5.5174, -5.5517, -5.6006, -3.4681, -1.9326, -4.6262, -4.94, -4.8466, -5.0976, -4.114, -4.5544, -5.1885, -1.3744, -2.2819, -4.397, -4.434, -4.7865, -4.8229, -5.0033, -5.0578, -5.1594, -5.3885, -5.4002, -5.4672, -5.5239, -5.6964, -5.7639, -5.7775, -5.7818, -5.8561, -5.9147, -5.9506, -5.9527, -5.9656, -5.9872, -5.9995, -6.0103, -6.0174, -6.0182, -6.2149, -6.3242, -6.3418, -4.5606, -2.0365, -3.4129, -3.4414, -5.537, -5.6373, -5.2165, -4.0972, -4.7718, -4.9853, -5.205, -5.3266, -5.2157, -5.4726, -5.3225, -5.5204, -5.5477, -5.6029, -2.1402, -2.5774, -2.7788, -3.1466, -3.2748, -3.2926, -3.9418, -4.0154, -4.1147, -4.1673, -4.2488, -4.25, -4.313, -4.3205, -4.3493, -4.5882, -4.6588, -4.7425, -4.7636, -4.0087, -4.8543, -4.8611, -5.0015, -5.0165, -5.1968, -5.215, -5.3095, -5.3223, -5.3461, -5.3517, -4.964, -4.7184, -4.2571, -3.9975, -3.635, -5.1687, -5.0319], \"Total\": [14303.0, 11475.0, 22826.0, 9216.0, 14031.0, 8429.0, 12144.0, 11907.0, 6886.0, 6518.0, 9229.0, 7351.0, 6013.0, 7972.0, 5651.0, 5157.0, 7119.0, 6003.0, 5056.0, 6295.0, 4801.0, 4509.0, 4749.0, 4274.0, 4079.0, 4038.0, 4546.0, 3878.0, 4460.0, 4432.0, 1225.4194850033243, 1013.8739180276451, 999.2780420078722, 662.7860252787669, 425.12099156517036, 375.395350653063, 336.1581993391764, 299.9756149010017, 275.7844374381041, 250.27730176352017, 235.7099709281195, 226.03443559067026, 217.1052215596614, 215.4997612984545, 214.64227350254671, 196.59762218276043, 184.92881108014217, 175.4559447119289, 173.197187475004, 161.48320446246862, 155.92756402131496, 153.74671348129095, 153.13629468566035, 146.63182129617624, 146.28824246037465, 145.7294325965227, 143.74309573363624, 139.38504355214695, 132.72902511834621, 126.30434384004987, 499.37917442189087, 569.3248720043998, 1209.8585656283897, 228.15304842881113, 212.41679764098967, 613.3666675509139, 216.9437866129453, 3975.422296391336, 2764.4579538950675, 970.285365382481, 2866.60773171489, 2190.3265829518336, 22826.79456375081, 339.89010452874504, 2261.583713369465, 1297.0795120857883, 1165.5462978176586, 3354.7961404769267, 2037.832853051589, 1914.232429427368, 2263.2421817051136, 7523.828273759766, 4199.588692222482, 2879.34865047757, 2611.6167029901353, 1097.4350500394469, 4565.459894127235, 1643.3057961518573, 1681.6104720484766, 2199.2888750988664, 8747.971214358338, 8461.966633103368, 14821.983915354234, 4749.5229111883245, 3796.208291667434, 5503.125822860647, 10395.958967688466, 7318.152185202343, 4964.213952609851, 8851.145296753628, 4725.491650595733, 3715.6298964934567, 1006.7631614245358, 990.6675602430715, 761.208618309173, 538.0167193646674, 468.67225627605444, 442.04519041493984, 410.45065713907445, 335.7680385078336, 286.47928078722896, 269.37593680255486, 256.1684236681798, 255.3334031457883, 213.7989894172953, 204.77768291172464, 198.7211438887625, 192.6897063544374, 188.00047164661615, 186.8535695238875, 186.26074141333783, 158.22228100010096, 155.83221673296137, 151.67958272834386, 128.1555816706708, 119.83134873681581, 116.85452885507704, 116.04430992073013, 115.62252967913737, 111.94695793502676, 106.70836028803402, 103.13209581198488, 5747.771936141495, 1554.205796986447, 894.7818042384116, 292.12895785146077, 276.698088786438, 2673.393183101141, 191.56824278753254, 411.0366979775813, 882.4116371918711, 329.34918639876975, 443.09912894097266, 3186.324875972307, 1075.397839053157, 1076.656172024217, 328.32966079589744, 1125.443822232347, 977.8692654472376, 490.94405568002367, 4457.925962360781, 2099.1754954270664, 1550.2894329884798, 3131.1993712779245, 3786.661153137839, 2667.5183923311706, 8747.971214358338, 1202.5837115652396, 3695.056219372218, 1371.4865344819339, 2698.3905942530346, 3143.35814002548, 2131.527521018272, 8179.074379869675, 3483.4837092500115, 8461.966633103368, 8738.724357088422, 8851.145296753628, 3704.624805874682, 14821.983915354234, 9788.893206407254, 9437.02227168739, 5837.336963125954, 5709.178539592476, 7318.152185202343, 4619.282961811944, 778.8964686982084, 764.2971653919705, 511.09928936804005, 335.19950954568105, 333.1008277376162, 272.8731077988949, 248.3749068828839, 232.62333655845597, 222.14357364448773, 206.56681031468142, 197.785919851584, 194.79726948251857, 172.94363013097134, 162.9674900140901, 162.28263581829387, 143.22499094208445, 139.34459627174923, 135.49104121096912, 127.35147093110398, 122.16078118849663, 116.00736595405048, 115.74733859270839, 109.2133808558273, 101.54359202508074, 99.56964211912566, 91.70383600905876, 89.9556479552248, 89.8083687859655, 89.54600811671176, 88.12395440307738, 194.71189397104814, 233.39804375814316, 920.2094013202827, 6257.5680178965285, 3023.605220388926, 221.0798942199033, 318.4322796989906, 346.9355913315173, 1066.0965418563644, 1196.2394175785762, 1514.575402061653, 758.4264423598693, 2357.345561685041, 1604.0191799285144, 6762.297985446506, 3062.12738700183, 1126.887871413564, 5838.774688534728, 1367.172609425423, 8461.966633103368, 4455.651904797853, 4709.694781884045, 3973.7675946248073, 5503.125822860647, 3143.272956841784, 2761.953958788943, 2965.3814479220023, 4964.213952609851, 7523.828273759766, 2982.2842128729035, 9437.02227168739, 2199.2888750988664, 8747.971214358338, 5278.948747522791, 4199.588692222482, 4565.459894127235, 7318.152185202343, 4725.491650595733, 2026.974460230202, 662.9699302968528, 619.9443003904623, 588.5587800297023, 572.4341189224438, 447.945279279246, 432.573500861655, 427.594233918815, 401.97065313431654, 310.2591350882568, 299.5756585255958, 287.5724609261923, 255.46245807170413, 233.0385422555041, 211.65308537794613, 186.67029928785394, 170.04715966058754, 169.6741641802232, 152.8392456131645, 152.43965066148127, 151.53847019472548, 143.59449850941633, 133.537827418261, 116.49029759697812, 113.1859826876863, 109.40723636788957, 107.20553963541761, 105.2859669533771, 105.07578575517846, 104.93587020310595, 4483.309333653231, 274.37157693260707, 205.65805990091258, 2527.808814444208, 564.442131070136, 1983.1526231548917, 5728.31615581907, 597.6627786636635, 1002.6457609751404, 355.2037652297051, 399.49131765685763, 493.8545039607338, 22826.79456375081, 2619.260693446638, 1323.1912206542895, 1579.3726188085504, 895.7501629610288, 3524.389352823988, 2611.6167029901353, 1971.2997298779399, 14821.983915354234, 1643.3057961518573, 7523.828273759766, 1355.2618040547325, 5709.178539592476, 10282.61865292314, 7119.172118886806, 6329.0244709785475, 7318.152185202343, 5248.813651943958, 10395.958967688466, 2553.4929475316976, 3738.027569928352, 1899.9224615684616, 8502.706967251881, 3758.1416940007907, 943.8253008879524, 938.1334146207844, 850.9360759719523, 797.6397926006163, 736.6962866353682, 672.9697763332634, 577.5056860265637, 532.7275967181231, 499.7997983186336, 411.2369282587639, 349.89191608016773, 347.3060164427662, 345.73869553562815, 306.7091377573139, 276.6496125043437, 269.3865023227322, 265.5759095861111, 259.2215075823371, 252.9094554178929, 248.65219017123178, 245.41327546295915, 239.58260229764215, 223.50003566490318, 221.84997715554258, 216.1843902438907, 215.00009927311459, 213.2577713700624, 205.9723825456989, 204.64787199264345, 178.8117249183945, 961.1784439991047, 426.5143190874597, 634.5901090334436, 2061.104755024968, 875.3978225520036, 519.8727090985118, 1075.3882583059687, 495.5091949776924, 1642.2376344854133, 644.083765887198, 436.7762717536605, 521.7512088251393, 1579.3726188085504, 1348.9420781305191, 850.6376926425256, 847.6837103874647, 2764.613981515644, 908.8015789610679, 8738.724357088422, 5098.868471506926, 1564.7803022361545, 2261.583713369465, 8502.706967251881, 10282.61865292314, 515.0309031978123, 473.4517729503, 195.6674511115371, 183.76567833488625, 149.23934509585416, 141.28615882401033, 127.89752193923543, 118.00798488627538, 113.43575135375922, 90.30479538520342, 86.04093270795089, 77.78956695055278, 70.22121931378388, 70.0878388643005, 69.86219830693273, 67.80421528037643, 64.61891368707207, 64.56356976571413, 62.71902664446102, 55.545250608463725, 50.722933133455726, 50.32998108995214, 50.01480782463225, 47.55147840895305, 41.12226037528958, 39.33025364695879, 38.854421114683625, 38.73106631109818, 38.19036256063479, 37.27754201624105, 14031.328736665075, 1843.6890736431803, 151.321763537136, 69.15706562075955, 428.07618106178074, 717.876152323429, 5248.813651943958, 178.3830907478045, 6508.028787297214, 438.5354215971249, 11907.613125561718, 1253.115731227176, 2553.4929475316976, 468.0486649075076, 332.82339392327646, 14821.983915354234, 3557.131684284803, 1604.1263266790795, 2603.6741793987453, 1510.2140394068783, 1794.6165014554285, 8747.971214358338, 1070.9618343951515, 1307.336987860989, 4964.213952609851, 9788.893206407254, 1554.0739187795955, 8461.966633103368, 9437.02227168739, 3973.7675946248073, 12144.7447045164, 3013.1325364555255, 8502.706967251881, 8179.074379869675, 6929.798073008788, 4725.491650595733, 4565.459894127235, 8851.145296753628, 10395.958967688466, 5098.868471506926, 10282.61865292314, 7318.152185202343, 1587.7105769201335, 904.2121014075396, 403.4187074717582, 399.5605396619431, 1914.189634584196, 375.4140654657782, 361.2512657544036, 337.80033809030823, 335.5438019725951, 321.8679449772816, 313.5846381561234, 306.2173036138897, 284.23587980731037, 281.28538899950667, 274.27779702083353, 266.370271539459, 260.28514662740264, 248.22198048842685, 245.36199657066174, 245.05161280232238, 241.4920214252114, 238.4534900545437, 233.38204734306314, 231.750537267574, 224.19734094929586, 222.17869139853792, 221.0637513829515, 217.87060057674938, 213.46688450032292, 195.75552177756802, 496.56648998478136, 3987.2285298203433, 494.39542129651227, 756.0465327355181, 690.8712294474792, 305.2691756562832, 1500.8838407837336, 8502.706967251881, 4619.282961811944, 522.2713648398893, 2265.028312327194, 605.2651542901549, 3063.920242921424, 697.7169314181428, 477.04594966337356, 2012.0627224992834, 902.9940593789375, 1651.6923881286161, 994.6390813416564, 2526.8539522830697, 1812.8392789250604, 5098.868471506926, 1487.9021549871115, 8851.145296753628, 1153.5116588544897, 893.5586063367967, 1830.903602163724, 8179.074379869675, 2051.1604253317537, 1343.0225444633497, 1075.8945948062767, 853.5404055821352, 601.4323881158077, 600.8922832338434, 482.4945516347296, 407.99972770971857, 356.70307826690004, 344.73819416407326, 343.1212052419726, 332.4196118031529, 323.55582510871744, 320.47999160722526, 302.0854982155447, 296.87544525935954, 296.576610425958, 288.62566751120016, 282.07670206715414, 260.0325702453697, 235.75224547621596, 232.12487588015114, 230.53740743025912, 215.62556170098628, 201.26255658822632, 198.61906198250804, 188.40578895363188, 187.60804092093258, 168.3435144016567, 162.87711092806003, 6295.464527887077, 290.54554535920397, 904.7590806638813, 748.2953297006597, 4116.562035143361, 1048.5724817262721, 509.5463478606394, 1122.5963961030955, 3681.4972118374662, 2909.30261363757, 3796.208291667434, 7972.476894080182, 1876.3286282250742, 1055.2892637168966, 1484.8366485223999, 1737.5637107239395, 1348.9420781305191, 1562.7808799761901, 1487.9021549871115, 2284.849862011868, 1522.4142219393254, 10395.958967688466, 2764.613981515644, 3354.370046045586, 331.1675660518213, 297.99396393642564, 297.37364166492824, 243.68856346903513, 229.11610211218107, 221.71224856298272, 198.92986393349116, 193.4743537879636, 169.14775649146202, 219.4343129817441, 116.65991759335115, 113.70115758302562, 109.79598866392263, 99.59545969874354, 96.21982749940568, 94.65063396315085, 94.4362452137108, 87.91437823652272, 86.72575168355168, 85.73191037213135, 78.78585162336158, 78.12238871212664, 78.0576005237325, 68.09285325119924, 66.42415239659942, 65.78423497337187, 60.255407945387766, 58.970061634345264, 53.40443161763841, 884.3433620232807, 439.956662982431, 1520.8235990467665, 122.34009174435658, 381.35913288114074, 216.91858727251417, 290.32278778970016, 141.47098418546526, 255.2434974703469, 2909.30261363757, 125.58179481515407, 609.2203047006652, 2574.817808596526, 428.57641531256945, 765.4431736759093, 443.9126986894308, 330.1798333544872, 3738.027569928352, 642.3298218532631, 1500.8838407837336, 3524.389352823988, 8502.706967251881, 22826.79456375081, 6762.297985446506, 6929.798073008788, 2600.541055158718, 1447.2191554354508, 954.3626003316878, 3013.1325364555255, 7119.172118886806, 9788.893206407254, 5838.774688534728, 3063.920242921424, 3796.208291667434, 3987.2285298203433, 8179.074379869675, 2764.6691180407333, 10282.61865292314, 2361.700328518075, 9437.02227168739, 2989.4834207088466, 4116.562035143361, 1757.03619351862, 1423.5873880437307, 1421.7003506735518, 1046.0322835195432, 851.382648669001, 777.307053537743, 728.0366187781707, 627.4061175812683, 590.6033212274948, 578.5324868609486, 577.4373621325652, 549.8414840607794, 546.6042376571246, 494.2246171670208, 440.359941167496, 423.90203381922163, 422.13175731924764, 413.04628547178396, 397.3298470154714, 393.0016455332042, 384.43884482538823, 383.81723328903803, 383.50803725080806, 379.785541679956, 377.76953252486817, 356.5584027259157, 352.0165107085855, 343.79475990657994, 330.1929219092184, 320.95692030633256, 777.1192607017158, 760.2486264409113, 1050.4384448194573, 1132.6903080239044, 1154.701468301982, 1779.1562367623103, 758.9818472976335, 504.2509816828657, 843.7324909538646, 463.3715422216845, 897.0314142803619, 2764.613981515644, 893.3399146352848, 1227.6020216051427, 2526.8539522830697, 746.288909677955, 181.74317341745433, 134.73793562809868, 123.15245438579473, 118.37458436732614, 115.192493435987, 100.37254487117825, 83.4313281124194, 79.8604809669837, 71.11507318364937, 53.79285999839925, 52.54892945043168, 52.018942766583415, 51.659727714484696, 51.65147134615117, 50.38711706846675, 42.98768071929786, 41.42153940881187, 40.34347207402364, 38.26850569514496, 38.08950219908673, 37.3473975182996, 36.44538844698439, 34.44788028116402, 34.10843799241864, 33.10602266296861, 33.10161275658545, 32.69085065408065, 32.5171474072335, 32.2182855332324, 255.21957673905885, 63.228128750865345, 2897.2971221073844, 12144.7447045164, 268.2328827597295, 2021.3251941077551, 400.7023824379219, 257.5534354399221, 6508.028787297214, 120.18779598058018, 1604.1263266790795, 14821.983915354234, 10282.61865292314, 10395.958967688466, 4964.213952609851, 657.9472096421018, 7318.152185202343, 9437.02227168739, 5838.774688534728, 1440.7798402209758, 6929.798073008788, 961.5993726679451, 2014.7910061431116, 5248.813651943958, 832.3200174343658, 1001.3124769259381, 2149.232763983145, 758.4264423598693, 1182.7037018175733, 9788.893206407254, 6329.0244709785475, 8747.971214358338, 5278.948747522791, 4466.425971071365, 8461.966633103368, 8179.074379869675, 8851.145296753628, 4024.186749891561, 2385.255815541088, 3758.1416940007907, 4565.459894127235, 4432.999560509229, 1051.8999451446007, 939.6746465823906, 688.5582220037389, 601.1522424038272, 523.1303502251636, 502.48025525198085, 453.4332389114436, 444.04783649036995, 442.39213869755594, 405.7901910289887, 380.4561437894174, 373.1639813224522, 364.34521541289564, 360.1700743564883, 337.46391750238223, 308.41038660388534, 280.9540110763006, 267.0290702768023, 264.27686023319905, 263.3070675703819, 257.3056415288228, 241.09566932679465, 224.51654564621114, 223.24773308119882, 217.140069516933, 216.58734256107664, 212.53800596703795, 210.62848790407395, 208.3940002310915, 7351.355690760425, 641.9940904898586, 564.1788298907816, 451.0260819609986, 739.2611914400871, 323.3463370723838, 663.110662943039, 406.3762710754462, 1184.1689267405318, 686.1981377786641, 696.7673401331446, 1638.683824351848, 1549.3296769963677, 5278.948747522791, 1730.1446113214631, 1769.4801153028272, 425.59223324298523, 167.27766810221846, 148.47389497785372, 132.39362943261165, 120.71283176922026, 106.69939474019652, 105.68794014381625, 97.92878482591406, 86.24301362148681, 81.5976795577475, 79.10405274649204, 77.68062993060498, 75.37858740956375, 68.11635915175314, 66.15698845490158, 61.776757261224006, 58.693630130715945, 48.19339560354798, 45.73350623949215, 39.47082865796933, 37.591950053907944, 37.39973678898043, 35.75464290851544, 34.96948457694328, 34.70866359614292, 34.67392608923442, 34.183935963065714, 33.52298669964251, 32.94179531292184, 32.45411836423862, 6003.529322841615, 452.30816603411597, 163.6308916928409, 1386.9970956638533, 548.3096862697373, 1228.1730892354262, 1244.3422606314423, 2897.2971221073844, 5709.178539592476, 9229.355807949336, 6508.028787297214, 10395.958967688466, 5651.569748666206, 12144.7447045164, 2132.2464592484002, 3558.0437301342445, 11907.613125561718, 3343.5112432239125, 14031.328736665075, 1043.506777027922, 7972.476894080182, 14821.983915354234, 9788.893206407254, 2338.96756157062, 2385.255815541088, 1794.6165014554285, 2603.6741793987453, 5248.813651943958, 2430.3596776435866, 8747.971214358338, 6929.798073008788, 969.5645823620729, 810.9979871159284, 666.071382056413, 595.4661876921025, 547.9162427809298, 510.53863093267665, 506.36334184619955, 463.61529432565317, 462.5352602801872, 478.9888115597543, 423.3959164368122, 388.96841235958567, 321.6406587767749, 294.83207018186425, 266.22245274237497, 266.09004019616225, 241.19035182636514, 226.23945669597978, 224.0384710337479, 196.3618065445622, 189.1206578161768, 175.4732617765457, 174.96300140212966, 172.91830938669307, 153.4941033339014, 145.19915724053672, 139.62478948433446, 135.3384259731585, 133.8701110172839, 118.59936824346786, 380.2236916533137, 1083.075516466174, 926.2566906422794, 1411.5812842106716, 542.5150955608162, 2874.0189576055736, 458.0750689254676, 402.2038635603394, 522.6765934764903, 315.7228841050899, 11907.613125561718, 3557.131684284803, 2284.849862011868, 1606.6056191732505, 3715.6298964934567, 660.7763347824729, 1115.6019930548086, 10395.958967688466, 3524.224210246243, 2250.504234065819, 1215.167599375475, 715.60122547381, 657.0701262129633, 563.7465141708718, 347.6505170091849, 333.8671064088444, 331.760882504656, 272.30744126085176, 265.7604916292161, 248.17005244535375, 234.3387422478541, 231.31119241134928, 219.22225900479518, 207.9953908273242, 189.23920608708852, 185.63854013733948, 176.54708042845425, 171.36362389571184, 166.66088822165733, 166.01084179111388, 158.7417014441967, 153.43820341446815, 121.22634029105686, 117.95472570050268, 116.06308975572053, 114.47156592188338, 112.38246851586334, 111.23790459413902, 7972.476894080182, 847.2512038097847, 256.70321224726115, 751.1608772335155, 828.0878398850075, 200.3204874350179, 1102.8478689162137, 1174.3135054392085, 2493.512793892843, 1894.0410227962857, 2190.3265829518336, 1158.8631386186746, 1319.3910528632798, 2199.22528162848, 3063.920242921424, 2965.3814479220023, 1645.5037974912798, 769.7628216700934, 372.78935334963126, 298.90996647974, 276.3215933919641, 267.4667109395428, 245.91806202081995, 193.57357995894003, 148.85400632572234, 146.13903893591907, 124.80969040796624, 107.69712287217538, 95.39309473836964, 91.87314899154053, 90.05818551235359, 74.43066541624331, 67.21149694122302, 57.968817917959974, 57.40106406683291, 54.44588415002618, 53.406895775373854, 53.08336311556976, 51.864892648596616, 49.55265758869287, 48.29435508999464, 47.48404106309791, 46.12349435688448, 45.874383934108, 44.18075904716741, 44.06023763963986, 42.3031745329593, 192.97876005392877, 357.3935602722215, 147.1065202274794, 304.78627980145615, 1977.6454807572047, 576.262167023865, 423.81584837823124, 762.563583013655, 323.60789590901015, 4431.350119245226, 204.007346270149, 333.2249021173681, 1724.3519945041269, 1682.5390107048197, 2132.2464592484002, 1470.175581721786, 14031.328736665075, 1459.2479836914263, 331.71826715863034, 9788.893206407254, 8502.706967251881, 9437.02227168739, 2874.0189576055736, 8851.145296753628, 11907.613125561718, 2026.6920360425272, 4709.694781884045, 2306.139829442412, 5278.948747522791, 3764.167718634891, 6762.297985446506, 6257.5680178965285, 2673.393183101141, 1070.3639443328464, 460.5499157307837, 396.51376615888177, 313.2358218491227, 268.38909269116783, 213.50589280740263, 208.88183418697213, 162.3582980419331, 113.53583524353589, 112.2665028892462, 91.01921634182298, 89.52587968291189, 88.5023456377235, 77.31750852053818, 75.328727600749, 69.89751733119863, 69.8972643826736, 68.83521702872949, 68.27978338089638, 64.69981543715545, 63.627235219410075, 62.36210198992612, 60.10338058401256, 56.263009625852085, 55.92346112725953, 50.42941190783588, 50.32925737848127, 48.07501709714099, 46.832706682277745, 46.625438261044124, 158.47403623817522, 992.1001146577619, 3452.513493743446, 540.392074129266, 1905.2492431363873, 366.78059162857, 3343.5112432239125, 778.648554774811, 1146.3626178407158, 531.4394679188051, 1056.5139358060103, 14303.673728912374, 388.412386540531, 1244.3422606314423, 2369.6960781625658, 6886.603525917803, 885.6206989269809, 1244.5841843868466, 948.991351319936, 2673.393183101141, 9229.355807949336, 10395.958967688466, 9788.893206407254, 10282.61865292314, 12144.7447045164, 3524.389352823988, 2287.9610303001873, 2328.1988419973964, 1373.8048156614414, 1141.7714546663394, 703.013369099456, 443.07098295136035, 422.8361522740112, 341.43452198757547, 252.0841297270913, 255.98413059240596, 197.42740510433032, 193.82281949958417, 175.67868709656986, 170.3670835525044, 163.7420077361766, 163.28945320712, 158.73107786108682, 143.0619509204049, 139.91125154363993, 137.05287863097746, 133.68698786581797, 132.34535954243773, 130.99548280812894, 128.492663649863, 121.71600373460018, 114.15578858675916, 110.93064155112458, 98.92392906418479, 96.04471056709053, 89.41775385143329, 88.76112893628388, 1401.9540234569477, 429.4863138874053, 298.2990561322555, 157.76223046954846, 703.9815683379337, 844.6922368588705, 844.7962032405312, 822.4618011666508, 863.2847938445314, 334.4271362818239, 1105.319702484674, 952.2422784366685, 274.6819083252671, 3465.8629416947083, 621.8775508735541, 1245.82835050998, 294.11429209415684, 983.9187652541127, 4565.459894127235, 3063.920242921424, 2912.354134639195, 10282.61865292314, 6762.297985446506, 2736.782353646515, 1029.2003461252993, 176.04617136668858, 156.8699370986587, 155.73746321349358, 149.25346887350221, 94.86668135743659, 94.41350665884525, 78.27052114056968, 66.92525943871321, 63.936628898261915, 60.743216044926854, 60.51741548378619, 59.953530996327885, 58.69530288951676, 58.586623217232734, 51.91323589523166, 46.50499411776473, 44.93097020297992, 44.91131900437782, 37.549238239019424, 36.51285253755333, 36.39699163614408, 35.02539826937403, 31.857076093067125, 28.498488257760407, 28.197790327988056, 26.024687906614734, 25.412255761274057, 24.946374278961574, 24.92205061734788, 90.49749898311606, 50.66203026132139, 1431.1256703880629, 350.76023955489217, 92.67309732112778, 9229.355807949336, 127.33491023431267, 190.9133137786461, 811.0637120333481, 1319.145973635381, 233.07587791559453, 306.49471635355394, 915.9230901496076, 397.48065423357656, 8738.724357088422, 6257.5680178965285, 1116.259825936258, 597.5136131144795, 10395.958967688466, 4565.459894127235, 4964.213952609851, 222.6903162475789, 14821.983915354234, 2273.1089825361405, 7523.828273759766, 10282.61865292314, 2603.6741793987453, 1949.0826513411591, 6329.0244709785475, 7318.152185202343, 3039.571170079551, 2245.8688004478277, 5838.774688534728, 8851.145296753628, 2493.512793892843, 2190.3265829518336, 4725.491650595733, 9437.02227168739, 7119.172118886806, 2936.4382000166925, 638.9748851409561, 416.02080826519295, 288.5854684991134, 250.7840121078392, 215.34038425339492, 215.0802608954708, 200.13954712381528, 187.88163833709922, 169.34826737594832, 154.35400774701415, 147.83189462275647, 133.36927485190859, 127.11993545191794, 123.22340896894823, 118.59173092052555, 113.07243952100525, 99.30990656258273, 95.4492339937835, 93.27039837381203, 92.04808583468245, 90.54890614291467, 88.54477263659818, 87.33788541981089, 84.83386776257993, 80.77949531399716, 79.05928421074233, 77.65328875445732, 74.53848979742784, 72.19951373832306, 4546.266400028349, 2711.375769771716, 190.92340410993003, 405.63052376214256, 171.79283459974548, 365.4776215520161, 1857.5200953003146, 236.82128949478547, 3681.4972118374662, 1373.1256014164362, 150.18714800256635, 426.5380027428138, 8738.724357088422, 2132.2464592484002, 1971.2997298779399, 2909.30261363757, 5838.774688534728, 2284.849862011868, 14821.983915354234, 2026.6920360425272, 2361.700328518075, 9788.893206407254, 2493.512793892843, 1244.5841843868466, 1541.5810082799783, 1580.7977719641156, 515.9081215398387, 402.29535943869075, 304.67260196433534, 181.97292055374066, 155.18855742880388, 151.61580010590416, 129.66069691429936, 127.94816331094171, 140.6508745229942, 119.67503183095793, 75.39410924882519, 73.39495117440151, 70.4461838785028, 70.10999351742161, 64.54086502059089, 62.88929774728831, 56.32411722636391, 53.262296929098355, 42.1850460521791, 35.44642040645666, 34.11662936095057, 31.29590900640606, 28.358262807153874, 28.25797335702333, 27.70613242279239, 27.20140484392236, 26.48437318804302, 26.100479211704105, 25.205450355934953, 898.1933807627073, 248.92138125587078, 983.8632963744402, 62.45505866171144, 11907.613125561718, 841.8043131985262, 367.0770675631147, 1087.992448875542, 171.69999170645104, 596.5279355555035, 3557.131684284803, 333.0493926524233, 878.0994127797194, 1202.5837115652396, 10395.958967688466, 3786.661153137839, 9788.893206407254, 1977.6454807572047, 488.24395228293207, 1408.040090127287, 4725.491650595733, 3715.6298964934567, 5709.178539592476, 4466.425971071365, 8461.966633103368, 4798.470852783751, 7523.828273759766, 3558.0437301342445, 8851.145296753628, 8179.074379869675, 8747.971214358338, 2264.6400970969407, 564.5413931597759, 278.9037880826515, 228.54107833951088, 203.40803675269188, 193.14765911012947, 138.50119401157926, 131.8617957385714, 128.5056710738728, 124.6053525072445, 122.18244257721842, 114.65789793408398, 111.54885911658371, 106.92981178583415, 103.33843822847803, 90.51061884638584, 89.24823453926906, 86.20007307499353, 81.86001520966192, 79.97195405963038, 77.36487537126841, 74.21350917587098, 72.6862033332682, 70.49863186258541, 67.28459052994681, 63.57130448663664, 63.386251505412616, 62.17229175361229, 61.459770438701426, 60.96658602947372, 523.1575644681992, 2815.3507723516923, 463.09119724620837, 429.88909012433885, 878.0994127797194, 566.272760057707, 1682.5390107048197, 218.6841298201761, 747.2803108562413, 218.8071281198018, 164.39243429936275, 2369.6960781625658, 845.7199002214941, 2761.953958788943, 2287.9610303001873, 672.9972945433786, 362.6158140055318, 2736.782353646515, 1638.683824351848, 2234.3121326999244, 1470.175581721786, 1244.5841843868466, 2874.0189576055736, 762.563583013655, 8429.486904190635, 6762.297985446506, 1227.6020216051427, 5728.31615581907, 9437.02227168739, 9788.893206407254, 8851.145296753628, 1871.2699012613375, 1638.095455504732, 1596.389084094491, 1390.1164822759474, 864.1880335693722, 567.2199052676095, 562.3241828976034, 443.73618863026894, 369.25630514028836, 320.59540658641293, 313.50174920550336, 277.0981700002481, 268.36514948509375, 256.8475317254043, 251.6764257141218, 244.5523920205422, 219.75138450030357, 212.99044920147006, 202.38743863921488, 201.1871406738231, 200.1164840416352, 180.5799286962143, 178.38649545518822, 170.65800954060524, 167.87706950507615, 163.9770234788399, 162.4996269796506, 156.86809390262974, 155.41009817576366, 145.80836243880796, 432.9840248473261, 1092.5469101096596, 910.6149326069789, 534.0018816771518, 441.8438851375326, 443.21214516326563, 293.06702741721585, 386.08427035547436, 1683.0854621548574, 377.89750980353483, 344.60576964690824, 1149.3597696047652, 1184.7054903537025, 406.56127360855845, 332.2846024349534, 329.2014091576828, 284.4289961868762, 281.0712931838013, 254.5591206289983, 254.00416146017974, 238.095654097295, 234.75682937576542, 219.94300423701017, 137.8708441587142, 135.6216378619606, 133.60404858817947, 118.1688552415594, 109.07680942183181, 103.28209015250364, 101.51984276655891, 99.34663624020213, 95.44993040470484, 94.57680505457976, 91.1337830205252, 86.17314504728621, 311.9141348899518, 72.43304636055923, 64.57301446392266, 63.8811382425154, 62.13831509114884, 61.134341301964525, 60.647109156874365, 56.50263465087459, 474.64650741659125, 70.7847881408219, 70.5678003944074, 887.7380327058324, 210.2042298966678, 2574.817808596526, 4199.588692222482, 1823.5868463109773, 288.25501843604314, 4116.562035143361, 2912.354134639195, 2600.541055158718, 4798.470852783751, 4457.925962360781, 1599.8612480006298, 1281.1587536984525, 9788.893206407254, 6929.798073008788, 6762.297985446506, 22826.79456375081, 2849.0809947431762, 9437.02227168739, 8851.145296753628, 10282.61865292314, 3647.886348495604, 197.6483058311286, 185.648747877254, 145.82241508203566, 126.79619061355184, 125.67262892531524, 78.40427264712335, 69.70125328387302, 65.70523054036057, 60.83650962354747, 60.567615657156814, 53.155371166805274, 50.61500663138289, 50.2273254428611, 43.240301446623974, 36.96226137654659, 36.745694000391744, 36.40414862929738, 35.60578268122343, 35.04481398647797, 34.52936191301559, 33.73664800005617, 31.712982786900774, 29.70493431198382, 29.515757542032375, 27.820371964719524, 27.162190477632993, 27.098411032813363, 26.690804281527804, 24.620097325756475, 126.50762203916675, 957.6994874334824, 173.31340091666374, 866.8302652954608, 172.14692127647544, 106.58129087911583, 4466.425971071365, 146.1904231698004, 200.5382963199683, 825.6566200029482, 8179.074379869675, 3704.624805874682, 3764.167718634891, 608.2729204387618, 2026.6920360425272, 886.3843628361461, 6929.798073008788, 1256.8299483200801, 746.2350237809613, 3131.1993712779245, 1218.3402827115033, 2131.4697001854533, 1052.4921231922697, 9437.02227168739, 4431.350119245226, 4457.925962360781, 2436.844617872474, 3786.661153137839, 2037.832853051589, 3110.1415877074824, 6762.297985446506, 9788.893206407254, 11907.613125561718, 8851.145296753628, 5838.774688534728, 4619.282961811944, 8461.966633103368, 574.8900461721317, 455.7231138739317, 332.2265034068642, 329.8904267110737, 280.1760271054505, 278.4483926628701, 277.59329354874154, 257.1350282037228, 247.63785998266647, 205.2681950863151, 203.30631042523075, 199.66251545049042, 177.52895922922144, 172.28075624969847, 150.9114262506588, 143.33464320746802, 138.12994236191747, 136.0450150999186, 128.3383866356753, 127.6520464319265, 124.43331541286342, 121.92050361181415, 118.97429268053774, 116.43059670926293, 115.63184872638925, 112.29939566984409, 110.68690490185828, 110.62911748673054, 109.98158770990658, 102.34343406116119, 229.25063334621356, 2338.96756157062, 264.158518046535, 1267.7219445207888, 682.72162611657, 1149.5820763660543, 398.6762375276635, 341.0832434101541, 1416.025696782842, 469.7492312284239, 405.5854993308862, 417.3297013271854, 2331.3352427625878, 742.0579666879246, 593.0951246494917, 1070.9618343951515, 1319.145973635381, 4565.459894127235, 5056.8375333149215, 1131.7668575420896, 925.8040041638919, 584.9070367246862, 559.1240660170808, 536.6692721121863, 535.6191340727236, 514.7291004813839, 498.1749495979669, 367.9070117311857, 352.0296616715437, 351.3884622714236, 275.3027818251929, 251.61770171864404, 243.8969726751473, 238.03734264579506, 230.43848938975668, 205.90935939095277, 203.517950269421, 166.6008315764588, 146.96272170451203, 143.53270540458428, 139.8661713478535, 136.74958039970383, 125.43351870395351, 123.23350330611927, 119.63699171449257, 112.50046173657687, 106.15657410852519, 101.59811612495542, 2782.0708273076134, 1242.5516777675134, 639.9646718861593, 227.12805868009406, 275.0588144004677, 522.7714632746612, 8738.724357088422, 296.7662489168913, 193.8368638445737, 811.3617445802063, 6518.232653214131, 1097.217711323323, 965.240178800531, 683.2110140595098, 526.3019727685116, 522.3857051799673, 434.1995183265033, 396.4163562825795, 386.2313588830293, 362.98599238657107, 357.7818579122965, 325.34468023530457, 321.3016944023932, 311.42361138482374, 305.15863378959807, 274.2225855823399, 257.6459485643447, 244.6078393862881, 240.3591663599432, 205.47967650491336, 201.15162720302337, 175.17207657957184, 131.49273595562119, 129.24969346820913, 128.23517126755286, 124.26759322157339, 108.31232641702158, 105.97525177359881, 105.46856046793587, 102.66102986682206, 3598.903258096935, 220.38699846151027, 420.1860641201003, 386.85660424643413, 163.63937634167064, 529.9259727340169, 206.71399781388843, 819.1983235491217, 2001.4295714891211, 8502.706967251881, 2764.613981515644, 4801.505860651459, 1357.4355216595866, 1304.5511312147037, 436.48450108276273, 344.4397101610332, 290.56151387186304, 253.3597066449205, 198.5698790777582, 191.27622023105351, 181.34229213652858, 178.68604780490026, 174.8669645112171, 170.17479137959918, 159.79791873031192, 159.0424296327935, 158.2315694620424, 150.7966913110397, 147.5416348593207, 135.6252715283907, 133.12271176199243, 130.7148140863276, 124.64325456183938, 119.7669162002658, 119.52325444264916, 119.41492715389295, 118.78522840045876, 117.70347033021017, 111.80327393802253, 109.28519933230706, 107.423712214175, 3352.441897681228, 268.2748208201322, 1851.8493391699326, 157.33110105705725, 349.304266636933, 159.4684405546386, 934.8284712720721, 203.53905518729934, 983.9187652541127, 1651.6923881286161, 3524.389352823988, 989.485715610631, 1426.2197896084485, 1464.5696919388781, 1332.5391036212234, 1040.5655494928985, 962.6230224164844, 843.0257637563229, 487.74550982896614, 461.81920533650145, 433.18968504099513, 425.39002233933644, 421.35488718278793, 399.53298557903287, 337.06140481877, 335.50921637685593, 334.0258924234143, 328.72028114398796, 301.1031596043412, 292.58573893662725, 264.04222422137866, 253.44275233445182, 230.730982873938, 217.34633947745527, 180.64253816172214, 178.40074659262646, 178.3735890810743, 159.46297073196894, 146.84597631292561, 141.44429443192328, 140.76404629622766, 140.434368881418, 133.603827692328, 131.61826362434107, 848.9467091463239, 1118.9425881664476, 645.9770356105911, 197.80623038688057, 258.57403904452815, 2619.260693446638, 1487.3111246703922, 2493.512793892843, 1419.5744825346342, 544.8159365947931, 521.0893005228882, 432.63454345039503, 313.89542427089384, 267.100310600983, 247.16623311826925, 246.75711413709203, 217.5956656414555, 198.69930507394952, 186.3895049832874, 164.86354996249602, 143.61722069525723, 142.20989701082834, 131.28956345411117, 292.81926151760183, 129.7452825333446, 125.2110186974174, 123.95795037995758, 106.9747000065749, 100.38579918356609, 89.98720164200031, 86.29473676782447, 86.13559956626693, 85.56143241572855, 81.00174792739958, 80.52501205079304, 80.2835891890709, 78.54679509797323, 78.53643716950782, 163.07139384989927, 146.5313342108295, 120.3610671868556, 231.90671684260036, 1681.6104720484766, 3343.5112432239125, 3013.1325364555255, 1447.2191554354508, 1008.9504820386968, 3039.571170079551, 5837.336963125954, 3452.513493743446, 4199.588692222482, 10282.61865292314, 1823.5868463109773, 664.1299779058235, 9437.02227168739, 8851.145296753628, 4457.925962360781, 2573.0415584003385, 1066.782761860548, 981.3843753412178, 970.7816890374108, 834.1755927626077, 1615.484182177283, 530.9514140816447, 515.7020109222035, 417.0804559360787, 392.0881777248003, 389.3982337733991, 356.9988070261984, 301.98972833491297, 292.69456434356647, 250.70793795394445, 196.82546887187567, 187.5327149903888, 145.95691591455542, 126.33915564100238, 124.24379788243722, 104.52146206367931, 103.38457777711609, 100.3400799820462, 98.21053495023638, 90.87072847301884, 85.27685658274292, 85.18823903377464, 80.93201298541103, 80.88550554730884, 76.36596729833747, 375.1702073405183, 689.9435800141057, 633.46354188528, 458.60071399125866, 361.85667317150336, 234.99942638459243, 1096.1394071036266, 3452.513493743446, 675.450073391705, 778.648554774811, 2761.953958788943, 14821.983915354234, 3040.7310543809226, 8738.724357088422, 9788.893206407254, 6013.635664157307, 1249.2419345754527, 1181.6438670158175, 717.7528046935938, 665.2921185436638, 657.6150124471982, 651.1355516911509, 366.03270228317547, 326.4233080615004, 324.08438713483525, 286.41130314795276, 240.66360904480993, 239.13300411481077, 236.33419631202696, 199.41828898627284, 197.35832997101954, 187.64219026249893, 187.20035144637433, 181.35130845419675, 151.77937795221638, 146.05080960973578, 137.26778101667117, 122.35732500562078, 122.02323050608538, 106.41568567651369, 105.78566438914802, 102.01770633468092, 98.96431231576618, 93.86971645645596, 93.25988010099299, 401.85393752579733, 290.9346771825983, 730.424135691881, 195.5457106638266, 750.7881177033154, 444.14052189192466, 330.64902355206306, 594.1883928624172, 4116.562035143361, 22826.79456375081, 2659.350537398562, 1075.3882583059687, 2102.359359470589, 525.5708333113998, 363.42443928346466, 234.3722264925394, 185.74822844813755, 292.5927462311854, 145.6016986930159, 91.8923116336978, 79.95416064641628, 75.87168288100465, 68.8363265584821, 67.37667642769875, 65.02775329164373, 48.75391415690723, 46.70862231711004, 42.76998921628348, 41.36147376925118, 35.87115582909636, 35.66983692670914, 33.41420135409338, 32.473614059117565, 30.184308209097917, 27.221894856195703, 26.385744942389184, 26.276454375037993, 25.724084046783904, 25.280465520416513, 24.550574014002063, 23.871747953372758, 23.17498109619446, 21.754940273414075, 208.74924332163647, 1408.040090127287, 89.91011854848252, 158.69190540932107, 78.44721712270729, 601.0314601261917, 350.5898618511116, 1599.8612480006298, 915.9230901496076, 1228.1730892354262, 210.74801505434652, 9229.355807949336, 76.32425894767209, 4798.470852783751, 2283.2776350022204, 1447.2191554354508, 677.3778569341576, 12144.7447045164, 2369.6960781625658, 2815.3507723516923, 4431.350119245226, 1876.3286282250742, 6257.5680178965285, 1404.8580113827431, 1244.5841843868466, 10282.61865292314, 6886.603525917803, 1638.683824351848, 3704.624805874682, 10395.958967688466, 969.8395012632454, 636.5104487631303, 615.2320981725488, 567.8879889766976, 210.45184955399102, 209.0175480000818, 206.1674411248502, 202.5509754146744, 165.51512329405588, 157.4106927474753, 133.08874219558314, 124.88355907731972, 122.7593808502791, 108.92997320157184, 100.23465341143236, 99.42035330457989, 98.30896913601066, 94.74861395214349, 93.81320401396239, 92.54967898917879, 91.77665181106039, 90.11428908776438, 86.01052811535878, 82.61590482420236, 79.08836462665002, 76.58821702520535, 75.99268278196783, 75.13919122273082, 66.12114360356338, 64.8433101879585, 2423.7548563441364, 2306.139829442412, 327.24852326115234, 149.24143393291433, 415.0212241967685, 485.30235269480124, 220.02081874081114, 970.6641706229966, 2736.782353646515, 208.27875612958525, 3557.131684284803, 810.2034112436611, 3764.167718634891, 2011.509957676534, 9437.02227168739, 659.9206089603231, 2619.260693446638, 3143.35814002548, 1440.7798402209758, 826.3070428782759, 9788.893206407254, 8461.966633103368, 3786.661153137839, 8502.706967251881, 1854.6882356869394, 1264.2625970715735, 1154.8084240683065, 1037.6858053499495, 998.0583173140246, 691.1136256752405, 598.4494211109769, 478.1477407501623, 370.82119661200437, 369.24608042077705, 367.48895381505173, 363.23767363567055, 362.63929711685614, 360.22685757532815, 359.37550149743834, 348.1627980164747, 322.01292462768765, 307.7951575899032, 305.66919325282515, 260.35932331855895, 253.32215229150202, 244.5245234520598, 244.13632631705562, 194.17821669969467, 193.16234068389608, 186.73290016243254, 181.16374090791822, 175.4293053246629, 172.63263985976178, 172.00857556243974, 161.78429651406992, 228.4232657130774, 3849.99989625724, 584.3981971591843, 979.351329339253, 391.2686228638366, 1203.5654160027732, 264.33856281991456, 221.89630836051177, 268.42795221297786, 317.9093474345298, 2100.221944379834, 1129.8826967701493, 891.59717543031, 642.6117543462605, 556.847895357467, 494.31694534582755, 360.92378932866933, 347.2904152572514, 331.2699548043137, 323.10648530644534, 282.2468349952517, 270.5112670793847, 262.2929177985484, 251.66747992040203, 244.20886949806055, 227.763644403848, 223.3577235027438, 212.56090887111526, 202.25197887977825, 194.51676269899218, 193.05777266054253, 192.55489507091949, 189.06732421669335, 180.56225906765044, 179.3449124008657, 173.97277122165733, 170.0383629523437, 165.98113448482164, 158.06650887466793, 156.80460589543213, 504.1956617771076, 1737.5637107239395, 367.1044248931188, 1149.3597696047652, 1843.4096844778626, 1823.5868463109773, 9216.50989427439, 1454.4560029274528, 556.3438894431144, 488.93515559735994, 223.31803742748554, 152.7075254540369, 149.94193521055746, 145.69918917701045, 138.94991970194192, 114.91349024045451, 108.58679618060688, 102.15423677467167, 85.16769497013416, 69.46422641082029, 67.71618702907404, 61.93046104317351, 58.12728741800668, 55.92122198066618, 52.13511612693971, 50.0701986394854, 47.64287979486577, 43.93726765921895, 43.126863745749795, 42.46233557081971, 40.11904492836572, 39.91963818834712, 38.513337016334404, 37.413934831429785, 35.78280366213412, 35.14826946045445, 649.7720427047049, 1040.187957514656, 508.015622879059, 711.919461819001, 840.5575120415654, 205.72815273877285, 247.28037780043647, 175.74223410523499, 67.34419080188394, 86.9160480952634, 331.9425098433775, 152.9016399626021, 198.5624971936442, 452.30816603411597, 247.32037590958294, 136.55371251005096, 748.2953297006597, 918.9073713071941, 1070.9618343951515, 6295.464527887077, 1597.358197573298, 811.0637120333481, 3186.324875972307, 3878.308948466497, 4460.663297128323, 1794.6165014554285, 2102.359359470589, 8738.724357088422, 921.3833493155097, 542.1744575834463, 336.67499159758916, 300.83917424860874, 284.1007242886934, 254.80411705118894, 250.99793561607308, 247.90031801880343, 245.4710840814617, 194.1499724452797, 184.15020012135355, 174.3063565880657, 171.07356823353172, 156.85568223113148, 146.27487273333338, 143.99544783357197, 137.68396496112325, 119.94731032202854, 116.31205763823861, 108.6520814373453, 108.32905134734982, 105.59089114689208, 101.4087673867067, 87.04403566079344, 85.04155492070565, 84.56167079090693, 82.38437857085628, 78.77148252390461, 76.18067951943844, 73.63147955729991, 4460.663297128323, 336.57165326850225, 1577.508093016712, 381.15741593158884, 199.1456580529817, 4798.470852783751, 226.03580334369843, 285.94100319119826, 792.387628415783, 282.76970895145774, 1116.259825936258, 483.7508797064417, 1014.889105601664, 979.351329339253, 782.0210437681604, 5709.178539592476, 1905.2492431363873, 3715.6298964934567, 3849.99989625724, 1179.921534841374, 165.8340047381923, 94.54803388748533, 69.94748442377359, 62.94662395201076, 62.52967013802541, 60.315759561821956, 44.144987609128655, 41.939578675704574, 40.120082112517146, 34.26775481235692, 31.9854978119066, 31.6241005295914, 30.837229921430936, 30.401823846831455, 30.244996004967092, 29.615734991017895, 28.03831167152898, 25.430656948642113, 23.7776884294453, 22.394645706987408, 21.09621062181056, 20.929794229445672, 20.322258143153945, 19.42629509363883, 18.19988460656844, 17.711395655392444, 17.44093273079241, 16.897218672617296, 16.333313925274453, 16.217480252928596, 436.9006968199858, 1385.010812563819, 862.0840760054529, 482.10494451495265, 788.5660068411054, 1176.805264363586, 372.2714012387499, 177.17324563504206, 548.3096862697373, 1319.145973635381, 1794.6165014554285, 324.7446097069902, 11475.211011801106, 1386.9970956638533, 14303.673728912374, 257.5534354399221, 7972.476894080182, 380.539601901769, 5248.813651943958, 4460.663297128323, 2021.3251941077551, 9788.893206407254, 3558.0437301342445, 1128.0317888328518, 3558.7362313859576, 10395.958967688466, 10282.61865292314, 1606.6056191732505, 5098.868471506926, 6003.529322841615, 2361.700328518075, 6329.0244709785475, 5503.125822860647, 12144.7447045164, 9229.355807949336, 226.34764216156097, 156.32469806218677, 106.44223548809839, 91.92969864537196, 87.92066589189628, 86.7039757297742, 74.72311730789055, 70.16551751982927, 53.31984441503837, 52.19169871390102, 51.561161060316685, 49.177191898142134, 42.30205689437494, 41.24580065548983, 41.165689327255734, 36.53518039374831, 35.89159748380278, 35.4329244550177, 33.325454695585556, 31.904773576242587, 31.734861953701042, 31.506711219061096, 31.080332944744505, 29.93251470815495, 28.516911761044607, 27.620152693459122, 27.3233139012587, 26.0182088740336, 25.70227380592303, 25.37438373861278, 14303.673728912374, 83.70196012497235, 99.75713099914229, 361.92643537751195, 1570.0976024699871, 142.4474601367665, 159.17919680865123, 3465.8629416947083, 522.1986623405215, 294.11429209415684, 208.4577468543627, 4798.470852783751, 844.6922368588705, 14821.983915354234, 3796.208291667434, 591.6575681138003, 1014.889105601664, 1056.5139358060103, 7119.172118886806, 1301.03329304419, 2290.8829914791945, 835.1527436921508, 6929.798073008788, 10282.61865292314, 5837.336963125954, 1577.508093016712, 9788.893206407254, 2263.2421817051136, 1447.2191554354508, 5157.166299880525, 1777.8033948616646, 850.237518626478, 718.1590613338857, 499.8409878261723, 442.49375405174374, 397.93094430199784, 388.11979811598894, 310.1760259949301, 299.3342398808572, 209.89875707344828, 186.93861059363994, 164.08447341395888, 154.3298758757329, 149.73282773105166, 145.2314972572681, 144.52137571693152, 142.11566914014773, 133.79359249183068, 130.94107526223465, 119.76544548234175, 116.07718936848129, 109.70794803246184, 108.3259667923816, 107.85125307293315, 104.90371432756787, 100.01967016448528, 99.3506922682731, 96.53814754857592, 93.38219634574787, 2112.981270289138, 324.4331738787708, 2615.67830365036, 170.26892933089616, 254.12626004174035, 257.3338108657884, 230.7858827728895, 307.1953024141814, 1280.6136117873516, 1081.7228788033299, 836.7797863002133, 487.3269246014332, 248.16101185283117, 152.2334984138595, 143.78461070998887, 94.71273389055463, 94.30836196982811, 86.10217962665268, 81.60058819115905, 75.1730542961037, 70.35232892844813, 66.85081515237005, 63.89417310155425, 61.28169115980621, 56.88478270729273, 50.14242902898148, 48.38688347608529, 43.722152172450826, 42.263661517298786, 36.7821022427242, 35.469698254037375, 34.062655329946224, 34.05442933752203, 33.52398460005736, 33.01984431153911, 31.01819110800862, 30.8913906972322, 30.460152023413876, 28.923880993360736, 346.06804654499194, 210.57954510467798, 40.387477983374666, 883.6727075098696, 572.1153876678832, 63.68560556982858, 505.0882496735571, 220.84187799403267, 259.72847821812036, 90.09518973422684, 12144.7447045164, 193.52970873699059, 2290.781928019778, 345.7715078705996, 716.2711488631612, 2139.551553095024, 1464.5696919388781, 612.3314682836259, 2553.4929475316976, 2306.139829442412, 3039.571170079551, 2234.3121326999244, 3558.0437301342445, 2021.3251941077551, 11907.613125561718, 1510.2140394068783, 983.9187652541127, 585.4614292160472, 7523.828273759766, 14821.983915354234, 1843.6890736431803, 9788.893206407254, 1760.9873153537833, 2430.3596776435866, 10395.958967688466, 10282.61865292314, 2917.6775751410337, 962.867148721839, 757.5578110819043, 448.5620819450333, 854.5923763944638, 273.1798938605413, 187.6665107556251, 2907.795472181146, 173.81545501345644, 167.6394347901131, 162.2964575714772, 159.87033444069297, 145.734774713788, 145.52169186519313, 137.18147681470748, 134.45855029671827, 126.19341671137505, 120.78085052346027, 111.76591638259657, 107.8255429897497, 107.54705004778944, 99.4755517745358, 96.89587249318042, 95.51259613519616, 94.51710193073903, 94.28559862922465, 91.92041412082125, 84.47557460817485, 81.48433729243983, 81.06320898415882, 3558.7362313859576, 657.0662320667388, 470.52504315228276, 153.32090823672155, 678.0881753527237, 585.4614292160472, 283.27426952974315, 202.07905304262152, 1244.3422606314423, 629.3924555622166, 252.8038377352333, 2001.4295714891211, 706.4537511155349, 439.7982922330956, 934.8284712720721, 1580.5561827711047, 1392.1642625000684, 1084.0202572560747, 1060.9783445836276, 519.590857215075, 476.1159458835259, 430.47860725542625, 378.507854770728, 373.0093235537409, 341.12581461322276, 336.6416484162126, 317.11256545997475, 258.60756351670983, 241.45010399362002, 197.40518607746483, 174.13756331076613, 158.46586153299347, 152.99734099640105, 146.92890260351103, 142.16462114045672, 137.20548724299414, 136.61192735220018, 136.3193015553696, 133.7344067065702, 131.3779521362424, 123.5764913141353, 118.60694152173397, 108.64320162884343, 108.6277270439487, 104.84062385496516, 714.9472052463628, 585.1579980030273, 300.14642772860094, 207.4993424714611, 160.09479333940484, 583.6287949731791, 367.9746515791199, 482.0768012755365, 286.6515377609144, 552.938155708709, 955.0573014251681, 1201.6934746552527, 8738.724357088422, 6508.028787297214, 658.5759565904701, 4509.6113580433075, 2264.133257680477, 1689.1697591502448, 814.5529694187243, 488.9852927190948, 441.72421424628493, 439.3672922046825, 398.50385669633033, 379.8647016432776, 346.0469867519807, 237.19221196875267, 535.479361297861, 220.1780066903431, 203.03778649182743, 199.7555899699758, 193.20925578211032, 177.2676407410016, 171.90430826221777, 155.87888015403607, 151.46538178014777, 141.22467818346652, 139.9099254686683, 138.81554898305052, 138.16704094438273, 137.57667483478855, 132.01487671499868, 129.14640707747756, 125.59302357832925, 123.4171468068078, 120.46286503734366, 266.962036552181, 1116.259825936258, 249.86053158261697, 176.09598310164517, 2331.3352427625878, 382.7850829580681, 1228.1730892354262, 518.6697762517473, 298.42192628564806, 151.73803045233842, 141.8343215616309, 127.86293226006156, 102.48989952653898, 94.45658967001752, 93.01557015662442, 84.8075447710573, 81.87639179609259, 76.61280562133082, 75.48791598534676, 74.73708976287924, 69.24558458855337, 68.2504662495485, 60.369897868956286, 60.363681396508795, 55.50671585743788, 51.88596732333451, 51.76368144971237, 50.22225303230419, 49.469132245298646, 48.42989441655486, 47.81869062236849, 44.28995346468504, 42.91767745531478, 41.799285722224226, 41.45510023334483, 39.68605123533269, 38.458671551933655, 11475.211011801106, 1790.3206807756656, 374.0687884910231, 135.4653192799031, 782.0210437681604, 1481.7132094148267, 746.2350237809613, 1307.3813369673462, 4798.470852783751, 742.356742728218, 3796.208291667434, 1689.4773377083038, 1459.2479836914263, 9788.893206407254, 1876.3286282250742, 1642.2376344854133, 8738.724357088422, 1487.3111246703922, 3039.571170079551, 5248.813651943958, 5728.31615581907, 3558.0437301342445, 4431.350119245226, 6762.297985446506, 2337.041853391399, 1149.0749525247234, 613.8315629879663, 568.4489861404952, 510.2625968367563, 486.08010703684175, 463.4366384426124, 437.69707597912605, 377.51256775939703, 352.33905718789646, 333.1749224346583, 217.74817545367367, 173.0147744698613, 167.06418912524902, 160.22821988441135, 149.25759500377336, 142.20238843459617, 136.33751599064954, 133.77263028798836, 133.52641652534177, 130.218112497133, 128.77763183410434, 124.81498173834454, 119.55926648817882, 119.16211781691817, 115.47521144120363, 112.92447527089777, 111.95410210078299, 103.51897051213886, 101.51368266428025, 3637.5731740451793, 360.41014302384195, 298.0680975481138, 379.78690778322226, 184.49757486013885, 595.0398383928213, 342.1849496998604, 394.368842019242, 215.61265324350333, 827.5053817513176, 2353.1828436957285, 1414.1645114965102, 1072.382179495161, 700.3018950733501, 626.6037471970892, 541.2628658429134, 416.22753254930655, 395.97091771483286, 325.5315553435928, 255.6165371759605, 252.84677045942482, 221.7534724152516, 219.6437886375724, 214.40632112065836, 210.86439351456573, 183.11858198989955, 181.38603762375152, 179.6846134796648, 165.5798497612562, 155.80372821375474, 151.4213255211602, 151.36221064921924, 150.98390528620365, 146.35838366814423, 143.35061292990682, 142.97695344421837, 132.74246554688688, 127.43262913369949, 120.96292357986165, 114.0521114800124, 300.5887819381514, 260.1962419980734, 308.03624843939804, 675.936069116806, 271.9543878781006, 1986.4855388928538, 226.46636570307277, 189.22232453313927, 954.3626003316878, 706.4537511155349, 2657.7232495859803, 1226.9297987482062, 888.3491368892518, 720.6999503403747, 664.0969332284571, 404.8450373488085, 402.6613758537721, 362.74701188066325, 362.2751659537079, 348.21411445897604, 269.2816980614612, 245.54213741843327, 208.81539557636683, 170.66283432037656, 145.52734400802512, 127.53870094231354, 120.70497312972799, 116.62367523016579, 104.895073122479, 101.46685258353102, 99.12064912953471, 98.22980213515616, 91.29774491189471, 79.99012586803688, 78.19225838613401, 77.54159839340744, 73.79261866811756, 73.04583850377848, 70.54682201281722, 72.34872102349304, 4749.5229111883245, 265.6916231638497, 227.42619798346465, 345.2841467833045, 109.02158808073082, 224.95405687935758, 1307.3905948863846, 767.3851033278511, 331.9425098433775, 389.3052972419222, 1319.145973635381, 1562.7808799761901, 1305.2907215880603, 862.0840760054529, 1003.5313585089062, 1582.4593378380323, 1779.533084765511, 1455.298179938475, 950.6849535251333, 771.5092436778788, 660.8388611056803, 628.1429116964166, 566.7454244830759, 563.3964033395125, 418.7825493898089, 394.32224772781893, 383.42643599547586, 375.0898531151885, 315.72936388230374, 290.23095656690487, 253.66205110971134, 236.37212845942025, 228.77364365337002, 190.53680310541125, 169.25009008671128, 163.80209340097764, 161.21274775059524, 155.20633700390093, 147.13450439891474, 145.81683350247897, 134.5042639539889, 131.36987720171376, 127.49423762576534, 126.43659597132581, 125.09852851297339, 113.55837300002842, 655.939712214453, 479.77268961823245, 773.5779920450778, 165.131797535121, 227.4845452339215, 316.12852035526254, 355.6557240204936, 750.1803337909869, 938.7833716036025, 1876.3286282250742, 4038.4992896484273, 864.1924258311683, 641.9843633243396, 357.06120869467526, 298.37296537636615, 288.93420271324624, 262.0057044067173, 251.4092006752246, 196.83682288623098, 184.74365117161108, 178.32309315222957, 169.07904531456592, 166.22319276705008, 154.29565125156344, 146.22947345639568, 144.96609284422686, 140.7853699631106, 135.8878667391277, 280.57554004466954, 123.43974496296705, 123.4215419509271, 118.59842605207692, 115.75146798857075, 113.18088642790335, 104.89273598528271, 100.51449712812315, 94.56023191092771, 92.6259538279362, 92.3756488290223, 90.97835053943574, 3715.6298964934567, 377.025494093295, 2331.3352427625878, 1534.874565476552, 685.1906412767997, 232.19393748073236, 1894.0410227962857, 1128.0317888328518, 2102.359359470589, 1625.2408605355008, 551.0776255344605, 3010.646499463646, 2100.5929269377593, 1183.79254713898, 1096.4221072599294, 681.1351449226075, 603.8533455177543, 271.89968502731193, 220.90741849464214, 209.23753316680856, 193.29347142229327, 164.8532216249245, 154.57323501859565, 145.74915632385728, 139.06860594492417, 129.22227991751438, 122.93928481113551, 114.69909290794828, 111.25872195039841, 107.95275643442373, 101.28528107762062, 99.58027191472841, 95.15123968121578, 92.0775022061512, 91.08251642226242, 90.05009865831697, 87.91807592641706, 78.46218856738962, 75.11741157393097, 70.5166759289238, 70.23768274430245, 140.32121353653602, 402.237084447708, 115.27574344548191, 105.2003691613067, 682.243291390993, 3040.7310543809226, 331.71826715863034, 415.2955404831808, 2615.67830365036, 1146.3626178407158, 1386.9970956638533, 2132.2464592484002, 3681.4972118374662, 4483.309333653231, 6003.529322841615, 7119.172118886806, 10282.61865292314, 919.3158650381006, 668.2021805299054, 592.3617507300494, 577.4067497622849, 442.78417199118144, 379.8356406444325, 349.38489116995487, 307.7301522385352, 293.9634427631963, 196.54387383029706, 164.8252780672174, 128.09251932694227, 127.2239437918425, 110.90335851401962, 108.04775189207167, 101.05332308811236, 98.18111058442304, 93.1483301928104, 86.11532404900434, 85.97338902085743, 85.43887707259624, 85.34900789326424, 76.93673707590605, 75.7838926656434, 75.50660721149131, 70.14167740393533, 65.0281103313866, 64.65549448852462, 62.27707881894655, 60.81859912707406, 335.7024267618319, 6886.603525917803, 310.00355933717117, 283.7617366260644, 885.0242052744892, 3040.7310543809226, 149.11882200293505, 186.1508454659734, 332.7520836387972, 1254.896152542514, 485.30235269480124, 2001.4295714891211, 886.3843628361461, 1001.2649767185704, 2283.866459288011, 1322.5862878398343, 497.1934080620055, 401.10149940182447, 393.30945600486433, 392.0568551018541, 347.84630325980453, 347.8114142691179, 261.65084944154563, 232.7026239446858, 215.14557926750447, 201.68058495966733, 191.00378156569158, 147.62729179396675, 146.73352523770473, 146.05829468172735, 139.14875952289458, 129.12950766776055, 127.30439682181748, 123.66373996595154, 117.12824567212157, 111.93045080422897, 101.96603006617839, 94.98398582294065, 91.8762247688726, 88.5061523661481, 87.36783763006171, 86.80642683484136, 86.47674599192034, 83.2452081143417, 291.3303190542161, 629.8134934542561, 397.1612224204361, 239.57047946658207, 383.0830960250533, 7119.172118886806, 201.48701565063422, 181.4089671898257, 526.7657481230198, 894.1734381934118, 408.21020404440117, 187.01751264880247, 496.3201287050119, 1409.850548493707, 777.1376630733353, 752.9712719856947, 552.8183087500823, 409.8918960324714, 352.965986171078, 250.64679144741166, 248.68993420557516, 208.99390945978107, 198.14013848161332, 190.05282573581553, 159.03460148890127, 150.01354280691643, 141.83140657183725, 136.91238682761696, 135.7747750509841, 133.37488028043973, 128.5339508006025, 114.74395029568437, 114.45803295422976, 105.81807429999577, 101.01933321509507, 96.9227461348753, 91.98416658104077, 91.34403995363975, 89.77465164620244, 84.59527210016738, 83.11396179985181, 82.58699787503144, 82.09839576194585, 1301.03329304419, 545.6818562816045, 2896.0958733265807, 622.0529154388392, 347.9738848306995, 186.93012115514853, 1276.0192483976246, 702.7955529498631, 287.58459924579773, 351.13515230350873, 1464.5696919388781, 200.20518395741314, 1851.8493391699326, 961.5993726679451, 8502.706967251881, 468.0486649075076, 2575.8922684050117, 610.2559324151332, 515.8008787372667, 509.3359788099763, 497.6283988245165, 490.6543774557784, 389.31289069891113, 352.84854823041087, 346.4699085719684, 339.8799730197379, 337.5422119160114, 298.7586233921811, 282.03817167128886, 266.55986833496877, 257.840801252644, 250.40770979922266, 237.70462701512452, 234.8143078506222, 232.59511096064065, 224.36651102018644, 218.23383429427534, 215.39654636087977, 190.88544504335889, 172.94261980479644, 164.1697376286645, 160.47715417977858, 156.6369468052821, 156.23044665263885, 150.7866471544391, 148.3261074370912, 1175.591173422569, 896.0933390614988, 380.6814516431334, 424.03727264448503, 677.0961787431364, 1105.319702484674, 2412.325787401157, 738.5390055235536, 552.5002027965372, 429.1912085667394, 368.4224310967429, 366.57177486798173, 359.1083623618721, 314.0725130992016, 310.110777765658, 274.7018329094396, 246.9855878961853, 231.48826488534715, 217.19293860816026, 217.11571409603047, 180.9961497413355, 162.13211314130618, 160.18808027010422, 148.63406270094492, 144.432885291034, 137.37572805690218, 134.77901384620438, 131.91769185729234, 129.25881645618222, 120.9632404370395, 118.12601703543434, 112.67561311610739, 102.98350079300211, 82.9633296888197, 81.28411878115914, 77.64236456120878, 3224.7871181494725, 2273.1089825361405, 140.40253485993927, 756.289439355801, 300.20701478986695, 195.33816736816746, 178.9681836215712, 441.0067675012776, 1339.4863962365866, 969.2989895218607, 833.0950366531706, 616.063008616564, 610.0870791544577, 497.0444286259356, 293.17194104506797, 272.6434977714414, 231.3033200236496, 174.8398777327511, 165.26842503845754, 158.30978788840963, 137.34510399740137, 119.06440079214488, 106.19450406236763, 96.6203063143585, 90.01654733305836, 87.37916659954855, 87.19280259295675, 85.29928010998344, 83.9546199102019, 83.60703796275637, 77.99235824205759, 66.95671725848176, 66.34174631374508, 64.07542080778913, 63.45650882014164, 57.79390560289631, 57.10035742056776, 55.3434722574385, 53.46634356866029, 8429.486904190635, 586.2179292325714, 662.2425665916307, 439.7982922330956, 1487.3111246703922, 167.2435022464468, 161.0218007734003, 3040.7310543809226, 210.41861988408687, 453.7111052605903, 1256.8299483200801, 1843.4096844778626, 346.9148345202968, 826.3070428782759, 2698.3905942530346, 1542.4862967832294, 1186.5344948729635, 812.0225277957609, 682.6081981267849, 440.9070858999366, 399.1154040639214, 317.3559046837702, 285.36823095284547, 270.7966074025761, 270.77133645845544, 256.84125143996874, 250.21411301362886, 212.89822035930317, 207.48711061944303, 202.37979966733047, 200.65215656841232, 192.16976009666328, 182.50720130480488, 179.17734334518838, 173.86689317021958, 150.90696712763344, 132.5367655505229, 120.5124165426453, 114.93719158964767, 114.79789584871551, 106.42437880072087, 103.1170478824431, 95.22792660116123, 94.83048262390221, 93.23964132777151, 543.6545713342643, 181.95981505857608, 2283.2776350022204, 242.10571817216342, 1115.6019930548086, 552.0856390781316, 460.5690601629847, 2049.7163411702772, 1438.1293325585843, 1108.0294685901579, 933.0659339482152, 716.2378638238962, 537.6626933052391, 530.7491993712645, 417.5223891418336, 351.9798602425649, 650.3936866331015, 315.29489210753167, 309.18782723316605, 309.05185280608094, 300.58393068076964, 299.7875061991278, 252.11105196662257, 232.1279450904338, 215.5674641610857, 203.69275344070434, 191.6477115806629, 189.23998122269404, 184.00888032501254, 177.26336639338786, 137.4189004578626, 126.35450025554796, 123.72972291509144, 120.60238848087113, 119.7601400633074, 117.61165338817324, 114.3325754518349, 113.24572534404048, 343.7881253460619, 234.0894702855912, 369.9423989344172, 428.83012561335096, 721.8324656806707, 1446.8548943131873, 2371.359415693261, 1927.2513325771242, 716.6516873430221, 702.5514716461489, 566.877334628792, 559.3037853197635, 285.35447925875206, 252.620147717676, 209.24830199743332, 197.8365730133547, 195.26634812425462, 191.43674533845748, 172.71389937648985, 160.89444872695432, 152.21557277705125, 151.14105432888294, 141.9495639732902, 135.7689438714367, 126.52609348504343, 112.00557561903737, 108.98781286659458, 105.01729178555141, 98.94865774728639, 91.63659983242134, 85.46339713172584, 80.12206813263451, 76.10488716610038, 74.89003231910311, 71.08945603155037, 68.95749300635946, 842.2364706480075, 221.89881947458377, 797.5795122567632, 450.74524808824196, 285.1952621569732, 376.14811103360614, 263.8813967655768, 864.5408282643426, 998.7559325344245, 210.71598054402597, 5709.178539592476, 1214.146599768724, 14821.983915354234, 997.6366913702722, 409.73207894927873, 242.93788120710602, 194.61064523887737, 155.13851417577496, 134.64069544390298, 121.78192062818864, 108.56995686041529, 79.91344702814062, 77.4560186695768, 74.97526829112368, 72.69009934422505, 72.59230691847712, 68.61175517140187, 67.82674166370083, 66.58185103360145, 62.028029081691095, 57.317012743268734, 57.25822555352417, 56.646778444538356, 55.94722531129512, 52.03363576019677, 50.26920675388067, 49.48410549531727, 49.08172814082745, 46.98792332151615, 45.12907728337231, 44.58136014809513, 43.49034097613167, 38.67615429893825, 5651.569748666206, 165.09974075368112, 1452.9799458582506, 1074.3938728476073, 636.734756317924, 897.7066059391574, 102.5147108513443, 3738.027569928352, 840.5575120415654, 548.5061868321975, 14821.983915354234, 8738.724357088422, 227.5924986747624, 287.1413699055194, 1278.945126748404, 6929.798073008788, 1040.187957514656, 2965.3814479220023, 10282.61865292314, 2233.422830639191, 1851.8493391699326, 989.485715610631, 22826.79456375081, 4460.663297128323, 3224.7871181494725, 3168.1203543981746, 787.8337603992865, 455.06522486091677, 438.7663216782174, 407.4322642211758, 382.04754533927564, 378.50197189115954, 324.78275270052166, 310.3150596365075, 285.99926539001916, 272.4100496002499, 257.5366462479627, 177.2102173908267, 166.41563407614402, 131.93815432564534, 131.69992765593756, 136.85950440352653, 118.69176743522, 112.10323692967738, 107.78391906250863, 105.50765391899898, 101.16976256061811, 99.07527672441039, 83.0245455815417, 83.0190117263079, 82.20358878634906, 81.29301733116907, 80.53662801404843, 80.09948043722854, 79.03184272684183, 497.8727997080806, 2006.1435302143484, 244.71360786371196, 220.72342971200513, 214.83603831767158, 591.6575681138003, 152.16198089357212, 164.18880865399174, 313.73176721419776, 658.5759565904701, 199.13225423375025, 369.62718197198967, 1001.2649767185704, 1214.146599768724, 2775.3182376859304, 1059.0120445832138, 326.26969301230577, 298.8587280612206, 220.06686278840286, 216.11988261509853, 207.72892569251565, 181.609407020012, 176.80457217371514, 132.50551132517396, 127.35146233901621, 125.2209646132681, 111.77453616680329, 107.67506008292413, 105.63384492519012, 91.25361797600448, 90.60780261370076, 72.13594997954985, 69.4139036878474, 68.5856224341529, 66.64146858598126, 62.405859082500356, 61.997744366984904, 61.85963243869864, 57.38774951649659, 57.35353042545395, 55.26569933215149, 53.501126858678575, 53.29946672539075, 53.02024341391282, 138.80584925940948, 287.76555077495993, 2234.3121326999244, 3465.8629416947083, 955.0573014251681, 128.48081557657565, 386.6414290363361, 155.90622372045544, 221.66723473975264, 1487.9021549871115, 2021.3251941077551, 5248.813651943958, 141.43443097656953, 835.1527436921508, 845.4021641369218, 346.9148345202968, 692.3496181637876, 1307.336987860989, 1351.9539885086836, 2897.2971221073844, 2493.512793892843, 1606.6056191732505, 1370.5788458230957, 1235.1086122185245, 811.0980525018008, 553.8332625104771, 454.38256489866893, 337.81782670913987, 320.86595754297974, 283.3134591997318, 259.5768739065218, 216.36876301121987, 195.52079713824318, 180.81074836513147, 174.57757287677532, 173.07068986970808, 168.20938366439952, 165.67337252647818, 164.59235698279346, 156.73258331031084, 155.8039908737097, 136.44842393595195, 136.33137228414358, 126.27094665441427, 124.83427011380249, 124.62811172604307, 122.97684840916205, 121.66201272060562, 117.1262865518415, 115.48357313963734, 113.72773831325047, 104.65550255384147, 298.63504159716643, 378.2525902450012, 136.5030181911291, 3524.389352823988, 1214.146599768724, 629.3924555622166, 4024.186749891561, 4274.902358807542, 1102.718069904679, 1025.421900167291, 423.27187221136944, 363.8231550179861, 222.6105606371021, 222.07424974290186, 184.87281483659407, 173.96237036196624, 157.13087811088917, 152.34203550045075, 143.8777142009513, 128.60934750574128, 111.00404566899975, 108.9096757407198, 106.53197524299824, 103.58315516896273, 100.16583982853587, 98.14344364730614, 95.71229388958182, 94.58414136489249, 94.24317086381122, 92.29097299350275, 91.87381001405059, 90.00827443535597, 86.70277704969757, 82.41992110036188, 79.96055530501799, 79.23764908905166, 77.55234923276959, 150.02007126239184, 402.87866592802965, 435.7758948840869, 718.1075953736585, 139.34233288112836, 3343.5112432239125, 541.0191860020878, 825.6566200029482, 1971.2997298779399, 527.1946640174914, 1436.0231646209565, 779.7595238332783, 1597.358197573298, 6929.798073008788, 1779.1562367623103, 2149.232763983145, 4024.186749891561, 2093.965504059464, 1263.7003282279218, 692.0283917763933, 609.8116373758479, 430.41920078084223, 359.30445961952796, 280.798621498939, 256.4330241418158, 237.86088837444117, 233.92849420187088, 232.46125897735055, 226.50951906822402, 209.32983936728468, 202.22293278874753, 191.8228407794118, 189.62426532285835, 178.70005568568521, 153.12431940494122, 143.86980226890438, 134.78364886355573, 134.4096416618422, 134.4069721314416, 134.28528867137888, 128.42239466498737, 119.02876937450371, 116.18638456761127, 114.88170439768857, 112.37601545656837, 111.48272656644642, 108.98232699098145, 386.611245297778, 196.51279807571228, 227.00578518183164, 529.9435326123255, 1279.5602026428671, 1572.8967117428683, 1570.7827516560242, 1486.747286227042, 929.9431355475181, 902.6651434401532, 793.7288179819351, 536.168434431301, 534.6600432126507, 327.93020471601903, 302.1168040701166, 245.2461595741902, 217.3388716272371, 215.96316472703572, 211.1120146085031, 202.18817281253342, 186.3951544236284, 185.6521055130192, 172.22807833904483, 161.67126819432707, 145.86004288987903, 145.58651065610297, 143.31026918889555, 142.79929684011773, 134.40787350210766, 133.45406934020266, 132.28931784648685, 129.45064306108966, 126.57427414194034, 122.1888183807028, 116.14968245296701, 266.152420907426, 551.6672907276155, 183.06622368488934, 553.9023697169558, 452.4997698307163, 422.827926604937, 400.1263446645961, 355.9436450441987, 340.4846219666136, 329.0307280330039, 322.66288605791004, 294.55478030703347, 271.3261690885941, 261.9977648186016, 211.2940755308189, 204.9124150849866, 199.77779725418614, 187.926094714664, 187.0176168382811, 186.23527808258822, 183.57811760430022, 181.3264486691751, 159.81709471178846, 152.88383485579394, 147.2643763187911, 130.07322035684845, 120.90879988129308, 119.94847364825021, 119.4050947516771, 118.15041438862443, 117.30334745415323, 114.02296448950942, 108.78774957226484, 420.80885674592355, 313.68814676921545, 412.2018864471307, 545.9028343414345, 210.67071308737624, 611.880979847338, 897.7066059391574, 2764.6691180407333, 1279.5602026428671, 447.54164552780026, 4024.186749891561, 2149.0965552936664, 598.1865906838257, 415.104417360586, 412.41978982534044, 332.53678712138407, 324.0967441409859, 232.106675569012, 197.22025236777992, 173.07337991794458, 161.90925324188817, 150.23285777293856, 139.61143810941886, 127.36752136144626, 119.68347176239645, 99.08280108611089, 92.7053684735761, 87.91401967419849, 84.01885962506644, 82.19194785332867, 81.97138562751414, 80.68931893213842, 80.30188291995792, 76.59114910486223, 73.98907221469177, 72.59625652906716, 71.66378489901788, 70.81262718250757, 64.13765064904025, 61.33149252676462, 60.250001935205574, 135.90933445661148, 479.1880636314228, 2419.3101005295266, 164.20009039774558, 570.2332174604934, 274.29418644906053, 2001.4295714891211, 172.75456853325815, 4024.186749891561, 262.22809705341876, 4116.562035143361, 3758.1416940007907, 254.24008436567243, 3524.389352823988, 893.5586063367967, 402.237084447708, 2300.108376402111, 827.7899934962854, 636.4992064934816, 373.88748167937894, 308.37619321101477, 301.1974767074929, 254.6075587700025, 253.8486828602836, 240.82731100743095, 222.98262215552725, 205.1884805477581, 196.50847172424415, 192.17849727482013, 189.1097352754605, 175.5323046616076, 167.96480225393117, 146.14923509355617, 143.01890450837837, 122.04229510374233, 97.43258314587034, 96.78603342008323, 95.44049864368236, 88.16524065083142, 87.75551803939132, 87.41976579019256, 82.63087410643683, 81.27690508416654, 77.22162354890195, 77.1773401302655, 75.18111299331538, 116.1140016576762, 213.604064806639, 388.5472647393869, 361.03997230975637, 283.7188445192, 710.1218449490594, 158.28976359318563, 115.5940111653441, 1149.3597696047652, 3063.920242921424, 4116.562035143361, 844.7962032405312, 2061.104755024968, 1577.508093016712, 4079.387551201595, 933.7304965154824, 838.4248916777534, 730.9819058013943, 541.9717729180322, 410.9332626668605, 373.74364179774966, 321.9699267261727, 277.2987656233431, 208.68706045430957, 206.52119089081253, 203.57802593458547, 202.96225354257456, 181.70142911915946, 173.58923024764042, 168.53097001970156, 151.9826602857849, 151.12511159897866, 147.38238011029304, 131.6701279747285, 129.2766667103426, 128.49847831842897, 124.97208046121084, 122.00782541564992, 115.27073544082377, 113.12267858425315, 107.9223439282332, 101.27405864476366, 100.60097958301522, 97.36667036585301, 251.3726275142948, 346.55750053577333, 356.8147588064859, 202.81037375393188, 301.79391944767076, 213.31971397053442, 492.8375962575012, 331.0604717318819, 1584.4270601696533, 1183.7304498149717, 1173.1490997897663, 1114.2722478144515, 680.4125886992574, 533.0725998139723, 488.51435026189534, 445.9529841439242, 370.40213525027286, 312.47517609451, 296.48498035127005, 217.04863629766368, 215.61482302229402, 193.26748001375205, 173.68953683587443, 169.16640850050234, 162.74311294506612, 147.07748295036143, 134.6393230590011, 130.57911784024552, 125.85021831117405, 109.68791162587219, 104.90443148459624, 104.09788293411866, 103.3982452985109, 101.13137012175892, 83.46054043845756, 83.15235672247626, 82.74207885327455, 82.07873015685296, 356.74689038155844, 1319.3910528632798, 379.8573432416635, 1913.9161375004337, 483.3424954281902, 2419.3101005295266, 175.9792288148939, 2989.4834207088466, 2043.0165465207579, 1130.852579315664, 1060.3012414092925, 951.921749838264, 914.4000458027023, 900.8020871961625, 618.201236962119, 441.6093765622479, 281.4086464097138, 271.1790547492112, 267.72762673374757, 226.09982841455826, 171.25307698459443, 171.1619926125287, 168.26807383749414, 161.50220753526972, 146.69665757442556, 142.2664199710223, 139.40083932591594, 138.68429090246855, 135.9615717661874, 126.22720747356774, 124.98340053859543, 124.61703951907973, 118.78580226621037, 111.20912158485457, 111.005382371287, 135.20594636428325, 95.74599710294025, 93.84382204404635, 628.2063876654283, 317.8202066427792, 169.66891480714474, 1487.3111246703922, 742.9600300876021, 272.8643209388018, 915.4141526445034, 568.8566916862438, 458.8951116207639, 377.4862796426884, 248.92891438124866, 226.84797449766967, 190.79390975057194, 187.23127919055517, 173.56414558396233, 161.64887027090995, 157.4494205615636, 147.88235435655733, 144.35974046124454, 144.11887368642866, 131.93713678422236, 130.3984646513389, 127.11204369282754, 126.45662577962493, 118.1331929251398, 115.73084864723282, 112.69254502283887, 112.68627823312771, 109.51857245846105, 108.5381091697222, 107.54266305608394, 106.65786526737388, 105.77225992699906, 105.01756596908177, 98.41832307619673, 96.15845257163714, 328.54273925646413, 737.3903385115798, 199.54545131040894, 167.6584808532008, 324.65855502638533, 272.1732247821299, 137.07741676616618, 741.9135102712811, 559.253271808896, 1823.5868463109773, 976.2411134735627, 2667.5183923311706, 944.0432607430868, 691.2827330446455, 583.205410107531, 563.6568281410645, 512.5454376134556, 465.9331509648616, 446.88383867298575, 374.86968985485834, 292.56755591690194, 278.6577567349852, 242.81263454597467, 216.07324939501646, 177.58511171425488, 165.74259227585898, 159.31452246936954, 148.451513625991, 137.40834379100733, 135.93237687461644, 111.22775893409492, 107.22200524675172, 104.43668238420324, 104.38517994501953, 101.40700676566638, 94.96382426191805, 90.48074017848127, 83.66340134963501, 81.38106054519177, 71.28218231646983, 69.29760853253977, 63.55852692666702, 381.10081464675744, 2006.8687901239502, 3619.765208011535, 253.55461453538766, 185.3566070170931, 2410.862276070928, 566.272760057707, 1317.646468160267, 317.27000973971514, 2220.3097231077663, 1576.1447131469672, 1226.6817758519146, 694.8606691939561, 676.8389116014446, 657.4037888996418, 292.48381735954615, 268.77146645394834, 258.16149062983806, 209.396347080035, 203.8128014147667, 202.25650403700897, 195.17154147889386, 187.5668002845036, 186.27189862152764, 166.4785278348127, 159.82310310121463, 159.28610397385478, 134.70463575684414, 129.43245915430265, 127.2661034069034, 113.67182410522828, 102.41784692441858, 100.80288775981172, 95.80340234477069, 92.82144054639353, 91.52394437453717, 91.22069003020336, 90.9132012782237, 90.09885508953258, 295.76218781551705, 858.2937040961411, 218.94417685569343, 440.6692183104944, 600.4230350167817, 8502.706967251881, 2206.8360465341216, 759.8819101800769, 385.0978401238458, 301.8732529994494, 298.032472980423, 296.21367719657843, 289.1493946169818, 285.70807078996614, 252.00916737444797, 227.76552354800282, 227.32250825204912, 174.86521970944693, 173.2013865118002, 163.59322101656062, 162.83503589669965, 156.3458672759962, 149.36221885781097, 132.60996028591367, 119.5375001878598, 118.21855419387424, 115.94379922547094, 103.63314312127022, 102.74414901605628, 100.05520166001335, 99.08296698641188, 98.60880659197537, 95.10863182486543, 94.32886964585762, 92.74367144207261, 89.14633535439971, 304.16454803074373, 201.54944054429083, 300.1611962626112, 276.3852736454008, 612.3314682836259, 708.3571878221394, 419.0288697103824, 190.98390176920847, 260.4829869718914, 806.85962984591, 1914.232429427368, 877.8377373436341, 1727.8717154504786, 1029.543940763903, 896.6705499664987, 456.52685966238744, 453.45966934079763, 400.1359387984414, 376.53233715863826, 302.04859146102075, 256.4464295945634, 248.62591260498544, 242.42509762143627, 225.9856754368588, 220.384309993316, 205.7928083877189, 201.13410109565882, 199.1332554252946, 160.86023443657496, 148.9408254167125, 147.8003359524249, 147.70011014472817, 146.7568593614203, 145.42118091716588, 142.73941571031605, 118.24390683045924, 107.64083368485265, 103.84910186649537, 94.77208031213728, 91.60655715475491, 87.05611922939254, 85.69890015718137, 174.43501723550222, 403.0914272993024, 193.0935498717809, 1715.4111459190997, 228.37745114136555, 198.511786446102, 1322.796894960694, 984.9688692663484, 564.8699871849501, 260.7588857611665, 237.31795830012712, 224.91153311549434, 207.56316681860903, 173.8346915045253, 169.9931433581273, 165.88210561907698, 139.88158377997163, 123.2168349604493, 113.29997372002386, 92.01294346491646, 88.34991369991135, 84.00295618161564, 80.93790533791797, 77.40963937653329, 76.44614524802172, 73.51805902940444, 70.69831140206351, 68.23442109934977, 67.98204837485774, 65.80445819591935, 61.17339577804017, 60.93389625200163, 55.72501732915368, 54.265655064850094, 53.511663867125435, 53.27000034155493, 3878.308948466497, 1298.292629085142, 198.71926376350407, 239.89441704140646, 371.0148322422089, 159.97630891812875, 314.7393361269818, 1452.9799458582506, 1913.9161375004337, 103.09413066113761, 2493.512793892843, 835.1527436921508, 170.9167261392224, 1715.4111459190997, 718.1075953736585, 1153.5116588544897, 1611.7431761583377, 544.9868751083189, 457.61936490987006, 384.43689477361465, 369.8237940388056, 341.80525355488277, 333.16472536265735, 307.7252302942862, 286.6554493442438, 236.06270031539228, 182.48561954290216, 177.94506882246884, 158.60535774091207, 143.18090408895893, 136.11520784234236, 129.75395634314478, 125.3781560463249, 121.7268627024924, 119.68211092559048, 112.50922241441418, 112.2561515625334, 110.3884811055055, 107.16541026947749, 106.67203786525747, 104.98460582477004, 101.41517169048652, 100.90854785546176, 100.47067908796227, 97.38533394019147, 96.36665670996935, 2233.422830639191, 358.31489918681007, 217.97320458341784, 474.81888246664204, 850.0743629053633, 773.8315342343849, 262.1025350082484, 229.92421111024595, 710.1218449490594, 155.65008790106202, 2066.5197072803853, 899.8098658189464, 824.2415488993377, 507.07258105850906, 384.005852608862, 313.7674954385592, 262.33264215961606, 186.8241012223897, 182.4652205410698, 179.5894450383804, 172.01338289585615, 186.08178335897472, 152.99743961424207, 129.47338230690139, 127.4336398120543, 124.6801046198739, 113.66476082612921, 109.35729506688904, 103.71722702211163, 95.1082556225239, 92.20039029460982, 88.93517332735293, 88.27096165725965, 87.777312947168, 87.45029191659104, 84.97417606359005, 83.94590974593093, 81.99459484228855, 73.47188504336279, 73.28447942906853, 369.1261151472786, 175.85999130089408, 869.4115279036256, 146.51669435452564, 311.88924233931084, 2099.1754954270664, 126.34265817789208, 1737.5637107239395, 4199.588692222482, 1652.5710242848988, 1208.8066598369862, 669.4221835429793, 571.8151441707035, 487.85340603614236, 349.72147585136395, 312.75478414922213, 254.97963105555, 250.98544911278043, 171.67844986182303, 163.3963327331249, 161.03662157126598, 147.97765141017817, 142.28717235361984, 128.5166621187774, 120.36986298674859, 110.78147428713888, 106.15393480824096, 104.31258672278356, 94.77710661903323, 81.85709717595074, 81.65592739067884, 76.55228130882512, 71.89926937686343, 69.65174731032889, 67.76567000581366, 60.797499578321705, 58.282066143195756, 56.14939537610654, 53.35473689800953, 2731.1241808140603, 243.198380358956, 224.2838988608197, 170.76229467814835, 187.24920815201435, 1074.3938728476073, 164.05568570712867, 188.4811986819782, 1292.1609650448381, 204.67902537900108, 1117.7397257258326, 975.6768947344901, 725.6140570665636, 392.4522833329357, 315.8797417717257, 240.41127306414884, 206.6036881302647, 166.5747812423467, 115.39943916420263, 104.78357714473158, 104.28743001389206, 100.95031618994918, 99.34805672851213, 98.71686163018721, 94.37654734393764, 92.60712171395357, 86.58349494735077, 79.40600968883957, 74.0278769312897, 68.2459973731788, 68.14808131504837, 66.31480541578841, 65.99579996766677, 63.069387304107416, 59.29659204245654, 55.365328295702156, 55.02577012093028, 54.36871291281504, 53.53301891424771, 52.39254942018712, 192.9765830860203, 616.5167638738371, 119.46886911375259, 216.03287710764468, 3354.7961404769267, 317.27000973971514, 211.92028121001425, 1724.3519945041269, 2711.375769771716, 512.318913155301, 2331.3352427625878, 2139.551553095024, 2896.0958733265807, 3039.571170079551, 8502.706967251881, 1914.232429427368, 600.4230350167817, 1276.0192483976246, 4024.186749891561, 2619.260693446638, 2903.148637926171, 1490.9125872286438, 964.6003028515155, 691.4370281662412, 369.2504573719467, 274.48920523703043, 264.77313214439454, 161.76821437062443, 161.59599545453494, 155.80945437126053, 141.53173816801458, 133.2911389400071, 123.49650564768062, 123.36973351211506, 119.64843789093405, 118.50612749192437, 111.83351996884458, 98.66896547368097, 97.63247992270117, 92.09293037995569, 91.48528657923325, 90.24384343853208, 81.18947691195629, 78.85059369443655, 78.74693412725172, 76.82295087725097, 76.7371771058684, 76.02001524235241, 74.92602515655447, 74.48362904113125, 172.97594757773624, 119.85968857800829, 177.76223354110925, 1843.4096844778626, 2001.4295714891211, 812.042981474512, 2965.3814479220023, 888.3038096839738, 675.8000563573057, 660.9878997551259, 462.21793148550285, 426.40625913527765, 414.1368325872081, 346.8375575639614, 313.5453056192031, 286.9981848743187, 261.7010381563379, 252.72606319221023, 237.76959778077213, 227.92325194700382, 222.82444924776513, 204.14102969797682, 185.9102267197653, 182.90224118094596, 180.78962247736516, 178.85082942008918, 166.5335749378668, 163.3533798372294, 162.5241522548571, 159.1476985586743, 150.21927514254972, 147.81956481976036, 136.90897752934134, 136.34586210348434, 132.00499862820047, 117.20749447596033, 109.48248699274244, 907.3878751743352, 541.3406889162177, 271.02191083555124, 468.6810546794339, 1178.1625919201565, 626.814306072717, 527.6116639256518, 520.938302959545, 447.0155212164132, 381.90810368375094, 273.2025187045154, 212.87016170843282, 200.50164627842287, 200.48148907937008, 150.351980503671, 147.8304365234346, 132.36594029382948, 130.30565423164796, 122.38411590613455, 117.90555836829903, 111.04521287132356, 110.88606129780419, 97.9861143096112, 94.48627569180793, 90.13327749468941, 80.2424866245882, 75.5596812792669, 73.79532852811414, 73.1436058660172, 72.58631138409646, 65.06397169819114, 64.31674323995506, 62.297509579447755, 58.86481380766865, 406.06813582522096, 123.18924225464865, 948.991351319936, 132.1876832485165, 2342.0688080148057, 124.20825426946604, 222.7974004923883, 188.3303882181409, 2419.3101005295266, 168.22704370864403, 2896.0958733265807, 909.1144232103314, 735.2793611287616, 514.8491967014036, 400.7032481223533, 363.16230129635125, 314.6260237735573, 264.4655785727512, 221.62813393123247, 220.18622147327522, 188.38181791404543, 175.37189310155142, 173.2438411128262, 167.33123405406212, 164.4446863208621, 162.38502770267573, 158.5213422038228, 147.70796608486052, 146.71416411980314, 142.408150092633, 133.2252250649671, 127.53133954864614, 124.8150151946586, 113.88875693186513, 107.12249369734987, 102.85416002332573, 100.55821025984088, 87.89728764638095, 87.86707997445878, 86.35178352812069, 84.57512227465665, 749.7792585643638, 133.39931507222738, 677.3778569341576, 286.39090196643167, 604.6423703042661, 232.47787305950794, 742.0163337972563, 3758.1416940007907, 1907.7728730473448, 1650.9280520196999, 513.6381555966648, 380.4121267761054, 252.2331854629189, 244.85845652069693, 219.06857820593768, 218.1618096815325, 185.3439980352109, 135.50614240345774, 133.95151259469085, 122.53117330340068, 118.90124850160441, 100.57194914044956, 99.61587546879986, 98.38598241171829, 96.67505700999126, 86.95909273450206, 78.25291216084803, 73.64982509489714, 68.73147308849265, 67.17860343429545, 66.16875011502225, 64.03766156779798, 63.73103919972555, 63.58710829835431, 60.79218540197566, 57.878677236616944, 56.46852769579167, 55.401683712479695, 140.03742433045417, 627.2981202612236, 213.20384365660772, 167.69545239278503, 269.3891107383689, 1426.2197896084485, 463.69456947507297, 2553.4929475316976, 1689.4773377083038, 1001.2649767185704, 605.9100034959935, 2167.6253883023733, 500.23987660450575, 350.3280661305553, 241.60638480360907, 180.18436548985312, 139.42703762684974, 114.685844133346, 95.43454734995909, 85.54079620063563, 76.87020168195168, 70.50507445283314, 70.02433080362816, 69.1945822728488, 67.51507833308617, 67.24425049980198, 67.13181460428055, 61.331090467875974, 52.74130239866397, 52.08240879872198, 50.26295376398621, 46.32372988607643, 46.06116054898628, 45.85828345459886, 44.75495292906073, 44.54621604196025, 42.37160185695351, 39.768082975810366, 39.244629104788366, 38.47602172351875, 225.53527178718895, 1322.06897487318, 712.1285282558017, 159.8179983303304, 1459.2479836914263, 174.34929528536543, 389.3052972419222, 164.3281786792154, 220.4041250959565, 151.99498005331574, 304.14627989396337, 320.20877000680275, 983.9187652541127, 233.96541413590754, 382.7850829580681, 2436.844617872474, 2001.4295714891211, 596.5279355555035, 1254.896152542514, 128.10884504418297, 1040.178012264902, 1019.1751606135937, 694.5795185696564, 525.0498807794548, 473.05321668406344, 335.2101348184419, 335.09112572488056, 301.8404798574276, 287.04359387883727, 271.39793729026536, 214.608830005267, 212.79866383243106, 202.72817966509695, 193.813071340097, 192.88240663309597, 176.7877831223141, 149.45814070623297, 142.58350236844132, 142.05552502969874, 131.90177469767622, 124.66568269911254, 121.78828058845562, 104.16551214088149, 96.28993642877485, 95.53973032559733, 95.38832288100147, 94.69441918125436, 94.51286012462144, 90.33695301060013, 86.10063276642862, 375.8966502853895, 606.772614882454, 328.36767788887795, 153.0311234920009, 182.1384099011927, 601.0314601261917, 397.64582693367475, 283.8467728571761, 245.06620860627385, 234.47845118511518, 230.08255603926935, 209.34716902054072, 205.70672965204014, 910.7808855470521, 168.1732756528356, 155.01958195773818, 136.97080390041677, 135.90315782991897, 130.75810905088758, 125.17569335859864, 122.02716958192151, 91.43157074191534, 83.42537787058215, 83.309022769108, 76.22687038125162, 71.27548152218829, 67.68951421816273, 67.09249216011698, 64.62347366427186, 62.72090196630825, 61.526013072736546, 60.512981951497125, 59.61665351112779, 56.143062333860435, 55.46802046037608, 51.84100580045508, 225.51862759041694, 2245.8688004478277, 213.16568527345433, 887.7380327058324, 168.63549608228013, 111.83421553767893, 82.35521279475047, 660.2031367486956, 156.9628891112932, 2001.4295714891211, 785.5701615905996, 1317.646468160267, 2410.862276070928, 1661.9197805679323, 691.6569756409208, 606.534972018778, 479.29226166829903, 420.75434611738655, 375.80102132225437, 355.32315823075055, 292.72919736872433, 236.6931630711691, 178.20316598062058, 167.85981620004662, 160.4798631530444, 152.51667537637837, 150.72822561224046, 113.19911256785474, 104.02240657895635, 100.39451370356592, 99.63677156702724, 99.2974526636677, 93.67368711193144, 91.80479097390295, 87.58640921039525, 87.5815096242533, 85.3637355575313, 84.37961618822577, 77.17865886128085, 76.86671782818486, 76.64336274761635, 70.72278394192334, 68.3792345567721, 1135.3211112266156, 324.78448082897324, 597.7465933955209, 819.1983235491217, 115.13796565348873, 925.2555060995297, 770.1913992432804, 559.1187774102418, 306.8261285901315, 268.4122526167105, 206.67463613875702, 192.82450628090064, 188.85181660242193, 184.8992017772251, 152.51746851170282, 142.92954333636084, 140.0248623932337, 138.96694181052615, 133.60769933354698, 107.6191319572964, 106.11076651829873, 104.29731084026683, 101.05709227627123, 98.90684910646371, 94.11625086634912, 91.62702284183528, 86.93318562534942, 80.08575564154461, 78.41803557887864, 78.15585899530946, 74.6394184958656, 72.8222216235198, 69.23008351964738, 63.59288774872842, 61.25854645791064, 158.85226972625696, 236.98346917789186, 1179.921534841374, 306.6548897494472, 389.36392935734386, 331.6425434505836, 1305.2907215880603, 1278.945126748404, 2519.187705955898, 984.5313298551092, 898.6044755622642, 531.147595678528, 400.63808513102293, 353.83675140006113, 343.56789568399296, 191.09813970989904, 182.2032965757882, 156.54365377010626, 152.62132331848508, 137.9291925340672, 134.22944774437377, 117.09229009760509, 115.67202213979158, 111.50568270794727, 106.87905143028273, 97.88246801289834, 84.98919614075054, 84.45616780127686, 84.05443562196362, 79.19362927041625, 78.96248278167964, 77.12644023961532, 76.99664210586413, 67.982538534674, 67.79912318774493, 65.10102153728319, 64.52227801198777, 64.14585690829516, 332.6257351286782, 279.64130278949153, 483.3424954281902, 195.5669676288948, 160.26061279172308, 2001.4295714891211, 3128.1162783155564, 1908.6660835052878, 637.1036055666495, 449.20317380596094, 263.8326271734222, 111.54187193879, 107.37575822013197, 105.21619547236745, 89.86009339775121, 82.6718011456194, 77.65219649602814, 77.51157591011595, 72.60216256080624, 69.99002930470438, 63.25262311237283, 60.180832841076224, 55.59265541385256, 49.674015633047155, 45.817441733268794, 43.94840954583813, 42.801334167274284, 42.034191690437915, 41.25131737130799, 40.450001405935616, 39.11631021148484, 34.64968114108431, 31.04040994557187, 30.212432655355045, 29.552614051375663, 28.674426209479584, 203.99022675674365, 101.54217277442191, 66.16626075775564, 3619.765208011535, 159.95347793150748, 2410.862276070928, 4798.470852783751, 463.29887087377807, 1790.3206807756656, 1724.3519945041269, 2342.0688080148057, 4431.350119245226, 355.6557240204936, 1252.003073934714, 3039.571170079551, 2172.314486990189, 488.47537919168514, 290.1348888747084, 231.6219128250949, 224.490769936302, 218.5488258100097, 191.5512983153116, 181.349725787833, 130.62091253215465, 128.56574346706842, 107.49177259450744, 102.63966638196477, 101.34070183873766, 95.9504410451001, 86.84487322790532, 86.06368068827749, 84.8053640425972, 83.14913661550128, 76.57028529932435, 76.43324891436056, 75.01892289478772, 70.1936173421849, 67.42760495906742, 55.34324695247908, 58.018827073063214, 54.295500481039575, 54.0480853897519, 51.78287796529643, 50.07885912296305, 47.746594480510616, 416.2951662228841, 2290.781928019778, 180.37545012480965, 117.76512372983605, 149.71104994708932, 100.13047728940921, 1176.805264363586, 1564.7803022361545, 517.701765613517, 2998.3868405809226, 1210.5788058656728, 147.07950454596255, 141.783268002272, 100.01360998355378, 96.48107899423864, 80.75725847848565, 76.53527878706608, 69.25729626918319, 55.32219688588017, 54.69484545248001, 51.22875957318675, 48.46912982474822, 40.981919264976675, 38.384676180139834, 37.88330137565411, 37.72499834313161, 35.1094552806683, 33.18098858564512, 32.05328908352037, 31.986279558399673, 31.593538999165265, 30.94300250976273, 30.580279984212993, 30.263562528489604, 30.057658227261847, 30.034405374054028, 24.890820268247545, 22.43543495801841, 22.063791358222524, 146.05768460709336, 2600.541055158718, 642.7894734365195, 885.6206989269809, 62.35497865063679, 56.26794247587609, 120.94750668336783, 2283.2776350022204, 739.4692486915768, 1098.0891085979413, 539.7000594296293, 1256.8299483200801, 3715.6298964934567, 1533.9823971261026, 10282.61865292314, 944.1415710393045, 850.0743629053633, 792.387628415783, 1189.8713039678332, 768.8587353203324, 628.8586051786733, 435.7005418039452, 383.4103187368365, 376.6563891074323, 197.35799921159926, 183.44256423403496, 166.2117259214281, 157.76658839334067, 145.51098969972645, 145.33000115225246, 136.52941116202115, 135.52645962415724, 131.71223409720122, 103.97345903313744, 96.96513723761146, 89.28070042167191, 87.43784686540455, 186.16199189902412, 79.96337514346456, 79.43065471697265, 69.18296691642924, 68.16972380514338, 57.121363015935835, 56.10880496546552, 51.16083611296852, 50.5250100225021, 49.36484539376239, 49.09503225158798, 73.07922523836201, 104.23304387189309, 189.08202906411393, 263.9617698128801, 499.5916078036953, 63.04821591196102, 123.54317326187251], \"Category\": [\"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Default\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic1\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic2\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic3\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic4\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic5\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic6\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic7\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic8\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic9\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic10\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic11\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic12\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic13\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic14\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic15\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic16\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic17\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic18\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic19\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic20\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic21\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic22\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic23\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic24\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic25\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic26\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic27\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic28\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic29\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic30\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic31\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic32\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic33\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic34\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic35\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic36\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic37\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic38\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic39\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic40\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic41\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic42\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic43\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic44\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic45\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic46\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic47\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic48\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic49\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic50\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic51\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic52\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic53\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic54\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic55\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic56\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic57\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic58\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic59\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic60\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic61\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic62\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic63\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic64\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic65\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic66\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic67\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic68\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic69\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic70\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic71\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic72\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic73\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic74\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic75\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic76\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic77\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic78\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic79\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic80\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic81\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic82\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic83\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic84\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic85\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic86\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic87\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic88\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic89\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic90\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic91\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic92\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic93\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic94\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic95\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic96\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic97\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic98\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic99\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\", \"Topic100\"], \"Term\": [\"none\", \"simulation\", \"potential\", \"pay_per\", \"algorithm\", \"given\", \"intelligent\", \"scale\", \"includes\", \"phenomenon\", \"continuous_variable\", \"also\", \"assignment\", \"task\", \"extract\", \"neural_network\", \"furthermore\", \"however\", \"classifier\", \"efficient\", \"particle\", \"kernel\", \"architecture\", \"aiming\", \"primary\", \"alzheimers_disease\", \"constraint\", \"offer\", \"diagrammatic\", \"discus\", \"imagine\", \"affordable\", \"levin\", \"finding\", \"ad_hoc\", \"evolution\", \"favorable\", \"placed\", \"atomic\", \"perceive\", \"seven\", \"illustration\", \"thoroughly\", \"crossroad\", \"guiding_principle\", \"cloud_computing\", \"choice\", \"envisage\", \"facilitated\", \"achieve_competitive\", \"last_least\", \"manually_constructed\", \"combat\", \"pushed\", \"talent\", \"accurately_predict\", \"supervision\", \"framed\", \"provide_comprehensive\", \"hardwaresoftware\", \"improves_performance\", \"elegans\", \"latest_development\", \"lingual\", \"smaller\", \"os\", \"randomly_generated\", \"several\", \"deviation\", \"probing\", \"description\", \"vlsi\", \"potential\", \"top\", \"next_generation\", \"modern\", \"evaluating\", \"obtains\", \"paper_present\", \"evolutionary_algorithm\", \"application\", \"achieve\", \"general\", \"content_marketing\", \"implementation\", \"recursive\", \"understand\", \"propose\", \"survey\", \"searching\", \"almost\", \"theory\", \"established\", \"architecture\", \"complex\", \"operative\", \"acute\", \"thus\", \"recognition\", \"knowledge_gained\", \"impacted\", \"effective\", \"describe\", \"prove\", \"mathematical_foundation\", \"maximizing_expected\", \"rejection\", \"get_trapped\", \"critic\", \"epoch\", \"outlining\", \"replicated\", \"much_weaker\", \"ripple\", \"metaphysical\", \"slight\", \"generally_applicable\", \"graphical_model\", \"realised\", \"disjoint\", \"exposition\", \"retains\", \"advocate\", \"carefully_engineered\", \"desired\", \"companion\", \"corollary\", \"bringing\", \"taken_consideration\", \"extrapolation\", \"caching\", \"descendant\", \"economic_theory\", \"regard\", \"special_case\", \"ordinary\", \"concurrency\", \"uncertainty\", \"thomas\", \"alternate\", \"standard\", \"evaluate_performance\", \"reward\", \"approach\", \"generalization\", \"criticized\", \"neither\", \"modeled\", \"belief\", \"verified\", \"action\", \"hybrid\", \"increasingly\", \"creating\", \"providing\", \"towards\", \"almost\", \"asymptotically\", \"formally\", \"perceptrons\", \"form\", \"define\", \"nature\", \"reliable\", \"many\", \"theory\", \"game_theory\", \"knowledge_gained\", \"underlying\", \"established\", \"considers\", \"able\", \"common\", \"meet\", \"thus\", \"provide\", \"random_forest\", \"autoencoding\", \"coarse\", \"smile\", \"play_pivotal\", \"game\", \"highlight\", \"textual\", \"minimalistic\", \"tight\", \"shelf\", \"diary\", \"presume\", \"energy_consumption\", \"manifest\", \"maintaining\", \"background\", \"lr\", \"bear\", \"harnessing\", \"help_understand\", \"monthly\", \"calibrating\", \"played\", \"everyday_life\", \"parallelisation\", \"energy_consumed\", \"heretofore\", \"automation\", \"direct_indirect\", \"teach\", \"negation\", \"becoming_important\", \"author\", \"area\", \"smodels\", \"invoked\", \"inverted\", \"formal\", \"theoretical\", \"discussion\", \"discard\", \"autonomous\", \"provides\", \"artificial_intelligence\", \"significant\", \"trial_error\", \"test\", \"study\", \"theory\", \"incomputable\", \"resource\", \"growing_interest\", \"operative\", \"satisfy\", \"novice\", \"company\", \"recognition\", \"achieve\", \"including\", \"able\", \"searching\", \"almost\", \"first\", \"general\", \"understand\", \"thus\", \"impacted\", \"detector\", \"short\", \"correctly_classify\", \"opportunity\", \"surroundings\", \"malfunctioning\", \"ahead\", \"comprehension\", \"handle\", \"give_overview\", \"innovation\", \"apps\", \"total_number\", \"extends\", \"data\", \"tutorial\", \"substantially_improves\", \"omega\", \"lg\", \"managed\", \"bayesian\", \"blur\", \"inexpensive\", \"provably\", \"transmitting\", \"higgs_boson\", \"graph_embedding\", \"efficacy\", \"societal\", \"substantial_amount\", \"high_level\", \"light\", \"kolmogorov_complexity\", \"discount\", \"progression\", \"commit\", \"concrete\", \"anticipated\", \"emulate\", \"script\", \"involves\", \"computation\", \"potential\", \"genuine\", \"provably_correct\", \"regarding\", \"content\", \"aid\", \"implementation\", \"comparing\", \"established\", \"propose\", \"achieve\", \"identifying\", \"meet\", \"improve\", \"furthermore\", \"solve\", \"thus\", \"building\", \"acute\", \"bounded_rationality\", \"evaluation\", \"organizing\", \"still\", \"dynamic\", \"relying\", \"default\", \"human_intervention\", \"audio\", \"predictive\", \"serious\", \"recording\", \"solar\", \"pathfinder\", \"integration\", \"laboratory_experiment\", \"rigorously\", \"accomplish\", \"lead_substantial\", \"surrounding\", \"test_bed\", \"rank\", \"symmetry\", \"smartphones\", \"yielded\", \"pricing_scheme\", \"rapidly\", \"coordinated\", \"automatic_generation\", \"attributed\", \"database_management\", \"custom\", \"inspired\", \"closest\", \"trial\", \"spontaneously\", \"site\", \"via\", \"attention\", \"involvement\", \"profound\", \"favor\", \"done\", \"life\", \"environmental\", \"back\", \"generated\", \"regarding\", \"arena\", \"advanced\", \"affords\", \"access\", \"continuous\", \"game_theory\", \"driving\", \"generalizes_well\", \"next_generation\", \"still\", \"improve\", \"cop\", \"envelope\", \"travelling_salesman\", \"ease\", \"welfare\", \"human_animal\", \"extractor\", \"fully_observable\", \"recurrent\", \"whole\", \"typical\", \"key_challenge\", \"non_cooperative\", \"divide\", \"multiplicative\", \"belongs_class\", \"global_minimum\", \"combing\", \"spite\", \"dramatic_improvement\", \"section\", \"sat_instance\", \"master\", \"iterate\", \"stimulation\", \"synaptic_plasticity\", \"indoor\", \"obeys\", \"piano\", \"thereby_providing\", \"algorithm\", \"tested\", \"computationally_expensive\", \"find_optimal\", \"expensive\", \"expansion\", \"building\", \"learns\", \"exists\", \"qubit\", \"scale\", \"enabling\", \"bounded_rationality\", \"epsilon\", \"outperforms\", \"established\", \"agnostic\", \"may_depend\", \"extensively_studied\", \"syllogism\", \"ago\", \"almost\", \"space\", \"recent_research\", \"recognition\", \"considers\", \"alternative\", \"theory\", \"able\", \"growing_interest\", \"intelligent\", \"responsibility\", \"still\", \"reliable\", \"increased\", \"impacted\", \"understand\", \"knowledge_gained\", \"acute\", \"driving\", \"improve\", \"thus\", \"following_question\", \"big_data\", \"dominated\", \"make_possible\", \"attack\", \"play_central\", \"problem\", \"spontaneous\", \"hacker\", \"envision\", \"multiagent\", \"energy_supply\", \"relative_position\", \"probabilistic_program\", \"constrain\", \"inflammatory\", \"still_remains\", \"progenitor\", \"mix\", \"question\", \"commerce\", \"computer_scientist\", \"search_engine\", \"dependent\", \"idea_behind\", \"r\", \"aerodynamic\", \"breach\", \"atari\", \"depiction\", \"earth\", \"increase\", \"historical\", \"present_comprehensive\", \"accountability\", \"www\", \"programmable\", \"still\", \"provide\", \"necessity\", \"generality\", \"article\", \"ongoing_research\", \"redundancy\", \"evaluated\", \"classification\", \"thousand\", \"motivation\", \"likely\", \"although\", \"effort\", \"driving\", \"execution_time\", \"knowledge_gained\", \"learned\", \"widely_accepted\", \"largest\", \"reliable\", \"internet_thing\", \"acronym\", \"near_optimal\", \"matlab\", \"work\", \"behave_like\", \"achievable\", \"circumstance\", \"concept_borrowed\", \"monocular\", \"refinement\", \"judge\", \"publicly_available\", \"calibrated\", \"contrast_previous\", \"continue\", \"hardware_platform\", \"polynomial_time\", \"restaurant\", \"prefers\", \"priori\", \"linking\", \"recursion\", \"practice_logic\", \"sensing\", \"street\", \"rapid\", \"hmc\", \"groundwork\", \"asd_process\", \"efficient\", \"story\", \"sparse_coding\", \"vision\", \"expose\", \"environment\", \"created\", \"energy_saving\", \"certain\", \"interaction\", \"complex\", \"task\", \"app\", \"kind\", \"natural\", \"firstly\", \"arena\", \"maximum_entropy\", \"execution_time\", \"wallace\", \"proposes\", \"acute\", \"access\", \"group\", \"uncovers\", \"pdfs\", \"seldom\", \"scalability\", \"vague\", \"open_sourced\", \"limiting\", \"posit\", \"coordinate\", \"neighbor\", \"cheap\", \"generalized_reed\", \"evolutionary\", \"peer\", \"repetition\", \"deploy\", \"exhibited\", \"central_limit\", \"grayscale\", \"motif\", \"significant_advantage\", \"little_known\", \"clinical_trial\", \"good_performance\", \"theory_irt\", \"cascading\", \"perform_similarly\", \"giant\", \"favoured\", \"changing\", \"one_major\", \"adaptation\", \"surveillance\", \"experiment\", \"tournament\", \"previously\", \"disorder\", \"symmetry_broken\", \"interaction\", \"adaptivity\", \"autonomous_agent\", \"systematic_bias\", \"representable\", \"screen\", \"resurgence\", \"machining\", \"evaluation\", \"feedforward_neural\", \"programmable\", \"aid\", \"still\", \"potential\", \"artificial_intelligence\", \"increased\", \"look\", \"additive_multiplicative\", \"rise\", \"responsibility\", \"furthermore\", \"considers\", \"test\", \"ongoing_research\", \"complex\", \"increase\", \"reliable\", \"previously_proposed\", \"improve\", \"video_game\", \"able\", \"guideline\", \"expose\", \"avoids\", \"large\", \"naive_bayesian\", \"go\", \"odd\", \"thereby\", \"conceptually\", \"radically_different\", \"scholar\", \"mci\", \"hardly\", \"mean\", \"gene_regulatory\", \"transmit\", \"oriented_programming\", \"fact\", \"careful_design\", \"list\", \"membership_function\", \"find\", \"publication\", \"much_le\", \"wonder\", \"mild\", \"open_ended\", \"cycle\", \"social_network\", \"p_agent\", \"baby\", \"broken\", \"left\", \"stronger\", \"multicellular\", \"labeled\", \"explored\", \"challenge\", \"anything\", \"cope\", \"scientific_research\", \"theoretical_foundation\", \"influence\", \"access\", \"field\", \"get\", \"although\", \"adaptability\", \"statistical_dependency\", \"index\", \"topological\", \"levenberg_marquardt\", \"exact_approximate\", \"maximization\", \"quasi_newton\", \"flow\", \"investor\", \"chief\", \"discriminative_feature\", \"filter\", \"markov_random\", \"imaging\", \"community_structure\", \"lightweight\", \"cga\", \"historical_document\", \"bilinear\", \"averaging\", \"abnormal\", \"significant_speedup\", \"instance_segmentation\", \"hand_gesture\", \"foreground\", \"automatically_recognize\", \"melanoma\", \"conventionally\", \"hand_pose\", \"artificial_chemistry\", \"negotiate\", \"insight\", \"intelligent\", \"directly_raw\", \"summarizes\", \"single_cell\", \"ozone\", \"exists\", \"regulating\", \"may_depend\", \"established\", \"improve\", \"acute\", \"recognition\", \"based\", \"thus\", \"able\", \"test\", \"category\", \"increased\", \"coding\", \"predict\", \"building\", \"believe\", \"version\", \"key\", \"discard\", \"utilizing\", \"considers\", \"solve\", \"almost\", \"first\", \"well\", \"theory\", \"reliable\", \"knowledge_gained\", \"multiphoton\", \"extraction\", \"dynamic\", \"understand\", \"discus\", \"issue_arise\", \"analysis\", \"compared_existing\", \"common_sense\", \"counterpart\", \"non_asymptotic\", \"illumination\", \"bush\", \"bandwidth\", \"unique\", \"embed\", \"answering_question\", \"statement\", \"posteriori\", \"hint\", \"rescue\", \"kernel_density\", \"history\", \"emulated\", \"universe\", \"big_bang\", \"gained\", \"factor\", \"thermodynamics\", \"minimax_rate\", \"scenario\", \"computed_polynomial\", \"computer_simulation\", \"premise\", \"also\", \"discourse\", \"careful\", \"uncertain_knowledge\", \"validation\", \"others\", \"future_development\", \"attracted\", \"arises\", \"aspect\", \"conclusion\", \"throughout\", \"fundamental\", \"first\", \"diversify\", \"pa\", \"degeneration\", \"beam\", \"fall_within\", \"estimation\", \"multi_label\", \"wikipedia\", \"convnets\", \"pendulum\", \"msc\", \"regularization\", \"supervised_unsupervised\", \"tense\", \"verb\", \"zero_shot\", \"group_lasso\", \"impulsive_control\", \"significant_improvement\", \"cd\", \"miml\", \"regularization_term\", \"twitter_message\", \"max_margin\", \"effort_estimation\", \"assemble\", \"elastic_net\", \"rod\", \"complicated\", \"ability_generalize\", \"dempster_shafers\", \"optimizer\", \"however\", \"unification_search\", \"unbiased\", \"introduce\", \"subsequently\", \"comprises\", \"autonomously\", \"insight\", \"meet\", \"continuous_variable\", \"exists\", \"acute\", \"extract\", \"intelligent\", \"darwin\", \"ever\", \"scale\", \"instantaneously\", \"algorithm\", \"single\", \"task\", \"established\", \"considers\", \"label\", \"extraction\", \"ago\", \"extensively_studied\", \"building\", \"criminal\", \"almost\", \"increased\", \"unconscious\", \"graph\", \"possessing\", \"gene_expression\", \"united_state\", \"independent\", \"drawback\", \"legitimate\", \"sign\", \"optimal_solution\", \"saved\", \"experienced\", \"latex\", \"mu\", \"discarded\", \"statistic_machine\", \"indicative\", \"sub_optimal\", \"algorithmic_fairness\", \"random_variable\", \"nearly_optimal\", \"finite_alphabet\", \"sought\", \"quantum_computer\", \"incorporate_prior\", \"soundness\", \"investigate\", \"known\", \"manageable\", \"pl\", \"accelerate\", \"avoidance\", \"prior_distribution\", \"joint_probability\", \"value_iteration\", \"decision_made\", \"prior_work\", \"suggesting\", \"superposition\", \"correlation\", \"scale\", \"agnostic\", \"wallace\", \"machine_learning\", \"effective\", \"comprehensive\", \"portrayed\", \"acute\", \"reveal_interesting\", \"solve_problem\", \"genetic\", \"translation\", \"mt\", \"point\", \"trainable\", \"attempto_controlled\", \"utterance\", \"revisits\", \"today\", \"cm\", \"intellect\", \"offer_elegant\", \"supervised_setting\", \"source_target\", \"explores\", \"fiction\", \"crossover_mutation\", \"usa\", \"facial_attribute\", \"separate\", \"extreme_value\", \"awareness\", \"anaphoric\", \"still_unclear\", \"spacecraft\", \"desert\", \"reader\", \"actor_critic\", \"task\", \"leveraged\", \"exploit_regularity\", \"policy_gradient\", \"assistant\", \"convexity\", \"focused_mainly\", \"adapted\", \"face\", \"require\", \"vlsi\", \"analyze\", \"detected\", \"concern\", \"ongoing_research\", \"company\", \"decision_making\", \"sp\", \"handwritten_digit\", \"formulate\", \"user_specified\", \"lower_prevision\", \"randomized\", \"tie\", \"negatively_affect\", \"decay\", \"relative_error\", \"reward_function\", \"duality_gap\", \"contextual_bandit\", \"rewriting\", \"utilize\", \"lm\", \"twice\", \"spaced\", \"invader\", \"worse\", \"csp_instance\", \"often_suffer\", \"botnets\", \"varepsilon\", \"control_policy\", \"vector_valued\", \"infinity\", \"optimal_stopping\", \"chemical_reaction\", \"protects\", \"appealing_alternative\", \"knowledge_base\", \"spectral_clustering\", \"maximum_likelihood\", \"two\", \"constant\", \"attached\", \"optimality\", \"major_drawback\", \"developing\", \"comput\", \"convergence_rate\", \"corner\", \"virtually\", \"darwin\", \"subtlety\", \"algorithm\", \"automated_theorem\", \"regret\", \"considers\", \"still\", \"able\", \"decision_made\", \"knowledge_gained\", \"scale\", \"especially\", \"resource\", \"second_order\", \"first\", \"representation\", \"artificial_intelligence\", \"author\", \"uncertainty\", \"appear\", \"sgd\", \"estimate\", \"posterior_distribution\", \"synthetic_data\", \"strongly_convex\", \"erroneous\", \"briefly\", \"average\", \"descent_sgd\", \"logarithmic_factor\", \"penalized\", \"iid\", \"simple_implement\", \"random_sampling\", \"stochastic_gradient\", \"ising_model\", \"pursued\", \"preliminary_empirical\", \"parameterization\", \"grows_linearly\", \"copula\", \"independent_interest\", \"empirical_study\", \"variational_bayes\", \"centralized_decentralized\", \"svm_solver\", \"shenoy_shafer\", \"reconstruction\", \"smoother\", \"posterior_probability\", \"prior\", \"experimental\", \"considerably\", \"scheme\", \"descent\", \"instantaneously\", \"unless\", \"remote_sensing\", \"bet\", \"century\", \"none\", \"outline\", \"autonomously\", \"mixture\", \"includes\", \"probability\", \"horizon\", \"velocity\", \"uncertainty\", \"continuous_variable\", \"acute\", \"considers\", \"improve\", \"intelligent\", \"aid\", \"apply\", \"assigning\", \"clinical\", \"assistive\", \"healthcare\", \"e\", \"synthesis\", \"cancer\", \"post_processing\", \"conflict\", \"midi\", \"citing\", \"bbns\", \"optimisation\", \"black_box\", \"doctor\", \"medical_imaging\", \"magnetic_resonance\", \"centric\", \"kinematic\", \"ct_image\", \"strive\", \"weighting_scheme\", \"surgical\", \"visualizing\", \"ehr\", \"deep_radiomic\", \"logging\", \"computed_tomography\", \"deformable\", \"segregate\", \"examining\", \"mapped\", \"twist\", \"main_innovation\", \"entropy\", \"alone\", \"line_research\", \"year\", \"hinder\", \"informs\", \"predictor\", \"quench\", \"energy_harvesting\", \"everywhere\", \"equilibrium\", \"considered\", \"indirectly\", \"able_deal\", \"understand\", \"ongoing_research\", \"iq\", \"improve\", \"artificial_intelligence\", \"fuzzy_logic\", \"assistive_technology\", \"understandable\", \"area_research\", \"market_penetration\", \"keywords\", \"simplistic\", \"laboratory\", \"inheritance\", \"vgg\", \"salient_object\", \"saliency_map\", \"generically\", \"parent\", \"knowledge_management\", \"motion_capture\", \"longstanding\", \"qa_pair\", \"online_social\", \"single_gpu\", \"cleaned\", \"differentiation\", \"send_message\", \"indexing\", \"perceptual_similarity\", \"tackle\", \"fully_automatic\", \"timer\", \"spark\", \"complementary_information\", \"cusp\", \"transformed\", \"high_precision\", \"revenue\", \"publicly\", \"engaging\", \"continuous_variable\", \"china\", \"visualization\", \"objective\", \"performed\", \"leveraging\", \"reach\", \"attention_researcher\", \"extract_relevant\", \"game_theory\", \"author\", \"corpus\", \"collection\", \"acute\", \"understand\", \"recognition\", \"knowledge_discovery\", \"established\", \"japan\", \"achieve\", \"improve\", \"extensively_studied\", \"paper_explore\", \"solve\", \"thus\", \"mathematical\", \"mere\", \"test\", \"knowledge_gained\", \"face\", \"vlsi\", \"impacted\", \"able\", \"furthermore\", \"prototype_implementation\", \"embracing\", \"strong\", \"navigating\", \"management\", \"easily_extended\", \"inherited\", \"interpret\", \"survival_fittest\", \"kid\", \"execute\", \"tourism\", \"computational_burden\", \"becomes_necessary\", \"lee\", \"strictly_positive\", \"vessel\", \"undetected\", \"virus\", \"absence\", \"client\", \"volatility\", \"queen\", \"representation_formalism\", \"termite\", \"abductive_framework\", \"proposal_generation\", \"multilevel\", \"lineup\", \"agency\", \"constraint\", \"robotic\", \"encountered\", \"interpreter\", \"saturation\", \"must_able\", \"us\", \"future_research\", \"certain\", \"link\", \"authentication\", \"correlational\", \"game_theory\", \"darwin\", \"comparing\", \"interaction\", \"test\", \"wallace\", \"established\", \"especially\", \"video_game\", \"considers\", \"face\", \"horizon\", \"logical\", \"constructing\", \"compatibility\", \"selecting_subset\", \"atm\", \"operation\", \"abc_boost\", \"minimum_description\", \"opposed\", \"molecule\", \"polynomially\", \"cur\", \"black_hole\", \"differentiate\", \"path\", \"recently_attracted\", \"parasite\", \"constraint_satisfaction\", \"description_length\", \"global_constraint\", \"abductive_reasoning\", \"enforcing\", \"aps\", \"psi\", \"adaptive_lasso\", \"propositional\", \"scp\", \"solvable\", \"algorithmically\", \"substantiate\", \"schubert\", \"payment\", \"conjectured\", \"benchmark\", \"amenable\", \"scale\", \"examine\", \"decomposition\", \"human_mind\", \"combinatorial\", \"limited\", \"agnostic\", \"maximal_tractable\", \"loop_formula\", \"asymptotically\", \"acute\", \"providing\", \"considers\", \"two\", \"intended\", \"observation\", \"impacted\", \"effective\", \"meet\", \"well\", \"theory\", \"cognitive\", \"achieve\", \"ever\", \"knowledge_gained\", \"reliable\", \"almost\", \"exact_solution\", \"bit\", \"rate_convergence\", \"exogenous_variable\", \"cannot_handled\", \"compact\", \"shall\", \"nonparametric_regression\", \"negatively\", \"adaboost\", \"theoretical_property\", \"empirical_bayes\", \"goodness_fit\", \"generalization_bound\", \"leaning\", \"oracle_inequality\", \"missingness\", \"source_separation\", \"ridge\", \"reduces\", \"thread\", \"variable\", \"rademacher\", \"marginalizes\", \"ax\", \"graphical_criterion\", \"byproduct\", \"showed\", \"snr\", \"dd\", \"orthogonal_projection\", \"fitting\", \"moment\", \"riemannian_manifold\", \"loop_formula\", \"weak\", \"virtually\", \"guarantee\", \"establish\", \"smoothness\", \"divergence\", \"mixture\", \"lead_better\", \"novice\", \"apply\", \"self\", \"extreme\", \"fuzzy_logic\", \"throughout\", \"versatility\", \"subtlety\", \"horizon\", \"decision_made\", \"optimality\", \"given\", \"artificial_intelligence\", \"get\", \"concrete\", \"able\", \"considers\", \"knowledge_gained\", \"external_stimulus\", \"information\", \"activation\", \"recent_work\", \"refine\", \"pathway\", \"emanating\", \"lagrange\", \"logical_form\", \"transposon\", \"personalized\", \"suffice\", \"voxel\", \"returning\", \"attacker\", \"accept\", \"suited\", \"theoretically\", \"antigen_presenting\", \"preliminary_result\", \"guided\", \"aia\", \"resolve\", \"neuroimaging\", \"identifies\", \"self_sustaining\", \"wave_propagation\", \"functional_magnetic\", \"reanalyze\", \"tumour\", \"expressed\", \"default_logic\", \"prompt\", \"meaning\", \"appear_theory\", \"thompson_sampling\", \"chemical\", \"capturing\", \"vast\", \"achievement\", \"intelligence_consciousness\", \"consortium\", \"body\", \"hough_transform\", \"logistic_regression\", \"qubits\", \"magnetic\", \"gas\", \"personal_computer\", \"finite_sample\", \"carefully_crafted\", \"boost\", \"compound\", \"connects\", \"linear_discriminant\", \"reynolds\", \"clausal\", \"stochastic_approximation\", \"literal\", \"aka\", \"trapped_optical\", \"finer_level\", \"computer_graphic\", \"bf\", \"weak_gravitational\", \"turing_complete\", \"td\", \"single_nucleotide\", \"astrophysics\", \"addiction\", \"kondo_temperature\", \"promoter_sequence\", \"selecting_appropriate\", \"undesirable\", \"concentrator\", \"loading\", \"emerging\", \"visual\", \"systematic_bias\", \"general\", \"real_world\", \"ought\", \"expose\", \"iq\", \"look\", \"cognitive\", \"action\", \"measurement\", \"realm\", \"considers\", \"increased\", \"artificial_intelligence\", \"potential\", \"person\", \"able\", \"knowledge_gained\", \"improve\", \"people\", \"dempster\", \"handwriting_recognition\", \"dichotomous\", \"age\", \"maximal\", \"rightarrow_mathbbr\", \"possibly_conflicting\", \"behavioral_science\", \"early_stopping\", \"partial_correlation\", \"corruption\", \"mrfs\", \"railway\", \"reframing\", \"since_inception\", \"exchangeable\", \"useful_tool\", \"explicitely\", \"minimizing_sum\", \"ei\", \"edge_weight\", \"fully_characterized\", \"efficiently_solved\", \"non_invasive\", \"adequacy\", \"preference_statement\", \"recommender\", \"report_experimental\", \"weighted_graph\", \"planet\", \"acyclic_directed\", \"quarter_century\", \"similarity_dissimilarity\", \"python\", \"breaking_symmetry\", \"well\", \"unlike\", \"keep\", \"paper\", \"reliable\", \"underlying\", \"representation\", \"formalised\", \"especially\", \"causal_relationship\", \"increased\", \"application_ranging\", \"degree\", \"creating\", \"existing\", \"formally_solves\", \"singularity\", \"able\", \"developing\", \"action\", \"decade\", \"providing\", \"paper_present\", \"quantum\", \"artificial_intelligence\", \"considers\", \"scale\", \"knowledge_gained\", \"test\", \"provide\", \"theory\", \"nbc\", \"enumeration\", \"network_gans\", \"reasonably\", \"adversarial_network\", \"historical_data\", \"response\", \"official\", \"two_fold\", \"theoretical_guarantee\", \"hyper_parameter\", \"seed\", \"pixel_wise\", \"semantic\", \"early\", \"inter_class\", \"think\", \"generator_discriminator\", \"sport\", \"obvious\", \"science_technology\", \"valence_arousal\", \"regularizer\", \"speech_separation\", \"anaphora_resolution\", \"evaluation_metric\", \"theano\", \"ssdh\", \"fake\", \"make_easier\", \"indicator\", \"label\", \"technician\", \"bias\", \"socially\", \"tool\", \"say\", \"classified\", \"decide_whether\", \"elicitation\", \"exploding\", \"economic\", \"approximated\", \"sustainable\", \"instrumental\", \"space\", \"performed\", \"understand\", \"classifier\", \"recommendation\", \"film\", \"odds\", \"extracting\", \"voluminous\", \"context_free\", \"struggle\", \"joint_distribution\", \"approximate_solution\", \"information_retrieval\", \"likelihood_weighting\", \"near_optimality\", \"classroom\", \"extensive_experimental\", \"user_item\", \"work_focused\", \"multi_stage\", \"harmonic\", \"detect_presence\", \"white\", \"mother\", \"locates\", \"f\", \"non_differentiable\", \"refers\", \"client_server\", \"web_site\", \"oracle_return\", \"concretely\", \"norm\", \"result\", \"matrix_factorization\", \"also_discussed\", \"page\", \"error_mse\", \"game_theory\", \"classical_logic\", \"explosive_growth\", \"diversity\", \"phenomenon\", \"staging\", \"traffic\", \"initialization\", \"fully_automated\", \"quantized\", \"registration\", \"drawn\", \"proposing\", \"langevin_dynamic\", \"sparse_signal\", \"process\", \"averaged\", \"hierarchical_clustering\", \"amidst\", \"mean_clustering\", \"ix\", \"dissimilarity\", \"simply\", \"misguided\", \"exposure\", \"adapts\", \"effective_way\", \"low_dose\", \"nd_order\", \"data_stream\", \"square_error\", \"outperforming_state\", \"australia\", \"compared_traditional\", \"forward_backward\", \"seek_minimize\", \"agreement\", \"intricate\", \"exponent\", \"scene\", \"tap\", \"precludes\", \"cone_programming\", \"still\", \"access\", \"particle\", \"notation\", \"work_proposes\", \"directed_undirected\", \"occupancy_grid\", \"fidelity\", \"accelerator\", \"continual\", \"incurs\", \"tracked\", \"accelerating\", \"crowd\", \"targeting\", \"demonstrate_utility\", \"tunable\", \"wasserstein_distance\", \"lower_bound\", \"cardinality_constraint\", \"imbalanced\", \"gamification\", \"allen\", \"shear\", \"flexibly\", \"supremacy\", \"researcher_working\", \"activation_function\", \"rcs\", \"nodal\", \"localizing\", \"physiological_signal\", \"network\", \"referring\", \"successfully_tested\", \"contrast_existing\", \"near\", \"conducting\", \"optimized\", \"subjective\", \"able_deal\", \"motivation\", \"aid\", \"respectively\", \"manipulated\", \"widely_used\", \"highly_inefficient\", \"manic\", \"improvement\", \"network_rnn\", \"significantly_improved\", \"level_granularity\", \"intensively\", \"signature\", \"vulnerable_adversarial\", \"drum\", \"scan\", \"examined\", \"encouraging_experimental\", \"collective\", \"aesthetic\", \"inside_cell\", \"drastically_increase\", \"someone\", \"knowledge_tracing\", \"photograph\", \"tends\", \"penetration_testing\", \"episodic\", \"patient_health\", \"drastically_reducing\", \"particular_emphasis\", \"pascal\", \"internal_representation\", \"parseval\", \"rhythm\", \"living\", \"long_short\", \"adaptively\", \"bat_algorithm\", \"move\", \"genuine\", \"unit\", \"face\", \"galaxy\", \"multispectral\", \"recruited\", \"bandwidth_selection\", \"principally\", \"rudimentary\", \"supernova\", \"mimic_human\", \"galaxy_sloan\", \"diffraction\", \"satellite\", \"wavelength\", \"bright_star\", \"fueled\", \"modern_day\", \"astronomical_community\", \"division_multiplexing\", \"logg\", \"radial\", \"nebular\", \"eos\", \"sequential_decision\", \"background_radiation\", \"star_galaxy\", \"astroinformatics\", \"luminosity_function\", \"warm_absorber\", \"pay_special\", \"stellar_mass\", \"electron_microscopy\", \"photographic_plate\", \"sfh\", \"thermal_emission\", \"string\", \"survey\", \"instantaneously\", \"responsibility\", \"additive_multiplicative\", \"forming\", \"mathematical\", \"common\", \"experimental\", \"general\", \"improve\", \"real_world\", \"variety\", \"able\", \"knowledge_gained\", \"action\", \"investigation\", \"device\", \"many_practical\", \"world\", \"variance\", \"evaluate\", \"data_driven\", \"nasdaq\", \"upper_lower\", \"shed\", \"direct\", \"iterates\", \"shortage\", \"technology_stack\", \"analytical_expression\", \"modulus\", \"seem\", \"human_action\", \"defending\", \"distance\", \"regression_coefficient\", \"propagation_lbp\", \"xxn\", \"upper_bound\", \"reformulate\", \"lid\", \"sigmoid\", \"mmd\", \"summarizing\", \"based_solely\", \"bic_score\", \"conceptualization\", \"incorporate\", \"correlated\", \"interval\", \"relate\", \"criterion\", \"experimental\", \"alien\", \"unless\", \"novice\", \"established\", \"craft\", \"game_theory\", \"considers\", \"assignment\", \"era\", \"establishment\", \"historically\", \"formalises\", \"fundamental_importance\", \"quartet\", \"automatically_learns\", \"gaining\", \"annual\", \"mobile_device\", \"coffee\", \"producer\", \"naive_bayes\", \"transfer_learning\", \"promising_avenue\", \"preference_elicitation\", \"speculate\", \"hyper\", \"laborious\", \"approached\", \"mobile_phone\", \"interactive_theorem\", \"office\", \"trick\", \"money\", \"replication\", \"news_article\", \"served\", \"prob_lem\", \"shannon\", \"coverage\", \"even_though\", \"focus\", \"misplaced\", \"distribute\", \"marriage\", \"naturally\", \"expose\", \"potential\", \"controversy\", \"favor\", \"maze\", \"discovering\", \"linear_transformation\", \"deciding_whether\", \"convention\", \"game_theoretic\", \"govern\", \"em_algorithm\", \"conjugate_prior\", \"minimizes\", \"locally_weighted\", \"slightly_modified\", \"bounded\", \"protein\", \"power_spectrum\", \"ga\", \"parametrization\", \"empirically\", \"inverse_covariance\", \"swiss\", \"feel\", \"mobile_computing\", \"leaf\", \"observe\", \"denoted\", \"process_ibp\", \"vt\", \"solves\", \"sharply\", \"cryo_em\", \"experiment_simulated\", \"finding_optimal\", \"observation\", \"mutation_operator\", \"metropolis\", \"conditionally\", \"topology\", \"normal_distribution\", \"measurement\", \"attention_researcher\", \"comprises\", \"artificial_molecule\", \"continuous_variable\", \"best\", \"cognitive\", \"quantification\", \"additive_multiplicative\", \"obviously\", \"intelligent\", \"mixture\", \"fitting\", \"developing\", \"app\", \"author\", \"axiomatically\", \"horizon\", \"improve\", \"includes\", \"throughout\", \"underlying\", \"acute\", \"complementary\", \"equation\", \"long_term\", \"consciousness\", \"tree_search\", \"eigenvalue\", \"ups\", \"improve_upon\", \"labeled_training\", \"interestingly\", \"bethe_free\", \"automobile\", \"bethe\", \"damped\", \"motive\", \"positive_definite\", \"boolean\", \"revolutionary\", \"roy\", \"differing\", \"uniform_convergence\", \"slowness\", \"combine_advantage\", \"mild_condition\", \"parity\", \"regardless\", \"sparse_pca\", \"compared_conventional\", \"interpolation\", \"thermodynamical\", \"subsequent\", \"second_order\", \"quadratic\", \"result_showed\", \"biometrics\", \"used_guide\", \"expectation_propagation\", \"probabilistic_reasoning\", \"fuzzy_logic\", \"computational_complexity\", \"agnostic\", \"fixed_point\", \"representation\", \"reasonable_assumption\", \"able\", \"equivalent\", \"genuine\", \"define\", \"category\", \"incidence\", \"considers\", \"theory\", \"providing\", \"still\", \"utility_function\", \"fed\", \"capability\", \"secure\", \"capitalizing\", \"pas\", \"atom\", \"emulating\", \"joining\", \"upgrade\", \"redefinition\", \"object_proposal\", \"location\", \"representability\", \"primary_contribution\", \"unstructured\", \"cluster\", \"resonance\", \"radio_spectrum\", \"generalization_capability\", \"select_subset\", \"argue\", \"incrementally\", \"cryptographically\", \"prospective\", \"chinese\", \"therapy\", \"learnability\", \"myriad\", \"image_patch\", \"surprisingly\", \"wherein\", \"demand\", \"composed\", \"satisfiability_modulo\", \"able_classify\", \"reinforcement\", \"play_important\", \"grows\", \"maximizers\", \"evaluator\", \"previously_learned\", \"hazardous\", \"characterised\", \"assumption\", \"package\", \"balanced\", \"selective\", \"simplest\", \"predicated\", \"amplification\", \"listing\", \"synaptic\", \"theta\", \"spiking\", \"replay\", \"microscopic\", \"weapon\", \"cortical\", \"cortical_neuron\", \"prescribed\", \"anthropic_principle\", \"discounted\", \"tackle_challenge\", \"referee\", \"dark_energy\", \"sequencing\", \"sliding_tile\", \"intuitively\", \"rate\", \"explainable\", \"decide\", \"firstly\", \"primarily\", \"consortium\", \"nonmonotonic\", \"real_world\", \"pay_per\", \"capable\", \"annotated\", \"resorting\", \"synset\", \"wild\", \"routine\", \"expensive_obtain\", \"formalizes\", \"unconstrained_face\", \"normal_abnormal\", \"monolingual\", \"two_consecutive\", \"brain_tumor\", \"inferencing\", \"captioning\", \"statistically_significant\", \"proprioceptive\", \"usually\", \"gabor_filter\", \"lmm\", \"grounding\", \"siamese\", \"stanford\", \"insider\", \"next_step\", \"annotating\", \"image_caption\", \"place_recognition\", \"cumbersome\", \"iq_test\", \"molecular\", \"retrieval\", \"hcf\", \"simulator\", \"dialogue_manager\", \"annotation\", \"achieves_state\", \"reflects\", \"occlusion\", \"able_express\", \"medical_image\", \"integrates\", \"unification_search\", \"simplifies\", \"crowd_sourcing\", \"vision\", \"method\", \"space\", \"efficient\", \"last\", \"objective\", \"approach\", \"offer\", \"diagrammatic\", \"ago\", \"maze\", \"game_theory\", \"dependency\", \"stimulus\", \"ilp\", \"troubleshooting\", \"affecting\", \"major_limitation\", \"grow\", \"profiling\", \"language_modeling\", \"smart_device\", \"pipeline\", \"phrase\", \"multiscale\", \"column\", \"parse_tree\", \"wireless\", \"displayed\", \"recommendation_system\", \"involving\", \"accurate_prediction\", \"manager\", \"representativeness\", \"emphasized\", \"generic\", \"structuring\", \"disk\", \"car\", \"generalization_performance\", \"becomes_increasingly\", \"refining\", \"diagrammatic\", \"compiled\", \"directed\", \"isomorphic\", \"plausibility_measure\", \"cognitive\", \"morphogenesis\", \"parametric\", \"merit\", \"factorization\", \"corpus\", \"facilitate\", \"arbitrary\", \"satisfiability_modulo\", \"integrate\", \"meet\", \"scheme\", \"effective\", \"demand\", \"principal\", \"help_guide\", \"cannot_easily\", \"capable_handling\", \"distillation\", \"quadratically\", \"mcmc\", \"explore_whether\", \"train_deep\", \"prediction_accuracy\", \"mid_level\", \"step_forward\", \"encoder_decoder\", \"underdeveloped\", \"receptive_field\", \"pose_estimation\", \"pre_training\", \"sutskever\", \"object_category\", \"significantly_increased\", \"deep_recurrent\", \"describes\", \"dialog_policy\", \"ilsvrc\", \"visual_question\", \"word_meaning\", \"decent\", \"nlp\", \"auto_encoder\", \"classifier_trained\", \"auto_encoders\", \"critical_point\", \"generator\", \"quintessential\", \"trained\", \"account\", \"nevertheless\", \"enhancing\", \"edit\", \"subsequently\", \"performed\", \"ago\", \"handwritten_character\", \"simulation\", \"introduce\", \"none\", \"ozone\", \"task\", \"propose_novel\", \"building\", \"diagrammatic\", \"summarizes\", \"considers\", \"ever\", \"tracking\", \"waste\", \"acute\", \"improve\", \"machine_learning\", \"driving\", \"however\", \"video_game\", \"solve\", \"operative\", \"intelligent\", \"continuous_variable\", \"nuclear_magnetic\", \"diagnostic\", \"supervised\", \"risk_factor\", \"tuning_parameter\", \"removing\", \"kripke_model\", \"transportation_system\", \"kripke\", \"day_day\", \"binding\", \"zone\", \"compress\", \"checkable\", \"ordinary_differential\", \"linear_algebra\", \"informed\", \"statistical_physic\", \"corroborated\", \"could_lead\", \"road\", \"mortality\", \"password\", \"advent\", \"applicable_wide\", \"optimisation_problem\", \"homotopy\", \"cost_function\", \"resonance_nmr\", \"system_anfis\", \"none\", \"fixpoint\", \"time_series\", \"scm\", \"heterogeneous\", \"research\", \"limitation_imposed\", \"everywhere\", \"classical\", \"indirectly\", \"uncover\", \"cognitive\", \"alone\", \"established\", \"complex\", \"city\", \"arbitrary\", \"century\", \"furthermore\", \"hardware\", \"universal\", \"accurate\", \"increased\", \"improve\", \"common\", \"directed\", \"considers\", \"application\", \"additive_multiplicative\", \"neural_network\", \"optimization_problem\", \"final\", \"extremely\", \"carrying\", \"calculating\", \"degrades\", \"propagated\", \"destructive\", \"explaining_away\", \"stigmergic\", \"ensemble_teacher\", \"hardest\", \"terahertz\", \"pertaining\", \"computationally\", \"ce\", \"became\", \"subsample\", \"triangular\", \"eliminated\", \"interpreting\", \"opinion_pool\", \"always\", \"agn\", \"chest_ray\", \"permutation\", \"minc\", \"role_determining\", \"concerned\", \"sample\", \"shortcoming\", \"use\", \"adjustment\", \"neighbour\", \"abelian\", \"along\", \"gaining_popularity\", \"hope\", \"contribution\", \"trial_trial\", \"low_rank\", \"nominal\", \"oracle\", \"partially_observable\", \"bandit\", \"main_theorem\", \"mean_field\", \"compare_favorably\", \"puzzle\", \"belief_propagation\", \"image_denoising\", \"provide_theoretical\", \"kl_divergence\", \"bp\", \"closed_form\", \"substantial_gain\", \"dihedral_angle\", \"mirror_descent\", \"broader_class\", \"small_subset\", \"proof_principle\", \"multivariate_gaussian\", \"partition_function\", \"en\", \"legendre\", \"pairwise_interaction\", \"newton_method\", \"factor_graph\", \"strategy_cma\", \"computationally_efficient\", \"pairwise_markov\", \"carry\", \"approximate\", \"gbp\", \"fail_capture\", \"kullback_leibler\", \"cast\", \"computes\", \"intelligent\", \"foundation\", \"concentration\", \"sensitivity\", \"formed\", \"singular\", \"widely_used\", \"notably\", \"bounded_rationality\", \"second_order\", \"mathematical\", \"versatility\", \"ever\", \"summarizes\", \"scale\", \"syllogism\", \"able_deal\", \"propositional_logic\", \"achieve\", \"established\", \"tested\", \"considers\", \"multi_tasking\", \"criminal\", \"acute\", \"improve\", \"shown_promising\", \"least_absolute\", \"integrative\", \"gen\", \"lastly\", \"show_superiority\", \"yield_superior\", \"cardinality\", \"marker\", \"truncated\", \"impeded\", \"competitive_performance\", \"decision_tree\", \"laplacian\", \"stochastic_differential\", \"perform_better\", \"desirability\", \"preprocessing_phase\", \"regression_spline\", \"apex\", \"multiple\", \"expense\", \"genetic_programming\", \"multiple_output\", \"phase\", \"scale_linearly\", \"valuation\", \"asserting\", \"kinetics\", \"irregular\", \"waste\", \"carlo\", \"integer\", \"coupling\", \"observables\", \"propositional_logic\", \"streaming\", \"ordinal\", \"autonomously\", \"promise\", \"accordance\", \"cone_programming\", \"enters\", \"best_published\", \"optimized\", \"contribute\", \"direction\", \"sub\", \"effect\", \"analytic\", \"hierarchical_bayesian\", \"key_aspect\", \"lp\", \"intuition\", \"international_planning\", \"dramatic\", \"connectivity\", \"demonstrate_empirically\", \"randomness\", \"hugin\", \"abnormality\", \"pdes\", \"dung\", \"indirect\", \"written\", \"receding\", \"justifiable\", \"orthogonality\", \"multi_armed\", \"axis\", \"pain\", \"asymptotic_property\", \"stored\", \"computability\", \"intended_receiver\", \"expressing\", \"consensus\", \"bandit_problem\", \"taking_account\", \"mid\", \"constitute\", \"re\", \"undirected_graph\", \"structural\", \"calculated\", \"injection\", \"apparently\", \"game_theory\", \"exists\", \"openly\", \"kernel\", \"ascending\", \"series\", \"minimal_change\", \"hitting_set\", \"threefold\", \"thermodynamic\", \"dawids\", \"eeg\", \"younger\", \"vlt\", \"underwater\", \"super_resolution\", \"reveals\", \"sparse_principal\", \"ideal\", \"visual_object\", \"gender\", \"physical_reality\", \"impossibility\", \"vision_task\", \"financial_asset\", \"hash_function\", \"parallelizing\", \"toolbox\", \"gibbs_sampler\", \"rapidly_exploring\", \"listening\", \"time_dependent\", \"regressor\", \"rapidly_advancing\", \"corpus\", \"territory\", \"making_difficult\", \"approximated\", \"superior_performance\", \"comprises\", \"sample_size\", \"reproduce\", \"error_mae\", \"seems\", \"hedging\", \"lateral\", \"sphere\", \"varying_degree\", \"multi_hop\", \"overcomes\", \"epistemic\", \"nonspecific\", \"exhaustively_search\", \"player_game\", \"committing\", \"memoryless\", \"routing\", \"order_magnitude\", \"preserving\", \"quantum_cloning\", \"revisit\", \"increasing_depth\", \"cpu_gpu\", \"death\", \"mae\", \"seamless\", \"non_convexity\", \"three_main\", \"connectome\", \"capillary\", \"simulation\", \"calculate\", \"correctness\", \"generally\", \"integrate\", \"philosophical\", \"degree\", \"refuting\", \"cognitive\", \"modeling\", \"complex\", \"member\", \"automated_theorem\", \"considers\", \"app\", \"life\", \"game_theory\", \"unit\", \"mathematical\", \"building\", \"concrete\", \"ever\", \"developing\", \"artificial_intelligence\", \"moral\", \"induced\", \"want\", \"sharing\", \"time_lagged\", \"bug\", \"picture\", \"value\", \"domain_independent\", \"contour\", \"classification_task\", \"translate\", \"dirichlet_process\", \"healthy\", \"subgroup_discovery\", \"insight_gained\", \"deploying\", \"depends\", \"lecture\", \"rn\", \"conditioning\", \"cognitively\", \"thus_far\", \"samsung\", \"subspace_clustering\", \"outer\", \"student\", \"workplace\", \"committed\", \"multitude\", \"reasoning\", \"satisfactorily\", \"addressing_challenge\", \"assertion\", \"europe\", \"prompted\", \"hidden_behind\", \"great_importance\", \"applies\", \"user\", \"minimized\", \"achieving\", \"run_time\", \"equipping\", \"manifestation\", \"mainly_due\", \"downward\", \"game_engine\", \"missing_data\", \"overwhelming\", \"multicore\", \"focal_length\", \"future_work\", \"exact_recovery\", \"scaled\", \"ordinal_preference\", \"low_cost\", \"would_allow\", \"arrow\", \"intelligent_controller\", \"sound\", \"decreased\", \"bs\", \"blind_source\", \"mixing\", \"eu\", \"condition\", \"gc\", \"interception\", \"whisker\", \"unlabelled\", \"cooperative\", \"hallmark\", \"selfish\", \"deadlock\", \"fashion\", \"pilot\", \"regression_tree\", \"rise\", \"enters\", \"percent\", \"cnns\", \"punishment\", \"net\", \"network_cnn\", \"network_cnns\", \"multi_scale\", \"pooling\", \"tweet\", \"grammatical\", \"twitter\", \"cifar_cifar\", \"row\", \"visible\", \"warehouse\", \"weight_sharing\", \"convolution_neural\", \"skilled\", \"ultimately_lead\", \"photo\", \"cnns_trained\", \"comprising\", \"gaming\", \"convolutional_filter\", \"affinity_propagation\", \"trunk\", \"achieved_great\", \"gained_attention\", \"saliency_prediction\", \"significantly_outperformed\", \"architecture\", \"shop\", \"fcn\", \"wiring\", \"comparison\", \"mixture_component\", \"followed\", \"analytically\", \"able_express\", \"broadly\", \"performed\", \"maximum_entropy\", \"set\", \"quintessential\", \"achieved\", \"choose_appropriate\", \"recover\", \"explorative\", \"metric\", \"initiative\", \"medical_diagnosis\", \"comparative\", \"covariates\", \"anomaly\", \"great_interest\", \"turing_machine\", \"achieve_better\", \"self_play\", \"nested\", \"tensor_decomposition\", \"intelligent_life\", \"formal_definition\", \"mental\", \"treatment_option\", \"hotspot_detection\", \"theory_practice\", \"piecewise_constant\", \"depth_estimation\", \"select\", \"optimal_transport\", \"clique\", \"great_success\", \"sorting\", \"unmeasured\", \"sst\", \"stepped\", \"lifted_inference\", \"pro\", \"deterministic\", \"practical_implementation\", \"triggered\", \"termed\", \"symmetric\", \"expert\", \"quantitative\", \"app\", \"alzheimers_disease\", \"traced_back\", \"non_rigid\", \"dimensionality_reduction\", \"domain_adaptation\", \"demonstrate_effectiveness\", \"scoring_function\", \"tube\", \"triangle\", \"commonly_employed\", \"extra\", \"random_projection\", \"spatio_temporal\", \"gram\", \"little\", \"worst_case\", \"experiment_synthetic\", \"systematic_way\", \"showing\", \"figure\", \"spurious\", \"endogenously\", \"parsing\", \"intermediate\", \"partial_observability\", \"video\", \"domino\", \"rgbd\", \"centrality\", \"highly_efficient\", \"effective\", \"allows\", \"approximated\", \"straightforward\", \"rl\", \"explicit\", \"require\", \"tracking\", \"maze\", \"using\", \"internal_state\", \"end\", \"superintelligent\", \"hr\", \"leverage\", \"proper\", \"unfortunately\", \"practical_interest\", \"causal_inference\", \"deciding\", \"orca\", \"covariate\", \"among_thing\", \"regulates\", \"disappearance\", \"specifically_designed\", \"library\", \"clipping\", \"machine_translation\", \"quantile_regression\", \"configured\", \"asymptotic_behavior\", \"remains_major\", \"stylistic\", \"mdps\", \"achieves_optimal\", \"participates\", \"prohibitively_large\", \"anatomy\", \"variational_lower\", \"pushing\", \"markov_decision\", \"constraint_propagation\", \"rectangle\", \"ng\", \"order\", \"craft\", \"regret\", \"winning\", \"use\", \"remote_sensing\", \"introduce\", \"darwin\", \"certain\", \"high_level\", \"however\", \"furthermore\", \"improve\", \"computing_marginals\", \"hmms\", \"circuit\", \"identity\", \"certain_sense\", \"dominate\", \"consumer\", \"overlap\", \"volume\", \"tarskis\", \"swap\", \"provide_insight\", \"mle\", \"continuous_speech\", \"gradient_descent\", \"weak_classifier\", \"apparent\", \"confidence\", \"spectral_norm\", \"noisy_gate\", \"arabic\", \"solvable_polynomial\", \"paper_concerned\", \"alpha\", \"discrete\", \"weight_bias\", \"ensure\", \"two_stage\", \"precision_medicine\", \"data_set\", \"continuation\", \"includes\", \"relies\", \"vendor\", \"well_known\", \"craft\", \"enough\", \"conveniently\", \"appears\", \"immune\", \"used_guide\", \"cone_programming\", \"causal_relationship\", \"numerous\", \"inference_procedure\", \"module\", \"exception\", \"multimedia\", \"tamper\", \"random_walk\", \"elaborating\", \"engine\", \"containment\", \"enrollment\", \"dc\", \"constraint_programming\", \"genome\", \"novelty\", \"cc\", \"wide_variety\", \"traffic_accident\", \"steganographic\", \"energy\", \"crystal\", \"typology\", \"fluent\", \"mallow_model\", \"scalable\", \"trapped\", \"pointwise\", \"regulation\", \"building_block\", \"abstracted\", \"improve_robustness\", \"dm\", \"joint\", \"defense\", \"mainly\", \"potentially\", \"furthermore\", \"absolute\", \"narrower\", \"shallow\", \"inspire\", \"participant\", \"tropical\", \"initial\", \"old\", \"changing_environment\", \"icmaus\", \"nearest_neighbor\", \"deep_neural\", \"limited_availability\", \"discriminator\", \"formalism\", \"substantially_higher\", \"varying\", \"dispatching\", \"let\", \"non_monotonic\", \"assumed\", \"computational_resource\", \"virus_worm\", \"sana\", \"pairwise_comparison\", \"min_max\", \"requisite\", \"automate\", \"decomposing\", \"hsi\", \"second_step\", \"unmixing\", \"diet\", \"synergetic\", \"instead_choosing\", \"focal_element\", \"highlighting\", \"hardware\", \"probabilistic\", \"uniformly\", \"deteriorates\", \"information_theoretic\", \"provides_valuable\", \"attract\", \"keeping\", \"evidence\", \"implicitly\", \"widely_used\", \"dt\", \"successfully_tested\", \"coding\", \"still\", \"epsilon\", \"regret_bound\", \"credit_assignment\", \"tactical\", \"loop_cutset\", \"concluding\", \"predict_next\", \"recurrent_neural\", \"max\", \"encourage\", \"movie_recommendation\", \"high_speed\", \"kendall_tau\", \"unlikely\", \"rdf_triple\", \"accounting\", \"educator\", \"explicit_implicit\", \"semi_automated\", \"sam\", \"argues\", \"house\", \"rap\", \"latent\", \"genomic\", \"mic\", \"semidefinite_programming\", \"guaranteeing\", \"personalized_recommendation\", \"infinite_dimensional\", \"linear_programming\", \"margin\", \"provides_overview\", \"time\", \"hour\", \"structured\", \"predictor\", \"narrow\", \"briefly_discussed\", \"wavelet_decomposition\", \"image_retrieval\", \"cdc\", \"symmetry_breaking\", \"v\", \"deep_inelastic\", \"peak\", \"occurs\", \"stochastic_optimization\", \"coco\", \"linear_convergence\", \"rna\", \"coordinate_descent\", \"intensive_care\", \"object_detection\", \"sup\", \"parallelism\", \"intensity\", \"directional\", \"perimeter\", \"imprecision\", \"l\", \"plane\", \"sage\", \"frequency_domain\", \"aggressive\", \"component\", \"selection_criterion\", \"combine_idea\", \"japan\", \"innate_immunity\", \"segmented\", \"claimed\", \"accuracy\", \"document\", \"extension\", \"element\", \"modifying\", \"customizable\", \"desirable\", \"day\", \"check\", \"localized\", \"measure\", \"excited\", \"outperform_state\", \"manifesting\", \"sdp\", \"ability_handle\", \"partitioned\", \"critiquing\", \"andor\", \"principled_way\", \"stochastic_blockmodel\", \"co\", \"whenever_possible\", \"dose\", \"shapiro\", \"fisher_information\", \"minority_class\", \"j\", \"spearmans\", \"parallelizable\", \"ignores\", \"ode\", \"per_second\", \"hinge\", \"given\", \"hierarchy\", \"radically\", \"best_published\", \"unit\", \"optimize\", \"sooner\", \"craft\", \"mainly_focus\", \"described\", \"application_ranging\", \"nonmonotonic\", \"consumption\", \"incidence\", \"form\", \"integrated\", \"rollouts\", \"gpu\", \"mcts\", \"landau\", \"convnet\", \"playing\", \"interleaved\", \"congruence\", \"astronomy\", \"mobile_robotics\", \"luminosity\", \"give_detailed\", \"advanced_ligo\", \"represents\", \"reusing\", \"agb\", \"studied_detail\", \"accommodates\", \"rectified_linear\", \"night_sky\", \"stochasticity\", \"rcm\", \"sort\", \"s\", \"specially\", \"percept\", \"locally_linear\", \"indicated\", \"parallel_coordinate\", \"render\", \"adjustable\", \"quantification\", \"nowadays\", \"portrayed\", \"intuitive_notion\", \"standardized\", \"social_psychology\", \"discovering_causal\", \"semimeasures\", \"significant_saving\", \"speed\", \"sfs\", \"almost_linearly\", \"automatically_extract\", \"extensively_evaluated\", \"linear\", \"denser\", \"sems\", \"alternating_direction\", \"disclosed\", \"form_basis\", \"product_service\", \"address_challenge\", \"belief_desire\", \"due_simplicity\", \"remarkable_progress\", \"continuous_valued\", \"change_point\", \"prevents_overfitting\", \"slot_filling\", \"erm\", \"adequately\", \"achieve_goal\", \"subtracting\", \"sun\", \"employment\", \"dlp\", \"fully_bayesian\", \"component_analysis\", \"technique\", \"portfolio\", \"conducted\", \"principle\", \"software\", \"truth_maintenance\", \"allow\", \"reasoning_uncertainty\", \"reconsider\", \"solomonoff\", \"imitator\", \"distinguishing\", \"nonetheless\", \"privacy_preserving\", \"submission\", \"np\", \"programming_asp\", \"syntax_semantics\", \"noticeable\", \"cv\", \"dynamical_system\", \"supply_demand\", \"binary_classifier\", \"prognostic\", \"practical_application\", \"computer_aided\", \"affected\", \"quantifier_elimination\", \"deductive\", \"markov_chain\", \"nice_property\", \"attractive_property\", \"sequential_pattern\", \"resolved\", \"circumvent\", \"mismatch\", \"electromyography\", \"unanimous\", \"calculus\", \"plant\", \"asp\", \"learning\", \"last_decade\", \"prior_knowledge\", \"meet\", \"unknown\", \"established\", \"biggest\", \"sentence\", \"significantly_outperform\", \"self_organize\", \"keyphrase\", \"equi\", \"analyzer\", \"monolingual_corpus\", \"chunk\", \"diophantine_equation\", \"various_aspect\", \"guiding\", \"behave\", \"unstructured_text\", \"brick\", \"batch_normalization\", \"plugging\", \"spectrogram\", \"character_level\", \"stock_price\", \"para\", \"subset\", \"preliminary_experiment\", \"particularly\", \"fisher_vector\", \"hadron\", \"base_learner\", \"ucf\", \"drug\", \"performs_par\", \"extract\", \"negation_failure\", \"idea\", \"paradigm_shift\", \"cooperation\", \"partitioning\", \"linearly_solvable\", \"evaluation\", \"simulator\", \"savage\", \"established\", \"game_theory\", \"vector\", \"relationship\", \"original\", \"increased\", \"molecular\", \"company\", \"improve\", \"suitably\", \"successfully_tested\", \"respectively\", \"potential\", \"diagrammatic\", \"combine_idea\", \"target\", \"movielens_dataset\", \"serve\", \"actuator\", \"current_trend\", \"intent\", \"qualitatively_different\", \"overfitting\", \"sensing_action\", \"level\", \"morphological_computation\", \"stochastic_block\", \"demonstration\", \"latent_factor\", \"approximate_inference\", \"approximating\", \"teaching\", \"statistical_mechanic\", \"connectivity_pattern\", \"con\", \"bluff\", \"bernoulli\", \"clip\", \"timescales\", \"esr\", \"optimised\", \"fly\", \"asymptotic_optimality\", \"engaged\", \"one_another\", \"item\", \"career\", \"dawn\", \"optimally\", \"week\", \"city\", \"bisimulation\", \"finally_demonstrate\", \"recycling\", \"openly\", \"intelligent_agent\", \"information_regarding\", \"numerous\", \"unknown\", \"similar\", \"evolutionary_computation\", \"linearized\", \"forecasting\", \"abc\", \"feature_extracted\", \"detecting\", \"generalizability\", \"large_margin\", \"opposite_direction\", \"passenger\", \"three_different\", \"stock_market\", \"receiver\", \"expressive\", \"angular\", \"catalogue\", \"guess\", \"desktop\", \"nb\", \"laplace\", \"mobility_demand\", \"nrmse\", \"season\", \"offer_substantial\", \"south\", \"convective\", \"sustainability\", \"product_review\", \"traffic_flow\", \"thesis\", \"large_enough\", \"versatility\", \"everywhere\", \"injection\", \"predictive_performance\", \"manage_uncertainty\", \"wastage\", \"nonsmooth\", \"execution_time\", \"summarizes\", \"building\", \"real_datasets\", \"accurate\", \"vibration\", \"consumption\", \"presented\", \"recent_research\", \"asymmetric\", \"insight\", \"face\", \"machine_learning\", \"incorporation\", \"able_reach\", \"aligned\", \"polarization\", \"superior\", \"catalog\", \"feedforward\", \"shock\", \"defection\", \"best_fit\", \"regularisation\", \"commonplace\", \"anisotropy\", \"slack\", \"ner\", \"inefficient\", \"highly_scalable\", \"phenomenological\", \"scatter\", \"channel\", \"agent_acting\", \"uncertain_imprecise\", \"advected\", \"recognised\", \"proceed\", \"nearest_neighbour\", \"perform_extensive\", \"relativistic\", \"knee\", \"weka\", \"previous\", \"turn\", \"normalizing_flow\", \"aid\", \"unknown\", \"promise\", \"multiphoton\", \"aiming\", \"stepping_stone\", \"depth\", \"wrong\", \"aim\", \"reflect\", \"lens\", \"discriminated\", \"precisely\", \"slice\", \"cascaded\", \"generated_according\", \"signaling\", \"previously_developed\", \"poisson_process\", \"submitted\", \"kepler\", \"lymph_node\", \"vr\", \"quality_assessment\", \"asymmetry\", \"manufacturing_process\", \"path_planning\", \"dilution\", \"post\", \"initialize\", \"decomposes\", \"monotonic_transformation\", \"cloud\", \"hierarchical_structure\", \"efficiently_compute\", \"medical\", \"local\", \"subclass\", \"tion\", \"instantaneously\", \"mcmc_sampling\", \"paper\", \"comparing\", \"paper_considers\", \"difference\", \"diverse_range\", \"last\", \"increased\", \"challenge\", \"key\", \"multiphoton\", \"othello\", \"regime\", \"predictive_capability\", \"array\", \"relationship_among\", \"periodic\", \"time_warping\", \"iclp\", \"depending_whether\", \"subconscious\", \"aperiodic\", \"ir\", \"lattice\", \"deluge\", \"espresso\", \"minimum\", \"feature_extraction\", \"conforms\", \"focusing\", \"ca\", \"emission\", \"stl\", \"nmt\", \"fox\", \"filling\", \"went\", \"hera\", \"confined\", \"memorizing\", \"controlling\", \"two_dimensional\", \"replaced\", \"artifical\", \"reveal\", \"distribution\", \"performs_significantly\", \"objective_minimize\", \"becoming_increasingly\", \"requiring_le\", \"fitted\", \"stepwise\", \"incident\", \"reject\", \"programming_interface\", \"phone\", \"granular\", \"persistent\", \"statistical_inference\", \"home\", \"heavy_tailed\", \"energy_management\", \"power_consumption\", \"chatbots\", \"square\", \"lag\", \"discontinuity\", \"transport\", \"rst\", \"partly\", \"listening_test\", \"long_duration\", \"assisted\", \"curriculum_learning\", \"metro\", \"visibility\", \"proportional\", \"assist\", \"substantiated\", \"ionosphere\", \"faster_convergence\", \"remaining\", \"uncertain_environment\", \"respective\", \"sequentially\", \"jet\", \"marginal_likelihood\", \"qcd\", \"uncertainty_management\", \"informed_decision\", \"metropolis_hastings\", \"multivariate_hawkes\", \"afsa\", \"gamma_ray\", \"prove_existence\", \"paradigmatic\", \"hybridization\", \"bidding_policy\", \"observational_data\", \"necessary_sufficient\", \"definable_set\", \"vortex\", \"collider\", \"infers\", \"generalize_well\", \"integrated_luminosity\", \"communicating\", \"electrolyte\", \"causal_structure\", \"minded\", \"seek\", \"mathematician\", \"without_requiring\", \"allen_interval\", \"cybersecurity\", \"partitioning\", \"previously_proposed\", \"distribution\", \"programming_paradigm\", \"multiphoton\", \"conditional_density\", \"twenty_year\", \"acoustic_emission\", \"predictable\", \"sentiment\", \"stationary\", \"assessed\", \"revise\", \"reversible\", \"risk_sensitive\", \"indicating\", \"realized\", \"internet\", \"financial_instrument\", \"cooling\", \"large_amount\", \"actively\", \"raise_question\", \"bring_together\", \"restarting\", \"spatially_distributed\", \"navigate\", \"delayed\", \"lead_improved\", \"plug\", \"mat\", \"tracing\", \"predicting\", \"furnishes\", \"web_server\", \"innate\", \"smoothed\", \"assuming\", \"plan_execution\", \"hypothesis\", \"pressure\", \"cone_programming\", \"emerge\", \"multiphoton\", \"darwinian_evolution\", \"expose\", \"dynamic\", \"constitutes\", \"aid\", \"widely_accepted\", \"constraint_propagation\", \"pattern\", \"camera\", \"availability\", \"emotional_response\", \"shift\", \"biological_organism\", \"conditioned\", \"able_learn\", \"insensitive\", \"homogeneous\", \"mvp\", \"record_linkage\", \"besides\", \"biological\", \"probabilistic_generative\", \"predictive_analytics\", \"overshoot\", \"mutation\", \"svm_classifier\", \"liver\", \"simplification\", \"unforeseen_event\", \"auto\", \"keystroke\", \"eventually\", \"annotation_effort\", \"intangible\", \"shared\", \"reconstructed\", \"province\", \"nature_inspired\", \"log_file\", \"cdna\", \"backtrack\", \"human_perception\", \"microarray\", \"substrate\", \"timing\", \"consortium\", \"ongoing_research\", \"expose\", \"line_research\", \"attention\", \"directed\", \"primary\", \"tensor\", \"jointly\", \"qualitative_preference\", \"leave_one\", \"finite_mixture\", \"attention_paid\", \"reproducing_kernel\", \"subsampling\", \"hold_promise\", \"easy\", \"solely\", \"neutral\", \"nonlinear_manifold\", \"type_ii\", \"duality\", \"iterative_optimization\", \"exam\", \"high_dimension\", \"nonconvex_optimization\", \"tactic\", \"crucial\", \"invokes\", \"deterministic_annealing\", \"divide_conquer\", \"factorizes\", \"dsd\", \"multinomial_logistic\", \"body_motion\", \"complemented\", \"bidding\", \"quantum_gate\", \"instability\", \"hinge_loss\", \"computationally_hard\", \"representer_theorem\", \"posse\", \"immediate\", \"diagnosis\", \"selecting_suitable\", \"lemma\", \"adversarial\", \"appearance\", \"covariance\", \"interplay\", \"imperfect\", \"turbo\", \"pspace_complete\", \"contemporary\", \"prune\", \"interference\", \"answer_query\", \"flexibility\", \"temporal_evolution\", \"boltzmann_machine\", \"cumulant\", \"pretraining\", \"mp\", \"binary_code\", \"higher_order\", \"layer_wise\", \"particle_filter\", \"speech_signal\", \"faithfulness\", \"rapid_mixing\", \"low_dimensional\", \"wmn\", \"wide_applicability\", \"dirty\", \"detected\", \"merger\", \"multi\", \"internal\", \"assuming\", \"small\", \"guideline\", \"never\", \"equivalence\", \"incredibly\", \"law_physic\", \"excels\", \"synthetically_generated\", \"regular\", \"upcoming\", \"older\", \"sat_solver\", \"lebesgue_measure\", \"smallest\", \"explanation\", \"nonexperimental\", \"incorrect\", \"broad\", \"lower_upper\", \"central\", \"structured_prediction\", \"rb\", \"right\", \"secondary\", \"translates\", \"managing_uncertainty\", \"expspace\", \"epistatic\", \"pp\", \"truth_assignment\", \"chain_graph\", \"quickly_accurately\", \"candidate\", \"article_provides\", \"communicating_agent\", \"unit\", \"incentive\", \"paper_address\", \"number_iteration\", \"yang\", \"stigmergy\", \"wormhole\", \"m\", \"astronomical_object\", \"manipulation\", \"childrens\", \"consisted\", \"vascular\", \"gesture_recognition\", \"unrestricted\", \"skin\", \"walk\", \"directory\", \"denotes\", \"path_integral\", \"lds\", \"diameter\", \"self_supervised\", \"tennis\", \"tc\", \"thereby_facilitating\", \"dissemination\", \"smc\", \"indistinguishable\", \"reported_date\", \"peptide\", \"cnf\", \"cr\", \"dead_end\", \"relevance\", \"except\", \"frequently\", \"developer\", \"usage\", \"ease_use\", \"business\", \"crucially\", \"real_world\", \"much\", \"towards\", \"multilingual\", \"wall\", \"evidential\", \"aggregate\", \"dynamic_programming\", \"placing\", \"template\", \"force\", \"draft\", \"take_advantage\", \"rich\", \"cross_disciplinary\", \"clustered\", \"splitting\", \"record\", \"fight\", \"nontraditional\", \"pro_con\", \"slightly_worse\", \"overlapping_group\", \"largely_focused\", \"pf\", \"gravitational\", \"excess_risk\", \"prepositional_phrase\", \"artificial_cosmogenesis\", \"cluster_assignment\", \"admixture\", \"invited\", \"monte_carlo\", \"mean_shift\", \"possibilistic_logic\", \"clustering\", \"assume\", \"indifferent\", \"research_direction\", \"weak\", \"claim\", \"fast_downward\", \"describing\", \"th\", \"print\", \"smooth\", \"generative\", \"hurt\", \"frontier\", \"duration\", \"temporal_correlation\", \"voter\", \"red\", \"fv\", \"bypassing\", \"backdoor_set\", \"temporally\", \"statistical_significance\", \"take_input\", \"powered\", \"intends\", \"ant_colony\", \"synchronization\", \"determinism\", \"rescaled\", \"election\", \"specialized\", \"manipulating\", \"acoustic_token\", \"respecting\", \"speech_enhancement\", \"simplex\", \"dempstershafer\", \"self_regulation\", \"distill\", \"arabic_language\", \"inaccessible\", \"still\", \"weight\", \"weighted\", \"mixture_model\", \"directionality\", \"ell\", \"nuclear\", \"sum_product\", \"primal_dual\", \"evd\", \"forgetting\", \"counting\", \"exceeds\", \"titled\", \"continuous_chance\", \"plausible\", \"sequence_sequence\", \"citation\", \"recently_shown\", \"energized\", \"monotone\", \"adversarial_training\", \"object_localization\", \"geq\", \"demonstrating\", \"central_idea\", \"mvpa\", \"equivalently\", \"fully\", \"presence_absence\", \"summarized\", \"introduce_novel\", \"within_dempster\", \"paid\", \"easily_interpretable\", \"notably\", \"came\", \"latter\", \"acceptance_probability\", \"defeasible_reasoning\", \"rl_agent\", \"evolutionary_algorithm\", \"elaboration\", \"database\", \"motivates\", \"multi_layer\", \"alongside\", \"associating\", \"face_image\", \"controller\", \"generalisation\", \"information_gathering\", \"simulink\", \"takagi_sugeno\", \"ct\", \"flare\", \"nose\", \"considerable_challenge\", \"reach_goal\", \"formula\", \"first_stage\", \"readily\", \"self_concordant\", \"gait\", \"subsequence\", \"collision_avoidance\", \"sleeping\", \"sudoku\", \"qr\", \"extensive_empirical\", \"corroborate\", \"preliminary_experimental\", \"caricature\", \"daunting_task\", \"interdependency\", \"parametrised\", \"strength\", \"heuristic\", \"collision\", \"attribute\", \"maximum\", \"automatic_segmentation\", \"recognizing\", \"dramatically\", \"suspected\", \"branch\", \"tuned\", \"materialized\", \"depth_map\", \"decomposition_svd\", \"lead\", \"sonar\", \"vice_versa\", \"ball\", \"dhcp\", \"slam\", \"null\", \"occluded\", \"localisation\", \"oc\", \"mpe\", \"single_pas\", \"stereo_vision\", \"activity_recognition\", \"sfr\", \"object_tracking\", \"slip\", \"close_relationship\", \"anti_ferromagnetic\", \"offer\", \"task_oriented\", \"billion\", \"composite\", \"video_frame\", \"allocating\", \"boolean_satisfiability\", \"idea\", \"multi\", \"nearby\", \"face\", \"accurate\", \"point_view\", \"strength\", \"subclass\", \"learned\", \"empirically_evaluated\", \"inverse\", \"sparse_representation\", \"full\", \"el\", \"dimension_reduction\", \"marginalisation\", \"elemental\", \"briefly_review\", \"looser\", \"cause_effect\", \"second_contribution\", \"asymmetrically\", \"cp\", \"shown\", \"supervise\", \"purely\", \"riemannian\", \"otherwise\", \"interrelated\", \"retrieved\", \"priority\", \"delineate\", \"fourth\", \"multi_layered\", \"autonomous_vehicle\", \"cone\", \"continuum\", \"merge\", \"subtask\", \"suitably\", \"collected\", \"categorization\", \"address_issue\", \"dispersion\", \"cooperating\", \"total\", \"caption\", \"microarray\", \"characterizes\", \"information_processing\", \"semi_markov\", \"proposal\", \"period\", \"body_part\", \"adding\", \"thermal\", \"graph_dag\", \"bank\", \"pulse\", \"cash\", \"complete\", \"cybernetics\", \"micro\", \"magnet\", \"phys_rev\", \"remedy\", \"mail\", \"fw\", \"macroscopic_quantum\", \"phase_retrieval\", \"ten_thousand\", \"fpgas\", \"ann_trained\", \"document_summarization\", \"abo\", \"whenever\", \"attractor\", \"unitary\", \"warming\", \"magnetic_field\", \"non_uniform\", \"entangled\", \"inter\", \"dealt\", \"hybrid\", \"essentially\", \"firstly\", \"general\", \"successful\", \"steer\", \"labelings\", \"networking\", \"message\", \"split\", \"hospital\", \"stick\", \"formulating\", \"topic_modeling\", \"feasible\", \"linear_subspace\", \"saddle_point\", \"larp\", \"fml\", \"automatically_detecting\", \"android_platform\", \"sponsored\", \"proactively\", \"placement\", \"immense\", \"observational\", \"sequence_labeling\", \"hiding\", \"outstanding\", \"exclusively\", \"xml\", \"resilient\", \"reminiscent\", \"whereby\", \"personalized_medicine\", \"later\", \"strategic_game\", \"tweaking\", \"control\", \"paradigm_shift\", \"hebbs\", \"observer\", \"superintelligent_ai\", \"would\", \"advantage\", \"reasoning_capability\", \"processor\", \"transposition_table\", \"cascade\", \"outlined\", \"nmf\", \"autonomic\", \"diagram\", \"inspection\", \"mod\", \"ai_planning\", \"scaling\", \"neighboring_pixel\", \"mm\", \"exceed\", \"diff\", \"nk\", \"portal\", \"scheduling_problem\", \"brain\", \"asgd\", \"spanning\", \"preferring\", \"time_consuming\", \"accumulated\", \"factoring\", \"search_heuristic\", \"discus_implication\", \"matrix_multiplication\", \"gpus\", \"even_modest\", \"span\", \"natural_extension\", \"obtains\", \"fast_downward\", \"collaborate\", \"corner\", \"robotic\", \"guide\", \"approximated\", \"singular\", \"uniformly\", \"mathematical\", \"still\", \"evolutionary_algorithm\", \"inaccessible\", \"attract\", \"multiphoton\", \"genuine\", \"electrical_engineering\", \"ambient\", \"interleaving\", \"outlier\", \"simultaneous\", \"conditional_random\", \"mwes\", \"cavity\", \"collaborative_filtering\", \"coexisting\", \"well_founded\", \"proxy\", \"fastest\", \"positron\", \"outlier_detection\", \"decrease\", \"graphic\", \"field_crf\", \"new_york\", \"migration\", \"cl\", \"finite_temperature\", \"member_group\", \"dbm\", \"fg\", \"detection\", \"exponential_tail\", \"cause_significant\", \"power_grid\", \"vivo\", \"frank\", \"attention_mechanism\", \"session\", \"nonmonotonic\", \"cone_programming\", \"correction\", \"company\", \"error_bound\", \"directed_cycle\", \"digit_recognition\", \"eliminate\", \"negotiating\", \"non_linear\", \"discrepancy\", \"scored\", \"marginals\", \"post_hoc\", \"large_scale\", \"airspace\", \"critical_phenomenon\", \"arithmetic_circuit\", \"manuscript\", \"maxent\", \"color\", \"cross_sectional\", \"backpropagation\", \"densest_subgraph\", \"rely\", \"angle\", \"nesterov\", \"extends_previous\", \"workload\", \"global_optimization\", \"convergence_guarantee\", \"miss\", \"normality\", \"prominence\", \"good\", \"fraction\", \"primitive\", \"regularly\", \"finding_probable\", \"turing_computable\", \"compactly\", \"subspace\", \"unnormalized\", \"back_door\", \"region_interest\", \"retina\", \"seeding\", \"enclosing\", \"rank_minimization\", \"dnns\", \"low_resolution\", \"constant_fraction\", \"projected\", \"central_region\", \"benign_malignant\", \"mri\", \"infogan\", \"standard_deviation\", \"error_prone\", \"injecting\", \"keypoint\", \"mask\", \"high_resolution\", \"suggestive\", \"inherits\", \"absorber\", \"ubiquity\", \"directionally\", \"geometric\", \"cox\", \"velocity\", \"rotate\", \"oriented\", \"curvature\", \"pairwise\", \"contact\", \"assuming\", \"human_pose\", \"uniformly\", \"partially_ordered\", \"fuzzy\", \"solid\", \"lewis\", \"drive\", \"equality_constraint\", \"reinterpret\", \"boosted\", \"come_expense\", \"colour\", \"success_rate\", \"reduce_computational\", \"semimeasure\", \"gcc\", \"penalization\", \"correspondence\", \"credal_set\", \"reconstruct\", \"somehow\", \"encouraging_result\", \"data_assimilation\", \"major_advantage\", \"fulfil\", \"reduced_rank\", \"straw\", \"offering\", \"recur\", \"ne\", \"improper\", \"node_degree\", \"revision_update\", \"static\", \"obviously\", \"yet\", \"conditional_independence\", \"remains_elusive\", \"creation\", \"dynamic\", \"compressed\", \"mechanism\", \"loopy_belief\", \"dcnn\", \"cut\", \"ground_truth\", \"initial_configuration\", \"doesnt\", \"low_precision\", \"optically\", \"tri\", \"phonetic\", \"electronic\", \"investigating\", \"creative_process\", \"mark\", \"full_fledged\", \"agrees\", \"closely_match\", \"game_played\", \"non_negative\", \"viral\", \"spoken\", \"synchronized\", \"elicit\", \"tunneling\", \"multimedia_application\", \"impacting\", \"attraction\", \"parallel_implementation\", \"exponentially\", \"rt\", \"host\", \"intention\", \"shortly\", \"manipulated\", \"ie\", \"bounded_rationality\", \"member\", \"numerous\", \"multiuser\", \"minimizing\", \"gmm\", \"dec_pomdp\", \"iteratively\", \"research_area\", \"caught\", \"long_period\", \"local_optimum\", \"hamiltonian_cycle\", \"science_engineering\", \"union_subspace\", \"trade_offs\", \"svm\", \"hastie\", \"benchmarked\", \"implemented_prototype\", \"meanwhile\", \"reachability\", \"uninformed\", \"coloring\", \"curse_dimensionality\", \"outstanding_performance\", \"junction_tree\", \"vertex\", \"model_gmm\", \"computationally_intensive\", \"frac\", \"alleviates\", \"aided\", \"display\", \"markov\", \"law\", \"von_neumann\", \"automated_theorem\", \"achieves_better\", \"broadly\", \"round\", \"solved\", \"compilation\", \"ac\", \"computable\", \"able_deal\", \"gain\", \"superior_performance\", \"decade\", \"cone_programming\", \"limited\", \"immune\", \"coming_decade\", \"skin_lesion\", \"incomplete\", \"acquired\", \"reflected\", \"decoder\", \"remains_difficult\", \"entity\", \"verification\", \"fps\", \"pixel\", \"handwritten\", \"markov_blanket\", \"pruning_search\", \"greedy_heuristic\", \"cca\", \"video_sequence\", \"pearl\", \"entrance\", \"intraclass\", \"unification\", \"saving\", \"poor_performance\", \"total_variation\", \"thresholding\", \"numerous_application\", \"cutting_plane\", \"scale_invariance\", \"runtime\", \"anomalous\", \"dependency_among\", \"inductive_inference\", \"appealing\", \"semantic_web\", \"attempt_made\", \"fragment\", \"topology\", \"recovers\", \"many_application\", \"numeric\", \"line_width\", \"ply\", \"stopping_rule\", \"twenty_five\", \"projection_onto\", \"noisy_observation\", \"immediate_consequence\", \"importance_sampling\", \"letter\", \"euclidean_space\", \"om\", \"retained\", \"pulsar\", \"academia_industry\", \"fol\", \"doped\", \"feed\", \"matchings\", \"purity\", \"rely_hand\", \"microwave\", \"ultrahigh\", \"cutoff\", \"relatively_short\", \"stiffness\", \"hmi\", \"mel\", \"upon\", \"mere\", \"taken_account\", \"emerging\", \"sufficient_statistic\", \"conjunction\", \"received\", \"wide\", \"judea_pearl\", \"cone_programming\", \"due\", \"claim\", \"research_direction\", \"polynomial\", \"management_system\", \"lifetime\", \"wikileaks\", \"per_se\", \"nest\", \"user_friendly\", \"centre\", \"wear\", \"metadata\", \"champion\", \"zhang\", \"ongoing_project\", \"producing\", \"jade\", \"click\", \"superlinear\", \"demographic\", \"ultimate_goal\", \"plethora\", \"similarity_metric\", \"computing_paradigm\", \"parametric_family\", \"increasing_number\", \"sir\", \"underpin\", \"fcc\", \"heavier\", \"pressing_need\", \"vietnamese\", \"serving\", \"generalise\", \"basic\", \"precludes\", \"almost_always\", \"learner\", \"lightest\", \"fourier\", \"interaction_among\", \"able_localize\", \"degree_freedom\", \"regularization_parameter\", \"hindi\", \"overlapping\", \"cable\", \"dropout\", \"kmeans\", \"originally_proposed\", \"adoption\", \"executed\", \"augmented\", \"subject_verb\", \"color_image\", \"newswire\", \"deterministic_policy\", \"elastic\", \"goodness\", \"macro_action\", \"synergy\", \"play_key\", \"received_substantial\", \"prioritization\", \"eer\", \"uniform_distribution\", \"recurrence\", \"fundamental_issue\", \"keyboard\", \"principal\", \"neighborhood\", \"antenna\", \"confounders\", \"set\", \"original\", \"linguistic\", \"take_consideration\", \"ask_whether\", \"english_language\", \"decade_ago\", \"conservative\", \"experimentally_evaluated\", \"immune_system\", \"challenge_faced\", \"significantly_improves\", \"cryptography\", \"abundance\", \"treat\", \"realize\", \"wrt\", \"convenient\", \"improving_robustness\", \"search_extraterrestrial\", \"separated\", \"pcanet\", \"update\", \"compact_representation\", \"experimental_evaluation\", \"obscure\", \"exactly\", \"voting_rule\", \"recommend\", \"absorbed\", \"rbf\", \"kalman_filter\", \"obtained\", \"consult\", \"internal\", \"transforming\", \"expert_system\", \"cone_programming\", \"planner\", \"report\", \"description_logic\", \"arrive\", \"completion\", \"dataset_consisting\", \"crude\", \"studied\", \"remains_unchanged\", \"conorm\", \"damaged\", \"adapt\", \"tied\", \"approx\", \"object_detector\", \"alphago\", \"five_year\", \"connected_component\", \"closed\", \"eigenvalue_decomposition\", \"risk_minimization\", \"pairwise_constraint\", \"lossless\", \"model_sbm\", \"mathbbrn\", \"weighting\", \"functionals\", \"fvs\", \"latent_position\", \"blog\", \"job\", \"bird\", \"elementary_particle\", \"clustering\", \"designed\", \"research_direction\", \"cognitive\", \"dag\", \"calculate\", \"corner\", \"oriented\", \"developing\", \"symmetric\", \"one\", \"mathematical\", \"orchestrated\", \"insect\", \"lose\", \"mnist\", \"sampled\", \"convolutional_layer\", \"db\", \"stringent\", \"function_approximator\", \"restricted_boltzmann\", \"network_dnns\", \"gpu_implementation\", \"liquid\", \"sdas\", \"discussed_detail\", \"derive_sufficient\", \"hand_engineered\", \"fault\", \"svd\", \"residual_network\", \"attitude_towards\", \"max_pooling\", \"deep_residual\", \"language_grounding\", \"regularizers\", \"nonzero_entry\", \"bsds\", \"rbm\", \"sutton\", \"nns\", \"spreadsheet\", \"concentration\", \"nearly\", \"opponent\", \"alexnet\", \"ofdm\", \"nevertheless\", \"generalizes_well\", \"predictive_accuracy\", \"create\", \"competed\", \"synthesize\", \"evolve\", \"rare\", \"intra\", \"hash_code\", \"qualitatively\", \"optimistic\", \"rc\", \"field_view\", \"distance_measure\", \"rejected\", \"pairwise_distance\", \"toy\", \"bellman_equation\", \"unlike_traditional\", \"moderately_sized\", \"cassandra\", \"theoretical_finding\", \"grassmann_manifold\", \"logarithmic\", \"coarsely\", \"whereas_latter\", \"computed\", \"rcnn\", \"non_parametric\", \"chance\", \"squeezed_vacuum\", \"prioritize\", \"intervention\", \"look\", \"software_defined\", \"probability\", \"extensively\", \"ascertain\", \"likelihood\", \"quantification\", \"biased\", \"irrelevant\", \"character\", \"application_ranging\", \"effective\", \"perform\", \"improve\", \"kr\", \"dispersion\", \"merit\", \"despite_fact\", \"mdl\", \"founded\", \"side\", \"icet\", \"greedy\", \"merging_operator\", \"infor_mation\", \"flight\", \"remains_challenging\", \"metabolism\", \"wfomc\", \"kinematics\", \"cost_sensitive\", \"c\", \"lying\", \"route\", \"mathematical_formalism\", \"ing\", \"query\", \"accessibility\", \"abductive\", \"tr\", \"stage\", \"representational_power\", \"sda\", \"mcp\", \"maxcut\", \"holonomic\", \"likelihood_estimate\", \"class_imbalance\", \"possibility_necessity\", \"unobservable\", \"phenomenal\", \"clause\", \"travel_time\", \"working\"]}, \"plot.opts\": {\"ylab\": \"PC2\", \"xlab\": \"PC1\"}, \"token.table\": {\"Topic\": [65, 21, 100, 20, 21, 42, 64, 66, 13, 59, 1, 2, 3, 4, 5, 6, 8, 9, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 24, 25, 26, 29, 30, 31, 32, 34, 35, 38, 40, 41, 43, 45, 47, 50, 52, 54, 58, 62, 63, 65, 67, 68, 70, 76, 77, 88, 5, 7, 36, 96, 17, 18, 29, 43, 91, 38, 50, 72, 95, 66, 11, 45, 83, 20, 16, 22, 55, 81, 96, 88, 55, 96, 7, 91, 93, 14, 17, 29, 29, 23, 42, 79, 4, 5, 6, 8, 9, 10, 13, 17, 22, 28, 30, 33, 45, 52, 64, 100, 60, 5, 35, 44, 74, 11, 40, 7, 26, 57, 85, 1, 58, 17, 19, 29, 34, 40, 41, 43, 65, 81, 39, 1, 8, 1, 2, 3, 4, 5, 6, 11, 13, 16, 17, 19, 21, 22, 24, 25, 26, 33, 34, 35, 39, 40, 43, 85, 51, 1, 61, 1, 13, 15, 16, 18, 26, 29, 34, 43, 50, 58, 91, 50, 5, 23, 13, 91, 53, 38, 63, 49, 71, 78, 92, 8, 2, 3, 9, 10, 16, 21, 22, 23, 24, 25, 31, 32, 34, 35, 39, 41, 43, 47, 49, 51, 60, 76, 81, 23, 29, 71, 81, 15, 64, 1, 2, 3, 4, 5, 6, 8, 9, 11, 13, 14, 15, 17, 18, 19, 20, 21, 24, 26, 34, 39, 40, 41, 43, 45, 52, 53, 62, 63, 74, 84, 85, 99, 25, 31, 87, 1, 22, 97, 11, 6, 9, 18, 1, 15, 38, 39, 40, 21, 5, 30, 9, 95, 28, 24, 83, 9, 13, 16, 20, 24, 31, 34, 41, 47, 61, 20, 82, 48, 72, 25, 61, 55, 60, 42, 53, 77, 95, 3, 5, 13, 19, 26, 48, 55, 89, 60, 85, 66, 41, 74, 26, 79, 2, 7, 30, 62, 39, 50, 1, 5, 12, 18, 23, 36, 41, 47, 87, 70, 60, 25, 20, 66, 77, 58, 42, 1, 4, 5, 6, 14, 21, 35, 43, 58, 3, 6, 11, 13, 38, 40, 43, 50, 52, 63, 85, 28, 31, 90, 4, 85, 23, 1, 4, 6, 7, 9, 17, 22, 24, 29, 31, 41, 66, 71, 90, 91, 67, 67, 87, 24, 24, 98, 6, 13, 16, 17, 19, 43, 14, 21, 2, 6, 13, 32, 34, 40, 41, 43, 47, 54, 60, 66, 29, 30, 31, 63, 70, 91, 27, 81, 62, 27, 41, 52, 1, 2, 3, 4, 5, 6, 7, 9, 11, 13, 15, 16, 17, 19, 21, 22, 24, 25, 26, 31, 32, 33, 34, 35, 38, 39, 40, 41, 42, 43, 45, 53, 60, 63, 66, 76, 23, 94, 61, 18, 41, 9, 30, 42, 47, 80, 54, 97, 1, 8, 12, 48, 63, 27, 41, 2, 75, 61, 1, 5, 6, 11, 13, 15, 18, 19, 26, 27, 30, 31, 34, 38, 43, 56, 58, 63, 73, 84, 98, 2, 3, 5, 6, 7, 8, 9, 10, 13, 16, 20, 21, 24, 25, 30, 32, 34, 35, 40, 41, 43, 47, 53, 66, 67, 71, 83, 99, 42, 52, 86, 21, 43, 28, 53, 37, 12, 45, 32, 2, 3, 6, 16, 25, 26, 30, 32, 42, 50, 54, 80, 81, 82, 1, 6, 11, 13, 15, 16, 17, 19, 48, 50, 63, 63, 26, 15, 53, 59, 84, 87, 65, 66, 83, 38, 38, 38, 94, 72, 33, 92, 51, 74, 12, 78, 2, 3, 95, 37, 81, 4, 10, 11, 43, 64, 23, 10, 28, 93, 68, 44, 2, 3, 6, 7, 8, 9, 11, 13, 19, 24, 32, 34, 35, 41, 47, 51, 52, 67, 88, 93, 54, 1, 2, 3, 5, 6, 11, 19, 20, 21, 24, 26, 38, 40, 41, 42, 45, 63, 65, 66, 11, 21, 28, 41, 92, 95, 16, 17, 17, 23, 44, 74, 37, 43, 54, 41, 1, 2, 3, 6, 7, 16, 17, 21, 35, 37, 40, 41, 43, 2, 3, 4, 9, 17, 19, 21, 25, 32, 47, 59, 62, 63, 81, 99, 8, 13, 48, 2, 4, 11, 13, 14, 17, 18, 22, 29, 34, 35, 43, 54, 56, 58, 67, 1, 2, 3, 38, 39, 33, 97, 16, 17, 35, 43, 53, 99, 64, 27, 4, 6, 11, 26, 46, 52, 85, 87, 64, 4, 21, 54, 32, 36, 54, 78, 2, 9, 13, 19, 21, 26, 34, 36, 38, 39, 40, 41, 43, 62, 1, 30, 50, 98, 1, 2, 3, 4, 19, 19, 5, 7, 8, 19, 33, 36, 57, 3, 12, 14, 15, 21, 30, 48, 56, 74, 91, 87, 68, 97, 49, 1, 7, 8, 53, 1, 75, 23, 68, 11, 97, 77, 1, 2, 3, 5, 6, 7, 9, 10, 11, 13, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 29, 30, 31, 32, 34, 35, 41, 45, 47, 54, 65, 66, 67, 70, 72, 34, 51, 71, 90, 46, 16, 99, 8, 85, 96, 19, 62, 70, 1, 5, 12, 13, 44, 23, 48, 71, 18, 33, 27, 29, 69, 75, 1, 15, 17, 69, 18, 19, 80, 9, 77, 56, 11, 17, 19, 40, 63, 71, 74, 88, 99, 37, 31, 31, 60, 76, 60, 24, 1, 4, 5, 6, 7, 11, 14, 15, 16, 26, 32, 38, 41, 43, 58, 63, 65, 67, 82, 67, 53, 64, 45, 2, 3, 13, 16, 17, 21, 34, 39, 43, 53, 73, 82, 97, 99, 7, 21, 36, 1, 4, 6, 16, 59, 93, 7, 72, 23, 52, 92, 15, 1, 4, 5, 9, 15, 41, 72, 86, 88, 73, 13, 19, 31, 32, 34, 98, 5, 6, 9, 28, 43, 55, 56, 64, 76, 85, 9, 12, 90, 62, 83, 81, 5, 5, 95, 28, 20, 26, 1, 2, 3, 5, 6, 11, 13, 16, 17, 19, 31, 32, 34, 41, 43, 60, 63, 65, 72, 72, 40, 40, 3, 56, 16, 17, 47, 91, 5, 81, 84, 61, 33, 11, 3, 35, 85, 1, 2, 3, 7, 8, 9, 19, 38, 40, 48, 72, 9, 12, 28, 82, 13, 17, 44, 88, 72, 17, 28, 11, 6, 14, 10, 15, 22, 2, 3, 4, 6, 9, 12, 16, 17, 20, 21, 24, 25, 32, 34, 39, 41, 47, 55, 62, 99, 45, 10, 5, 27, 66, 88, 78, 3, 31, 87, 58, 72, 37, 81, 43, 43, 45, 12, 31, 83, 63, 4, 5, 6, 7, 11, 13, 18, 32, 40, 50, 52, 94, 32, 1, 35, 54, 94, 30, 57, 63, 4, 18, 13, 3, 42, 39, 20, 3, 4, 7, 16, 23, 26, 34, 45, 98, 69, 63, 8, 25, 2, 3, 19, 21, 25, 34, 38, 39, 45, 51, 53, 99, 61, 43, 1, 11, 34, 39, 94, 95, 99, 6, 6, 14, 21, 35, 43, 91, 91, 88, 64, 72, 34, 92, 66, 44, 59, 3, 4, 17, 58, 35, 35, 24, 1, 4, 9, 15, 26, 30, 2, 16, 21, 24, 25, 35, 51, 99, 16, 32, 1, 73, 70, 12, 7, 63, 11, 41, 81, 62, 74, 41, 72, 72, 19, 31, 35, 88, 28, 38, 97, 13, 64, 22, 18, 21, 49, 97, 64, 4, 1, 3, 5, 12, 14, 19, 21, 22, 23, 25, 35, 39, 41, 42, 83, 94, 99, 73, 83, 74, 35, 18, 81, 91, 24, 89, 16, 34, 4, 5, 6, 11, 13, 14, 16, 17, 19, 20, 21, 25, 40, 43, 47, 53, 58, 85, 90, 43, 85, 38, 81, 7, 16, 25, 63, 17, 58, 82, 31, 71, 2, 75, 43, 1, 50, 53, 91, 10, 49, 98, 48, 1, 4, 6, 8, 11, 13, 16, 17, 22, 26, 27, 29, 30, 40, 47, 50, 65, 67, 85, 55, 12, 5, 7, 76, 78, 22, 100, 68, 95, 2, 47, 97, 11, 13, 26, 45, 48, 86, 97, 42, 2, 62, 8, 3, 9, 28, 41, 63, 68, 79, 93, 72, 18, 1, 18, 75, 40, 22, 36, 38, 40, 47, 36, 8, 52, 82, 38, 23, 39, 67, 69, 39, 11, 13, 44, 29, 9, 18, 33, 64, 12, 19, 10, 24, 2, 80, 43, 44, 16, 29, 43, 46, 99, 42, 85, 67, 9, 83, 99, 43, 58, 73, 79, 66, 65, 38, 82, 6, 9, 11, 12, 14, 22, 24, 25, 27, 32, 34, 35, 37, 41, 60, 65, 90, 99, 91, 53, 1, 4, 15, 17, 20, 25, 44, 51, 53, 54, 79, 99, 70, 82, 86, 86, 55, 92, 13, 58, 58, 72, 42, 75, 79, 9, 88, 52, 17, 94, 18, 2, 17, 34, 39, 41, 99, 1, 4, 5, 8, 9, 20, 53, 54, 11, 75, 2, 8, 10, 12, 20, 25, 31, 32, 41, 67, 96, 94, 99, 61, 9, 24, 56, 66, 2, 11, 16, 19, 25, 32, 40, 41, 63, 92, 99, 63, 37, 79, 82, 69, 9, 59, 41, 23, 37, 16, 42, 11, 76, 13, 19, 36, 1, 3, 5, 7, 8, 9, 13, 15, 17, 20, 21, 23, 25, 46, 47, 50, 68, 71, 91, 63, 50, 54, 8, 38, 62, 79, 18, 41, 64, 90, 86, 2, 8, 11, 17, 18, 19, 23, 30, 32, 44, 55, 59, 73, 77, 83, 85, 90, 93, 58, 79, 97, 40, 100, 1, 3, 17, 21, 41, 9, 27, 88, 1, 3, 4, 5, 7, 8, 31, 40, 60, 65, 71, 48, 13, 26, 39, 88, 27, 40, 27, 24, 2, 100, 19, 94, 20, 27, 18, 9, 64, 53, 51, 81, 97, 43, 90, 5, 67, 1, 36, 77, 77, 77, 97, 15, 76, 50, 50, 59, 3, 99, 58, 1, 3, 6, 11, 13, 19, 21, 22, 45, 56, 60, 86, 33, 2, 9, 12, 16, 19, 21, 24, 34, 38, 39, 40, 41, 43, 47, 58, 63, 76, 88, 97, 48, 7, 26, 47, 85, 86, 82, 91, 4, 19, 25, 26, 36, 57, 30, 70, 28, 80, 80, 87, 95, 91, 89, 39, 1, 14, 16, 21, 35, 30, 40, 53, 58, 63, 72, 78, 6, 89, 26, 59, 91, 7, 4, 5, 8, 19, 28, 50, 81, 48, 47, 1, 2, 3, 4, 5, 6, 8, 9, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 24, 31, 32, 34, 35, 38, 39, 40, 41, 43, 52, 53, 60, 62, 63, 66, 76, 81, 82, 83, 84, 85, 88, 89, 99, 12, 52, 66, 70, 75, 82, 11, 22, 96, 88, 2, 1, 2, 3, 5, 6, 7, 9, 12, 13, 15, 16, 17, 19, 20, 26, 33, 35, 36, 38, 39, 40, 41, 53, 60, 63, 74, 86, 51, 43, 35, 12, 28, 4, 6, 13, 14, 16, 20, 40, 43, 58, 67, 16, 50, 21, 99, 44, 64, 91, 13, 39, 35, 19, 73, 83, 97, 1, 2, 3, 4, 8, 9, 13, 17, 19, 20, 34, 39, 40, 41, 47, 58, 99, 13, 58, 17, 61, 30, 31, 36, 50, 56, 24, 81, 24, 4, 2, 12, 14, 21, 27, 41, 89, 41, 90, 13, 34, 43, 46, 50, 16, 21, 45, 14, 91, 4, 18, 28, 47, 81, 20, 35, 36, 64, 56, 42, 43, 79, 6, 34, 16, 30, 73, 91, 99, 12, 18, 62, 24, 7, 12, 19, 35, 43, 54, 94, 64, 43, 98, 24, 93, 8, 9, 32, 36, 10, 2, 3, 5, 6, 7, 11, 13, 15, 18, 19, 21, 24, 32, 34, 35, 38, 39, 41, 43, 46, 63, 86, 42, 57, 3, 11, 12, 18, 31, 1, 4, 6, 13, 22, 47, 27, 2, 40, 99, 49, 71, 3, 14, 39, 43, 54, 56, 67, 79, 80, 87, 88, 89, 86, 34, 61, 72, 48, 5, 61, 29, 59, 82, 19, 21, 22, 28, 44, 54, 71, 79, 83, 86, 91, 93, 96, 54, 53, 68, 18, 68, 17, 64, 95, 60, 21, 79, 34, 11, 93, 97, 45, 64, 47, 24, 97, 35, 23, 45, 72, 91, 96, 80, 17, 60, 3, 5, 9, 10, 11, 16, 18, 19, 21, 45, 67, 71, 72, 79, 81, 2, 5, 6, 8, 9, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 24, 25, 26, 27, 29, 30, 31, 32, 33, 34, 35, 38, 39, 40, 41, 43, 45, 47, 50, 53, 54, 58, 60, 62, 63, 65, 66, 68, 79, 83, 85, 86, 88, 89, 91, 98, 99, 76, 1, 7, 23, 34, 37, 72, 16, 37, 68, 70, 93, 88, 18, 30, 45, 6, 8, 21, 39, 43, 71, 7, 6, 20, 55, 53, 71, 21, 21, 60, 96, 54, 44, 47, 58, 59, 65, 99, 33, 76, 88, 55, 74, 4, 5, 8, 20, 1, 3, 5, 6, 8, 9, 13, 14, 17, 18, 21, 23, 24, 26, 30, 37, 41, 50, 53, 63, 64, 65, 68, 70, 76, 27, 16, 29, 40, 54, 8, 5, 10, 14, 19, 23, 36, 60, 80, 79, 54, 61, 1, 4, 11, 13, 17, 18, 19, 22, 34, 40, 41, 44, 52, 65, 82, 48, 29, 94, 8, 45, 43, 1, 84, 16, 80, 68, 1, 2, 3, 4, 5, 6, 7, 9, 10, 11, 13, 15, 16, 19, 20, 21, 24, 30, 32, 33, 34, 37, 39, 40, 43, 50, 58, 60, 66, 76, 78, 83, 90, 91, 99, 65, 96, 54, 89, 92, 34, 11, 87, 16, 17, 15, 64, 60, 13, 50, 50, 98, 71, 8, 82, 7, 53, 63, 8, 49, 9, 58, 5, 6, 3, 10, 17, 6, 7, 11, 16, 21, 31, 32, 40, 44, 56, 58, 67, 68, 85, 97, 2, 19, 39, 46, 59, 91, 99, 7, 14, 16, 25, 35, 43, 50, 56, 67, 75, 77, 80, 86, 87, 4, 24, 47, 24, 28, 32, 84, 14, 18, 25, 32, 34, 70, 9, 20, 27, 34, 89, 80, 41, 25, 37, 37, 41, 100, 41, 12, 79, 34, 44, 74, 53, 51, 5, 33, 24, 88, 82, 47, 76, 5, 6, 7, 11, 16, 17, 24, 25, 27, 31, 32, 34, 44, 53, 54, 58, 59, 71, 99, 99, 1, 8, 1, 2, 3, 4, 6, 8, 9, 12, 13, 14, 16, 17, 19, 20, 21, 24, 25, 32, 33, 34, 35, 40, 43, 59, 62, 6, 8, 9, 11, 17, 25, 28, 32, 40, 68, 89, 93, 90, 89, 57, 1, 3, 4, 6, 8, 9, 11, 13, 14, 16, 17, 19, 20, 21, 24, 30, 33, 34, 40, 41, 43, 47, 51, 52, 53, 99, 2, 6, 11, 21, 26, 32, 34, 35, 45, 67, 77, 2, 87, 40, 98, 2, 3, 19, 38, 41, 59, 77, 87, 15, 1, 29, 38, 40, 73, 4, 20, 24, 30, 31, 65, 74, 76, 90, 97, 34, 36, 96, 55, 16, 80, 18, 38, 74, 21, 64, 69, 91, 24, 88, 19, 5, 59, 90, 93, 92, 62, 83, 7, 9, 41, 70, 72, 86, 94, 10, 4, 9, 81, 95, 97, 100, 97, 35, 37, 2, 13, 16, 20, 34, 40, 43, 53, 89, 7, 71, 4, 89, 32, 54, 28, 80, 5, 97, 13, 80, 46, 46, 64, 59, 41, 98, 86, 55, 90, 22, 68, 76, 49, 78, 5, 83, 47, 91, 2, 3, 5, 8, 9, 10, 17, 19, 20, 23, 24, 25, 26, 27, 28, 29, 33, 34, 37, 39, 40, 43, 50, 51, 52, 53, 54, 62, 64, 66, 71, 72, 78, 80, 86, 88, 91, 96, 16, 40, 24, 37, 3, 4, 5, 6, 9, 14, 17, 19, 22, 26, 30, 34, 40, 41, 51, 52, 58, 66, 76, 83, 86, 90, 91, 53, 34, 6, 13, 14, 16, 17, 20, 22, 25, 32, 34, 47, 53, 59, 1, 2, 3, 5, 6, 9, 10, 11, 15, 16, 17, 19, 21, 25, 26, 28, 34, 40, 43, 63, 70, 77, 44, 92, 67, 56, 21, 43, 81, 86, 49, 62, 58, 56, 18, 40, 98, 5, 23, 32, 12, 79, 66, 32, 5, 55, 70, 1, 2, 3, 5, 6, 9, 11, 12, 13, 14, 16, 17, 19, 21, 22, 23, 24, 32, 34, 35, 39, 40, 41, 43, 63, 67, 84, 18, 13, 42, 20, 25, 27, 28, 29, 34, 47, 49, 67, 80, 91, 95, 71, 82, 68, 1, 3, 6, 9, 11, 18, 19, 20, 21, 22, 24, 25, 31, 34, 35, 36, 38, 39, 41, 42, 63, 94, 52, 45, 29, 79, 64, 25, 13, 24, 34, 78, 34, 76, 61, 87, 39, 92, 7, 68, 48, 7, 9, 48, 67, 51, 81, 98, 2, 17, 87, 17, 2, 5, 7, 26, 33, 59, 62, 40, 78, 1, 3, 4, 5, 7, 26, 55, 21, 97, 15, 34, 42, 97, 44, 59, 2, 65, 100, 42, 27, 15, 26, 34, 52, 53, 74, 65, 86, 4, 9, 56, 78, 16, 51, 53, 68, 73, 95, 25, 26, 49, 59, 76, 83, 1, 2, 3, 4, 5, 6, 7, 11, 14, 15, 16, 17, 19, 20, 21, 23, 24, 25, 30, 31, 32, 33, 34, 35, 40, 45, 47, 51, 54, 58, 68, 70, 83, 85, 97, 1, 3, 6, 7, 13, 15, 18, 19, 26, 33, 40, 43, 85, 32, 81, 74, 41, 85, 38, 39, 40, 63, 99, 40, 38, 63, 76, 3, 25, 56, 85, 2, 3, 7, 15, 18, 25, 30, 32, 38, 46, 47, 54, 56, 64, 66, 67, 68, 21, 19, 35, 31, 87, 43, 67, 82, 52, 63, 32, 3, 3, 13, 25, 32, 39, 41, 72, 87, 29, 45, 58, 79, 88, 8, 11, 76, 48, 43, 74, 53, 3, 11, 34, 14, 61, 69, 1, 2, 4, 25, 39, 56, 62, 83, 37, 12, 66, 34, 61, 87, 54, 67, 11, 56, 12, 85, 98, 1, 3, 4, 6, 34, 2, 39, 8, 9, 56, 19, 38, 40, 46, 82, 99, 86, 91, 39, 76, 28, 32, 99, 40, 78, 40, 62, 7, 19, 33, 3, 4, 11, 23, 34, 41, 56, 68, 70, 77, 16, 22, 2, 3, 6, 18, 22, 24, 31, 43, 54, 56, 64, 66, 67, 72, 95, 1, 2, 3, 12, 13, 22, 23, 34, 53, 56, 99, 6, 9, 12, 15, 17, 27, 30, 34, 37, 45, 68, 97, 6, 73, 31, 61, 38, 43, 55, 88, 18, 6, 58, 83, 90, 52, 48, 54, 7, 52, 3, 5, 93, 59, 49, 77, 45, 6, 81, 30, 30, 14, 28, 89, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 30, 31, 32, 34, 35, 37, 39, 40, 41, 43, 45, 47, 48, 53, 56, 63, 66, 78, 83, 85, 95, 63, 30, 73, 45, 56, 78, 91, 73, 16, 3, 6, 11, 15, 18, 23, 27, 48, 60, 93, 61, 45, 78, 1, 2, 3, 4, 5, 6, 8, 9, 11, 12, 13, 14, 15, 16, 19, 20, 21, 22, 24, 25, 28, 29, 31, 32, 34, 39, 40, 41, 43, 45, 51, 54, 55, 59, 63, 70, 71, 89, 77, 62, 18, 26, 25, 7, 52, 6, 65, 76, 20, 13, 79, 73, 13, 14, 26, 35, 36, 41, 42, 59, 63, 2, 24, 25, 13, 40, 57, 46, 95, 45, 1, 3, 4, 8, 13, 14, 15, 19, 20, 21, 39, 40, 52, 99, 28, 4, 8, 38, 67, 99, 25, 1, 5, 6, 7, 11, 15, 16, 27, 30, 31, 47, 50, 58, 68, 70, 71, 88, 91, 13, 18, 25, 35, 97, 82, 55, 2, 5, 14, 15, 20, 24, 27, 28, 31, 40, 42, 52, 58, 64, 79, 90, 93, 95, 13, 78, 86, 70, 35, 62, 31, 90, 1, 30, 1, 2, 3, 9, 21, 22, 24, 28, 36, 39, 51, 58, 82, 84, 97, 98, 82, 40, 97, 90, 4, 26, 87, 42, 79, 34, 23, 12, 20, 24, 71, 76, 24, 93, 68, 72, 39, 22, 17, 34, 82, 61, 1, 4, 12, 36, 43, 1, 3, 6, 8, 13, 14, 15, 17, 18, 20, 26, 63, 88, 40, 19, 20, 57, 30, 89, 53, 52, 79, 55, 3, 3, 18, 46, 69, 5, 6, 8, 11, 20, 24, 26, 47, 77, 83, 7, 21, 64, 13, 19, 55, 96, 18, 26, 40, 6, 44, 54, 55, 42, 54, 3, 24, 66, 83, 2, 44, 49, 82, 92, 92, 13, 18, 26, 6, 1, 8, 38, 40, 5, 36, 41, 43, 47, 49, 58, 67, 1, 7, 31, 30, 75, 47, 2, 6, 34, 56, 79, 87, 89, 35, 63, 5, 18, 26, 94, 49, 75, 10, 16, 17, 34, 35, 47, 79, 99, 79, 33, 61, 17, 87, 47, 27, 28, 88, 5, 10, 13, 14, 16, 19, 20, 22, 24, 25, 32, 34, 35, 36, 43, 45, 51, 53, 89, 68, 64, 26, 83, 21, 22, 36, 51, 54, 79, 95, 1, 2, 3, 4, 5, 6, 8, 9, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 26, 30, 32, 33, 34, 38, 39, 40, 41, 42, 43, 47, 48, 53, 55, 56, 62, 63, 65, 67, 72, 76, 77, 97, 99, 33, 17, 13, 49, 93, 48, 79, 13, 32, 2, 20, 97, 1, 7, 1, 4, 5, 18, 19, 26, 1, 3, 4, 5, 7, 8, 9, 11, 13, 15, 18, 19, 21, 23, 24, 25, 26, 28, 29, 40, 41, 42, 50, 63, 67, 71, 72, 77, 81, 26, 2, 36, 38, 79, 60, 85, 8, 9, 16, 33, 72, 1, 2, 4, 5, 7, 8, 9, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 24, 25, 26, 30, 32, 33, 34, 38, 40, 41, 43, 45, 46, 47, 52, 53, 62, 65, 73, 74, 99, 3, 18, 40, 41, 65, 23, 56, 77, 1, 9, 1, 2, 14, 16, 21, 25, 32, 35, 79, 85, 91, 65, 99, 11, 49, 22, 96, 73, 6, 13, 14, 15, 21, 43, 30, 13, 18, 85, 79, 75, 31, 76, 55, 77, 25, 59, 84, 20, 95, 3, 5, 7, 8, 11, 20, 63, 64, 65, 67, 71, 47, 9, 2, 3, 16, 20, 22, 25, 41, 53, 58, 64, 67, 73, 77, 84, 91, 1, 2, 4, 6, 11, 13, 17, 19, 21, 22, 26, 28, 29, 30, 32, 34, 35, 38, 39, 40, 41, 43, 45, 47, 50, 62, 63, 65, 73, 93, 22, 6, 16, 17, 21, 30, 35, 40, 17, 35, 44, 4, 6, 38, 14, 4, 9, 31, 58, 34, 52, 9, 16, 17, 31, 32, 47, 96, 96, 12, 17, 22, 24, 25, 32, 35, 39, 40, 43, 47, 51, 61, 77, 79, 83, 87, 99, 3, 48, 96, 37, 42, 75, 9, 39, 52, 85, 57, 25, 18, 22, 26, 30, 34, 49, 15, 19, 34, 51, 40, 4, 10, 23, 15, 27, 96, 16, 28, 86, 58, 90, 1, 8, 9, 20, 24, 33, 71, 72, 2, 28, 23, 58, 29, 45, 65, 75, 4, 87, 22, 26, 58, 80, 27, 43, 99, 61, 1, 2, 6, 13, 14, 16, 17, 19, 21, 22, 26, 33, 34, 39, 40, 41, 43, 52, 62, 23, 52, 13, 26, 38, 40, 46, 63, 18, 19, 26, 50, 56, 91, 27, 1, 3, 4, 5, 6, 8, 11, 13, 14, 15, 16, 19, 22, 23, 24, 26, 30, 33, 38, 40, 43, 46, 47, 50, 52, 63, 68, 74, 81, 6, 2, 22, 23, 49, 15, 42, 27, 1, 6, 9, 11, 13, 15, 17, 18, 19, 20, 21, 26, 28, 30, 32, 40, 41, 44, 47, 52, 59, 65, 67, 71, 77, 81, 84, 85, 80, 15, 2, 20, 21, 25, 39, 41, 42, 74, 82, 88, 89, 1, 10, 12, 43, 85, 16, 17, 32, 39, 53, 73, 4, 22, 43, 74, 26, 13, 1, 2, 3, 7, 9, 10, 13, 19, 23, 24, 39, 47, 49, 72, 22, 77, 85, 70, 86, 98, 5, 33, 1, 9, 94, 40, 50, 84, 65, 68, 36, 93, 66, 6, 9, 20, 77, 78, 86, 91, 34, 86, 15, 29, 8, 10, 16, 21, 24, 26, 30, 36, 43, 55, 74, 77, 97, 86, 99, 77, 52, 68, 27, 11, 42, 16, 64, 46, 71, 10, 6, 100, 1, 34, 43, 88, 24, 14, 73, 24, 86, 1, 2, 3, 5, 6, 7, 11, 12, 13, 14, 16, 19, 20, 21, 22, 24, 26, 28, 30, 31, 32, 33, 34, 35, 38, 40, 41, 43, 47, 50, 51, 52, 60, 63, 65, 66, 67, 68, 74, 85, 90, 98, 80, 3, 8, 37, 83, 59, 63, 69, 22, 34, 97, 6, 16, 17, 35, 43, 41, 67, 80, 74, 29, 100, 11, 55, 64, 84, 56, 49, 23, 33, 6, 15, 25, 26, 33, 38, 40, 45, 91, 68, 93, 1, 6, 7, 9, 14, 19, 21, 31, 39, 41, 43, 46, 50, 55, 74, 99, 7, 77, 65, 11, 79, 1, 2, 3, 6, 7, 9, 13, 16, 19, 20, 21, 22, 24, 25, 32, 34, 36, 38, 39, 40, 41, 42, 43, 48, 53, 59, 63, 66, 81, 99, 61, 2, 3, 12, 13, 17, 33, 84, 51, 7, 12, 14, 15, 23, 25, 32, 35, 43, 45, 46, 61, 81, 33, 56, 38, 1, 2, 3, 4, 5, 6, 7, 8, 9, 12, 13, 17, 19, 20, 21, 24, 25, 26, 34, 38, 39, 40, 41, 43, 45, 51, 63, 83, 1, 2, 6, 7, 9, 12, 13, 14, 16, 17, 19, 21, 22, 24, 25, 30, 31, 32, 34, 35, 41, 43, 45, 47, 53, 72, 83, 88, 93, 2, 9, 16, 32, 35, 40, 43, 56, 59, 85, 3, 4, 5, 6, 9, 13, 31, 54, 59, 60, 80, 88, 96, 80, 16, 84, 1, 4, 24, 28, 34, 35, 41, 63, 37, 39, 41, 43, 71, 99, 100, 95, 82, 68, 83, 92, 91, 87, 88, 24, 73, 92, 95, 1, 11, 86, 58, 1, 76, 78, 31, 89, 82, 90, 79, 28, 19, 17, 61, 25, 6, 98, 23, 97, 1, 3, 12, 13, 16, 24, 25, 31, 35, 39, 41, 47, 56, 77, 83, 85, 33, 84, 95, 71, 1, 2, 3, 4, 7, 8, 9, 11, 13, 17, 19, 20, 34, 38, 39, 40, 41, 47, 48, 53, 55, 63, 72, 99, 3, 12, 93, 8, 20, 49, 89, 2, 3, 7, 8, 9, 12, 17, 18, 22, 24, 25, 28, 29, 35, 41, 42, 45, 47, 49, 70, 80, 92, 78, 97, 83, 34, 38, 5, 13, 40, 44, 70, 91, 12, 50, 33, 3, 24, 42, 80, 31, 31, 3, 49, 90, 34, 43, 1, 2, 4, 5, 8, 11, 13, 15, 18, 19, 20, 26, 27, 30, 32, 33, 34, 38, 39, 40, 41, 42, 45, 47, 53, 63, 72, 81, 94, 29, 50, 70, 24, 43, 70, 49, 89, 44, 46, 14, 10, 1, 3, 6, 9, 11, 19, 24, 31, 39, 43, 66, 76, 83, 80, 19, 94, 1, 2, 4, 5, 6, 7, 11, 12, 13, 19, 24, 25, 26, 33, 37, 41, 53, 71, 76, 78, 83, 65, 2, 16, 17, 22, 25, 35, 40, 22, 36, 39, 70, 9, 3, 5, 9, 16, 17, 29, 34, 40, 43, 45, 52, 58, 64, 79, 87, 98, 38, 47, 2, 5, 14, 15, 55, 67, 78, 11, 13, 40, 26, 39, 19, 15, 44, 55, 57, 1, 4, 5, 11, 30, 31, 35, 43, 69, 85, 16, 88, 79, 76, 2, 9, 10, 17, 21, 22, 35, 52, 64, 86, 2, 9, 46, 60, 4, 17, 22, 43, 59, 21, 6, 87, 91, 10, 18, 31, 87, 9, 95, 22, 34, 60, 98, 40, 85, 54, 52, 50, 69, 14, 83, 4, 86, 22, 2, 99, 77, 9, 5, 48, 50, 51, 51, 100, 92, 90, 38, 8, 9, 20, 13, 39, 1, 2, 3, 5, 6, 9, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 24, 25, 26, 32, 34, 40, 41, 43, 97, 34, 36, 17, 22, 51, 53, 57, 65, 1, 17, 19, 26, 47, 52, 85, 23, 1, 2, 3, 4, 5, 6, 7, 9, 11, 12, 13, 14, 18, 19, 20, 23, 24, 25, 31, 35, 37, 38, 39, 41, 43, 47, 48, 49, 55, 58, 60, 62, 73, 74, 84, 85, 86, 88, 90, 91, 93, 99, 63, 1, 7, 63, 14, 49, 91, 98, 11, 11, 4, 25, 92, 15, 40, 53, 16, 42, 10, 17, 41, 56, 63, 77, 8, 1, 27, 3, 99, 46, 91, 37, 38, 40, 43, 18, 48, 94, 69, 19, 38, 84, 47, 40, 3, 68, 3, 1, 3, 8, 13, 17, 18, 21, 39, 40, 41, 53, 74, 17, 74, 80, 84, 3, 26, 48, 63, 84, 45, 28, 67, 38, 51, 59, 4, 73, 4, 8, 9, 14, 20, 24, 53, 19, 47, 88, 57, 74, 3, 56, 52, 30, 66, 2, 18, 95, 59, 44, 73, 12, 7, 71, 26, 11, 33, 12, 46, 8, 93, 54, 73, 100, 69, 72, 41, 2, 3, 6, 9, 18, 21, 22, 23, 25, 26, 31, 34, 35, 41, 42, 45, 54, 63, 71, 72, 91, 99, 4, 13, 17, 20, 22, 27, 28, 34, 53, 64, 88, 84, 20, 32, 90, 51, 24, 19, 57, 65, 57, 13, 40, 53, 53, 56, 45, 32, 6, 5, 6, 13, 16, 21, 25, 40, 91, 1, 72, 46, 88, 78, 2, 3, 11, 13, 14, 16, 17, 25, 51, 56, 83, 93, 70, 33, 26, 5, 71, 100, 68, 56, 52, 63, 81, 7, 46, 23, 4, 6, 13, 16, 20, 22, 28, 33, 54, 8, 37, 52, 90, 59, 17, 12, 1, 39, 40, 38, 43, 36, 58, 1, 11, 29, 62, 5, 73, 93, 84, 2, 22, 29, 30, 37, 40, 53, 54, 64, 85, 91, 97, 96, 1, 2, 3, 5, 6, 7, 8, 9, 11, 13, 14, 15, 16, 19, 21, 22, 25, 34, 39, 40, 41, 43, 52, 97, 90, 44, 74, 1, 3, 4, 6, 11, 16, 21, 43, 62, 66, 91, 20, 48, 56, 68, 93, 46, 58, 89, 1, 2, 3, 4, 5, 6, 8, 9, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 24, 25, 26, 27, 28, 29, 31, 32, 33, 34, 35, 38, 39, 40, 41, 43, 47, 48, 50, 53, 62, 63, 65, 66, 67, 68, 71, 72, 76, 81, 82, 83, 85, 88, 94, 97, 99, 55, 35, 30, 1, 31, 96, 13, 14, 22, 36, 40, 64, 70, 78, 85, 99, 12, 16, 17, 20, 35, 36, 41, 51, 62, 71, 75, 99, 2, 35, 54, 59, 87, 91, 69, 17, 34, 54, 1, 2, 3, 5, 6, 7, 8, 9, 11, 13, 15, 16, 17, 18, 19, 21, 22, 24, 30, 31, 34, 40, 41, 43, 47, 53, 66, 76, 85, 92, 1, 2, 3, 4, 5, 6, 7, 8, 9, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 24, 25, 26, 31, 32, 34, 35, 40, 41, 43, 52, 59, 60, 63, 65, 70, 71, 83, 84, 85, 88, 97, 99, 22, 32, 41, 64, 74, 76, 14, 66, 75, 4, 7, 9, 2, 3, 5, 6, 7, 9, 11, 12, 13, 14, 16, 17, 19, 20, 21, 22, 24, 25, 26, 28, 30, 31, 32, 33, 34, 35, 38, 39, 40, 41, 42, 43, 45, 47, 52, 53, 62, 63, 65, 66, 67, 68, 70, 74, 77, 83, 84, 91, 93, 95, 99, 47, 94, 2, 4, 5, 6, 13, 21, 24, 26, 32, 33, 34, 35, 37, 39, 40, 45, 47, 51, 61, 91, 98, 75, 36, 29, 14, 17, 11, 19, 60, 71, 14, 26, 34, 39, 77, 45, 18, 41, 76, 6, 48, 5, 92, 66, 4, 55, 38, 70, 57, 16, 7, 1, 7, 10, 68, 88, 100, 23, 80, 83, 3, 6, 12, 64, 92, 27, 13, 20, 56, 41, 70, 18, 22, 100, 19, 20, 88, 1, 3, 5, 17, 21, 26, 39, 48, 55, 90, 28, 67, 51, 88, 30, 45, 65, 71, 91, 39, 58, 4, 98, 72, 30, 38, 11, 13, 26, 63, 65, 48, 85, 1, 3, 19, 21, 55, 69, 74, 5, 71, 73, 11, 13, 17, 18, 24, 29, 31, 32, 67, 56, 8, 17, 26, 38, 40, 41, 43, 58, 70, 75, 85, 97, 72, 44, 58, 23, 39, 47, 84, 60, 70, 11, 38, 98, 5, 44, 15, 23, 29, 3, 6, 11, 13, 14, 17, 18, 19, 34, 40, 43, 20, 36, 64, 49, 51, 1, 3, 21, 85, 45, 78, 58, 58, 30, 64, 26, 58, 90, 65, 83, 26, 3, 5, 7, 8, 9, 20, 22, 27, 32, 53, 95, 33, 49, 79, 80, 35, 74, 60, 86, 52, 9, 74, 96, 30, 1, 4, 19, 35, 39, 45, 52, 63, 75, 99, 45, 71, 8, 74, 35, 20, 20, 85, 42, 82, 2, 21, 32, 42, 78, 50, 99, 99, 92, 18, 19, 28, 32, 8, 13, 20, 40, 53, 63, 26, 79, 45, 1, 3, 13, 17, 19, 21, 34, 38, 54, 60, 70, 72, 79, 100, 37, 16, 82, 34, 3, 34, 76, 14, 90, 32, 11, 77, 3, 33, 73, 5, 26, 4, 95, 39, 70, 1, 3, 5, 6, 7, 9, 13, 15, 18, 19, 24, 26, 30, 31, 34, 40, 43, 50, 53, 54, 72, 81, 90, 19, 38, 68, 44, 4, 5, 7, 8, 20, 24, 29, 52, 58, 63, 68, 76, 80, 99, 17, 39, 43, 12, 24, 64, 6, 32, 73, 91, 28, 59, 94, 19, 58, 70, 7, 97, 36, 30, 55, 27, 13, 14, 17, 22, 91, 73, 8, 19, 93, 8, 91, 45, 96, 25, 30, 51, 1, 6, 11, 13, 21, 40, 56, 57, 67, 46, 12, 1, 2, 3, 6, 9, 11, 13, 15, 18, 19, 21, 24, 25, 26, 28, 30, 32, 36, 38, 40, 41, 50, 60, 63, 65, 67, 68, 81, 83, 88, 93, 95, 98, 45, 6, 22, 95, 63, 88, 72, 19, 20, 1, 2, 3, 8, 11, 14, 15, 16, 19, 21, 22, 35, 40, 42, 52, 64, 66, 93, 18, 100, 44, 43, 95, 66, 16, 35, 19, 26, 38, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 16, 17, 19, 20, 21, 22, 24, 25, 26, 29, 30, 31, 32, 33, 34, 35, 38, 39, 40, 41, 43, 46, 47, 49, 50, 51, 53, 54, 58, 62, 63, 65, 71, 79, 81, 83, 85, 88, 90, 98, 99, 19, 30, 14, 4, 27, 24, 5, 10, 11, 14, 16, 17, 21, 24, 25, 35, 38, 39, 40, 41, 43, 62, 71, 80, 82, 83, 99, 41, 41, 11, 16, 43, 58, 13, 26, 10, 24, 35, 84, 19, 5, 33, 69, 23, 60, 28, 98, 39, 65, 44, 10, 71, 40, 65, 65, 87, 77, 1, 3, 4, 5, 7, 8, 10, 12, 14, 18, 19, 23, 25, 31, 53, 71, 83, 84, 4, 5, 6, 14, 19, 26, 32, 38, 40, 43, 48, 58, 63, 67, 84, 6, 17, 20, 44, 53, 62, 84, 1, 9, 44, 57, 64, 97, 16, 84, 47, 1, 5, 85, 14, 17, 54, 77, 78, 79, 68, 38, 40, 50, 91, 75, 74, 76, 81, 5, 17, 22, 60, 61, 80, 88, 90, 99, 71, 5, 34, 22, 36, 5, 7, 8, 13, 30, 63, 81, 86, 88, 91, 95, 1, 2, 8, 21, 38, 48, 62, 6, 40, 56, 87, 44, 73, 75, 48, 20, 10, 93, 43, 14, 74, 67, 56, 93, 64, 30, 11, 53, 5, 15, 52, 19, 74, 82, 1, 89, 4, 53, 32, 1, 5, 6, 9, 23, 26, 45, 47, 54, 60, 67, 71, 86, 94, 51, 79, 4, 32, 95, 11, 21, 24, 41, 63, 95, 99, 100, 27, 5, 7, 8, 9, 15, 17, 18, 31, 40, 42, 60, 65, 72, 86, 8, 41, 6, 16, 21, 79, 91, 56, 9, 18, 72, 93, 32, 61, 41, 58, 24, 57, 84, 34, 65, 49, 63, 20, 1, 38, 96, 1, 5, 15, 19, 20, 33, 84, 8, 98, 10, 46, 69, 37, 24, 52, 9, 72, 8, 30, 16, 38, 7, 24, 25, 34, 63, 67, 91, 81, 59, 29, 60, 34, 27, 36, 26, 72, 99, 17, 31, 18, 1, 3, 5, 6, 7, 9, 10, 11, 13, 19, 20, 21, 25, 32, 34, 42, 45, 63, 84, 85, 89, 97, 23, 24, 69, 91, 30, 63, 35, 19, 9, 24, 99, 57, 21, 22, 90, 82, 98, 97, 49, 74, 28, 90, 43, 88, 29, 16, 75, 45, 3, 60, 31, 100, 67, 76, 1, 5, 14, 20, 21, 26, 27, 29, 33, 40, 43, 44, 45, 47, 53, 56, 64, 65, 76, 53, 6, 9, 11, 13, 67, 86, 95, 83, 47, 83, 24, 70, 83, 18, 83, 18, 34, 43, 45, 55, 49, 5, 36, 59, 3, 89, 16, 21, 35, 39, 26, 7, 8, 46, 4, 55, 9, 62, 65, 14, 4, 20, 94, 39, 75, 30, 3, 49, 59, 3, 5, 7, 11, 13, 20, 21, 28, 29, 43, 58, 67, 68, 69, 70, 75, 80, 84, 90, 94, 98, 78, 76, 1, 67, 87, 1, 2, 3, 5, 6, 9, 11, 13, 14, 15, 16, 17, 19, 21, 22, 24, 25, 32, 34, 35, 36, 37, 38, 39, 43, 44, 46, 47, 97, 93, 32, 13, 18, 34, 57, 70, 82, 22, 87, 90, 44, 19, 3, 7, 91, 92, 62, 53, 99, 11, 5, 11, 33, 85, 88, 6, 71, 93, 81, 97, 1, 5, 6, 7, 11, 13, 14, 15, 17, 19, 22, 24, 25, 26, 29, 31, 34, 35, 40, 41, 43, 44, 47, 52, 53, 54, 58, 60, 66, 67, 68, 76, 85, 97, 99, 100, 2, 15, 70, 8, 27, 85, 85, 57, 13, 98, 100, 87, 25, 14, 16, 21, 34, 51, 62, 95, 98, 11, 26, 36, 2, 81, 8, 9, 10, 14, 17, 20, 29, 34, 45, 47, 50, 54, 69, 84, 85, 88, 16, 21, 32, 6, 11, 13, 14, 15, 16, 18, 24, 26, 28, 29, 31, 34, 41, 45, 50, 56, 63, 67, 1, 2, 4, 6, 8, 10, 19, 20, 21, 24, 26, 32, 33, 34, 37, 38, 39, 40, 41, 43, 52, 56, 63, 77, 86, 94, 97, 10, 40, 11, 16, 30, 32, 58, 67, 83, 100, 60, 100, 53, 10, 28, 43, 9, 77, 15, 23, 85, 91, 59, 4, 24, 34, 67, 90, 67, 72, 51, 18, 38, 18, 1, 2, 3, 4, 13, 17, 19, 20, 21, 26, 34, 39, 40, 41, 43, 45, 53, 61, 62, 99, 93, 11, 1, 4, 6, 7, 11, 14, 16, 17, 21, 24, 25, 31, 34, 37, 40, 47, 52, 54, 76, 77, 86, 90, 86, 10, 68, 47, 51, 19, 93, 82, 4, 74, 100, 2, 11, 20, 25, 34, 38, 39, 40, 41, 53, 62, 63, 82, 85, 99, 84, 100, 94, 2, 2, 3, 5, 9, 38, 41, 56, 82, 51, 69, 34, 46, 70, 57, 83, 32, 34, 35, 45, 72, 82, 37, 93, 45, 98, 40, 18, 86, 10, 35, 31, 13, 56, 42, 35, 70, 46, 3, 12, 49, 34, 24, 91, 25, 68, 21, 59, 43, 28, 13, 62, 4, 8, 19, 33, 54, 83, 87, 49, 22, 7, 49, 11, 17, 22, 27, 29, 34, 43, 54, 65, 46, 50, 65, 79, 54, 85, 32, 98, 34, 33, 33, 60, 65, 85, 91, 97, 2, 13, 17, 21, 24, 25, 51, 59, 73, 1, 3, 5, 18, 20, 47, 65, 99, 1, 11, 18, 27, 41, 45, 50, 60, 85, 94, 31, 59, 55, 32, 38, 63, 21, 7, 22, 33, 8, 38, 63, 79, 67, 77, 3, 48, 33, 39, 45, 63, 64, 41, 27, 9, 19, 80, 7, 15, 18, 19, 24, 26, 29, 31, 33, 35, 46, 64, 87, 91, 35, 30, 34, 60, 64, 57, 64, 74, 81, 25, 88, 13, 15, 14, 1, 3, 7, 12, 14, 43, 49, 52, 58, 64, 71, 76, 10, 2, 1, 4, 6, 13, 28, 30, 33, 47, 59, 60, 63, 74, 81, 84, 45, 47, 13, 80, 82, 50, 27, 1, 4, 6, 8, 9, 11, 13, 15, 16, 19, 20, 21, 22, 24, 25, 31, 35, 38, 41, 43, 46, 48, 58, 63, 64, 65, 73, 82, 83, 84, 7, 10, 22, 30, 91, 49, 20, 77, 55, 90, 73, 1, 4, 5, 6, 7, 9, 11, 13, 18, 24, 27, 28, 30, 49, 50, 56, 66, 67, 68, 70, 71, 76, 80, 85, 88, 98, 44, 44, 6, 39, 31, 48, 91, 43, 70, 15, 18, 20, 24, 41, 72, 13, 34, 72, 79, 86, 36, 33, 10, 58, 48, 55, 32, 1, 3, 5, 6, 8, 9, 10, 11, 13, 19, 20, 26, 30, 34, 40, 41, 62, 76, 81, 85, 98, 3, 11, 26, 33, 64, 67, 94, 1, 2, 3, 5, 6, 12, 16, 17, 19, 21, 22, 24, 25, 29, 32, 33, 34, 35, 40, 41, 42, 43, 80, 90, 33, 72, 71, 20, 65, 26, 28, 89, 22, 29, 8, 27, 44, 81, 56, 66, 44, 98, 14, 31, 70, 6, 7, 47, 63, 70, 97, 3, 97, 13, 63, 22, 16, 5, 11, 87, 7, 9, 95, 97, 85, 6, 42, 63, 2, 24, 85, 66, 94, 51, 87, 50, 29, 34, 50, 50, 98, 26, 30, 84, 42, 23, 73, 75, 38, 40, 98, 86, 33, 95, 43, 1, 4, 5, 38, 53, 79, 62, 60, 85, 40, 85, 68, 98, 29, 89, 54, 93, 43, 12, 47, 6, 27, 25, 87, 56, 90, 99, 52, 16, 83, 73, 13, 17, 34, 39, 40, 41, 62, 75, 73, 2, 5, 8, 17, 18, 21, 22, 28, 37, 38, 41, 49, 52, 58, 59, 67, 68, 74, 80, 85, 86, 22, 18, 65, 47, 77, 98, 27, 31, 38, 32, 34, 87, 66, 93, 80, 17, 32, 35, 43, 79, 89, 29, 62, 55, 2, 3, 11, 13, 15, 19, 22, 32, 36, 42, 55, 60, 62, 65, 79, 41, 81, 76, 93, 5, 7, 54, 64, 75, 90, 92, 6, 40, 58, 97, 79, 36, 81, 5, 19, 38, 69, 96, 33, 44, 70, 21, 25, 34, 84, 70, 34, 12, 84, 89, 96, 1, 8, 13, 40, 85, 26, 34, 89, 81, 81, 38, 81, 29, 58, 10, 27, 59, 66, 98, 38, 81, 15, 65, 89, 33, 26, 16, 56, 75, 93, 4, 2, 3, 4, 14, 26, 32, 34, 39, 41, 46, 59, 97, 99, 64, 7, 9, 94, 3, 5, 6, 7, 9, 13, 15, 18, 19, 20, 25, 26, 32, 33, 34, 38, 41, 48, 72, 81, 94, 19, 10, 9, 5, 13, 45, 64, 92, 21, 1, 2, 3, 4, 5, 6, 7, 8, 9, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 23, 24, 25, 26, 32, 35, 39, 40, 43, 47, 50, 63, 83, 85, 94, 98, 99, 42, 21, 98, 4, 21, 65, 90, 14, 16, 51, 14, 16, 20, 22, 43, 64, 97, 18, 41, 64, 99, 42, 16, 35, 59, 22, 28, 29, 44, 56, 13, 43, 22, 27, 53, 98, 1, 2, 4, 5, 8, 45, 53, 89, 47, 2, 44, 49, 2, 5, 41, 2, 3, 4, 6, 8, 9, 13, 17, 19, 20, 21, 25, 30, 34, 39, 40, 41, 43, 53, 56, 62, 66, 76, 81, 85, 99, 2, 6, 16, 17, 22, 24, 25, 28, 32, 34, 44, 56, 88, 91, 97, 99, 10, 2, 3, 13, 14, 16, 21, 26, 30, 35, 41, 43, 47, 50, 63, 67, 77, 85, 91, 95, 95, 22, 98, 45, 1, 3, 68, 12, 55, 82, 24, 68, 71, 48, 86, 86, 2, 16, 17, 39, 99, 85, 2, 59, 28, 6, 13, 40, 43, 84, 91, 47, 64, 54, 95, 77, 72, 49, 11, 40, 10, 1, 3, 5, 6, 11, 12, 13, 14, 19, 25, 26, 34, 35, 41, 42, 43, 53, 60, 63, 65, 67, 73, 74, 82, 90, 95, 37, 26, 27, 40, 79, 45, 16, 88, 56, 97, 99, 43, 43, 99, 2, 25, 37, 45, 60, 67, 72, 25, 51, 75, 54, 5, 48, 52, 65, 67, 74, 80, 84, 94, 97, 100, 1, 2, 3, 4, 5, 6, 11, 12, 13, 14, 15, 17, 19, 20, 25, 31, 40, 45, 65, 1, 2, 3, 7, 12, 14, 15, 25, 38, 40, 63, 63, 19, 63, 84, 70, 60, 90, 3, 58, 59, 46, 17, 4, 39, 53, 94, 19, 80, 34, 21, 19, 35, 39, 30, 52, 25, 52, 43, 89, 7, 25, 55, 84, 53, 29, 74, 30, 63, 43, 59, 6, 9, 11, 16, 19, 34, 45, 63, 70, 69, 36, 30, 65, 41, 21, 76, 67, 5, 23, 30, 72, 38, 31, 14, 21, 30, 46, 53, 73, 96, 45, 9, 58, 92, 9, 89, 17, 13, 30, 25, 76, 94, 59, 1, 50, 60, 2, 5, 6, 7, 8, 10, 16, 19, 21, 25, 37, 62, 19, 1, 2, 5, 7, 9, 13, 15, 16, 17, 18, 19, 21, 22, 24, 25, 31, 32, 35, 38, 39, 41, 45, 57, 73, 99, 44, 66, 9, 19, 26, 40, 50, 63, 69, 58, 83, 68, 42, 69, 1, 3, 5, 6, 7, 9, 11, 13, 18, 19, 20, 21, 24, 26, 27, 28, 30, 37, 43, 45, 50, 52, 53, 56, 58, 63, 66, 70, 74, 80, 83, 86, 91, 24, 23, 16, 84, 57, 42, 77, 44, 83, 23, 100, 66, 28, 1, 3, 19, 47, 69, 90, 50, 30, 19, 31, 39, 83, 46, 29, 6, 48, 51, 7, 49, 39, 92, 26, 14, 38, 1, 84, 77, 13, 71, 58, 25, 81, 97, 24, 39, 42, 62, 34, 39, 47, 79, 7, 36, 53, 95, 3, 3, 47, 60, 94, 71, 63, 93, 15, 48, 52, 64, 81, 55, 67, 66, 15, 18, 40, 50, 63, 65, 94, 8, 21, 50, 92, 85, 17, 20, 61, 1, 4, 6, 9, 14, 24, 34, 53, 56, 60, 68, 75, 81, 97, 40, 9, 35, 86, 2, 73, 14, 24, 32, 34, 77, 70, 100, 25, 67, 87, 18, 17, 17, 40, 12, 1, 2, 3, 4, 5, 8, 9, 11, 20, 24, 33, 35, 48, 62, 63, 68, 82, 83, 14, 55, 69, 86, 34, 78, 75, 62, 25, 51, 53, 8, 40, 67, 54, 6, 11, 13, 19, 28, 29, 32, 40, 43, 56, 94, 37, 1, 2, 3, 4, 6, 9, 11, 13, 16, 17, 18, 19, 24, 29, 31, 32, 34, 35, 40, 41, 43, 60, 62, 83, 57, 71, 71, 40, 5, 6, 11, 12, 17, 40, 44, 45, 61, 64, 77, 85, 98, 72, 68, 65, 86, 18, 26, 57, 63, 33, 25, 85, 8, 17, 63, 80, 23, 12, 77, 44, 37, 79, 6, 7, 3, 5, 6, 12, 13, 21, 40, 42, 43, 45, 50, 52, 65, 70, 88, 47, 94, 56, 71, 3, 74, 61, 54, 66, 9, 76, 67, 37, 1, 3, 4, 6, 8, 9, 11, 13, 15, 17, 19, 20, 23, 24, 26, 27, 40, 41, 43, 52, 63, 64, 65, 67, 70, 74, 83, 84, 5, 79, 29, 37, 89, 73, 36, 46, 53, 87, 39, 95, 31, 1, 2, 3, 5, 8, 12, 14, 26, 31, 33, 37, 45, 61, 66, 93, 96, 59, 78, 17, 34, 40, 14, 32, 16, 40, 62, 85, 14, 21, 30, 53, 81, 8, 95, 99, 82, 62, 3, 51, 77, 84, 33, 14, 16, 55, 56, 72, 7, 2, 6, 24, 29, 35, 43, 2, 17, 99, 1, 13, 21, 45, 51, 7, 66, 28, 34, 85, 33, 94, 65, 61, 39, 5, 26, 28, 7, 62, 7, 9, 31, 62, 69, 1, 7, 24, 70, 84, 4, 5, 63, 94, 53, 88, 9, 93, 87, 44, 49, 58, 66, 80, 90, 33, 24, 23, 84, 3, 18, 19, 48, 43, 42, 32, 53, 17, 69, 83, 20, 1, 4, 5, 17, 30, 40, 44, 87, 1, 2, 5, 6, 7, 8, 12, 14, 17, 19, 20, 53, 84, 87, 89, 99, 28, 21, 38, 43, 44, 38, 36, 16, 34, 20, 4, 1, 4, 6, 12, 25, 26, 32, 43, 61, 2, 70, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 13, 14, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 34, 35, 39, 40, 41, 45, 47, 49, 53, 54, 60, 63, 72, 86, 93, 1, 54, 43, 1, 2, 3, 6, 11, 13, 16, 17, 18, 21, 22, 25, 45, 3, 57, 41, 56, 1, 2, 3, 7, 9, 12, 13, 14, 16, 17, 20, 21, 22, 25, 32, 35, 40, 43, 47, 53, 72, 86, 74, 92, 21, 74, 10, 4, 19, 8, 93, 83, 50, 82, 93, 17, 1, 53, 43, 25, 48, 91, 19, 70, 80, 25, 35, 40, 73, 99, 64, 67, 8, 9, 12, 16, 17, 20, 24, 28, 32, 34, 41, 60, 81, 86, 99, 62, 53, 1, 10, 20, 36, 45, 51, 76, 80, 87, 28, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 16, 17, 20, 21, 24, 25, 31, 40, 41, 47, 53, 63, 70, 83, 93, 94, 99, 47, 14, 47, 64, 73, 25, 29, 88, 33, 11, 6, 16, 19, 22, 40, 43, 58, 85, 24, 20, 2, 14, 18, 20, 45, 48, 4, 100, 7, 75, 40, 50, 7, 22, 31, 17, 21, 45, 59, 79, 10, 36, 25, 71, 3, 52, 17, 14, 55, 16, 1, 19, 45, 5, 88, 57, 8, 74, 5, 38, 46, 46, 99, 37, 22, 75, 96, 98, 99, 60, 99, 29, 57, 24, 45, 7, 19, 40, 44, 80, 91, 15, 80, 17, 65, 7, 8, 9, 20, 23, 24, 31, 37, 55, 66, 68, 71, 76, 77, 2, 96, 71, 2, 3, 6, 12, 13, 21, 22, 24, 26, 30, 31, 35, 39, 41, 50, 65, 67, 74, 77, 83, 85, 23, 2, 6, 9, 10, 11, 13, 16, 17, 20, 21, 22, 24, 25, 26, 30, 32, 34, 35, 40, 41, 49, 51, 55, 63, 66, 71, 78, 98, 26, 1, 2, 39, 48, 85, 62, 45, 24, 34, 93, 95, 65, 1, 6, 14, 16, 25, 26, 31, 40, 41, 47, 50, 53, 63, 65, 67, 23, 21, 79, 40, 66, 1, 3, 4, 6, 11, 13, 14, 17, 19, 21, 22, 30, 31, 34, 40, 43, 65, 68, 85, 81, 96, 27, 39, 25, 62, 89, 72, 17, 77, 72, 5, 51, 93, 31, 53, 89, 60, 89, 95, 6, 57, 8, 1, 3, 7, 32, 58, 64, 94, 78, 36, 89, 89, 22, 7, 18, 39, 41, 74, 37, 29, 45, 27, 23, 8, 39, 67, 92, 38, 85, 32, 25, 2, 13, 22, 23, 40, 41, 47, 79, 87, 97, 2, 21, 25, 62, 3, 4, 5, 50, 84, 35, 68, 88, 28, 32, 44, 11, 49, 46, 16, 53, 57, 75, 66, 13, 95, 13, 26, 98, 6, 13, 30, 87, 53, 11, 63, 55, 7, 9, 20, 36, 54, 67, 89, 69, 99, 2, 32, 44, 51, 13, 36, 57, 62, 63, 96, 68, 16, 7, 93, 66, 4, 76, 1, 2, 3, 4, 5, 6, 7, 8, 9, 11, 12, 13, 14, 15, 16, 17, 19, 20, 21, 22, 23, 24, 25, 26, 28, 30, 31, 32, 34, 35, 36, 38, 40, 41, 42, 43, 45, 47, 52, 53, 54, 58, 63, 67, 68, 70, 76, 83, 85, 88, 98, 19, 54, 87, 93, 5, 70, 100, 92, 78, 89, 53, 97, 61, 83, 84, 6, 16, 17, 53, 99, 41, 37, 60, 9, 68, 71, 37, 2, 33, 97, 25, 76, 36, 5, 9, 13, 24, 1, 2, 3, 4, 6, 9, 11, 13, 14, 16, 17, 18, 19, 20, 21, 22, 24, 25, 32, 34, 35, 45, 48, 55, 56, 67, 71, 89, 20, 100, 39, 46, 73, 60, 47, 73, 1, 5, 7, 11, 13, 15, 17, 18, 20, 26, 27, 31, 41, 48, 52, 59, 64, 72, 81, 84, 88, 92, 69, 56, 78, 12, 41, 80, 91, 2, 3, 5, 7, 14, 17, 19, 20, 24, 25, 32, 34, 37, 43, 46, 56, 58, 65, 71, 73, 77, 88, 93, 97, 29, 98, 84, 23, 62, 36, 41, 38, 1, 2, 3, 4, 5, 6, 8, 9, 11, 13, 14, 16, 17, 19, 20, 21, 22, 24, 25, 32, 33, 34, 35, 38, 39, 40, 41, 43, 45, 47, 53, 60, 94, 78, 70, 10, 13, 23, 25, 29, 37, 43, 49, 60, 62, 63, 64, 79, 81, 82, 88, 92, 97, 98, 26, 5, 6, 7, 8, 9, 11, 14, 16, 19, 24, 25, 30, 31, 34, 37, 40, 41, 43, 45, 47, 54, 58, 60, 68, 77, 71, 8, 98, 25, 27, 35, 45, 9, 21, 23, 33, 45, 71, 76, 96, 93, 2, 88, 19, 38, 82, 23, 60, 30, 34, 41, 57, 67, 68, 87, 15, 46, 19, 60, 86, 71, 71, 14, 26, 35, 50, 64, 89, 47, 15, 35, 2, 9, 41, 67, 68, 16, 16, 24, 52, 30, 77, 22, 82, 22, 35, 75, 25, 5, 2, 9, 13, 22, 30, 41, 49, 60, 70, 71, 74, 81, 91, 95, 41, 97, 71, 1, 13, 30, 39, 52, 94, 5, 11, 14, 22, 28, 34, 47, 59, 60, 79, 91, 93, 48, 58, 41, 20, 85, 13, 42, 60, 88, 93, 33, 53, 91, 100, 38, 47, 50, 35, 69, 7, 8, 90, 31, 49, 92, 60, 84, 58, 19, 50, 19, 57, 17, 21, 42, 43, 47, 98, 48, 56, 6, 75, 31, 19, 48, 13, 19, 36, 39, 43, 1, 2, 3, 5, 6, 7, 8, 9, 13, 18, 19, 21, 22, 25, 26, 29, 32, 34, 38, 39, 40, 41, 43, 47, 63, 67, 88, 20, 65, 5, 8, 21, 26, 63, 78, 14, 92, 26, 68, 74, 9, 55, 1, 2, 6, 11, 13, 14, 16, 17, 19, 20, 21, 22, 25, 35, 40, 43, 53, 85, 91, 92, 44, 49, 85, 30, 66, 12, 1, 28, 78, 85, 2, 17, 21, 39, 40, 10, 21, 91, 26, 7, 10, 30, 48, 21, 34, 41, 87, 52, 21, 1, 3, 9, 24, 41, 51, 4, 20, 100, 98, 59, 47, 7, 96, 85, 1, 3, 5, 6, 11, 18, 19, 21, 31, 38, 58, 76, 65, 82, 13, 16, 17, 34, 35, 40, 43, 56, 75, 6, 36, 26, 88, 38, 70, 28, 75, 32, 47, 31, 58, 18, 9, 51, 36, 24, 21, 74, 58, 37, 8, 9, 11, 13, 16, 20, 22, 24, 56, 63, 80, 63, 51, 8, 53, 65, 68, 78, 76, 23, 12, 49, 26, 13, 29, 38, 40, 46, 82, 92, 95, 57, 83, 57, 89, 61, 61, 19, 8, 64, 18, 40, 43, 63, 71, 63, 71, 15, 96, 84, 79, 37, 31, 62, 70, 46, 5, 64, 33, 11, 19, 38, 63, 94, 33, 86, 4, 7, 17, 19, 20, 25, 31, 35, 41, 50, 52, 53, 54, 68, 70, 80, 85, 88, 95, 99, 1, 1, 3, 5, 6, 7, 15, 18, 19, 26, 72, 31, 60, 81, 61, 17, 22, 5, 11, 19, 50, 55, 76, 82, 84, 33, 68, 59, 72, 48, 34, 29, 32, 3, 17, 72, 66, 14, 50, 4, 32, 23, 42, 9, 59, 63, 90, 98, 44, 22, 40, 52, 82, 44, 38, 100, 32, 14, 67, 30, 1, 2, 3, 5, 6, 9, 11, 13, 15, 16, 19, 20, 21, 22, 24, 25, 26, 29, 32, 33, 38, 40, 41, 45, 47, 50, 56, 65, 9, 13, 61, 11, 30, 96, 40, 63, 50, 65, 14, 25, 26, 94, 17, 37, 78, 72, 29, 38, 48, 68, 76, 19, 28, 40, 47, 38, 63, 80, 86, 25, 6, 8, 11, 13, 19, 21, 26, 33, 39, 41, 50, 63, 1, 11, 13, 18, 19, 24, 81, 1, 4, 6, 10, 16, 17, 21, 43, 56, 62, 85, 87, 98, 2, 6, 9, 12, 13, 14, 15, 22, 24, 25, 32, 35, 41, 47, 83, 97, 99, 94, 5, 86, 50, 76, 92, 66, 81, 80, 67, 37, 2, 34, 77, 81, 61, 35, 4, 46, 74, 43, 1, 84, 75, 39, 5, 76, 3, 3, 96, 78, 31, 71, 17, 22, 43, 87, 22, 10, 1, 2, 3, 5, 6, 7, 11, 13, 17, 21, 23, 24, 30, 35, 38, 43, 46, 52, 60, 66, 75, 78, 88, 13, 17, 26, 31, 59, 68, 72, 88, 4, 62, 6, 13, 17, 35, 64, 99, 5, 73, 89, 62, 21, 54, 1, 2, 3, 4, 5, 6, 7, 8, 9, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 24, 25, 26, 31, 32, 33, 34, 38, 39, 40, 41, 43, 53, 59, 63, 67, 85, 99, 15, 25, 91, 34, 89, 30, 81, 59, 64, 80, 60, 51, 14, 49, 14, 22, 15, 65, 16, 6, 11, 13, 26, 38, 85, 15, 16, 24, 85, 85, 19, 1, 8, 19, 35, 46, 82, 28, 71, 52, 59, 2, 16, 78, 60, 53, 16, 19, 98, 54, 63, 33, 78, 26, 74, 61, 47, 37, 6, 84, 77, 90, 84, 7, 5, 86, 26, 63, 98, 52, 69, 28, 99, 26, 51, 100, 28, 2, 3, 4, 37, 41, 88, 1, 10, 18, 19, 25, 28, 37, 56, 60, 61, 72, 84, 38, 31, 12, 8, 89, 71, 14, 11, 69, 64, 41, 78, 38, 84, 55, 31, 40, 51, 67, 69, 81, 84, 93, 42, 76, 4, 5, 6, 7, 9, 11, 14, 16, 18, 19, 20, 21, 28, 31, 33, 34, 35, 37, 40, 41, 43, 44, 47, 53, 56, 58, 67, 71, 72, 78, 85, 99, 7, 15, 6, 39, 68, 24, 64, 59, 44, 17, 58, 60, 65, 63, 93, 45, 8, 69, 1, 5, 8, 13, 14, 17, 19, 20, 21, 28, 33, 34, 40, 43, 52, 91, 97, 31, 84, 43, 94, 89, 33, 44, 8, 4, 6, 11, 13, 19, 22, 32, 33, 35, 40, 52, 74, 80, 81, 20, 31, 63, 98, 18, 20, 10, 71, 17, 42, 45, 89, 92, 1, 13, 52, 57, 69, 75, 39, 27, 48, 97, 60, 1, 2, 3, 15, 19, 20, 21, 38, 41, 50, 53, 81, 83, 53, 45, 14, 3, 17, 41, 63, 67, 81, 94, 68, 48, 95, 29, 50, 99, 62, 67, 42, 73, 80, 35, 40, 13, 40, 63, 88, 48, 4, 43, 56, 4, 21, 37, 69, 71, 63, 72, 82, 2, 6, 10, 16, 17, 21, 22, 32, 61, 89, 84, 29, 56, 63, 80, 23, 4, 93, 14, 32, 43, 64, 91, 88, 63, 82, 23, 79, 79, 5, 6, 11, 13, 18, 19, 40, 43, 65, 67, 32, 61, 58, 46, 53, 1, 2, 5, 6, 22, 25, 84, 97, 66, 15, 46, 91, 94, 31, 3, 13, 14, 54, 82, 41, 15, 13, 1, 62, 29, 18, 36, 5, 4, 9, 28, 1, 31, 20, 81, 65, 1, 11, 18, 19, 26, 40, 98, 98, 91, 72, 17, 54, 34, 3, 4, 6, 8, 9, 12, 13, 16, 17, 28, 38, 40, 43, 48, 53, 56, 63, 68, 91, 99, 35, 43, 51, 97, 5, 58, 9, 24, 28, 43, 37, 6, 78, 90, 56, 95, 38, 62, 18, 99, 17, 75, 41, 3, 9, 14, 15, 24, 29, 30, 31, 34, 41, 51, 53, 56, 66, 67, 72, 52, 19, 37, 73, 57, 80, 77, 96, 78, 20, 31, 93, 2, 18, 45, 1, 55, 28, 37, 64, 29, 54, 8, 13, 15, 38, 40, 66, 81, 76, 24, 3, 13, 64, 4, 26, 56, 61, 32, 77, 78, 74, 78, 83, 30, 76, 13, 73, 51, 42, 1, 6, 51, 97, 20, 17, 46, 1, 2, 3, 5, 6, 7, 8, 9, 11, 12, 13, 15, 19, 20, 21, 23, 24, 25, 30, 32, 33, 34, 38, 39, 40, 41, 42, 45, 47, 49, 50, 52, 63, 65, 66, 77, 81, 88, 95, 5, 6, 13, 22, 43, 3, 78, 26, 3, 9, 16, 17, 21, 24, 25, 32, 34, 37, 43, 87, 99, 10, 25, 45, 61, 26, 22, 23, 1, 2, 3, 4, 5, 6, 7, 8, 11, 12, 13, 14, 16, 17, 19, 21, 22, 25, 26, 28, 29, 32, 34, 35, 39, 40, 41, 43, 45, 47, 48, 50, 53, 62, 63, 65, 93, 9, 51, 36, 10, 76, 6, 83, 31, 66, 46, 35, 12, 13, 65, 37, 26, 2, 35, 20, 23, 1, 7, 14, 19, 20, 30, 31, 39, 52, 84, 22, 65, 47, 46, 92, 12, 16, 21, 22, 25, 32, 34, 43, 1, 2, 3, 4, 5, 6, 7, 8, 9, 11, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 24, 25, 26, 27, 31, 32, 34, 35, 39, 40, 41, 42, 48, 53, 54, 58, 62, 63, 65, 67, 82, 93, 99, 48, 16, 97, 3, 20, 57, 85, 46, 48, 41, 97, 68, 19, 64, 63, 72, 17, 67, 79, 15, 1, 5, 6, 9, 14, 17, 18, 19, 26, 30, 40, 41, 58, 63, 76, 83, 90, 99, 46, 1, 7, 84, 11, 16, 34, 90, 92, 6, 76, 82, 4, 92, 20, 9, 33, 1, 2, 3, 8, 9, 12, 16, 17, 21, 25, 29, 34, 37, 41, 43, 76, 77, 78, 81, 88, 89, 93, 98, 99, 100, 52, 71, 29, 1, 3, 8, 14, 17, 18, 26, 35, 40, 43, 50, 52, 80, 81, 91, 28, 55, 65, 40, 15, 11, 40, 33, 19, 50, 25, 96, 98, 48, 75, 15, 10, 4, 69, 41, 85, 23, 55, 24, 99, 100, 6, 96, 51, 35, 90, 5, 3, 7, 9, 13, 15, 21, 23, 26, 37, 43, 66, 70, 74, 98, 43, 52, 42, 33, 26, 51, 38, 55, 67, 75, 39, 44, 50, 75, 62, 52, 23, 29, 81, 41, 90, 74, 24, 91, 88, 51, 30, 66, 4, 78, 84, 50, 93, 71, 16, 18, 40, 50, 13, 1, 3, 16, 21, 25, 32, 38, 68, 71, 26, 54, 73, 6, 55, 88, 63, 94, 50, 93, 62, 79, 11, 13, 40, 70, 66, 12, 20, 32, 44, 2, 16, 17, 32, 45, 70, 14, 38, 10, 13, 39, 41, 94, 99, 9, 40, 2, 4, 6, 8, 11, 14, 15, 16, 17, 18, 19, 20, 21, 25, 32, 33, 34, 35, 39, 40, 41, 42, 53, 59, 62, 63, 94, 99, 94, 1, 3, 6, 11, 13, 18, 19, 22, 26, 40, 43, 19, 9, 13, 46, 24, 68, 20, 7, 45, 79, 72, 53, 92, 13, 38, 35, 95, 7, 16, 31, 40, 56, 85, 88, 91, 91, 12, 1, 4, 30, 47, 59, 75, 83, 14, 1, 2, 4, 6, 7, 8, 9, 11, 13, 14, 16, 17, 18, 20, 21, 22, 26, 34, 40, 41, 43, 47, 52, 53, 62, 69, 85, 94, 12, 3, 8, 9, 16, 18, 22, 24, 29, 40, 49, 62, 64, 66, 71, 26, 49, 17, 32, 41, 13, 25, 41, 99, 57, 51, 56, 88, 67, 100, 76, 36, 63, 75, 96, 36, 86, 93, 32, 32, 35, 1, 4, 5, 20, 30, 33, 85, 15, 9, 76, 2, 4, 14, 18, 20, 42, 53, 35, 54, 25, 1, 4, 5, 7, 23, 24, 40, 48, 53, 94, 27, 16, 3, 5, 8, 9, 10, 14, 16, 19, 21, 22, 24, 26, 34, 39, 41, 45, 50, 52, 53, 63, 64, 73, 80, 82, 83, 86, 38, 2, 4, 5, 6, 7, 9, 13, 15, 17, 22, 24, 32, 34, 35, 39, 43, 46, 50, 56, 58, 63, 71, 86, 16, 2, 9, 11, 16, 17, 21, 24, 34, 41, 46, 50, 52, 58, 100, 15, 58, 9, 26, 2, 12, 71, 44, 48, 14, 44, 16, 22, 32, 17, 53, 9, 18, 22, 27, 31, 32, 34, 81, 93, 63, 56, 47, 76, 1, 23, 33, 1, 39, 63, 16, 17, 88, 54, 90, 13, 92, 2, 3, 20, 23, 11, 13, 17, 22, 34, 40, 43, 59, 65, 2, 3, 6, 11, 13, 15, 17, 18, 21, 23, 26, 32, 34, 40, 41, 56, 65, 66, 67, 93, 99, 91, 20, 19, 5, 23, 26, 41, 11, 16, 22, 27, 31, 65, 67, 86, 90, 81, 52, 74, 81, 1, 2, 4, 6, 9, 11, 13, 14, 15, 16, 17, 19, 20, 21, 22, 24, 25, 30, 32, 34, 40, 41, 44, 45, 49, 50, 60, 78, 81, 88, 97, 92, 94, 90, 16, 22, 20, 56, 69, 50, 4, 8, 38, 46, 24, 33, 46, 40, 19, 63, 18, 86, 1, 15, 19, 38, 44, 63, 46, 20, 54, 27, 81, 91, 70, 78, 96, 23, 67, 34, 30, 76, 77, 1, 3, 4, 5, 8, 13, 14, 18, 20, 21, 26, 34, 40, 53, 57, 97, 48, 50, 31, 83, 29, 48, 65, 11, 30, 40, 44, 23, 31, 58, 22, 77, 54, 24, 37, 94, 71, 27, 41, 64, 79, 54, 50, 79, 25, 97, 18, 66, 6, 2, 4, 5, 6, 11, 13, 14, 16, 17, 18, 19, 21, 25, 26, 34, 38, 39, 40, 42, 53, 63, 67, 88, 89, 92, 97, 99, 86, 1, 26, 54, 68, 100, 83, 59, 99, 84, 36, 90, 49, 27, 6, 1, 13, 26, 34, 36, 51, 93, 74, 55, 2, 6, 7, 30, 40, 56, 60, 68, 71, 89, 98, 1, 13, 17, 22, 28, 29, 35, 43, 47, 56, 58, 80, 94, 13, 38, 3, 7, 20, 53, 39, 26, 50, 90, 79, 88, 1, 70, 74, 10, 40, 8, 27, 29, 12, 53, 100, 87, 48, 32, 76, 16, 52, 11, 60, 84, 88, 49, 45, 67, 96, 7, 88, 84, 32, 76, 18, 26, 13, 56, 89, 44, 5, 46, 13, 94, 41], \"Freq\": [0.9951520970722946, 0.9923412044773394, 0.9819886324483871, 0.9903503319627439, 0.9719083854806438, 0.7344545956247169, 0.14378211660378057, 0.11658009454360586, 0.9545688839336398, 0.9902063928145061, 0.0246899915346219, 0.12779454845817173, 0.1202709888059908, 0.002119312578079133, 0.0022252782069830898, 0.07777877161550419, 0.003708797011638483, 0.045777151686509275, 0.13733145505952782, 0.009113044085740271, 0.00010596562890395666, 0.019285744460520112, 0.08773954073247611, 0.005510212703005746, 0.009748837859164012, 0.02023943512065572, 0.007841456538892792, 0.013775531757514364, 0.030835998011051385, 0.026385441597085207, 0.05955268344402364, 0.0036028313827345263, 0.008159353425604662, 0.0026491407225989164, 0.025855613452565422, 0.012821841097378755, 0.0022252782069830898, 0.05118139876061106, 0.0032849344960226563, 0.018226088171480543, 0.0004238625156158266, 0.0014835188046553932, 0.0029670376093107863, 0.004874418929582006, 0.002437209464791003, 0.00031789688671186997, 0.002119312578079133, 0.0014835188046553932, 0.0010596562890395666, 0.005298281445197833, 0.011762184808339187, 0.0034968657538305696, 0.0008477250312316532, 0.00031789688671186997, 0.0002119312578079133, 0.00031789688671186997, 0.00010596562890395666, 0.23002100025618574, 0.015334733350412383, 0.707953523010705, 0.04344841115950175, 0.11179784742858395, 0.27949461857145985, 0.27644558636886213, 0.1636313948727456, 0.1687131152104085, 0.629627100483797, 0.3675335227704461, 0.9966567371919329, 0.9947384942269116, 0.9991024172226172, 0.9639225861014975, 0.9934674444207305, 0.9885356221299395, 0.9863794044416913, 0.039704791766192495, 0.05459408867851468, 0.804022033265398, 0.09429888044470718, 0.9830874921578207, 0.9795272090341622, 0.9829232012030342, 0.9932632641647801, 0.4931837405747509, 0.5030474153862459, 0.9829143372560645, 0.9389209768798705, 0.05786067644637858, 0.9905641888350388, 0.9946332956296555, 0.993652108622958, 0.28798238747087646, 0.7068658601557877, 0.08066225574021901, 0.27779646819949866, 0.0014468566052057222, 0.13889823409974933, 0.048831410425693125, 0.2257096304120927, 0.052086837787406005, 0.005063998118220028, 0.009766282085138625, 0.07053425950377896, 0.001808570756507153, 0.006510854723425751, 0.05642740760302317, 0.01844742171637296, 0.005787426420822889, 0.9879522951384163, 0.9934291728897877, 0.9978634282330366, 0.19778180761783387, 0.6170792397676417, 0.17800362685605048, 0.17119682921762344, 0.828085403548949, 0.9003124945547977, 0.0969789986096004, 0.9967390682601078, 0.9753396514076457, 0.1433411625451899, 0.8498083208036258, 0.04430327299941043, 0.14368629080889866, 0.009579086053926579, 0.08142223145837592, 0.04909281602637371, 0.11135687537689648, 0.16404184867349267, 0.235884994077942, 0.15925230564652937, 0.9847947557424569, 0.9949946103300746, 0.9969024486812008, 0.36643579567271106, 0.04279736169989589, 0.16467680480177332, 0.16587300435239152, 0.008639218976687058, 0.041866984271637285, 0.014886038852137701, 0.02246196933938635, 0.002791132284775819, 0.009170863221406263, 0.05303151341074056, 0.0295062555819158, 0.003987331835394027, 0.010765795955563873, 0.007443019426068851, 0.0007974663670788054, 0.0031898654683152217, 0.012892372934440687, 0.0002658221223596018, 0.0021265769788768145, 0.0031898654683152217, 0.03083536619371381, 0.002259488040056615, 0.9962797661779046, 0.9908151162382133, 0.9867134598157209, 0.07971848544809575, 0.07672904224379216, 0.09167625826531012, 0.07473608010758977, 0.14448975487467355, 0.07772552331189335, 0.14050383060226876, 0.008968329612910771, 0.04484164806455386, 0.1275495767169532, 0.08569737185670293, 0.04583812913265506, 0.9892588353357894, 0.19846651024239814, 0.7991584812427233, 0.25810256316979346, 0.7341584019051902, 0.9883387283971623, 0.7909311083229223, 0.20484546690377847, 0.9991765374629025, 0.9973394227707612, 0.9833492275168907, 0.9977259355805522, 0.9992386244984753, 0.4161127877991156, 0.10027084428366828, 0.07671729025730326, 0.007851184675455012, 0.004935030367428864, 0.021534677966962318, 0.03432089300984619, 0.0006729586864675724, 0.097579009537798, 0.08053072281395283, 0.03992888206374263, 0.01772124541031274, 0.0069539064268315815, 0.017945564972468596, 0.026918347458702897, 0.010543019421325302, 0.011215978107792873, 0.021758997529118175, 0.00022431956215585746, 0.0004486391243117149, 0.0006729586864675724, 0.002467515183714432, 0.0022431956215585745, 0.9991298586865001, 0.9933895113808971, 0.9896032546619327, 0.9808185280036165, 0.9888715577782985, 0.9982534628562959, 0.13005053253885784, 0.07070054838466015, 0.022797319683216948, 0.08349398094950342, 0.00048095611146027313, 0.051173730259373065, 0.043093667586840474, 0.00048095611146027313, 0.18122426279823092, 0.10273222540791434, 0.03318597169075885, 0.0005771473337523277, 0.036071708359520484, 0.0024047805573013657, 0.06031189637711825, 0.0021162068904252017, 0.06829576782735879, 0.003847648891682185, 0.003559075224806021, 0.02385542312842955, 0.003270501557929857, 0.03616789958181254, 0.003270501557929857, 0.015679169233604906, 0.0006733385560443824, 0.00019238244458410925, 0.005386708448355059, 0.0103886520075419, 0.0025009717795934205, 0.000769529778336437, 9.619122229205462e-05, 0.0003847648891682185, 0.0008657210006284917, 0.8666601693860133, 0.05534095057525145, 0.07726849702959637, 0.9973631234697604, 0.9871165044282414, 0.9804987075495819, 0.9982729079030382, 0.001972615365700771, 0.8600602994455362, 0.1374255371438204, 0.3806479257281608, 0.4853899724050373, 0.06131241756695208, 0.06301554027714519, 0.008515613550965567, 0.9521034551238016, 0.23065835437812748, 0.7678291528292029, 0.8998119525710441, 0.09555525160046485, 0.9933089987716197, 0.9816809469410447, 0.9975539357973124, 0.2895207670699521, 0.024184312285079532, 0.033167056848109076, 0.0324760764971068, 0.09881019019332495, 0.22595057477774308, 0.23078743723475897, 0.062188231590204514, 0.0013819607020045448, 0.995140847475325, 0.10109117765208547, 0.8971842016622585, 0.855509201077241, 0.14090739782448675, 0.9705118261625013, 0.9941022827991605, 0.0604529082229442, 0.9342722179909558, 0.9103246294500218, 0.08222286975677617, 0.9820125833019905, 0.987967015811466, 0.23511772606583584, 0.5454731244727391, 0.008229120412304254, 0.03291648164921702, 0.11638327440258874, 0.055252665625471424, 0.0035267658909875375, 0.0023511772606583585, 0.9928327566227929, 0.999338195011945, 0.9933169784784103, 0.9688460953833293, 0.9988582253421936, 0.9958025420033247, 0.9918598559666345, 0.9946595335007813, 0.9951880334234049, 0.9963362735688632, 0.9904126264177403, 0.9961255843629075, 0.9847522195836022, 0.9991380407246838, 0.5426552313831067, 0.1368434931313921, 0.024773390997924433, 0.09555450813485139, 0.06370300542323426, 0.081398284707466, 0.03303118799723258, 0.020054649855462636, 0.9961066882062148, 0.99391288152686, 0.9937207055693142, 0.9833861244180878, 0.9902342926515203, 0.9970605729260326, 0.988388488113568, 0.9921071563966206, 0.130442176782469, 0.016024146716811925, 0.0669078406772147, 0.1976311428406804, 0.15236995650021162, 0.24963933832507, 0.18582387683881899, 0.0005622507619934008, 0.0002811253809967004, 0.04680665754041393, 0.23626217615637507, 0.07355331899207904, 0.13763386205336, 0.08581220549075887, 0.2813971673560599, 0.02396055088378332, 0.04680665754041393, 0.04067721429107401, 0.012258886498679839, 0.013930552839408908, 0.8139251374654047, 0.1832521508328543, 0.9889707596486741, 0.9963624658964991, 0.9905862980343613, 0.9912508067334982, 0.00283737095959256, 0.34984783931776264, 0.031778554747436676, 0.01929412252522941, 0.22500351709569003, 0.06923185141405847, 0.035750874090866254, 0.013903117702003545, 0.07150174818173251, 0.02979239507572188, 0.022982704772699737, 0.07916264977263243, 0.039439456338336586, 0.009079587070696192, 0.9616378810126173, 0.9977374859004084, 0.9997889170952213, 0.9967632624694024, 0.985029106378211, 0.33397668386983415, 0.6612738340622716, 0.7950779437480078, 0.054592121272048585, 0.1338433469306883, 0.010191479558620035, 0.0002138072634675532, 0.005986603377091489, 0.9953647646810111, 0.943952866941432, 0.14064696080787584, 0.168776352969451, 0.01184395459434744, 0.34939666053324947, 0.0991931197276598, 0.00296098864858686, 0.09623213107907294, 0.05773927864744377, 0.022207414864401448, 0.04885631270168319, 0.00148049432429343, 0.9986462148461411, 0.9945314990399212, 0.08544139684254286, 0.04272069842127143, 0.07594790830448253, 0.7879595486590063, 0.9682853645663196, 0.19377869266795564, 0.8001184729515587, 0.9976952718144769, 0.204230221049578, 0.0981365997251219, 0.6949132196751875, 0.22290882676881693, 0.23822666409549462, 0.11819883429690088, 0.0417239598823683, 0.020690511612900444, 0.11179735004097588, 0.0034293665656741066, 0.007887543101050446, 0.058985104929594634, 0.032921919030471425, 0.0004572488754232142, 0.011545534104436159, 0.005715610942790178, 0.019204452767774997, 0.022290882676881695, 0.0011431221885580356, 0.015203525107821874, 0.010173787478166517, 0.0005715610942790178, 0.008802040851896875, 0.008459104195329463, 0.001028809969702232, 0.008802040851896875, 0.0012574344074138392, 0.0004572488754232142, 0.0006858733131348214, 0.014746276232398658, 0.0012574344074138392, 0.00011431221885580356, 0.005372674286222767, 0.0004572488754232142, 0.0004572488754232142, 0.0013717466262696428, 0.00011431221885580356, 0.003086429909106696, 0.00011431221885580356, 0.3213536020894502, 0.6687629016456125, 0.9985884116789022, 0.831071921070927, 0.16810856523087128, 0.14732270272119952, 0.06932833069232919, 0.7409465342742682, 0.034664165346164594, 0.9966554877767398, 0.9896562100722126, 0.980378589239625, 0.056724231222291116, 0.0029926452923031287, 0.9375141524796892, 0.0009522053202782681, 0.0017683813090882123, 0.9289913418279591, 0.06604203851857529, 0.8977300611249216, 0.09974778456943574, 0.9965965167445835, 0.01544328085683791, 0.17309343960372492, 0.23486656303107656, 0.16665873924670913, 0.10295520571225274, 0.08172069453410061, 0.002573880142806318, 0.01801716099964423, 0.04311249239200583, 0.023164921285256865, 0.01479981082113633, 0.009008580499822115, 0.012869400714031592, 0.03088656171367582, 0.006434700357015796, 0.027669211535167923, 0.001286940071403159, 0.020591041142450545, 0.001286940071403159, 0.004504290249911057, 0.008365110464120534, 0.028889679173599584, 0.03126417335225161, 0.10012450453316021, 0.04313664424551171, 0.21014273481070384, 0.003957490297753368, 0.03086842432247627, 0.18362754981575627, 0.0067277335061807254, 0.08192004916349471, 0.01860020439944083, 0.03997065200730902, 0.028098181114048913, 0.03086842432247627, 0.013059717982586113, 0.0011872470893260103, 0.030472675292700934, 0.004353239327528705, 0.04155364812641036, 0.0023744941786520207, 0.02334919275674487, 0.0011872470893260103, 0.004748988357304041, 0.01741295731011482, 0.004353239327528705, 0.015038463131462797, 0.0007914980595506736, 0.0011872470893260103, 0.9877594741903115, 0.9996287507955566, 0.9993879002454864, 0.8806332293739111, 0.09606907956806301, 0.996203175459237, 0.9898220735406981, 0.9965754778787683, 0.9982178442416413, 0.9969382501770687, 0.9971762443593848, 0.08079385399994095, 0.14334393451602426, 0.04300318035480728, 0.0013031266774184023, 0.08209698067735935, 0.1081595142257274, 0.05994382716124651, 0.023456280193531243, 0.003909380032255207, 0.21110652174178118, 0.10034075416121699, 0.027365660225786452, 0.09512824745154337, 0.019546900161276037, 0.14928423748658542, 0.17603459218071346, 0.17430876284560842, 0.0353795013696532, 0.2545598269279925, 0.0405569893749683, 0.024161610691470475, 0.001725829335105034, 0.010354976010630203, 0.08025106408238408, 0.05350070938825605, 0.9935793373584908, 0.9858875496295958, 0.9898838792946113, 0.9851244664783051, 0.983230167899833, 0.9929458035094086, 0.9906219953544685, 0.9862622654990608, 0.9909634848807937, 0.991144489150222, 0.9975844267033118, 0.9607061570465171, 0.841150445701207, 0.15367171604156668, 0.9802631386382757, 0.99665399760716, 0.9852003751948193, 0.9975214549982296, 0.9934418350481837, 0.9968807779402311, 0.9889327672234447, 0.12841456598849926, 0.20546330558159884, 0.6651874518204262, 0.9945209527388341, 0.9761591827780742, 0.8583435648226845, 0.0016731843368863245, 0.07027374214922563, 0.05856145179102136, 0.010039106021317946, 0.9931446405540604, 0.864315796663251, 0.08168838322122189, 0.05138462815528474, 0.9937139677218519, 0.9923437159057183, 0.02345004992095766, 0.03783985328154532, 0.07567970656309064, 0.019719360160805305, 0.28832902289177487, 0.019719360160805305, 0.015988670400652952, 0.02345004992095766, 0.0852729088034824, 0.03730689760152355, 0.020785271520848837, 0.13377187568546303, 0.01172502496047883, 0.02345004992095766, 0.07727857360315593, 0.09699793376396124, 0.000532955680021765, 0.006395468160261181, 0.00106591136004353, 0.00106591136004353, 0.9879700832737328, 0.01497886139821465, 0.23050803373919213, 0.020803974164187014, 0.07988726079047814, 0.02496476899702442, 0.19805383404306037, 0.024132610030456938, 0.030789881762996782, 0.03495067659583419, 0.01497886139821465, 0.020803974164187014, 0.006657271732539845, 0.005825112765972364, 0.006657271732539845, 0.019139656231052054, 0.18390713161141323, 0.04660090212777891, 0.023300451063889456, 0.01331454346507969, 0.060978361733032005, 0.20600797882781083, 0.06262642556365448, 0.023072893628714813, 0.5339726811216856, 0.10877221282108411, 0.9171993847951794, 0.07772876142332029, 0.9987257190976323, 0.8555057854480437, 0.14032105475602832, 0.997923923333109, 0.2704716346650893, 0.13523581733254464, 0.5920323558780288, 0.9468065906380235, 0.5584908279889471, 0.09543830604874413, 0.015022696322487502, 0.07953192170728678, 0.006627660142273898, 0.004860284104334192, 0.001767376037939706, 0.07953192170728678, 0.0013255320284547795, 0.06981135349861839, 0.0030929080663944855, 0.04064964887261324, 0.0433007129295228, 0.4742089419468664, 0.0254608827890935, 0.003978262935795859, 0.019891314678979297, 0.023073925027615984, 0.01670870433034261, 0.0015913051743183437, 0.2092566304228622, 0.007956525871591718, 0.0007956525871591719, 0.11696093031239826, 0.01670870433034261, 0.01273044139454675, 0.023073925027615984, 0.04614785005523197, 0.18551786918936422, 0.12058661497308674, 0.6910540627303817, 0.2639905103282084, 0.07823559825951873, 0.04501824927782362, 0.04414410851514743, 0.010489689152114243, 0.10096325808909959, 0.027535434024299886, 0.2657387918535608, 0.03059492669366654, 0.0668717683447283, 0.02316473021091895, 0.003059492669366654, 0.00043707038133809344, 0.003059492669366654, 0.00043707038133809344, 0.03627684165106176, 0.22879022961446946, 0.6653433289199935, 0.047703861318791986, 0.05680525591250887, 0.0006276823857735787, 0.9928051777833778, 0.9858547093844718, 0.13458823457602756, 0.09263865496791507, 0.06642016771284477, 0.6274957949713492, 0.057680671961154666, 0.019226890653718222, 0.992889438764394, 0.9975346712558758, 0.00686301982937482, 0.15398900742159752, 0.020160120748788535, 0.13125525423679343, 0.0947954613932397, 0.4152126996771766, 0.12138966323206714, 0.055762036113670414, 0.9946854362914602, 0.9945319488482038, 0.9672702320872106, 0.9831589889533117, 0.2541588914002273, 0.18154206528587666, 0.0022692758160734582, 0.5582418507540707, 0.12513682460381687, 0.14878472846595547, 0.03941317310356437, 0.08769431015543071, 0.008867963948301982, 0.004926646637945546, 0.0039413173103564365, 0.07192904091400497, 0.027589221172495057, 0.2611122718111139, 0.04729580772427724, 0.11528353132792576, 0.005911975965534655, 0.050251795707044564, 0.24170848766634792, 0.0006316423893719894, 0.7550232027626512, 0.0025265695574879575, 0.12402412771061552, 0.09525053008175273, 0.650547891218082, 0.09260468202392626, 0.03737260381679881, 0.994454405256046, 0.43441450118609215, 0.14381640482952537, 0.3217336066804846, 0.025204936928885893, 0.07413216743789969, 0.9937653555948605, 0.9939094697601127, 0.17649508890195265, 0.5480636971165898, 0.003377896438314883, 0.05742423945135301, 0.0810695145195572, 0.033778964383148834, 0.03209001616399139, 0.03631238671188499, 0.003377896438314883, 0.025334223287361624, 0.9963000054502619, 0.9986690359348658, 0.9973215376112177, 0.9904586834476892, 0.19165154177104893, 0.6873020808341065, 0.11234745552095972, 0.006608673854174101, 0.3807183982357689, 0.6167008764810803, 0.330388055704946, 0.665181285485958, 0.8620028401070973, 0.13321862074382412, 0.9801179330172874, 0.01345696391904732, 0.002366059590162166, 0.302559870091987, 0.015527266060439214, 0.024547868247932474, 0.03549089385243249, 0.1571950840213989, 0.022181808657770308, 0.041406042827837906, 0.00576727025102028, 0.050130887566560894, 0.0004436361731554061, 0.03874422578890547, 0.007393936219256768, 0.00828120856556758, 0.016414538406750025, 0.06122179189544605, 0.0038448468340135196, 0.04081452793029736, 0.04909573649586495, 0.0034012106608581135, 0.002366059590162166, 0.01345696391904732, 0.0062109064241756854, 0.006506663872945956, 0.02040726396514868, 0.0048799979047094676, 0.009020602187493258, 0.014787872438513537, 0.0050278766290946025, 0.0005915148975405415, 0.001626665968236489, 0.00014787872438513537, 0.0008872723463108122, 0.013161206470277048, 0.6168504124059072, 0.12337008248118145, 0.20878013958353783, 0.04745003172353133, 0.9994994739480847, 0.23103741540884537, 0.7464285728593466, 0.9946148914168337, 0.9801732749188558, 0.9982144807800337, 0.13642492589949856, 0.7768641613721446, 0.0795812067747075, 0.12241362279402532, 0.2142238398895443, 0.6601591800677794, 0.9722762691909249, 0.9825325294912873, 0.29226915863923736, 0.7056588695073479, 0.9952320390342114, 0.9994850774874676, 0.9997280074403151, 0.09425971210186337, 0.2302112199410894, 0.5836851403230771, 0.09063433855948401, 0.29344712999739764, 0.6569351387596063, 0.0470964529625453, 0.9887938520289538, 0.9993243353009164, 0.9988337099479043, 0.9967810382278989, 0.11831770466876285, 0.8794949380378039, 0.9941380643967869, 0.00537343269767469, 0.015293616139535657, 0.007026796604651518, 0.030587232279071314, 0.01736032102325669, 0.7684008757674807, 0.054974349906979525, 0.08638826413953925, 0.014053593209303036, 0.9974918691801706, 0.9817507447965362, 0.9903720079649461, 0.006830151779068594, 0.9962619260782583, 0.9971513363691146, 0.9862065976474889, 0.038462847435629284, 0.022929774432778997, 0.060652951725415405, 0.1952729177501179, 0.13535963616769536, 0.11242986173491637, 0.08802074701615163, 0.040681857864607894, 0.0355041668636578, 0.02662812514774335, 0.043640538436579376, 0.011834722287885933, 0.022190104289786124, 0.028107465433729092, 0.010355382001900192, 0.011834722287885933, 0.11538854230688785, 0.0014793402859857416, 0.9898782880743885, 0.983251511912752, 0.9841306728296382, 0.9809201347021831, 0.986451538998335, 0.5230405118171078, 0.03658788953887558, 0.039914061315137, 0.009146972384718895, 0.04240869014733306, 0.2103803648485346, 0.024946288321960625, 0.06236572080490156, 0.00415771472032677, 0.0033261717762614164, 0.019125487713503145, 0.012473144160980313, 0.004989257664392125, 0.005820800608457479, 0.99312828074595, 0.994510166147033, 0.9975780390792489, 0.9971556138359687, 0.1887612280336544, 0.13685189032439943, 0.58987883760517, 0.028314184205048158, 0.05190933770925496, 0.9972888607845151, 0.0020896571205542484, 0.993338964071168, 0.2613847372171377, 0.7318772642079856, 0.9974028396562596, 0.0033962368884618882, 0.0004851766983516983, 0.8835067676984426, 0.018436714537364535, 0.023773658219233218, 0.0009703533967033966, 0.06840991446758946, 0.7592210615562751, 0.23360648047885388, 0.9980102891003773, 0.20198202446209973, 0.30897790769067146, 0.006550768360932963, 0.0021835894536443214, 0.47929788507492854, 0.9864177882663453, 0.10031196642271457, 0.16065588372387882, 0.005485810663742203, 0.11676939841394118, 0.03369855122013068, 0.01018793408980695, 0.4655102191804098, 0.004702123426064746, 0.01018793408980695, 0.09169140680826254, 0.14518564246839671, 0.8514276660011062, 0.973993873123398, 0.9881154768993726, 0.9878699950380682, 0.9993975681650524, 0.9945213886971463, 0.9979441940888256, 0.9895320092885468, 0.9860758460965025, 0.8189781991059463, 0.17311734290044392, 0.0027167103819535506, 0.04378697909736899, 0.6181315151409608, 0.0003196129861121824, 0.01981600513895531, 0.028605362257040325, 0.0012784519444487296, 0.05033904531266873, 0.0020774844097291856, 0.1089880282642542, 0.013104132430599479, 0.02924458822926469, 0.061525499826595116, 0.0003196129861121824, 0.01198548697920684, 0.002397097395841368, 0.004314775312514463, 0.0001598064930560912, 0.0009588389583365472, 0.986783446149189, 0.9469013989816396, 0.9249279028590931, 0.9983027996822346, 0.9922690494472947, 0.30563687939575834, 0.10347795692546975, 0.10690437934022042, 0.48312556047984223, 0.9961686849534961, 0.9984598452658359, 0.988619551831679, 0.9963537544777833, 0.9971786611504003, 0.9667202693510366, 0.9827350414694451, 0.9929249367663147, 0.9905461004925136, 0.18792323331813163, 0.0029694416099930506, 0.4246301502290062, 0.11792925251115258, 0.1620466707167636, 0.03690591715277077, 0.019513473437097188, 0.042420594428472146, 0.0033936475542777718, 0.0016968237771388859, 0.00042420594428472147, 0.5498831825124396, 0.30530827446959335, 0.1428054832196485, 0.9860457595555274, 0.3294913409048289, 0.32306224157010055, 0.29332765714698184, 0.05304006951150904, 0.9976446058719526, 0.9864726829177634, 0.9959486849118108, 0.9713962604868894, 0.13018482816419907, 0.8688221510816405, 0.999410260572638, 0.9906268231609616, 0.9809081021400424, 0.18008937412186066, 0.11887322323458786, 0.02064265553175478, 0.005694525663932352, 0.08328243783501066, 0.061216150887272794, 0.049115283851416544, 0.06335159801124743, 0.000711815707991544, 0.03986167964752647, 0.008541788495898529, 0.001423631415983088, 0.034167153983594115, 0.14734585155424962, 0.05267436239137426, 0.04697983672744191, 0.06548704513522205, 0.0071181570799154405, 0.001423631415983088, 0.011389051327864705, 0.9895115419761343, 0.9963871972108888, 0.7921675749710647, 0.04579003323532166, 0.15797561466185972, 0.9976221932056647, 0.9916467078282134, 0.9903505675302471, 0.9849963414187362, 0.9952427985777424, 0.20219367825945106, 0.7949258309652392, 0.9973358280386155, 0.9847208260497405, 0.9924747828377731, 0.08662438595974155, 0.9095560525772862, 0.9968531567905918, 0.9962218840933065, 0.991969863973392, 0.9749811573526606, 0.06535478738999495, 0.1595872715337086, 0.09575236292022515, 0.0015198787765115104, 0.38452933045741217, 0.0015198787765115104, 0.2158227862646345, 0.019758424094649635, 0.019758424094649635, 0.024318060424184166, 0.0030397575530230207, 0.0060795151060460415, 0.9821128789870354, 0.5671299573190345, 0.005018849179814464, 0.005018849179814464, 0.41991038137781017, 0.8847041857970052, 0.11121995478590922, 0.9762420087599675, 0.9898476591934143, 0.990444560325937, 0.992361992388382, 0.9893878655564559, 0.992149569805371, 0.9845015885013578, 0.9911899306120908, 0.8063382083854018, 0.049988622083192026, 0.014127219284380356, 0.021734183514431318, 0.05433545878607829, 0.010867091757215659, 0.01847405598726662, 0.001086709175721566, 0.02282089269015288, 0.9994973683597982, 0.9780650734758255, 0.9985150695744646, 0.9892667519075612, 0.6810726377573578, 0.025565789705606523, 0.025565789705606523, 0.02249789494093374, 0.09101421135195922, 0.027611052882055048, 0.03988263194074618, 0.03170157923495209, 0.004090526352897044, 0.003067894764672783, 0.01124894747046687, 0.035792105587849135, 0.9927286607597036, 0.9807777660093738, 0.4613610053302377, 0.30036523784520686, 0.028835062833139857, 0.15979430653365004, 0.019223375222093237, 0.02763360188175903, 0.9766836219764687, 0.9881391551092963, 0.18091944343887434, 0.06504968752858403, 0.7460386038434481, 0.0010164013676341255, 0.006098408205804753, 0.0010164013676341255, 0.9814965518902521, 0.9905875017544905, 0.988437626707711, 0.9938676944011335, 0.7468136708550187, 0.23583589605947958, 0.993673934295456, 0.34106544442991865, 0.6548456533054439, 0.2427369583692813, 0.12795436565202425, 0.5456877358689269, 0.08279400130425098, 0.9938140707046635, 0.9918194268153564, 0.9875591357787721, 0.14040933879020745, 0.13883170577009274, 0.0015776330201146902, 0.08676981610630796, 0.5734696028116899, 0.057583605234186194, 0.21096211948776467, 0.24071318762065458, 0.0027046425575354446, 0.037864995805496224, 0.12847052148293361, 0.07708231288976017, 0.16498319600966213, 0.13523212787677222, 0.29853116747712505, 0.6983496953482747, 0.0835413155666911, 0.9149763133494739, 0.9926847479840342, 0.9949257174422407, 0.9986594943756527, 0.9983594314599394, 0.966852489479204, 0.07045114668229352, 0.9208971316328367, 0.987938507836537, 0.9932442047174538, 0.9697221507775896, 0.9941317919257617, 0.9960242804136907, 0.04337127585423414, 0.18312316471787748, 0.7589973274490974, 0.01204757662617615, 0.13787374858622828, 0.04924062449508153, 0.7976981168203207, 0.13143887771800736, 0.8543527051670479, 0.99726965430976, 0.995468433870848, 0.9815090427791358, 0.9907187847112041, 0.9416055896900204, 0.985710478216524, 0.988895824519964, 0.050645498386342885, 0.3182225481941878, 0.02447865755339906, 0.014349557876130485, 0.046425040187480976, 0.07934461413860386, 0.014349557876130485, 0.016037741155675248, 0.25660385849080397, 0.03460775723066764, 0.01772592443522001, 0.027855024112488587, 0.031231390671578114, 0.0008440916397723814, 0.0050645498386342885, 0.05739823150452194, 0.0008440916397723814, 0.9840858449922538, 0.9973806320866506, 0.9954338286172703, 0.9866851504240707, 0.2510013555093965, 0.5305978021527749, 0.2160517996789742, 0.9967761134882513, 0.9926537578855505, 0.9686665122669998, 0.9841951591494427, 0.24358790597062294, 0.020364262235486163, 0.249853832812311, 0.0011748612828165095, 0.07636598338307311, 0.00626592684168805, 0.04229500618139434, 0.05874306414082547, 0.02741342993238522, 0.010573751545348585, 0.04229500618139434, 0.0003916204276055031, 0.01644805795943113, 0.10730399716390786, 0.02741342993238522, 0.003132963420844025, 0.0011748612828165095, 0.031721254636045755, 0.03250449549125676, 0.9844460563056823, 0.9831531380943684, 0.9789211442137041, 0.9924689585220334, 0.9960040474738459, 0.14073764613165507, 0.8444258767899304, 0.9878109777438523, 0.991633947520303, 0.9979161486231014, 0.9942249507273251, 0.9887393678318784, 0.9854979972556959, 0.9910007658157173, 0.9906985324956523, 0.9787368803021881, 0.12072787175765874, 0.32365344343542557, 0.007706034367510133, 0.5471284400932195, 0.9970185397298204, 0.9934833763616157, 0.980608279050147, 0.9977779238006136, 0.06210927299338627, 0.15279643233342266, 0.29111340225120924, 0.010288039084794044, 0.12517114219832753, 0.05963252284334326, 0.02076659741189909, 0.00038103848462200165, 0.026291655438918114, 0.003048307876976013, 0.005715577269330025, 0.0013336346961770058, 0.0007620769692440033, 0.08154223570910835, 0.022290751350387095, 0.019814001200344086, 0.10707181417878246, 0.0026672693923540116, 0.007049211965507031, 0.9907100561070705, 0.9976402621423589, 0.5297113404179677, 0.16309178674446337, 0.30461771739048527, 0.9939973754881648, 0.9781300917393065, 0.9945924985474348, 0.9941858758821033, 0.9900505264969935, 0.9933617170564522, 0.9182712447290329, 0.08099107693777967, 0.31287404968149346, 0.027127807775852036, 0.08861750540111665, 0.38702339093548904, 0.09585158747467719, 0.061489697625264614, 0.023510766739071765, 0.9966242369794692, 0.1437611539894143, 0.8520478151079921, 0.9953819531765368, 0.9888898150911647, 0.20328727155678528, 0.02541090894459816, 0.01694060596306544, 0.10446707010557021, 0.12846626188657959, 0.4743369669658323, 0.04517494923484117, 0.9990456595241641, 0.995798544390811, 0.21171386125865607, 0.1782853568493946, 0.6064885799966012, 0.9836270113313247, 0.9930777722691272, 0.9992999496267454, 0.9989989364239811, 0.9864543459771434, 0.9620717124884592, 0.9989396237718124, 0.034794073931449065, 0.33924222083162836, 0.6175948122832209, 0.9849757126380044, 0.8236543791520229, 0.05439227032136, 0.05957248654244191, 0.05698237843190096, 0.9831961034983638, 0.005846353418814649, 0.0006878062845664292, 0.9931922749139239, 0.9895511876305922, 0.0817488866225226, 0.02043722165563065, 0.054333101474725386, 0.842910776089547, 0.9606882982562902, 0.03722224033834335, 0.9973189476990907, 0.9953982608315594, 0.9889267711703034, 0.9918447009716634, 0.14153824296749723, 0.8568390407602251, 0.1335336024267583, 0.0814781302942932, 0.6812477005161737, 0.06450351981631545, 0.03734414305155105, 0.9983174892682776, 0.9972149471606144, 0.9911906421885326, 0.9880786791289842, 0.9941086973653108, 0.9644076733097687, 0.5890767198486042, 0.04235192103486697, 0.277212574046402, 0.08855401670926731, 0.9975790895432999, 0.9822553624817998, 0.05046491847941945, 0.9450702915236734, 0.04789073116779404, 0.03609156551775783, 0.2658282614096394, 0.004164411405895134, 0.023598331300072425, 0.05205514257368917, 0.06871278819726971, 0.09994587374148321, 0.01318730278533459, 0.07148906246786646, 0.05205514257368917, 0.14853067347692644, 0.02082205702947567, 0.00694068567649189, 0.03262122267951188, 0.039561908356003775, 0.015269508488282157, 0.002082205702947567, 0.9897649863961899, 0.9958923131652813, 0.15117595212447443, 0.21096942572594565, 0.015794502460765986, 0.003384536241592711, 0.08122886979822506, 0.26060929060263877, 0.018050859955161126, 0.047383507382297956, 0.009025429977580563, 0.16697045458524043, 0.011281787471975703, 0.021435396196753838, 0.9927588393420937, 0.9918589774546432, 0.9865822804809944, 0.9952511414333572, 0.9950009703882161, 0.9954251574910381, 0.9752373621197981, 0.9961391300401864, 0.20589515680584955, 0.7926963537025208, 0.9894730055717752, 0.9910982509345477, 0.9890700993384626, 0.989599218525294, 0.9923196295337464, 0.9851081010367951, 0.971655193789524, 0.9975089694663911, 0.9934869316542729, 0.3890152188919777, 0.3729245650691952, 0.026502253355171233, 0.08518581435590754, 0.10884854056588185, 0.01514414477438356, 0.10566353242078019, 0.06030155320671775, 0.07768578476180754, 0.393589867551955, 0.024446575624345032, 0.2767895617911954, 0.061388067678910856, 0.9982289972388692, 0.9656811545611109, 0.9922085818152983, 0.052271969194363964, 0.0314755943535955, 0.7655314198142336, 0.003934449294199438, 0.020234310655882826, 0.046089263160621986, 0.004496513479085072, 0.00281032092442817, 0.004496513479085072, 0.06800976637116171, 0.9933958572737037, 0.9948777723012521, 0.9642108914592928, 0.9945172193688121, 0.9283724345729717, 0.07010851515654597, 0.9985360855259078, 0.9893848247259212, 0.5447470217266749, 0.005558643078843621, 0.025940334367936902, 0.046322025657030176, 0.11117286157687244, 0.01852881026281207, 0.020381691289093277, 0.05373354976215501, 0.029646096420499316, 0.01852881026281207, 0.12043726670827846, 0.9780254183331616, 0.9982086356099781, 0.250562017188131, 0.738836717349617, 0.9928694650089095, 0.9857713117959055, 0.9978987217926919, 0.9697956971208894, 0.8974056287321198, 0.09895347236970142, 0.9759366336534239, 0.9913852971426163, 0.9852608692227399, 0.993423752719747, 0.15706611771428133, 0.832450423885691, 0.9960751417570497, 0.994977466871078, 0.1883144753704255, 0.08846988775791803, 0.09478916545491217, 0.1263855539398829, 0.07962289898212623, 0.0347560273334678, 0.1396560371035706, 0.027172894097074823, 0.044234943878959015, 0.0037915666181964867, 0.002527711078797658, 0.010742772084890046, 0.030332532945571894, 0.015166266472785947, 0.07709518790332856, 0.017693977551583605, 0.017062049781884192, 0.001263855539398829, 0.9885695454005511, 0.9937194591745152, 0.9977011501360932, 0.9975496853506974, 0.08192473539754477, 0.9166071844478922, 0.9908797628461333, 0.9957547852120378, 0.1707068504540341, 0.7318422400653145, 0.09464934282599911, 0.9837647491222878, 0.15254471123854757, 0.15709828470835496, 0.04477680578643934, 0.05312502381441955, 0.015178578232691302, 0.000758928911634565, 0.10245540307066628, 0.018973222790864126, 0.07133931769364911, 0.0736161044285528, 0.000758928911634565, 0.04325894796317021, 0.030357156465382604, 0.09410718504268607, 0.03642858775845912, 0.01214286258615304, 0.030357156465382604, 0.06071431293076521, 0.8027793759872349, 0.08660690363347763, 0.10992414691941392, 0.01368377944262965, 0.9715483404267051, 0.25660732143472953, 0.3695911420664388, 0.0938340205246399, 0.01148988006424162, 0.2661822214882642, 0.1718524265685025, 0.7278455713489518, 0.0977200072644426, 0.20973501237367628, 0.028826139141405745, 0.10337649898986888, 0.19035191881307587, 0.3429316553029304, 0.03528717032827255, 0.043239208712108616, 0.0034790167929282796, 0.020377098358579922, 0.00944304558080533, 0.011431055176764347, 0.9964735568149229, 0.09381873961342585, 0.7036405471006939, 0.058636712258391156, 0.13779627380721923, 0.9998343760681644, 0.9183684381886973, 0.9935707952676043, 0.9901086014655043, 0.46437929776266346, 0.5344365194078928, 0.9587411539707474, 0.9901712850857732, 0.9886137139607138, 0.9863170103909068, 0.9994141703011473, 0.9864510244148365, 0.9891468713491318, 0.9939049831151731, 0.9888162359335799, 0.9717507594067895, 0.982158721605898, 0.9772163205671354, 0.9839889388618193, 0.9919477687375968, 0.9843805425415799, 0.9918736444265066, 0.9968543976026465, 0.9830297057332541, 0.9910740731643911, 0.8050245893160466, 0.19476401354420483, 0.9952852794532435, 0.9855888311051729, 0.9992421744510934, 0.9886940900874226, 0.9863199420424054, 0.9978491667061418, 0.9695245311289618, 0.9935708840960717, 0.03847756253973417, 0.17470893261284703, 0.14871057954545908, 0.2880617519866585, 0.013519143595041735, 0.01039934122695518, 0.006239604736173109, 0.001039934122695518, 0.03743762841703865, 0.2183861657660588, 0.0634359814844266, 0.9948048443239409, 0.993087409220643, 0.08794468340996255, 0.009794787014853649, 0.0008335988948811616, 0.0006251991711608712, 0.0016671977897623233, 0.04480594059986243, 0.09482187429273213, 0.16505258118647, 0.0016671977897623233, 0.3096819894483515, 0.006668791159049293, 0.07210630440722048, 0.007919189501371035, 0.13400102235214673, 0.0008335988948811616, 0.0006251991711608712, 0.0016671977897623233, 0.0025007966846434847, 0.05668472485191899, 0.993961437067688, 0.17459395480573556, 0.16987519927044542, 0.07550008856464241, 0.5709694197701082, 0.9901235457596226, 0.9377226589308639, 0.058607666183178996, 0.33472040738539854, 0.2962275605360777, 0.1774018159142612, 0.06694408147707971, 0.01840962240619692, 0.10376332628947355, 0.9978088326601532, 0.9924835919123726, 0.3324739612774563, 0.659910438293133, 0.994819821094009, 0.9950670851536839, 0.9895396527600325, 0.9748730691412106, 0.9926648021059234, 0.9945447801510269, 0.9925798473314716, 0.0058241121042665045, 0.27373326890052574, 0.7163657888247801, 0.9882511113755348, 0.013334213523116319, 0.012714017545296956, 0.0031009798890968185, 0.918200145161568, 0.03007950492423914, 0.01674529140112282, 0.00496156782255491, 0.975782476536103, 0.9946126444000984, 0.3122344908051006, 0.08586448497140266, 0.593245532529691, 0.9938216533349385, 0.7891475329368877, 0.09530280110228227, 0.02874211461814862, 0.041852552865023436, 0.017144419245913214, 0.024203885994230418, 0.0030254857492788023, 0.9853266458831259, 0.9816782753545399, 0.17148230542852785, 0.1617175787457845, 0.050879365346925845, 0.029808113031532312, 0.038544973747671095, 0.056361317168816844, 0.029465491042664126, 0.05738918313542141, 0.040943327669748404, 0.0017131099443409375, 0.028266314081625468, 0.005995884805193281, 0.007023750771797844, 0.020386008337657156, 0.011649147621518375, 0.00017131099443409376, 0.029979424025966407, 0.004796707844154625, 0.028095003087191376, 0.0010278659666045624, 0.014218812538029781, 0.0659547328571261, 0.0005139329833022812, 0.017131099443409376, 0.0011991769610386564, 0.0039401528719841565, 0.017131099443409376, 0.006338506794061469, 0.022099118281998092, 0.019015520382184407, 0.0018844209387750312, 0.0082229277328365, 0.0035975308831159686, 0.0032549088942477812, 0.0035975308831159686, 0.011649147621518375, 0.008051616738402406, 0.004625396849720531, 0.0027409759109455, 0.0003426219888681875, 0.0010278659666045624, 0.0008565549721704687, 0.00017131099443409376, 0.0032549088942477812, 0.003426219888681875, 0.998083276876387, 0.9959746861832871, 0.9955160388834062, 0.9888890855850245, 0.7720919306220706, 0.21807176666424893, 0.972470799101645, 0.9940581257085022, 0.9849277109609352, 0.9969453595592251, 0.9930623434887502, 0.020233484647327625, 0.1692868215493078, 0.2775359644125106, 0.0020233484647327626, 0.006407270138320415, 0.01854736092671699, 0.0037094721853433983, 0.00809339385893105, 0.03372247441221271, 0.10656301914259217, 0.008430618603053178, 0.010791191811908067, 0.012140090788396576, 0.03911807031816674, 0.005395595905954034, 0.03642027236518973, 0.0003372247441221271, 0.023942956832671024, 0.023942956832671024, 0.04754868892121992, 0.009105068091297432, 0.0003372247441221271, 0.0323735754357242, 0.009779517579541685, 0.04147864352702164, 0.02090793413557188, 0.03136190120335782, 0.9981804909756444, 0.980385090026441, 0.9848389208854541, 0.9977369785822839, 0.9838202493294987, 0.4017653875745418, 0.13950187068560477, 0.0015218385892975066, 0.10652870125082547, 0.077106488524407, 0.1567493746976432, 0.0010145590595316712, 0.0157256654227409, 0.0010145590595316712, 0.09790494924480626, 0.15593241943431835, 0.8346970687366453, 0.9982397611087644, 0.9986958256182721, 0.99455599787329, 0.3355373972358204, 0.6579164651682753, 0.13072996365769018, 0.8646004414633601, 0.9991343915543222, 0.9620636542858376, 0.9859636735988015, 0.9941865165979852, 0.99684410839424, 0.2776454606859953, 0.018966293329593608, 0.15199376737743767, 0.004741573332398402, 0.20783896440346328, 0.12986642515957847, 0.0057952562951536024, 0.013961299256506406, 0.0013171037034440006, 0.01580524444132801, 0.0050049940730872025, 0.015541823700639206, 0.021337079995792808, 0.05558177628533682, 0.06506492295013362, 0.0028976281475768012, 0.006322097776531203, 0.9653657213626626, 0.9842020950658718, 0.06407806374930008, 0.9312678598231612, 0.02908975435351894, 0.03080091637431417, 0.672486674172526, 0.18993898430827072, 0.07700229093578542, 0.158403019414333, 0.8378686026916035, 0.9957125063364416, 0.9962716196984132, 0.3738027332369768, 0.001513371389623388, 0.4676317593936269, 0.06810171253305246, 0.001513371389623388, 0.048427884467948414, 0.03480754196133792, 0.9692200098537506, 0.999594882043736, 0.3558130395708681, 0.4453769625749767, 0.08386440063111994, 0.11399044745977467, 0.987480356180866, 0.6911515814400433, 0.30391062446299777, 0.9850155472433834, 0.5433954853775661, 0.45282957114797173, 0.8038804887189311, 0.03847286973717807, 0.08504529099797256, 0.04252264549898628, 0.026323542451753414, 0.9897332061419019, 0.705784894876848, 0.21125534268422663, 0.07682012461244606, 0.9933359804123076, 0.99152045334156, 0.8262910811850482, 0.16620797610044075, 0.9119639949618569, 0.07930121695320494, 0.25514099204159524, 0.009940558131490723, 0.73228778235315, 0.9676292187020912, 0.9582480573032303, 0.9927636190993696, 0.9891226642162583, 0.9903131020781916, 0.983327782603041, 0.993904513394997, 0.9922684347199244, 0.11099371708411017, 0.12209308879252119, 0.7547572761719491, 0.9985686475256836, 0.9818874957348181, 0.9927269385885477, 0.2034239899922838, 0.7957981411071532, 0.9465310522185599, 0.028254658275180894, 0.9980289537440606, 0.2521945345102604, 0.5217817955384698, 0.22465605085684118, 0.9985761447275683, 0.14368696224063443, 0.29010215794153404, 0.04865349670806292, 0.0027282334602652105, 0.1514169570447192, 0.006365878073952158, 0.03501232940673687, 0.1523263681981409, 0.002273527883554342, 0.040014090750556425, 0.04228761863411076, 0.010912933841060842, 0.019552339798567343, 0.005456466920530421, 0.013186461724615184, 0.0004547055767108684, 0.006365878073952158, 0.0018188223068434737, 0.006820583650663027, 0.006820583650663027, 0.012731756147904316, 0.0004547055767108684, 0.9851985025000881, 0.9967276810801733, 0.22963188827051761, 0.0028703986033814704, 0.6329228920456142, 0.11194554553187734, 0.021527989525361026, 0.15414651984650157, 0.7099817624187111, 0.059179694482405475, 0.003142284662782592, 0.05289512515684029, 0.020599421678241435, 0.9842702189183321, 0.9432663635109018, 0.04336856843728284, 0.01084214210932071, 0.9944067217387594, 0.9994897598756253, 0.07111641874908912, 0.021500312645073457, 0.10088608241149852, 0.049616106104015664, 0.07938576976642507, 0.06284706773175318, 0.0148848318312047, 0.008269351017335945, 0.06284706773175318, 0.024808053052007832, 0.05623158691788442, 0.4448910847326738, 0.9945746309559079, 0.8030877615639989, 0.17846394701422197, 0.9936861310097448, 0.9906455985747772, 0.4959599588838313, 0.5028867739520413, 0.9155416550899054, 0.08152083230252583, 0.9909963241492372, 0.031477500331488655, 0.0059957143488549814, 0.08294071515916057, 0.14089928719809205, 0.12591000132595462, 0.09143464382003846, 0.25032107406469545, 0.001998571449618327, 0.0059957143488549814, 0.06545321497500022, 0.07544607222309185, 0.07894357225992392, 0.041970000441984866, 0.987672025999463, 0.9873102876948563, 0.9877552567513823, 0.9961555015534421, 0.9926574732915683, 0.20202474418057687, 0.25931534327656136, 0.5337071599994344, 0.9970582814525742, 0.8436398630784441, 0.14864130920905919, 0.9880661539224219, 0.22354518140807325, 0.768995424043772, 0.9662999737042909, 0.9964915756070374, 0.9901587415324195, 0.9575152683915402, 0.9936836235099011, 0.9797778550551395, 0.9984363307660413, 0.029051982640613334, 0.8766862996843906, 0.09228276838783059, 0.0017089401553301961, 0.9976352049447936, 0.9943614678491568, 0.8345792279183526, 0.16284472739870295, 0.24722506906663358, 0.122007176941975, 0.08508395234111416, 0.032909830622506415, 0.028896436644151976, 0.0024080363870126647, 0.272910790528102, 0.009632145548050659, 0.061806267266658395, 0.04976608533159507, 0.025685721461468424, 0.003210715182683553, 0.0024080363870126647, 0.052976800514278624, 0.0024080363870126647, 0.13198632090033735, 0.0025539148781025026, 0.08581153990424409, 0.011032912273402811, 0.07610666336745459, 0.060068077932970865, 0.001940975307357902, 0.05496024817676586, 0.0032690110439712035, 0.008683310585548509, 0.10971618316328352, 0.034324615961697634, 0.00010215659512410011, 0.017366621171097017, 0.02043131902482002, 0.05097614096692595, 0.02901247301524443, 0.04515321504485225, 0.035141868722690435, 0.002043131902482002, 0.00040862638049640043, 0.00010215659512410011, 0.0026560714732266027, 0.013382513961257114, 0.02104425859556462, 0.0015323489268615016, 0.014608393102746316, 0.024108956449287625, 0.0007150961658687007, 0.0044948901854604045, 0.04607262440096915, 0.011032912273402811, 0.019920536049199522, 0.0022474450927302022, 0.024108956449287625, 0.00020431319024820022, 0.01011350291728591, 0.00020431319024820022, 0.00040862638049640043, 0.0011237225463651011, 0.002145288497606102, 0.004801359970832705, 0.0016345055219856017, 0.00020431319024820022, 0.004597046780584505, 0.002043131902482002, 0.0005107829756205005, 0.001021565951241001, 0.00040862638049640043, 0.00020431319024820022, 0.0012258791414892012, 0.0003064697853723003, 0.0007150961658687007, 0.0006129395707446006, 0.9909880835197863, 0.04089233088101045, 0.022621289423537697, 0.31060770477703686, 0.006960396745703906, 0.4332846974200682, 0.18445051376115354, 0.5952151982689421, 0.28979865338458694, 0.06420688727682465, 0.03297110427728833, 0.01561789149976816, 0.9899800646461061, 0.10794532508097925, 0.21417723230353025, 0.6750866362207273, 0.058999351095343266, 0.14946502277486962, 0.1533983128478925, 0.08259909153348058, 0.11799870219068653, 0.4326619080325173, 0.9953412305526995, 0.05389037474761097, 0.9458310669988864, 0.9916670959675994, 0.7483148909884535, 0.2486095983350344, 0.9858593150322361, 0.99949533584987, 0.3254168790241369, 0.6722898160059092, 0.9960362018937985, 0.08359400381393409, 0.08071145195828118, 0.14412759278264498, 0.29402028927659574, 0.35743643010095955, 0.03459062226783479, 0.2070828843342411, 0.19646324924017747, 0.5893897477205324, 0.9936906398543359, 0.994991380846643, 0.6341053828250455, 0.1361986913814358, 0.19425059262598224, 0.033491481487238316, 0.4476706910037469, 0.053484318397654794, 0.12641747984900223, 0.04758020532778381, 0.01701773767198107, 0.059388431467525774, 0.01667043690316513, 0.037508483032121544, 0.010766323833294147, 0.006946015376318804, 0.0038203084569753422, 0.001736503844079701, 0.058693829929893894, 0.007987917682766625, 0.011113624602110087, 0.011808226139741968, 0.007293316145134745, 0.025005655354747695, 0.0020838046128956412, 0.008335218451582565, 0.001736503844079701, 0.0006946015376318804, 0.01771233920961295, 0.005904113069870984, 0.012850128446189787, 0.9969770794773293, 0.9904961460326027, 0.9920940724492084, 0.032767114930045124, 0.965140476121329, 0.9970511361807147, 0.5193652948310074, 0.28389035183559297, 0.04181330763469974, 0.026408404821915626, 0.07262311326026798, 0.001100350200913151, 0.01980630361643672, 0.03411085622830769, 0.9902610816838228, 0.9918545432156104, 0.9934475726816161, 0.00010834992396096487, 0.000758449467726754, 0.017119287985832447, 0.11192547145167671, 0.04561531798756621, 0.021453284944271043, 0.5549683105280621, 0.003142147794867981, 0.21399109982290562, 0.01874453684524692, 0.004875746578243419, 0.00021669984792192974, 0.005742545969931138, 0.0011918491635706135, 0.985362106623419, 0.996199520999506, 0.9597593799667032, 0.03178011191942726, 0.9964066523485673, 0.9990154207815781, 0.9993317338317467, 0.2723643026495296, 0.7209643305428725, 0.968746529784062, 0.9959303969210149, 0.990986364320678, 0.14740441114749145, 0.12296235317660639, 0.1440201261976766, 0.016921424749074272, 0.08535918706755245, 0.022937931326522904, 0.007520633221810788, 0.05414855919703768, 0.028202374581790455, 0.014289203121440497, 0.011656981493806721, 0.0022561899665432365, 0.010152854849444564, 0.009024759866172946, 0.001880158305452697, 0.008272696543991867, 0.06091712909666738, 0.01203301315489726, 0.010152854849444564, 0.08047077547337543, 0.003760316610905394, 0.023689994648703982, 0.014289203121440497, 0.011656981493806721, 0.009776823188354026, 0.03572300780360124, 0.0011280949832716183, 0.016169361426893195, 0.008272696543991867, 0.010904918171625642, 0.005640474916358091, 0.005640474916358091, 0.0003760316610905394, 0.001880158305452697, 0.0011280949832716183, 0.9770979224465336, 0.986496807414821, 0.7252183016524452, 0.13429968549119356, 0.13429968549119356, 0.9959718138127682, 0.9533431580503273, 0.9901290579507074, 0.606197192095961, 0.39012690580433135, 0.8785921113390499, 0.11481601454998948, 0.997205309410351, 0.9840290184337082, 0.9941595353410143, 0.9876218988619878, 0.9929131359810821, 0.9890717553980953, 0.3850967385231152, 0.6138286939546299, 0.3816345779602287, 0.07695512065864693, 0.5386858446105286, 0.09223807313934114, 0.9031644661560486, 0.9932144740476061, 0.9944962931932027, 0.9932884321005111, 0.9979983663282893, 0.0951906922219702, 0.9023284366874258, 0.9781581770584618, 0.020877407927580673, 0.05335337581492838, 0.03479567987930112, 0.37057399071455693, 0.07191107175055564, 0.09104869568417126, 0.022617191921545727, 0.035375607877289474, 0.013338343953732096, 0.0011598559959767039, 0.0046394239839068156, 0.028416471901429246, 0.02145733592556902, 0.16469955142869197, 0.06495193577469542, 0.9926872423050302, 0.2230663454999398, 0.3117553744336508, 0.39596515948182087, 0.01791697554216384, 0.04031319496986864, 0.009854336548190111, 0.008620233361649604, 0.04186970489944094, 0.11575741942786612, 0.04802701444347637, 0.11575741942786612, 0.06526748116677558, 0.03940678108182676, 0.11575741942786612, 0.11083157179263778, 0.04433262871705511, 0.04310116680824802, 0.01970339054091338, 0.11083157179263778, 0.12068326706309447, 0.9984767980125512, 0.10960550909738336, 0.8875372931788115, 0.17008259608049095, 0.1897075110128553, 0.5451365258990094, 0.09376348245462962, 0.7981683073569052, 0.0443426837420503, 0.0031673345530035925, 0.01266933821201437, 0.09502003659010777, 0.0443426837420503, 0.12191176323239368, 0.5298472786638648, 0.2063122147009739, 0.13597850514382373, 0.9904029187321245, 0.9824624218543561, 0.9602269584108291, 0.9782642630190722, 0.9926566513127694, 0.9938098065259354, 0.9608655277170219, 0.9887368147268775, 0.971641435596449, 0.9978392570328273, 0.9941822379921008, 0.10435628241450683, 0.887028400523308, 0.9979878916786445, 0.9948243557722776, 0.9986847278321553, 0.06186955839816483, 0.9349177713500464, 0.040587959699145894, 0.9497582569600139, 0.9917523632325633, 0.9704749631651876, 0.9879526704033209, 0.013812467873305877, 0.015127941004096913, 0.0055907608058619026, 0.009537180198235011, 0.020718701809958814, 0.008550575350141733, 0.022034174940749853, 0.027624935746611755, 0.001315473130791036, 0.006906233936652939, 0.07662630986857784, 0.03584664281405573, 0.005261892523164144, 0.11641937207500667, 0.45910012264607153, 0.003946419392373108, 0.15785677569492432, 0.002630946261582072, 0.011181521611723805, 0.9995374710954061, 0.23354107138561303, 0.7634241745294409, 0.09261626795793697, 0.4033598152788772, 0.06099899027574469, 0.0756898465725209, 0.010219726119496493, 0.015648955620479004, 0.005109863059748246, 0.0009580993237027961, 0.027465513946146825, 0.0006387328824685308, 0.011816558325667819, 0.02842361326984962, 0.01245529120813635, 0.0006387328824685308, 0.0038323972948111846, 0.010219726119496493, 0.12870467581740896, 0.011497191884433555, 0.0022355650886398577, 0.0415176373604545, 0.008622893913325165, 0.03289474344712934, 0.0044711301772797155, 0.003513030853576919, 0.006706695265919573, 0.0161721507376936, 0.010781433825129067, 0.19810884653674662, 0.07007931986333894, 0.03908269761609287, 0.07007931986333894, 0.0768177160040446, 0.21967171418700476, 0.02695358456282267, 0.010781433825129067, 0.24662529874982744, 0.013476792281411335, 0.9837789362268271, 0.9952069877906667, 0.9979419578763246, 0.003291693848277055, 0.0004114617310346319, 0.0283908594413896, 0.07982357582071858, 0.11562074642073156, 0.14483452932419041, 0.0271564742482857, 0.1045112796827965, 0.034974247137943705, 0.002057308655173159, 0.07776626716554542, 0.03538570886897834, 0.0008229234620692637, 0.034562785406909075, 0.07570895851037227, 0.006171925965519478, 0.0016458469241385275, 0.033739861944839813, 0.06706826215864499, 0.018104316165523802, 0.06789118562071425, 0.023864780400008646, 0.0024687703862077913, 0.006171925965519478, 0.0024687703862077913, 0.004937540772415583, 0.35214498949539946, 0.11312429714359984, 0.0647727830418999, 0.0018245854377999973, 0.005473756313399992, 0.36765396571669945, 0.050176099539499926, 0.02098273253469997, 0.01915814709689997, 0.0009122927188999987, 0.002736878156699996, 0.9964656966340709, 0.9959492858270621, 0.9224064024920662, 0.07324318828721121, 0.7430412984081288, 0.1736859035029001, 0.013932024345152417, 0.04829768439652837, 0.018576032460203222, 0.9887517336898518, 0.9950329372191077, 0.9956323683486644, 0.9912370092742417, 0.9930405431104983, 0.995042148105902, 0.6590813120029644, 0.3295406560014822, 0.9883385520354908, 0.2896719754110933, 0.026821479204730863, 0.048278662568515554, 0.057219155636759174, 0.033973873659325755, 0.05006676118216428, 0.0822525362278413, 0.35225542688879863, 0.0590072542504079, 0.9871874411604944, 0.9492995877184381, 0.9939322920988772, 0.9893768230858426, 0.9865462586979044, 0.9795912871380976, 0.9956383278057188, 0.9873810615920885, 0.9673306971273116, 0.9926740454844126, 0.9860034979282568, 0.9964846568449516, 0.9875624477990295, 0.9714243673958927, 0.12076492088406585, 0.8695074303652741, 0.9630026183838156, 0.9941021076888222, 0.9986855801499314, 0.9951109309401313, 0.9749973988604657, 0.9854455677689875, 0.9924504011570542, 0.9934806777371115, 0.25822015261762243, 0.12911007630881122, 0.0375890095582615, 0.3922331432166417, 0.031051790504650803, 0.12257285725520053, 0.02941748574124813, 0.9956293198701767, 0.284913278012202, 0.2762795423148625, 0.12950603546009182, 0.07338675342738536, 0.21368495850915148, 0.021584339243348634, 0.9787231196207998, 0.9914626509652128, 0.99250097266289, 0.14210364786198545, 0.17868478493536785, 0.2935870744607356, 0.18900254154580903, 0.03705012601022063, 0.002813933621029415, 0.05768563923110301, 0.09801868779919129, 0.00046898893683823583, 0.4385494967633969, 0.5567671871952691, 0.9921896466805847, 0.9879924451976604, 0.9982080957759756, 0.9865403159753207, 0.989799486827485, 0.9994954975866068, 0.9953483776217045, 0.9861767432087197, 0.034396763305268495, 0.9573765786633064, 0.9962262430562214, 0.06538255121844659, 0.9317013548628639, 0.9982181573883447, 0.9771668916079251, 0.9919013949320352, 0.9892125898540118, 0.9946753297399613, 0.996287902838238, 0.9841456428443208, 0.015218720131559334, 0.9800855764724211, 0.8825009291910243, 0.11031261614887804, 0.40398956711344974, 0.5931592850475254, 0.9828792756197818, 0.9962090786923694, 0.17809916841514115, 0.01641466990001301, 0.012721369172510082, 0.020107970627515934, 0.07058308057005594, 0.016004303152512684, 0.01641466990001301, 0.015183569657512034, 0.0004103667475003252, 0.02133907087001691, 0.05170621018504098, 0.11736488978509302, 0.004514034222503577, 0.013952469415011057, 0.011079902182508782, 0.014362836162511383, 0.04308850848753415, 0.00943843519250748, 0.03282933980002602, 0.0004103667475003252, 0.0032829339800026017, 0.041447041497532845, 0.016004303152512684, 0.06360684586255042, 0.002051833737501626, 0.0008207334950006504, 0.02585310509252049, 0.020928704122516587, 0.0024622004850019513, 0.02585310509252049, 0.028725672325022766, 0.003693300727502927, 0.0069762347075055285, 0.005334767717504228, 0.0004103667475003252, 0.015593936405012358, 0.06976234707505528, 0.9959113095039699, 0.9922057860499648, 0.9598340148210821, 0.01586685607687081, 0.9817617197563815, 0.0035310093675275916, 0.05296514051291387, 0.0579085536274525, 0.014830239343615884, 0.05932095737446354, 0.04307831428383661, 0.031072882434242804, 0.07909460983261805, 0.013417835596604847, 0.3276776693065605, 0.01200543184959381, 0.03742869929579247, 0.007062018735055183, 0.04660932365136421, 0.014830239343615884, 0.026835671193209693, 0.08615662856767323, 0.016948844964132438, 0.025423267446198657, 0.011299229976088293, 0.005649614988044146, 0.007768220608560701, 0.017655046837637956, 0.994085510624798, 0.9941450976804068, 0.02400819236679143, 0.016353406394770974, 0.5967253610006856, 0.15692311242641935, 0.0020876689014601244, 0.035838316141732135, 0.14230943011619848, 0.002435613718370145, 0.0006958896338200415, 0.0059150618874703525, 0.0010438344507300622, 0.015309571944040912, 0.00034794481691002074, 0.13248222236397195, 0.17623781874106362, 0.12275875650239602, 0.054086778855016074, 0.02917039758472777, 0.03038583081742476, 0.0018231498490454856, 0.003038583081742476, 0.1391671051438054, 0.009723465861575922, 0.02430866465393981, 0.07778772689260738, 0.0504404791569251, 0.044971029609788646, 0.014585198792363885, 0.010938899094272913, 0.04071701329534918, 0.015800632025060875, 0.0024308664653939806, 0.012154332326969905, 0.0036462996980909713, 0.0024308664653939806, 0.9949581373750291, 0.9977735767416483, 0.9827721128411073, 0.989909523428306, 0.6756074457235312, 0.32145838143297045, 0.9936976422760674, 0.9872907205407838, 0.9910003253561344, 0.9828769136163603, 0.9965851417921986, 0.9978240700996911, 0.9916105997575461, 0.9377241450820425, 0.9788275890870799, 0.9987918406879873, 0.9702100570616297, 0.02928936021318127, 0.41077538784345374, 0.5835313920766819, 0.9939252142042142, 0.9894003119285707, 0.1032326362330441, 0.893843557627577, 0.9914142418526661, 0.007317015425997103, 0.32481185869317575, 0.0601267789353675, 0.03690320823546365, 0.05440041903676107, 0.05090097687650159, 0.07317015425997103, 0.003817573265737619, 0.008589539847909642, 0.008907670953387778, 0.024177964016338253, 0.005726359898606428, 0.031494979442335355, 0.008271408742431508, 0.03213124165329163, 0.028631799493032142, 0.011134588691734722, 0.0610811722518019, 0.0012725244219125395, 0.09130362727222471, 0.009862064269822182, 0.0003181311054781349, 0.0066807532150408334, 0.047719665821720235, 0.003499442160259484, 0.0006362622109562698, 0.006362622109562698, 0.9841446045067418, 0.9962587821895796, 0.9976605380523227, 0.008040362364124509, 0.26801207880415034, 0.07772350285320359, 0.010720483152166014, 0.07772350285320359, 0.013400603940207517, 0.38057715190189345, 0.034841570244539544, 0.09112410679341111, 0.0040201811820622544, 0.032161449456498035, 0.9918972343677784, 0.9792254180351341, 0.9891251266005798, 0.9939525514150016, 0.0033766234676104517, 0.09662337922700677, 0.001558441600435593, 0.03454545547632231, 0.06129870295046666, 0.008571428802395762, 0.015844156271095197, 0.004675324801306779, 0.011428571736527683, 0.019480520005444913, 0.014805195204138134, 0.039480520544368354, 0.03116883200871186, 0.008831169069135027, 0.018701299205227118, 0.5532467681546355, 0.003636363734349717, 0.053766235215027956, 0.0075324677354387, 0.010909091203049151, 0.000519480533478531, 0.9835726153980596, 0.9967667285337853, 0.9937837722344653, 0.9950067013597432, 0.9894538050745336, 0.9931707245290624, 0.9916604100187081, 0.9714103222372823, 0.02366766371219258, 0.05409751705644019, 0.9196577899594832, 0.9514221227560065, 0.9892754515547545, 0.9958930761647415, 0.9907911966794746, 0.9984986169800687, 0.9872169026978653, 0.9967614432465912, 0.996380706469548, 0.9901896702391052, 0.9961404829314265, 0.9825607722417002, 0.9915445271501245, 0.998613351083043, 0.9922275273858785, 0.9946823340842887, 0.9876407715801728, 0.9890228565310187, 0.7852105770407033, 0.20993477233379915, 0.9887187820351397, 0.9992419652865964, 0.2975461663484352, 0.2556692984919888, 0.008816182706620303, 0.13444678627595963, 0.2843218922885048, 0.01542831973658553, 0.9480375579547339, 0.9994101169336262, 0.7137356037116462, 0.1060486918515838, 0.06418736612069546, 0.047093991447249385, 0.03488443810907362, 0.0010465331432722086, 0.032791371822529204, 0.9764911144360711, 0.9982677769251235, 0.9871447034900562, 0.1062809025464232, 0.325094525436118, 0.5564117839195097, 0.9905429558650861, 0.9982745131558034, 0.9909829782237626, 0.9796308288004419, 0.9992677325985359, 0.9962085206580429, 0.9903912149698717, 0.2273776219332049, 0.021979836786876473, 0.06745536117351746, 0.08261386930239778, 0.046233449793084996, 0.5532855467041319, 0.9964909764488235, 0.9892876950461601, 0.9995192538192654, 0.2877568701269103, 0.7089429034970249, 0.9852925373689734, 0.19519686644756687, 0.7497627982754224, 0.034902750954200694, 0.019390417196778163, 0.9917396657778589, 0.9881396586022719, 0.0924047727544462, 0.021561113642704112, 0.15092779549892876, 0.07392381820355695, 0.5852302274448259, 0.07084365911174208, 0.030464755969901565, 0.05122592300124189, 0.01060624837470647, 0.0009026594361452315, 0.08688097072897853, 0.07018177116029176, 0.021889491326521866, 0.03926568547231757, 0.004738962039762465, 0.0013539891542178474, 0.3102891811749233, 0.013991221260251088, 0.00744694034819816, 0.002030983731326771, 0.006092951193980313, 0.008349599784343392, 0.005641621475907697, 0.09026594361452316, 0.0006769945771089237, 0.014216886119287396, 0.016247869850614167, 0.00022566485903630787, 0.08304466812536129, 0.016247869850614167, 0.009703588938561238, 0.006092951193980313, 0.023017815621703405, 0.003610637744580926, 0.003836302603617234, 0.0011283242951815394, 0.014216886119287396, 0.00045132971807261573, 0.00045132971807261573, 0.013314226683142165, 0.030464755969901565, 0.7354063740182927, 0.01591632093300781, 0.00904336416648171, 0.018810197466281958, 0.024236215966170982, 0.02278927769953391, 0.06872956766526099, 0.0549836541322088, 0.03291784556599343, 0.0007234691333185368, 0.013384178966392931, 0.0003617345666592684, 0.0021704073999556104, 0.999266240617553, 0.9880604656406705, 0.9990993210066101, 0.991525983554692, 0.9878730852217457, 0.039904379269018686, 0.8588408819079246, 0.07599766613594008, 0.0244358277546238, 0.0006725457180171688, 0.9555755675735423, 0.8846625878719568, 0.11179801934645608, 0.9904074976974685, 0.9959071834803612, 0.9943601600510251, 0.9913711539727794, 0.9817113533207033, 0.04387115859417844, 0.26183421637160464, 0.1406662545400642, 0.01880192511179076, 0.04387115859417844, 0.08356411160795893, 0.008356411160795893, 0.13091710818580232, 0.03342564464318357, 0.020194660305256743, 0.0006963675967329911, 0.027158336272586653, 0.0034818379836649557, 0.006963675967329911, 0.035514747433382544, 0.11141881547727858, 0.029247439062785627, 0.9809939082718807, 0.958566574988975, 0.9832557064907812, 0.9964805862119686, 0.9985054193042083, 0.9834831512958813, 0.9904890195158234, 0.9976441159212508, 0.997027936194596, 0.981201994440379, 0.99640924469572, 0.9872457561546043, 0.17812903860457285, 0.007606934032935495, 0.031695558470564565, 0.03740075899526618, 0.5946086769077912, 0.06465893927995171, 0.08557800787052432, 0.9988161345211805, 0.9965989603775616, 0.999163703212739, 0.994220065691434, 0.9971072196997494, 0.9853084762912139, 0.2497829472310279, 0.7493488416930837, 0.992897096245502, 0.9941347525205828, 0.07288080345197043, 0.9250255822750093, 0.9923159800325648, 0.602563379222419, 0.30985206590211917, 0.08438524347972608, 0.9954081531073642, 0.9947304878301967, 0.9891026259991178, 0.0276919676836363, 0.06329592613402583, 0.8347150258924656, 0.007516391228415568, 0.02175797460857138, 0.0007911990766753229, 0.026109569530285655, 0.017801979225194765, 0.991924925770665, 0.9750868571427896, 0.021807054313097533, 0.9970111862914791, 0.9992147211429343, 0.997585158972275, 0.9800466837654173, 0.9952788362238897, 0.9705240531704313, 0.9934298323233985, 0.9997745182476142, 0.9713631148524728, 0.9902714668523018, 0.26410042012798873, 0.5354636018094971, 0.1287489548123945, 0.005942259452879746, 0.06536485398167721, 0.9954318800220813, 0.9815321672774368, 0.09896022198902862, 0.8906419979012576, 0.9944603521061085, 0.03529103018407324, 0.12704770866266366, 0.04352560389369032, 0.0011763676728024411, 0.7352297955015257, 0.054112912948912295, 0.05764065149094093, 0.9399860089291905, 0.98776934582325, 0.985828856044313, 0.9934268689412321, 0.9899890545553498, 0.9760142626246627, 0.25577295913610765, 0.7399146317865971, 0.9849614817669579, 0.9935866250878507, 0.18012317286254478, 0.09906774507439962, 0.7182411517893973, 0.2891618536085943, 0.19772418638641717, 0.04532807434945532, 0.094563741315243, 0.026571629791060015, 0.013285814895530008, 0.016411888988595892, 0.15239611203696185, 0.1617743343161595, 0.0015630370465329422, 0.15207512502962497, 0.8455376951647149, 0.13080955971986152, 0.25135954612836137, 0.01282446663920211, 0.06412233319601054, 0.03847339991760633, 0.03206116659800527, 0.02564893327840422, 0.03847339991760633, 0.04745052656504781, 0.03847339991760633, 0.060274993204249915, 0.02693137994232443, 0.18210742627666995, 0.03847339991760633, 0.0115420199752819, 0.15547833299006208, 0.38493892108320205, 0.029477304767632592, 0.280323388476506, 0.02658737292766861, 0.010981740991863121, 0.058376623167272386, 0.007513822783906347, 0.008669795519891938, 0.03583515481555335, 0.0005779863679927959, 0.04929983483593469, 0.061624793544918365, 0.13803953754061715, 0.15036449624960083, 0.023417421547068978, 0.24773167005057184, 0.04313735548144285, 0.06901976877030858, 0.04806733896503632, 0.09490218205917428, 0.061624793544918365, 0.012324958708983673, 0.984478921280385, 0.9889760793495056, 0.9942558024554529, 0.988999802506841, 0.0034325297938313853, 0.054920476701302165, 0.9405131635097995, 0.9943825064515524, 0.9921032670402513, 0.13968963362148537, 0.8549005577634905, 0.9834158138891713, 0.9946745505859688, 0.995398492706488, 0.995993331378676, 0.9977999941158372, 0.9964832878458977, 0.9835001260107167, 0.10897880513081307, 0.8879754492140325, 0.9839050143982644, 0.9886293343806098, 0.9970508136696576, 0.9946420719413359, 0.9951234542014156, 0.9721803288033367, 0.9944464451423422, 0.9960528122937458, 0.9942390228580533, 0.9973075818616158, 0.9964270992855555, 0.9967994990333461, 0.05020721782304407, 0.06393575394653268, 0.0857052897994932, 0.005099170560152914, 0.16003550681095297, 0.08962772869191851, 0.09884546008911801, 0.03059502336091748, 0.0437351936505423, 0.02549585280076457, 0.017454853071292666, 0.003922438892425318, 0.03687092558879799, 0.003726316947804052, 0.00431468278166785, 0.004118560837046584, 0.01529751168045874, 0.0017650975015913932, 0.030006657527053684, 0.0027457072246977227, 0.025691974745385832, 0.0011767316677275953, 0.007844877784850636, 0.00960997528644203, 0.001961219446212659, 0.0005883658338637977, 0.0086293655633357, 0.016866487237428868, 0.008040999729471901, 0.002157341390833925, 0.02824156002546229, 0.0003922438892425318, 0.0001961219446212659, 0.005883658338637977, 0.04589253504137622, 0.004118560837046584, 0.030987267250160013, 0.010394463064927093, 0.002157341390833925, 0.0003922438892425318, 0.005295292504774179, 0.0005883658338637977, 0.0001961219446212659, 0.0049030486155316475, 0.0011767316677275953, 0.0005883658338637977, 0.0023534633354551906, 0.993496492644818, 0.9657316787433422, 0.9961630562822963, 0.991453633282404, 0.029969253949369744, 0.7092723434684173, 0.14984626974684873, 0.1098872644810224, 0.9909157941740763, 0.9853962727366125, 0.5091843091266243, 0.0012729607728165606, 0.008910725409715924, 0.06492099941364458, 0.061102117095194905, 0.002545921545633121, 0.06746692095927771, 0.10056390105250829, 0.035642901638863696, 0.14639048887390446, 0.9965990275598783, 0.9934813181071918, 0.9971296564173023, 0.07689970829501651, 0.02314973917531639, 0.05241952433950952, 0.15938728466683352, 0.038316809669489194, 0.05774130346027191, 0.015167070494172806, 0.025012361867583224, 0.07583535247086404, 0.005587868076800508, 0.001330444780190597, 0.0010643558241524777, 0.00026608895603811943, 0.05747521450423379, 0.02155320543908767, 0.022351472307202032, 0.007450490769067343, 0.0021287116483049554, 0.033793297416841166, 0.014368803626058448, 0.012240091977753493, 0.00878093554925794, 0.004789601208686149, 0.0452351225264803, 0.011175736153601016, 0.02634280664777382, 0.0005321779120762389, 0.018626226922668358, 0.00026608895603811943, 0.0007982668681143582, 0.006120045988876746, 0.010377469285486657, 0.003991334340571791, 0.0015965337362287165, 0.005853957032838627, 0.01836013796663024, 0.09925118060221853, 0.03405938637287929, 0.9969847792994674, 0.9933105537860696, 0.997582818571809, 0.9939605219213491, 0.9862498748083389, 0.9807347250011281, 0.016110632032872742, 0.995833398587679, 0.12401751069616003, 0.8681225748731202, 0.9937755091408323, 0.06512648001316379, 0.9298614090768386, 0.9926342140278632, 0.021565682891436535, 0.1605445281918053, 0.4528793407201672, 0.02875424385524871, 0.06948942265018439, 0.04792373975874785, 0.02875424385524871, 0.0335466178311235, 0.15335596722799313, 0.9722028052058116, 0.02766289298992914, 0.9781647542442585, 0.31607481027555373, 0.6773031648761866, 0.9943783288447813, 0.9977236588723908, 0.9822319509509434, 0.9990778844934751, 0.27209383823564043, 0.004575267309600284, 0.0008074001134588737, 0.003229600453835495, 0.0002691333711529579, 0.1291840181534198, 0.004306133938447326, 0.013187535186494936, 0.01641713564033043, 0.0632463422209451, 0.0645920090767099, 0.004306133938447326, 0.4061222570698135, 0.017224535753789304, 0.9886477686788342, 0.9877859605549659, 0.9573558826838183, 0.04257032960996571, 0.9665373358368061, 0.02666309891963603, 0.9762687806483575, 0.0987401376839869, 0.16438302251300613, 0.05350722544886441, 0.2598134349114963, 0.06453964306718697, 0.03695859902138057, 0.0612299177816902, 0.0055162088091612795, 0.04688777487787087, 0.046336153996954746, 0.04081994518779347, 0.018203489070232223, 0.001103241761832256, 0.04854263752061926, 0.03530373637863219, 0.014342142903819327, 0.001103241761832256, 0.0016548626427483838, 0.9788938485430737, 0.9898753396470933, 0.9557083644676587, 0.9951317580278887, 0.9784199347453304, 0.9977724688024828, 0.9975670195374409, 0.09341134074291987, 0.09568966612689353, 0.07746306305510428, 0.012530789611855105, 0.03873153152755214, 0.047844833063446765, 0.07290641228715697, 0.05923645998331504, 0.12872538419451152, 0.027339904607683863, 0.026200741915697035, 0.04898399575543359, 0.0410098569115258, 0.011391626919868277, 0.17543105456597147, 0.03759236883556531, 0.003417488075960483, 0.982242980385341, 0.9795825156396494, 0.9920350718351958, 0.9996043475311028, 0.9910284345430824, 0.14167866433813578, 0.8563409534774047, 0.9804366326652726, 0.9924201931185588, 0.9643000455384237, 0.035129327706317805, 0.3583463044855142, 0.2650269543590782, 0.0029862192040459516, 0.05599161007586159, 0.0373277400505744, 0.011198322015172318, 0.058231274478896054, 0.0029862192040459516, 0.0007465548010114879, 0.02165008922933315, 0.0022396644030344636, 0.10003834333553938, 0.011198322015172318, 0.013437986418206782, 0.015677650821241246, 0.04106051405563184, 0.997643253711785, 0.22670164262292522, 0.7556721420764174, 0.9885293067725671, 0.5194260762533333, 0.47897896375819665, 0.997365027614596, 0.9936087952642851, 0.9965357030725615, 0.9902895942235652, 0.9976451610336586, 0.996172636943344, 0.9984742981866321, 0.2199652392560858, 0.6772613945516326, 0.09840550177245944, 0.44495108404450906, 0.5530910943439341, 0.9895123471470249, 0.9976263402149955, 0.9861080316523858, 0.9855404820430504, 0.9775516874363623, 0.9757143083638878, 0.9995388991439014, 0.9883447438617677, 0.2024643277826945, 0.7958942540423163, 0.9951684750905836, 0.9975996106384156, 0.9691141998757785, 0.0790030781139818, 0.08219512167414268, 0.35032678072765666, 0.038304522721930565, 0.07740705633390135, 0.09975136125502752, 0.0023940326701206603, 0.06862893654345893, 0.041496566282091446, 0.06144683853309695, 0.0909732414645851, 0.007182098010361982, 0.9926103447945582, 0.948643581876054, 0.041901620376482256, 0.9532618635649713, 0.9957574711811861, 0.9969287038918712, 0.9908033552627168, 0.9994531076750661, 0.9865223670727717, 0.9871379258772892, 0.9897537174333171, 0.9893764540976852, 0.9940632943784896, 0.7900047051625892, 0.2038721819774424, 0.9925150713925879, 0.1487622805308412, 0.028505347167586334, 0.5932675379253906, 0.03830406025644414, 0.022269802474676824, 0.0561199022361856, 0.05077514964226316, 0.011580297286831949, 0.024942178771638044, 0.02405138667265097, 0.9960247066396202, 0.9591941756072726, 0.9862735634335336, 0.08632494468463336, 0.9064119191886503, 0.9976670855646788, 0.9978394034203206, 0.15580031075984452, 0.13968303723296405, 0.7011013984193003, 0.02011818467786013, 0.18106366210074118, 0.7913152639958319, 0.9969805929440108, 0.9949790437049933, 0.9841897553819833, 0.2150880152819057, 0.13802439483330847, 0.13917459812358604, 0.506089447722131, 0.40908551981449714, 0.2533782285356228, 0.22789885359907971, 0.10899510389521204, 0.996743794027609, 0.9888942104651807, 0.10795737192300689, 0.8906483183648068, 0.9984132604822591, 0.9969336413268594, 0.22983628142066062, 0.6437323234811034, 0.09822878417563503, 0.02765664797178074, 0.6924565151640703, 0.06986668874973803, 0.02484148933324019, 0.017078523916602632, 0.020183710083257655, 0.11644448124956339, 0.05123557174980789, 0.0062103723333100476, 0.9917019356949157, 0.9973034128100491, 0.9861952667126551, 0.9922993696087486, 0.9891274963094463, 0.9789486155969496, 0.997712591969007, 0.46362700349307046, 0.012819179820084898, 0.30766031568203756, 0.07477854895049524, 0.13887444805091972, 0.9948318840442533, 0.9976269851248076, 0.9878142679039669, 0.3344709898400762, 0.5145707536001173, 0.04341690733500989, 0.10452218432502382, 0.9981409516631199, 0.9992460738638631, 0.33185810084795686, 0.1303187062690607, 0.018184005525915443, 0.04394468002096232, 0.2833674194455157, 0.03182200967035203, 0.15607938076410757, 0.004546001381478861, 0.9883435204187683, 0.9990058494347016, 0.9892801581834558, 0.995778310783202, 0.9985322480104666, 0.995136153737212, 0.7058533717364168, 0.29267091023217284, 0.9874266472252029, 0.006414393390218667, 0.08240028432050134, 0.037992945465141335, 0.020230009922997335, 0.16973471740270935, 0.0014802446285120002, 0.09374882647242667, 0.08930809258689068, 0.03601928596045867, 0.19933960997294936, 0.03503245620811734, 0.029604892570240005, 0.04046001984599467, 0.005920978514048001, 0.020723424799168003, 0.07055832729240534, 0.003453904133194667, 0.037499530588970674, 0.01973659504682667, 0.9957104129202319, 0.9877255618307369, 0.18995959358562564, 0.7994132896728412, 0.09634938717641534, 0.6329619463117285, 0.06958566851629996, 0.04549832172219613, 0.041483763923178825, 0.08029115598034611, 0.032116462392138445, 0.15065459608863926, 0.09890713742317293, 0.006949137213224292, 0.15166660636240978, 0.009917700682951173, 0.11030911984098754, 0.0063419310489619745, 0.0020914878991257577, 0.17447057119803902, 0.04284176825628568, 0.005734724884699658, 0.000944542922185826, 0.0013493470316940372, 0.007758745432240714, 0.004317910501420919, 0.037511847481094235, 0.018688456388962417, 0.01200918858207693, 0.00506005136885264, 0.0011469449769399317, 0.0012144123285246335, 0.0031034981728962855, 6.746735158470186e-05, 0.028876026478252395, 0.0011469449769399317, 0.005127518720437341, 0.0025637593602186705, 0.006814202510054888, 0.006949137213224292, 0.027526679446558358, 0.005937126939453764, 0.016057229677159043, 0.0006746735158470186, 0.00026986940633880744, 0.0006072061642623167, 0.0008096082190164224, 0.0003373367579235093, 0.011874253878907528, 0.021522085155519895, 0.005329920775191447, 0.0005397388126776149, 0.00013493470316940372, 6.746735158470186e-05, 0.00013493470316940372, 0.002833628766557478, 0.0007421408674317205, 0.9986088304084639, 0.996182311213187, 0.9894735914516113, 0.993167056503274, 0.9942022023996038, 0.856379820275547, 0.14092326156433052, 0.001238018930835016, 0.9978432582530229, 0.9048147446740228, 0.06983469505873331, 0.021254037626571007, 0.2662217341738616, 0.7315857104462811, 0.6983849560709123, 0.013727468423998277, 0.22907712932547125, 0.005147800658999354, 0.035176637836495585, 0.01887526908299763, 0.10299549502985057, 0.04440844720767583, 0.17335345656972254, 0.11209120108443478, 0.010968351418763308, 0.006152977625159904, 0.24157125197910406, 0.010700830652452007, 0.0005350415326226004, 0.021936702837526616, 0.02701959739744132, 0.015516204446055412, 0.026217035098507417, 0.0005350415326226004, 0.01203843448400851, 0.004815373793603404, 0.009898268353518107, 0.004012811494669503, 0.011503392951385909, 0.02648455586481872, 0.020331578239658813, 0.012305955250319809, 0.0002675207663113002, 0.08961945671428556, 0.0005350415326226004, 0.0018726453641791014, 0.009095706054584207, 0.0002675207663113002, 0.002942728429424302, 0.9884291837717074, 0.2988273253574725, 0.6448379126134932, 0.05347436348502139, 0.9959955132388161, 0.10218700235196233, 0.8953527825124319, 0.06571524358862112, 0.08488218963530227, 0.0013690675747629398, 0.8474528287782598, 0.9837592130640113, 0.0002810533191401417, 0.07981914263580024, 0.010961079446465526, 0.005621066382802834, 0.0014052665957007084, 0.03175902506283601, 0.030072705147995163, 0.020516892297230343, 0.13799717969780959, 0.043563264466721964, 0.029510598509714877, 0.0404716779561804, 0.02332742548863176, 0.048622224211244514, 0.004496853106242267, 0.05480539723232763, 0.009555812850764818, 0.011242132765605668, 0.00505895974452255, 0.03428850493509729, 0.008993706212484534, 0.006464226340223259, 0.032602185020256434, 0.04749801093468395, 0.00505895974452255, 0.07110648974245584, 0.014052665957007085, 0.07223070301901642, 0.012928452680446519, 0.010680026127325385, 0.028948491871434594, 0.01854951906324935, 0.010398972808185242, 0.004215799787102126, 0.0011242132765605668, 0.030353758467135304, 0.0002810533191401417, 0.0002810533191401417, 0.9842357360565007, 0.2957416427721761, 0.04097103734014538, 0.12147046986057186, 0.5412793383810756, 0.34424652870714045, 0.6502434431134876, 0.997933129414371, 0.9962829836580672, 0.9927502937620145, 0.5866581208928422, 0.06059870171288486, 0.047016234087583084, 0.053807467900233975, 0.027687337851576704, 0.0041792208077851625, 0.011492857221409199, 0.02246331184184525, 0.0966444811800319, 0.08724123436251528, 0.0020896104038925813, 0.999044350261746, 0.9944755963569732, 0.9896478199193623, 0.9934408597969137, 0.9992757802447094, 0.9870560315540278, 0.9925550983084517, 0.2316452849464224, 0.043953207912910915, 0.03920150976016379, 0.06771169867664655, 0.6046535899370717, 0.011879245381867815, 0.9955017141014668, 0.057063212246244326, 0.9422562922161094, 0.9826458086137513, 0.9950520766171537, 0.9984688913685769, 0.1202733504692426, 0.8719817909020089, 0.997599710610289, 0.9898506165962763, 0.9797066290166191, 0.9943653207246815, 0.9887012110151353, 0.9912279067658978, 0.9849549803287869, 0.002688348818229011, 0.0013441744091145056, 0.2748836666639164, 0.28362080032316067, 0.1451708361843666, 0.004032523227343516, 0.03494853463697714, 0.002688348818229011, 0.23388634718592397, 0.0060487848410152745, 0.009409220863801538, 0.9901375640231935, 0.9847913773947645, 0.28563448565084065, 0.13953408781793938, 0.023802873804236718, 0.10013622772816827, 0.06894625515709946, 0.20601797671942815, 0.031189972571068803, 0.026265240059847414, 0.008207887518702318, 0.0008207887518702317, 0.013132620029923707, 0.021340507548626025, 0.041039437593511585, 0.027086028811717646, 0.0032831550074809267, 0.023355766387616984, 0.042870123829902226, 0.08927434388950967, 0.22341634426049406, 0.28933492176238673, 0.12630552612250764, 0.0009219381468796178, 0.013829072203194268, 0.005070659807837898, 0.01259982134068811, 0.002919470798452123, 0.024738673607936412, 0.0007682817890663482, 0.023816735461056796, 0.00875841239535637, 0.010602288689115605, 0.0032267835140786624, 0.0018438762937592356, 0.004609690734398089, 0.006760879743783864, 0.010909601404742144, 0.0023048453671990444, 0.04425303105022166, 0.005685285239090977, 0.005224316165651168, 0.007068192459410403, 0.005838941596904246, 0.0023048453671990444, 0.0015365635781326964, 0.00015365635781326964, 0.9932568868988116, 0.5209812288505993, 0.15322977319135273, 0.12119082061497898, 0.1072608412339469, 0.054326919586025056, 0.0027859958762064133, 0.03900394226688978, 0.2545213690253971, 0.7408389848417809, 0.9851666892194759, 0.34573285444872803, 0.651753151291859, 0.9883376895464662, 0.9975103059045052, 0.02884420235827524, 0.8810592720345891, 0.07342160600288243, 0.01311100107194329, 0.965297984553115, 0.9944215086886059, 0.0008689321578138712, 0.00028964405260462376, 0.7455437914043016, 0.08457606336055014, 0.16770390645807715, 0.0005792881052092475, 0.9878108850206652, 0.9954364313322364, 0.00399903845098111, 0.07464871775164739, 0.03599134605882999, 0.017329166620918143, 0.1612945508562381, 0.014663140986930735, 0.13063525606538293, 0.014663140986930735, 0.022661217888892957, 0.053320512679748126, 0.021328205071899253, 0.28393173001965877, 0.06265160239870406, 0.022661217888892957, 0.01199711535294333, 0.027993269156867766, 0.0026660256339874067, 0.03332532042484258, 0.4305487093679928, 0.006239836367652069, 0.5491056003533821, 0.9948687355780308, 0.995542641959743, 0.99268289360601, 0.258404679514851, 0.0172269786343234, 0.6029442522013191, 0.11628210578168297, 0.9970357034106884, 0.9549010705480087, 0.12081299770538553, 0.06163928454356405, 0.5029765618754827, 0.04438028487136612, 0.14546871152281116, 0.12081299770538553, 0.8920807729488912, 0.05453768917591475, 0.04674659072221264, 0.9991079629203344, 0.9740630211686392, 0.08833452004698115, 0.8677567557556384, 0.04330123531714762, 0.9934516419049114, 0.8460722937175762, 0.14445136722007398, 0.11610897343148616, 0.8799837986386319, 0.9903934815734573, 0.06426853423669229, 0.9283232723077776, 0.025992563475670707, 0.4736962502575503, 0.09376756543559714, 0.003400896155695233, 0.1603279616256324, 0.06728915965196997, 0.12704776353061478, 0.048341309641667954, 0.9932313089501772, 0.9942748302907785, 0.9861795712914901, 0.011547770155638059, 0.10770026015202991, 0.8909748794395201, 0.9845329408737588, 0.9933847122196531, 0.9955434742877621, 0.9918833642261108, 0.13605233393572852, 0.2947800568607451, 0.5691522636311309, 0.9918532936114266, 0.9963223296078298, 0.24055817714319458, 0.7537489550486763, 0.9972161468503067, 0.27422786063227034, 0.05146573294779303, 0.20663107705904965, 0.1010111027258923, 0.006913307410897572, 0.014978832723611406, 0.00960181584846885, 0.1010111027258923, 0.03072581071510032, 0.012290324286040127, 0.006145162143020064, 0.004992944241203802, 0.005377016875142556, 0.03763911812599789, 0.02803730227752904, 0.027269157009651532, 0.05300202348354805, 0.00768145267877508, 0.019971776964815207, 0.999321369268815, 0.9925803600148406, 0.11607394567762679, 0.027249066515784334, 0.009554867479560742, 0.029018486419406696, 0.0005308259710867079, 0.8172950534831679, 0.254100417024694, 0.392471931246062, 0.12076059422955754, 0.002515845713115782, 0.18617258277056786, 0.04528522283608408, 0.9979895946438362, 0.052405280467430336, 0.012996509555922724, 0.04653588905507814, 0.002934695706176099, 0.13122282229044557, 0.11906479722200174, 0.12283797741565672, 0.11529161702834674, 0.008384844874788855, 0.008384844874788855, 0.007127118143570526, 0.0402472553989865, 0.04360119334890204, 0.0008384844874788854, 0.048212858030035916, 0.0033539379499155416, 0.03270089501167653, 0.023896807893148235, 0.028508472574282104, 0.04527816232385981, 0.03857028642402873, 0.002515453462436656, 0.0033539379499155416, 0.011738782824704396, 0.009223329362267739, 0.015092720774619938, 0.0016769689749577708, 0.02305832340566935, 0.0008384844874788854, 0.9929824915633483, 0.9915410123464331, 0.5543056652155102, 0.3033513590731648, 0.13788698139689307, 0.995327620672773, 0.9983860659897086, 0.9945185908613914, 0.006817691106954298, 0.0926403909239084, 0.03489053684147199, 0.04732279709532983, 0.08301541524350232, 0.1961088794882736, 0.008020813067005056, 0.014838504173959353, 0.07860396805664954, 0.07178627694969525, 0.010427056987106572, 0.004812487840203034, 0.008421853720355308, 0.07820292740329929, 0.008020813067005056, 0.032083252268020224, 0.03047908965461921, 0.00441144718685278, 0.004010406533502528, 0.0008020813067005055, 0.002406243920101517, 0.06015609800253792, 0.008421853720355308, 0.009223935027055813, 0.002005203266751264, 0.08261437459015207, 0.001604162613401011, 0.01724474809406087, 0.9971611177894881, 0.9900343251534315, 0.09302308665011151, 0.014470257923350681, 0.051679492583395284, 0.057881031693402724, 0.44444363621719946, 0.06614975050674597, 0.024806156440029738, 0.04134359406671623, 0.142635399530171, 0.008268718813343246, 0.0537466722867311, 0.9930877198847309, 0.9978720700839895, 0.9932452833627643, 0.9680581940724757, 0.9813583686575228, 0.0671924870257644, 0.187431674335027, 0.07780182708246404, 0.6294875100308455, 0.03536446685566547, 0.9900755657636149, 0.1841262394445064, 0.2554009127778637, 0.56029812648167, 0.9888128666664282, 0.9910749814551172, 0.9900730362191039, 0.10269392653806943, 0.025673481634517357, 0.1928028130592186, 0.12182318736378825, 0.08054425610828975, 0.011578236815566651, 0.013591843218273895, 0.0015102048020304328, 0.04077552965482169, 0.012585040016920273, 0.005034016006768109, 0.008054425610828975, 0.3765443973062546, 0.007047622409475354, 0.11977179951920065, 0.3309483934083176, 0.5452768767584661, 0.9966855898484162, 0.987882202497697, 0.9861798130169995, 0.8257482756961131, 0.17296078747688856, 0.9965546003594343, 0.9737019648913452, 0.9887244069647649, 0.15829310923369275, 0.8354358542889339, 0.9914543202422693, 0.9948182342061836, 0.9960825099746106, 0.999001317388889, 0.9821049048712323, 0.9973011859855412, 0.1681379196880447, 0.48261810280827644, 0.14634226343218704, 0.05137547546023588, 0.04826181028082764, 0.03269348438378647, 0.06694380135727705, 0.9546211870217192, 0.9905147529166697, 0.9911734915813966, 0.9946258750821636, 0.15111828967713273, 0.519399158593997, 0.04029821058056873, 0.06492489482424962, 0.01567152633688784, 0.01567152633688784, 0.0638055000859005, 0.06716368430094788, 0.019029710551935232, 0.011193947383491314, 0.011193947383491314, 0.014552131598538708, 0.003358184215047394, 0.9830852034814721, 0.9690127024135661, 0.9902223049766408, 0.9883364554633599, 0.9913569687403315, 0.9991315611508744, 0.9804120823609284, 0.9985445024485896, 0.15835427647685713, 0.8344052260511318, 0.9934963479851752, 0.9859339661725506, 0.997451294302228, 0.9109698254908125, 0.07229919249927083, 0.998814058762877, 0.9197638130077933, 0.07664698441731611, 0.999013216063615, 0.9848095184715465, 0.9916040668439741, 0.997728919141751, 0.9960466731946155, 0.9862168609941874, 0.0422432591535664, 0.08713856148269301, 0.15817543225662756, 0.05853438151772205, 0.07558323050346634, 0.0003788633107943175, 0.0767198204358493, 0.1267297774606992, 0.012881352567006794, 0.030119633208148238, 0.071605165740126, 0.00890328780366646, 0.005682949661914762, 0.033908266316091416, 0.014775669120978382, 0.007008971249694873, 0.02216350368146757, 0.00018943165539715874, 0.00018943165539715874, 0.02822531665417665, 0.0011365899323829524, 0.00018943165539715874, 0.000757726621588635, 0.014017942499389747, 0.020269187127495984, 0.011555330979226683, 0.005872381317311921, 0.01344964753319827, 0.007387834560489191, 0.002083748209368746, 0.002083748209368746, 0.0026520431755602224, 0.0003788633107943175, 0.014207374154786906, 0.002841474830957381, 0.00151545324317727, 0.008713856148269302, 0.016859417330347126, 0.008713856148269302, 0.00018943165539715874, 0.0026520431755602224, 0.0003788633107943175, 0.9936832267843271, 0.03050247865611676, 0.2808530110223581, 0.5985392038181402, 0.08920536210751127, 0.9872762118696591, 0.9779606753510449, 0.9981553032680456, 0.8659666937216185, 0.133553517981677, 0.971351334056698, 0.32584409832928296, 0.09627211996092451, 0.11972302097704715, 0.32337558243284903, 0.13329985840743394, 0.8243534547695014, 0.16726012125758, 0.9937186545024099, 0.9902726619769219, 0.9935965939126009, 0.9927097446662644, 0.989225196786137, 0.991687241518786, 0.9840943616854371, 0.9881987121842951, 0.9807839258495284, 0.9966022069145296, 0.0971639824545369, 0.894931417344419, 0.05440460256677375, 0.5730618137033502, 0.03264276154006425, 0.10064851474853144, 0.059845062823451124, 0.09974177137241855, 0.03445624829229004, 0.03717647842062873, 0.008160690385016062, 0.9939542401867026, 0.9842871429096465, 0.40309300224528355, 0.012238117715226824, 0.06730964743374754, 0.03594947078847879, 0.020651823644445264, 0.05889594150452909, 0.03518458843127712, 0.010708353000823472, 0.009178588286420118, 0.03365482371687377, 0.021416706001646944, 0.014532764786831853, 0.1889259422288141, 0.016062529501235205, 0.04742270614650394, 0.02371135307325197, 0.9995524518571188, 0.997680020875534, 0.9971266421871251, 0.9665914968334213, 0.9966389840917189, 0.0956125479200387, 0.3513205249154911, 0.011858920672252863, 0.00185295635503951, 0.09894786935910983, 0.051882777941106274, 0.014082468298300276, 0.00370591271007902, 0.014082468298300276, 0.010376555588221256, 0.055218099380177396, 0.00037059127100790197, 0.03001789295164006, 0.055959281922193196, 0.0007411825420158039, 0.01334128575628447, 0.001111773813023706, 0.009635373046205452, 0.06188874225831963, 0.010005964317213354, 0.002594138897055314, 0.0029647301680632157, 0.021494293718458315, 0.004447095252094824, 0.007041234149150138, 0.04113563108187712, 0.002223547626047412, 0.013711877027292374, 0.010376555588221256, 0.00185295635503951, 0.9973731186829223, 0.26733038595523195, 0.6078248775403169, 0.0703501015671663, 0.038458055523384244, 0.001876002708457768, 0.013132018959204376, 0.000938001354228884, 0.9941950496940428, 0.15289189584983803, 0.12165591712782813, 0.07562394848486613, 0.03287997760211571, 0.046031968642961996, 0.34523976482221497, 0.059183959683808275, 0.069047952964443, 0.016439988801057855, 0.009863993280634713, 0.016439988801057855, 0.02137198544137521, 0.029591979841904138, 0.998057817750055, 0.9932046537750973, 0.9931635822173948, 0.1361278340943507, 0.314474240989335, 0.11555981144788817, 0.02814571520042241, 0.04952563347766636, 0.019756127015681114, 0.06576354609329467, 0.05629143040084482, 0.0067657969231784635, 0.021921182031098222, 0.010825275077085542, 0.007307060677032741, 0.025439396431151024, 0.0013531593846356927, 0.024086237046515333, 0.018132335754118285, 0.015155385107919759, 0.00027063187692713856, 0.010013379446304127, 0.005953901292397049, 0.028957610831203826, 0.012449066338648373, 0.007036428800105602, 0.0037888462769799396, 0.0016237912615628314, 0.0027063187692713854, 0.009742747569376988, 0.0005412637538542771, 0.01782807421409449, 0.16092182777458977, 0.05583002188098012, 0.07553473548603193, 0.01501311512765852, 0.005629918172871945, 0.005160758325132617, 0.019704713605051806, 0.12151240056448614, 0.042693546144278914, 0.017358914366355165, 0.04222438629653959, 0.04785430446941153, 0.008914037107047246, 0.1421554338650166, 0.022988832539227108, 0.034248668884971, 0.009852356802525903, 0.006568237868350603, 0.03940942721010361, 0.014543955279919191, 0.020173873452791136, 0.005629918172871945, 0.018297234061833822, 0.00750655756382926, 0.024865471930184423, 0.00046915984773932874, 0.001876639390957315, 0.01501311512765852, 0.22477521292813926, 0.13961193349573867, 0.04467581871863638, 0.09772835344701707, 0.18428775221437504, 0.016753432019488642, 0.2624704349719887, 0.0013961193349573868, 0.016753432019488642, 0.009772835344701707, 0.004955644592088348, 0.19227901017302793, 0.16551852937575084, 0.06739676645240153, 0.03964515673670679, 0.012884675939429706, 0.23588868258340537, 0.02576935187885941, 0.060458864023477846, 0.0753257977997429, 0.019822578368353393, 0.040636285655124456, 0.05847660618664251, 0.994652286566733, 0.9969557171664208, 0.9960736803019301, 0.10141978648045362, 0.0664091204625436, 0.019450370009950008, 0.7535629066712061, 0.029731279872352155, 0.004445798859417145, 0.020561819724804295, 0.004167936430703573, 0.1446806290503561, 0.04650448790904304, 0.06717314920195105, 0.5580538549085164, 0.09817614114131308, 0.07750747984840507, 0.9986346610007358, 0.9979990344530659, 0.9843254343057597, 0.9889240917154837, 0.9856015881848601, 0.9963643366335576, 0.9806859441457723, 0.8497421483704384, 0.14778124319485886, 0.2305938655266858, 0.09882594236857963, 0.6149169747378287, 0.04392264105270205, 0.9900631838477794, 0.20812142094970415, 0.7862364791433267, 0.9904499188177828, 0.1431481418528063, 0.8529243452063042, 0.9949268394643451, 0.9914921743404665, 0.9921962715564905, 0.9962623390388667, 0.9826733279317523, 0.9859123760218198, 0.9975261867979274, 0.9575218372058333, 0.05817536594630115, 0.9395321600327635, 0.977517637123832, 0.9914583332030729, 0.9875907119255837, 0.9944660900694785, 0.9664820810228928, 0.18201419890613837, 0.2575307282395362, 0.3201384491398746, 0.004518082951570811, 0.058735078370420545, 0.046471710359014054, 0.023235855179507027, 0.003227202108264865, 0.000645440421652973, 0.04453538909405514, 0.003227202108264865, 0.02129953391454811, 0.005808963794876757, 0.00645440421652973, 0.012263368011406487, 0.00839072548148865, 0.9975441368937302, 0.01888547142052025, 0.9757493567268796, 0.9782902311372323, 0.0870887779711311, 0.032447593082792395, 0.008849343568034289, 0.14833185409276523, 0.004635370440398913, 0.0033711785021083005, 0.09902836849943134, 0.0022474523347388673, 0.001404657709211792, 0.014608440175802637, 0.025143372994891076, 0.0019665207928965087, 0.007163754316980139, 0.0005618630836847168, 0.0011237261673694337, 0.0051972335240836305, 0.02963827766436881, 0.001404657709211792, 0.000702328854605896, 0.02584570184949697, 0.489944608973073, 0.001404657709211792, 0.006742357004216601, 0.0011237261673694337, 0.18247331367433292, 0.757038045161282, 0.05881371267189243, 0.27869116049827625, 0.7178408679501055, 0.9925161159904923, 0.9982600339457406, 0.06759762965933488, 0.014250311117373302, 0.015711881488385947, 0.04238554075936674, 0.0855018667042398, 0.017173451859398593, 0.0032885333347784543, 0.08002097781294239, 0.1863502223041124, 0.0010961777782594847, 0.051885748170948945, 0.05005878520718313, 0.03288533334778454, 0.30071810383585196, 0.007673244447816393, 0.0032885333347784543, 0.013154133339113817, 0.005480888891297424, 0.008404029633322716, 0.001826962963765808, 0.009865600004335362, 0.0010961777782594847, 0.9937875716630648, 0.9598697440492219, 0.993084784054642, 0.981996974271148, 0.978626035674613, 0.21370680014677568, 0.0641120400440327, 0.029918952020548596, 0.23080334415851775, 0.04701549603229065, 0.4060429202788738, 0.9954554582840328, 0.9856824355062419, 0.9956396861794188, 0.42318355449565187, 0.05533938789558525, 0.5208412978408024, 0.9948427667046459, 0.9988908771226833, 0.9926668316818187, 0.9968003157000784, 0.997548007514198, 0.9775990629608237, 0.9911387200654086, 0.0034177197243634777, 0.11122904903294742, 0.1690178039317524, 0.006293824790958959, 0.11935380467218534, 0.002746396272418455, 0.008811354707342543, 0.0042340275866451175, 0.000572165890087178, 0.003318562162505633, 0.10173109525750026, 0.10161666207948283, 0.00022886635603487122, 0.18480958249815851, 0.014189714074162016, 0.027463962724184547, 0.0021742303823312765, 0.011901050513813304, 0.016135078100458423, 0.019682506618998927, 0.0016020644922440986, 0.001144331780174356, 0.0012587649581917919, 0.03627531743152709, 0.02277220242546969, 0.000572165890087178, 0.029638193106515824, 0.0008010322461220493, 0.00011443317801743561, 0.00034329953405230686, 0.9915663394537837, 0.9857855753923925, 0.9950720270323814, 0.9961885357566532, 0.8636174455418316, 0.12561708298790278, 0.9887577526773271, 0.9912147582680583, 0.9965175791536818, 0.9947394671409959, 0.9975377482006407, 0.9970650837541971, 0.4252797430633197, 0.18644683024556516, 0.0035717783571947346, 0.0004762371142926313, 0.02905046397185051, 0.0019049484571705252, 0.23121311898907249, 0.07095933002960206, 0.00023811855714631565, 0.005000489700072629, 0.0016668299000242096, 0.010000979400145257, 0.03381283511477682, 0.9965284014206169, 0.3048175200591926, 0.6896881261945368, 0.13642213579331344, 0.04238357616879641, 0.00044149558509162925, 0.11434735653873197, 0.022957770424764722, 0.40970790296503196, 0.04503254967934618, 0.044591054094254554, 0.005739442606191181, 0.0008829911701832585, 0.03443665563714708, 0.016776832233481913, 0.020750292499306575, 0.003973460265824663, 0.050771992285537366, 0.002207477925458146, 0.0026489735105497754, 0.011037389627290731, 0.027814221860772644, 0.005297947021099551, 0.001765982340366517, 0.9911380855957829, 0.7522797337144463, 0.07160140852411913, 0.02231732213738778, 0.00371955368956463, 0.06230252430020755, 0.06602207798977218, 0.020457545292605465, 0.99130446626338, 0.9947790488113392, 0.9902060682472177, 0.9882325393686154, 0.9938333294231096, 0.15784963527916593, 0.33678849308550785, 0.0779662166156204, 0.08307875541008733, 0.01917202047925092, 0.053681657341902576, 0.10928051673173025, 0.001278134698616728, 0.00639067349308364, 0.018532953129942558, 0.004473471445158549, 0.001278134698616728, 0.01917202047925092, 0.0159766837327091, 0.010225077588933824, 0.08499595745801242, 0.18454907966772027, 0.8046339873512603, 0.9963710761992888, 0.7110668719587723, 0.0862480033373174, 0.147579916821632, 0.053665424298775265, 0.9938995819760842, 0.9987605446627476, 0.0007220160239391068, 0.14151514069206492, 0.8563110043917807, 0.9923186079317122, 0.9880056611246519, 0.9749259701251992, 0.9990391454017742, 0.9907542760065092, 0.9947447031809349, 0.9945495228078516, 0.10231894849967897, 0.5535913258378153, 0.032070118186466545, 0.03054296970139671, 0.10880932956122578, 0.009926465152953931, 0.10842754243995832, 0.006490381061546801, 0.0072539553040817184, 0.04008764773308318, 0.10343091785482414, 0.8914760062725319, 0.9927572613800131, 0.99079437347947, 0.1466273245173075, 0.020364906182959375, 0.3755288700137709, 0.15151490200121775, 0.0008145962473183751, 0.19468850310909164, 0.012218943709775625, 0.00488757748391025, 0.043173601107873875, 0.048061178591784125, 0.9976355575456918, 0.983549930126234, 0.9923124064480274, 0.9957809870003269, 0.9959416663496511, 0.0026098860167945597, 0.05314676979654376, 0.0004745247303262836, 0.9434737950712333, 0.9763003662651144, 0.9749467517372402, 0.9933607163989919, 0.997521435890074, 0.9990131437281554, 0.012122709924778954, 0.033061936158488056, 0.9532858259030722, 0.9839505440142502, 0.989265484536928, 0.9861149712435432, 0.9889994505050873, 0.9987407642512868, 0.9840250222963226, 0.04663778296866312, 0.9483015870294833, 0.9903028811453822, 0.991602801238701, 0.9965133106081515, 0.9949187397007405, 0.9987694333009661, 0.995588892348484, 0.9887548755454486, 0.9925467787379241, 0.9752828025266188, 0.996420637264511, 0.969165543101099, 0.9861251523879635, 0.9916316472389904, 0.42346144574943817, 0.5147465478271614, 0.05832103743854538, 0.9981313705861213, 0.989572364449954, 0.9956023868031086, 0.9958048683998705, 0.9964940703584629, 0.9786680485803424, 0.9920192090177519, 0.9992934452630293, 0.00029811856958920923, 0.9825114703386131, 0.9960241281920361, 0.14721545386582532, 0.13161313225953272, 0.2594515092917366, 0.004529706272794625, 0.12481857285034079, 0.027933188682233524, 0.03321784600049392, 0.04529706272794625, 0.0030198041818630837, 0.007297860106169118, 0.007549510454657709, 0.0027681538333744933, 0.02516503484885903, 0.0037747552273288544, 0.046303664121900616, 0.004278055924306035, 0.006291258712214758, 0.028436489379210703, 0.012079216727452335, 0.01686057334873555, 0.020132027879087223, 0.020886978924552994, 0.00905941254558925, 0.00905941254558925, 0.0030198041818630837, 0.09463879843319249, 0.9013218898399286, 0.9744254922628844, 0.8185321913720564, 0.009145611076782752, 0.16919380492048092, 0.9895494208826925, 0.9842526510031144, 0.05855727600457725, 0.18543137401449464, 0.1327298256103751, 0.017567182801373173, 0.09564355080747618, 0.20885428441632553, 0.298642107623344, 0.9944208292136348, 0.24586187530209538, 0.06823921436956117, 0.056866011974634305, 0.01438375597005456, 0.017394309545182257, 0.02575695836498142, 0.013045732158886695, 0.12711226206094728, 0.015721779781222425, 0.01438375597005456, 0.02508794645939749, 0.0020070357167517993, 0.018732333356350123, 0.017394309545182257, 0.010704190489342928, 0.04114423219341188, 0.011373202394926861, 0.057869529833010205, 0.03311608932640468, 0.0023415416695437654, 0.004683083339087531, 0.0010035178583758997, 0.005017589291879497, 0.01639079168680636, 0.016056285734014394, 0.03177806551523682, 0.0010035178583758997, 0.009031660725383096, 0.0016725297639598325, 0.0030105535751276985, 0.010369684536550962, 0.003345059527919665, 0.000669011905583933, 0.036126642901532384, 0.0016725297639598325, 0.003345059527919665, 0.0020070357167517993, 0.005352095244671464, 0.008362648819799163, 0.0023415416695437654, 0.010369684536550962, 0.00769363691421523, 0.9767492497675432, 0.9923487881685746, 0.9953991044879406, 0.9789749524626518, 0.11686936255842148, 0.8797665903703394, 0.9819875864023794, 0.9905033832271191, 0.967502528475065, 0.9621865188333574, 0.9975852636834356, 0.9911189927424443, 0.9925034305194828, 0.33564837334281933, 0.4434253739574861, 0.21863334410403829, 0.9978825753940164, 0.9933907615303557, 0.9975107912531728, 0.003074479355282831, 0.08531680210909856, 0.8854500543214554, 0.023058595164621234, 0.003074479355282831, 0.9946839690975846, 0.989673008857861, 0.9925414428191149, 0.9904979226785926, 0.9906230289047344, 0.9941249450149846, 0.9775594079057197, 0.9992187713178787, 0.8624571077621472, 0.07866058311837174, 0.056186130798836956, 0.9985585350947819, 0.9936300584175393, 0.9785583162233124, 0.9941234306833808, 0.2133421944453776, 0.05485942142881138, 0.7253634611142838, 0.9932511147303705, 0.9949708460607403, 0.9913163621485079, 0.9923251104054276, 0.9909989592630055, 0.2267374967262935, 0.16877931638333646, 0.007642836968302029, 0.011464255452453043, 0.0445832156484285, 0.0006369030806918357, 0.024202317066289756, 0.09298784978100802, 0.0012738061613836713, 0.399338231593781, 0.012738061613836714, 0.007642836968302029, 0.04378716002837778, 0.1357401960879711, 0.6349138204114777, 0.17952735611634887, 0.2805500361257974, 0.09643907491824286, 0.5611000722515948, 0.06137032040251818, 0.9874926493042667, 0.9976561467995888, 0.99542869797671, 0.9799832081409902, 0.032411154713185335, 0.09041006314730646, 0.8768070275040664, 0.9871376298807363, 0.990620451988504, 0.8669934886765157, 0.035464873861478265, 0.04304855758028493, 0.0006691485634241182, 0.005353188507392945, 0.0028996437748378453, 0.045279052791698665, 0.9474551207760469, 0.019738648349500978, 0.9843649235982155, 0.9954310546605201, 0.9846116896488157, 0.9944643889346995, 0.9866209838602598, 0.9892463368083195, 0.9988449842732263, 0.9903254500270634, 0.21777286167959176, 0.780738876447047, 0.9954894974390678, 0.9725744558017654, 0.15778285601320044, 0.8332907083197149, 0.9956620028795466, 0.960769415611396, 0.03640810417053711, 0.9947983443214782, 0.9666991459842974, 0.9989511643999563, 0.996146223796025, 0.9979850258611749, 0.9914287206825517, 0.9735339309354879, 0.9982008730816293, 0.9919158358422565, 0.9723518754515365, 0.9947325849238601, 0.9955932792159835, 0.9515683234456513, 0.2209878119326071, 0.07886844171446403, 0.01405576188970646, 0.008589632265931726, 0.03670115604534464, 0.011713134908088717, 0.07652581473284628, 0.0007808756605392477, 0.0078087566053924775, 0.0015617513210784955, 0.057784798879904335, 0.03748203170588389, 0.10541821417279845, 0.034358529063726904, 0.13352973795221137, 0.0702788094485323, 0.020302767174020443, 0.04763341529289412, 0.003123502642156991, 0.015617513210784955, 0.0015617513210784955, 0.014836637550245708, 0.004820887229059514, 0.0506193159051249, 0.20006682000596984, 0.14060921084756917, 0.24666872988687846, 0.04338798506153563, 0.09481078217150378, 0.1550718725347477, 0.004820887229059514, 0.02812184216951383, 0.029728804579200335, 0.9975866583423325, 0.15478144968695196, 0.14071040880631996, 0.6988616970713891, 0.992613947289063, 0.9961598073650721, 0.10140618000826407, 0.7947414572740696, 0.10140618000826407, 0.9943462740401124, 0.9326180816170077, 0.03647854257441055, 0.030815207197561426, 0.9993305016652662, 0.9904795708781174, 0.9928817165071164, 0.9934438467094248, 0.9908967811517022, 0.9988999456029842, 0.0836402863770332, 0.1498172162577628, 0.0542283175411534, 0.57629076437802, 0.10478013897782182, 0.02941196883587981, 0.0009191240261212441, 0.1903292680171115, 0.8036124649611375, 0.42204866967148513, 0.5706573561755293, 0.997864647385146, 0.5125822030335264, 0.024295253117760082, 0.02381887560564714, 0.033346425847905994, 0.13862585602486635, 0.04049208852960014, 0.0009527550242258856, 0.004287397609016485, 0.0014291325363388284, 0.002858265072677657, 0.2072242177691301, 0.009051172730145914, 0.9914035636442148, 0.9925486699505228, 0.9935746685752228, 0.3682703735415924, 0.6295669719115794, 0.9963216463722655, 0.9944117020551015, 0.9987100809528453, 0.06056518553531714, 0.6758524113145618, 0.2629079644828539, 0.9946594328718348, 0.9937412119454875, 0.994775525283698, 0.43017518700501606, 0.17118463702429457, 0.08706804814166706, 0.12174769443538191, 0.12174769443538191, 0.04279615925607364, 0.008116512962358794, 0.014757296295197807, 0.9975636762769676, 0.6361946406531259, 0.18546691219040282, 0.012939552013283917, 0.16390099216826295, 0.9862631605423717, 0.9830247929939256, 0.9968391401678351, 0.9954235486820091, 0.995024900454765, 0.9349354715485011, 0.9622083366050554, 0.9872729277805989, 0.994136480933338, 0.997224527103625, 0.9988416333992596, 0.968026634999736, 0.988016455118761, 0.9952533450245095, 0.4530894286935031, 0.5406867182409137, 0.9934228828070498, 0.9895293480282055, 0.09164104915534353, 0.16256325241469635, 0.08845353440211419, 0.021515724584298044, 0.047015842610132766, 0.01115630163630269, 0.03506266228552274, 0.32193899007616333, 0.06773468850612348, 0.04303144916859609, 0.08685977702549952, 0.023109481960912715, 0.994253530088958, 0.22621984738143247, 0.12633606069851747, 0.15469289844324333, 0.03745642000609312, 0.10051864125928944, 0.06348545763744597, 0.0029626546897474786, 0.024759328478603928, 0.0006348545763744597, 0.029203310513225146, 0.00042323638424963977, 0.0008464727684992795, 0.004655600226746038, 0.03914936554309168, 0.05713691187370137, 0.001904563729123379, 0.03364729254784636, 0.029203310513225146, 0.002116181921248199, 0.029838165089599605, 0.00021161819212481988, 0.03258920158722226, 0.0014813273448737393, 0.00021161819212481988, 0.984818636524384, 0.9920117937823367, 0.9978630389798745, 0.45833678373610914, 0.0003829045812331739, 0.3576328788717844, 0.11640299269488485, 0.0003829045812331739, 0.0003829045812331739, 0.012252946599461564, 0.003829045812331739, 0.026037511523855823, 0.023357179455223608, 0.9831404139609183, 0.051262312764520486, 0.2420720324991245, 0.552493815350943, 0.15378693829356146, 0.9929123296879926, 0.9903253023038969, 0.9902612719913853, 0.9843456212148707, 0.026063399708381972, 0.029856207874900245, 0.024021118387949056, 0.11835506509365992, 0.058448146360961066, 0.04356866816923553, 0.03413527349866445, 0.047361476335753806, 0.19236345008649083, 0.01925579530693892, 0.010794915550859699, 0.0007780119315934917, 0.01128117300810563, 0.03199574068678235, 0.030731471297942924, 0.04181814132315018, 0.005932340978400374, 0.012739945379843427, 0.007585616333036544, 0.02363211242215231, 0.00038900596579674585, 0.00797462229883329, 0.0008752634230426782, 0.005932340978400374, 9.725149144918646e-05, 0.03335726156707096, 0.004084562640865832, 0.004765323081010137, 0.031801237703883975, 0.0071966103672397985, 0.003792808166518272, 0.0018477783375345429, 0.03394077051576608, 0.013615208802886105, 0.015851993106217394, 0.0021395328118821023, 9.725149144918646e-05, 0.002236784303331289, 0.011961933448249935, 0.0018477783375345429, 0.013031699854190986, 0.004765323081010137, 0.0019450298289837293, 0.0010697664059410512, 0.0008752634230426782, 0.0002917544743475594, 0.004668071589560951, 0.0023340357947804753, 0.0007780119315934917, 0.0008752634230426782, 0.0015560238631869834, 0.005835089486951188, 0.0005835089486951188, 0.0011670178973902377, 9.725149144918646e-05, 0.005640586504052815, 0.9850416841696, 0.9923427897026951, 0.9983139584461526, 0.97320838531687, 0.02402983667449062, 0.9917752691615519, 0.9874263833898003, 0.07494715787968105, 0.33143298706792285, 0.021651401165241193, 0.05995772630374484, 0.031644355549198665, 0.026647878357219927, 0.23983090521497935, 0.18820064089786576, 0.026647878357219927, 0.24631203912601132, 0.056530631930559974, 0.030957250819116176, 0.039033055380624744, 0.05249272964980569, 0.07941207818816758, 0.06729837134590473, 0.08883385017659425, 0.028265315965279987, 0.024227413684525703, 0.23823623456450274, 0.04710885994213331, 0.5518529751502721, 0.21420608903859245, 0.04477754403631594, 0.12586120485883398, 0.04235713625056913, 0.01936326228597446, 0.9978207698248027, 0.1042603944452152, 0.03964218340326428, 0.8558645750140647, 0.23840786097146563, 0.066727375996233, 0.26657419053771475, 0.0016765672360862561, 0.029842896802335362, 0.015424418571993557, 0.008718149627648532, 0.02179537406912133, 0.014083164783124552, 0.01844223959694882, 0.0030178210249552613, 0.001341253788869005, 0.024813195094076593, 0.0036884479193897636, 0.018106926149731566, 0.037219792641114885, 0.06538612220736399, 0.026825075777380098, 0.0030178210249552613, 0.02950758335511811, 0.012741910994255548, 0.02950758335511811, 0.0130772244414728, 0.030848837143987114, 0.0030178210249552613, 0.0040237613666070145, 0.005700328602693271, 0.004694388261041517, 0.001341253788869005, 0.9988469493184212, 0.0812451259063119, 0.07563427466968815, 0.2661787826654307, 0.0219945368475651, 0.058352852860887004, 0.057230682613562255, 0.0246877454411445, 0.023790009243284702, 0.05274200162426325, 0.0363583160133219, 0.04488680989299, 0.0053864171871588, 0.0026932085935794, 0.01099726842378255, 0.01728142180880115, 0.00201990644518455, 0.0273809540347239, 0.0058352852860887, 0.0031420766925093, 0.0044886809892990005, 0.03523614576599715, 0.018403592056125902, 0.00022443404946495, 0.02311670709488985, 0.007406323632343351, 0.01817915800666095, 0.0107728343743176, 0.018403592056125902, 0.0051619831376938504, 0.009201796028062951, 0.00965066412699285, 0.0004488680989299, 0.00022443404946495, 0.0008977361978598, 0.00022443404946495, 0.007406323632343351, 0.0040398128903691, 0.0011221702473247501, 0.0013466042967897, 0.0035909447914392, 0.0013466042967897, 0.00022443404946495, 0.0011221702473247501, 0.2115354572754044, 0.5098951694026539, 0.05525179854208324, 0.05840904417305942, 0.061566289804035605, 0.09945323737574982, 0.9902660538649409, 0.9988480445120634, 0.9924639665233299, 0.0002508007736504278, 0.8757963015872939, 0.12364478140966091, 0.11847387057319221, 0.02684060892401188, 0.0001443043490538273, 0.0798003050267665, 0.001443043490538273, 0.14517017514815025, 0.13665621855397445, 0.008658260943229638, 0.03997230468791016, 0.004040521773507165, 0.025541869782527434, 0.0007215217452691365, 0.0034633043772918553, 0.0020202608867535823, 0.026119087178742742, 0.0012987391414844456, 0.05238247870653931, 0.09913708779997936, 0.0010101304433767912, 0.0005772173962153092, 0.0051949565659377825, 0.0002886086981076546, 0.023665913244827676, 0.004040521773507165, 0.022944391499558542, 0.005916478311206919, 0.011111434877144702, 0.004762043518776301, 0.011111434877144702, 0.019914000169428167, 0.004617739169722474, 0.008369652245121983, 0.002308869584861237, 0.0031746956791842006, 0.013564608811059767, 0.0069266087545837105, 0.0002886086981076546, 0.02236717410334323, 0.00375191307539951, 0.0007215217452691365, 0.021645652358074096, 0.006060782660260747, 0.0007215217452691365, 0.004762043518776301, 0.0008658260943229638, 0.010967130528090875, 0.0004329130471614819, 0.0002886086981076546, 0.0001443043490538273, 0.001875956537699755, 0.0034633043772918553, 0.9703020413211662, 0.9840244156535043, 0.5476396742016036, 0.09804620786648265, 0.010320653459629752, 0.04837806309201446, 0.018706184395578924, 0.021286347760486362, 0.019351225236805783, 0.009675612618402891, 0.018061143554352066, 0.018706184395578924, 0.009675612618402891, 0.0748247375823157, 0.014835939348217768, 0.01290081682453719, 0.001290081682453719, 0.0032252042061342973, 0.014190898506990908, 0.05160326729814876, 0.0006450408412268595, 0.0006450408412268595, 0.007095449253495454, 0.9987727625334447, 0.9953455254521202, 0.993327867784548, 0.9969862595316914, 0.9816419546905476, 0.9945231784600328, 0.9707241510435406, 0.9912424507297309, 0.9917936875380358, 0.9950646789253739, 0.9858206134536411, 0.00872407622525346, 0.2643552921503432, 0.7283258049040068, 0.9936778769387689, 0.6630075628476202, 0.33320380081572704, 0.9844562305534816, 0.9780096810048541, 0.9990645061731078, 0.32987790634967434, 0.6650764240920853, 0.9898995686454639, 0.9884839565837454, 0.9996206173594401, 0.9894236952714638, 0.9920926576270439, 0.9947830449891668, 0.980939603780534, 0.9948557640027182, 0.1125936041838921, 0.19174356356068753, 0.664413743500987, 0.030099280326386996, 0.9899361831361603, 0.9921361531329526, 0.9993312627166807, 0.9943597202860254, 0.9992646054741063, 0.1785582966271173, 0.06222486094581361, 0.30300801851874454, 0.43286859788392074, 0.018938001157421534, 0.9970750712691239, 0.09196092406638226, 0.16667917487031783, 0.7414349502852069, 0.9751586012797246, 0.9961917048441524, 0.8402428197788336, 0.15548977447864537, 0.9835557837143695, 0.9837675650799884, 0.9949774056857975, 0.9836472986443784, 0.42714366744130644, 0.07253383032022184, 0.0020148286200061625, 0.01813345758005546, 0.0161186289600493, 0.10074143100030812, 0.08865245928027114, 0.004029657240012325, 0.2679722064608196, 0.9951221749157784, 0.9982274670129889, 0.9918944113024806, 0.9980437775823864, 0.9845158509306781, 0.10889398975831896, 0.24291736176855766, 0.6470815929869338, 0.9638778714041988, 0.02943138538638775, 0.028489514124444136, 0.9615211016999896, 0.9947403653108845, 0.9969796242461052, 0.9965647126815885, 0.9945802589613887, 0.9721068901225386, 0.6761474289440834, 0.2443656864177698, 0.024160449222095884, 0.0013805970984054792, 0.053843286837813684, 0.991574331585997, 0.9925219469874629, 0.5379269607603335, 0.011183512697720032, 0.008946810158176026, 0.015656917776808044, 0.35451735251772504, 0.0022367025395440064, 0.0682194274560922, 0.9952790634662724, 0.2662445923418826, 0.7314719852761196, 0.9579689586312307, 0.12412101225651771, 0.4916986606017232, 0.018244293367825494, 0.0101689504017388, 0.002990867765217294, 0.21294978488347135, 0.0203379008034776, 0.1190365370556483, 0.9865971760251983, 0.15680452617944093, 0.07755922800273422, 0.30180656114107446, 0.07755922800273422, 0.02697712278355973, 0.048896035045202006, 0.062384596436981875, 0.04552389469725704, 0.12476919287396375, 0.008430350869862414, 0.05564031574109194, 0.011802491217807382, 0.9842894475024087, 0.8203601606707112, 0.1763986873981063, 0.03708340105563374, 0.26342002129174313, 0.5984493687598824, 0.10102029942741605, 0.9990364278850782, 0.9902631370818519, 0.09568775709680273, 0.6899590906453671, 0.20648410741941642, 0.9969921761063598, 0.9979436406580251, 0.9942871492992902, 0.7893077364279132, 0.2089344008191535, 0.0004940408502591835, 0.05895554146426257, 0.5431155747182624, 0.08094035930079624, 8.234014170986394e-05, 0.026266505205446593, 8.234014170986394e-05, 0.01045719799715272, 0.07138890286245203, 0.01827951145958979, 0.18995870692465608, 0.09541397536582376, 0.19082795073164752, 0.7080721329779552, 0.9948414057675689, 0.9934477739084728, 0.6676990026721038, 0.01638525159931543, 0.2969826852375922, 0.014337095149401003, 0.9919818880882656, 0.9947690311258769, 0.9899856541154611, 0.9930173417260066, 0.9972536625822876, 0.9972580759854238, 0.2564172098077124, 0.1133006275894543, 0.626135047204879, 0.17745418100334656, 0.8121941361307016, 0.9906886208553489, 0.08764987141752416, 0.0075619496909236535, 0.0013748999438043005, 0.3004156377212397, 0.4420303319330826, 0.10346122077127362, 0.016498799325651608, 0.00034372498595107513, 0.0010311749578532255, 0.03952837338437364, 0.9973075024805497, 0.9889068757791295, 0.9920395146598295, 0.16621539299135563, 0.8310769649567781, 0.9910381390053444, 0.9925106122127464, 0.9952053844666698, 0.9983409679151202, 0.9895663794022714, 0.161376251287196, 0.2668914925134395, 0.5668858058037397, 0.9897861976890487, 0.03629252771894536, 0.1306530997882033, 0.09436057206925795, 0.04173640687678717, 0.0907313192973634, 0.14517011087578144, 0.24134530933098666, 0.12339459424441424, 0.052624165192470776, 0.04173640687678717, 0.9966997085386246, 0.9892631861966954, 0.9994342591064929, 0.9969000905273643, 0.9830440984160026, 0.9943062371220901, 0.8505277088129205, 0.1454525646955429, 0.990720059864115, 0.98658578930663, 0.2570078345796384, 0.03592582633908924, 0.5858673218374552, 0.022108200824054913, 0.09672337860524025, 0.1437788094237674, 0.8489796365974837, 0.9846490212414905, 0.992569630575945, 0.028434308421403647, 0.11115229655639608, 0.8090853214453947, 0.051698742584370266, 0.2235044334044736, 0.4001450339983318, 0.012256694735084036, 0.2040379182369872, 0.14131248047508654, 0.017303569037765698, 0.016438470664551674, 0.9797328516072799, 0.9972941063667662, 0.0815090935441477, 0.24090465425270322, 0.010867879139219693, 0.010867879139219693, 0.016301818708829543, 0.016301818708829543, 0.030792324227789134, 0.028981011037919186, 0.032603637417659086, 0.2445272806324431, 0.11049010458206689, 0.10867879139219694, 0.05071676931635857, 0.016301818708829543, 0.9940888795111148, 0.9734436464280379, 0.9981891763758113, 0.953186303314474, 0.7868895749560976, 0.10088327884052532, 0.10664803763141249, 0.9883631732564456, 0.9843699047907054, 0.9995952034288221, 0.9843201569831789, 0.9812748439662178, 0.8259212924286761, 0.1695807976849753, 0.992221618959824, 0.8933081392872037, 0.10509507521025925, 0.8711077928830333, 0.12515916564411397, 0.9887195045390783, 0.9983708867008153, 0.2760653281952628, 0.11056347721253064, 0.0954554244257252, 0.03570994295063101, 0.09682888376998025, 0.003777013196701357, 0.01648151213106047, 0.006867296721275195, 0.09751561344210777, 0.008927485737657753, 0.17717625540890003, 0.0034336483606375976, 0.00034336483606375976, 0.017511606639251748, 0.001373459344255039, 0.0006867296721275195, 0.017511606639251748, 0.002746918688510078, 0.007897391229466474, 0.001373459344255039, 0.006180567049147675, 0.004120378032765117, 0.010987674754040312, 0.06925505722389272, 0.9280177668001625, 0.9933357367300341, 0.9868841981771708, 0.07012181379188334, 0.27866590935475716, 0.16209977733708097, 0.10108469260907858, 0.004553364531940477, 0.026409514285254763, 0.018213458127761907, 0.01912413103415, 0.12111949654961668, 0.03278422462997143, 0.022766822659702382, 0.06192575763439048, 0.006374710344716667, 0.07376450541743572, 0.987163097288597, 0.6978743922635429, 0.2964654373149637, 0.9991444574659837, 0.05222217404775811, 0.946024768326695, 0.9673726567319316, 0.9972022118658646, 0.993534392121199, 0.9933512319845569, 0.9936115876321121, 0.9797752337208663, 0.9894070497492994, 0.11174123280116932, 0.8877708968218885, 0.9968673806268314, 0.15196806480815964, 0.8431776499033373, 0.9977854647482204, 0.09367852644186833, 0.9034420600919166, 0.9976414920121635, 0.03471284335382771, 0.7955616956397658, 0.0007084253745679125, 0.11830703755284139, 0.04958977621975388, 0.9983005136275211, 0.3822559608816674, 0.0828221248576946, 0.5287874125529732, 0.995729458332941, 0.9812840038932423, 0.9882006836193412, 0.9821366965300142, 0.5634833948110498, 0.149597361454261, 0.27924840804795387, 0.2689260044499501, 0.08964200148331669, 0.11240822408225426, 0.0014228889124335983, 0.045532445197875145, 0.012806000211902384, 0.4681304521906538, 0.9974607481331668, 0.9847160943651473, 0.9996426836116523, 0.9966043870573482, 0.0395489969371538, 0.11632057922692293, 0.13772356580467676, 0.0339656091342615, 0.1302790487341537, 0.17075861030512288, 0.013493187190323061, 0.00511810548598461, 0.033035044500446115, 0.007444517070523068, 0.0027916939014461504, 0.011166775605784602, 0.07072291216996915, 0.02047242194393844, 0.014423751824138445, 0.006513952436707685, 0.0009305646338153835, 0.0009305646338153835, 0.01302790487341537, 0.006979234753615377, 0.02419468047919997, 0.011166775605784602, 0.003722258535261534, 0.038153149986430726, 0.00046528231690769173, 0.051646337176753786, 0.006048670119799993, 0.001861129267630767, 0.017215445725584595, 0.001861129267630767, 0.007444517070523068, 0.00046528231690769173, 0.00046528231690769173, 0.9965652015442688, 0.9898499634140568, 0.0675152577329754, 0.9283347938284117, 0.9926613054029575, 0.9793582866833126, 0.9913906492005188, 0.9916017437788024, 0.9920384932373993, 0.0180045421224878, 0.09665596297335555, 0.12224136493689085, 0.419790113698005, 0.046432766526415904, 0.02084736456288061, 0.039799514165499345, 0.012318897241702178, 0.012318897241702178, 0.018952149602618738, 0.0180045421224878, 0.012318897241702178, 0.03411386928471372, 0.015161719682094989, 0.037904299205237475, 0.0028428224403928104, 0.006633252360916558, 0.06348970116877277, 0.9923177196896944, 0.9887979362907661, 0.9817837716822476, 0.9790852514748019, 0.9926808541303505, 0.9936010482223255, 0.8841793337275226, 0.11192143464905349, 0.46701626614233477, 0.17064055878277617, 0.35475274062735046, 0.13320310089502505, 0.16370762781754988, 0.05423027008448857, 0.003389391880280536, 0.031069425569238245, 0.05897541871688132, 0.05920137817556669, 0.007456662136617179, 0.024742560726047913, 0.00022595945868536906, 0.04338421606759086, 0.0009038378347414762, 0.022144026951166167, 0.01694695940140268, 0.0679008173349534, 0.011862871580981876, 0.026324276936845494, 0.0027115135042244287, 0.02270892559787959, 0.03118240529858093, 0.02745407423027234, 0.031634324215951665, 0.008360499971358655, 0.0006778783760561072, 0.007343682407274494, 0.022257006680508853, 0.017511858048116103, 0.004519189173707381, 0.009377317535442816, 0.018980594529571, 0.0024855540455390596, 0.002598533774881744, 0.017398878318773417, 0.0094902972647855, 0.00700474321924644, 0.00011297972934268453, 0.009942216182156238, 0.00022595945868536906, 0.0024855540455390596, 0.00011297972934268453, 0.0003389391880280536, 0.0010168175640841608, 0.001694695940140268, 0.004067270256336643, 0.0018076756694829525, 0.001468736481454899, 0.001694695940140268, 0.0010168175640841608, 0.0003389391880280536, 0.001468736481454899, 0.0006778783760561072, 0.0013557567521122144, 0.00011297972934268453, 0.00011297972934268453, 0.0004519189173707381, 0.971116890005528, 0.9968318824596809, 0.9901105250520355, 0.9627631423509379, 0.03403708079018467, 0.981445104702092, 0.09214719769645457, 0.03177489575739813, 0.05083983321183701, 0.11333046153472, 0.052958159595663544, 0.06884560747436261, 0.02224242703017869, 0.020124100646352148, 0.10591631919132709, 0.03389322214122467, 0.012709958302959252, 0.06037230193905645, 0.02118326383826542, 0.06354979151479626, 0.002118326383826542, 0.08261472896923513, 0.015887447878699064, 0.003177489575739813, 0.052958159595663544, 0.04130736448461757, 0.049780670019923735, 0.975246656671299, 0.9903227095717781, 0.2762157275335626, 0.05886564685141498, 0.6656346220890771, 0.9920369160617778, 0.12954433613287697, 0.8696144544365404, 0.8740253121147982, 0.12536524678818317, 0.9908460129569906, 0.9978755058049432, 0.9850285546118647, 0.9974508811459268, 0.9948650603083791, 0.9941036429659607, 0.9983409317312131, 0.9979426824178044, 0.9972836627108161, 0.9757288011375179, 0.9940070982822014, 0.9753686612733717, 0.9895431956178548, 0.9988849381098325, 0.9923912877410421, 0.20155296505716033, 0.7957867068636159, 0.9954493700936385, 0.9971270743387554, 0.9862435080145695, 0.0617181592009863, 0.0021847136000349133, 0.05297930480084665, 0.19389333200309855, 0.20918632720334296, 0.0327707040005237, 0.15456848720247013, 0.1021353608016322, 0.039871023200637165, 0.013654460000218208, 0.003823248800061098, 0.007646497600122196, 0.02949363360047133, 0.04642516400074191, 0.015292995200244392, 0.017477708800279306, 0.01638535200026185, 0.9909537006581248, 0.003756201964665898, 0.2328845218092857, 0.18593199725096196, 0.08576661152653801, 0.07261990465020737, 0.1007914193852016, 0.05133476018376728, 0.1120600252791993, 0.0062603366077764976, 0.0062603366077764976, 0.0006260336607776497, 0.002504134643110599, 0.03630995232510369, 0.09891331840286866, 0.0031301683038882488, 0.2573201235940012, 0.15218933379878669, 0.03304110536421027, 0.0010012456170972808, 0.020024912341945617, 0.5126377559538078, 0.022027403576140178, 0.9940513146143413, 0.0023402970296061212, 0.9969665346122076, 0.9953613799985758, 0.9914933829143944, 0.9474627168792403, 0.09868486773874265, 0.8963875486269124, 0.9854629623658361, 0.924074955339363, 0.0553783738888527, 0.019837029452723358, 0.9948990939671171, 0.15273410646916638, 0.13125587274693987, 0.09307234612964826, 0.13841528398768205, 0.4796805531297257, 0.993647158134243, 0.014042408923699132, 0.1165519940667028, 0.1600834617301701, 0.7077374097544363, 0.9990316957896795, 0.9913785197460505, 0.9884812221530932, 0.9901244423228377, 0.05202668163357206, 0.2045594527865447, 0.5096249950924899, 0.0981412403542382, 0.004729698330324733, 0.033107888312273126, 0.08158729619810164, 0.0059121229129059155, 0.009459396660649466, 0.9866321851986222, 0.997687914476565, 0.9551135267162492, 0.9870480118393237, 0.9918525281621693, 0.2722122464820355, 0.3424326030586115, 0.04854740701590442, 0.006068425876988052, 0.10229632192637003, 0.032942883332220854, 0.07455494648871036, 0.04854740701590442, 0.051148160963185016, 0.019939113595817886, 0.9986430709233795, 0.11913838726018691, 0.18044260594746755, 0.09022130297373378, 0.008096783600206877, 0.020820300686246258, 0.002313366742916251, 0.5783416857290626, 0.6951331512430708, 0.056059125100247645, 0.14575372526064387, 0.10090642518044576, 0.9990994097960553, 0.9982069676566362, 0.9972822127375327, 0.9942243021885342, 0.9900716188654014, 0.9818312819978666, 0.016728449103502317, 0.9671743879434114, 0.9965158735153407, 0.9990204997898628, 0.9951626550842994, 0.9934944881226148, 0.9933544014404047, 0.9965060560954363, 0.9982261341082501, 0.9883878420805206, 0.9987029564156789, 0.10268501196432914, 0.7990546333316186, 0.09678357449511482, 0.5877914146465762, 0.21023196582249806, 0.19736062097622267, 0.9987210346328593, 0.9982449652563372, 0.9945089652216118, 0.9923597667533329, 0.9850269271885742, 0.10777977333071047, 0.5809147104943377, 0.009133879095822922, 0.06271930312465072, 0.001217850546109723, 0.0006089252730548615, 0.0962101931426681, 0.08464061295462574, 0.03531766583718196, 0.007916028549713199, 0.0006089252730548615, 0.01278743073415209, 0.0006089252730548615, 0.9974692769756226, 0.9192918019314176, 0.07775104792454775, 0.9549094076328254, 0.040091616350996485, 0.9984531127659293, 0.9770240984679484, 0.016536099460370532, 0.09921659676222318, 0.20670124325463163, 0.12402074595277898, 0.016536099460370532, 0.529155182731857, 0.9776956608160174, 0.9960486401219654, 0.2483312838128427, 0.4232691112761408, 0.051274880463380475, 0.03217247401623873, 0.0020107796260149208, 0.011059287943082063, 0.05228027027638794, 0.04926410083736556, 0.0040215592520298415, 0.060323388780447615, 0.012064677756089524, 0.009048508317067142, 0.018097016634134284, 0.025134745325186506, 0.4397559568298787, 0.5528360600147046, 0.14081486380311234, 0.018440041688502804, 0.49620475816334825, 0.15422580321293256, 0.18942951916371065, 0.9972632315607607, 0.9953256694841854, 0.8238673390460707, 0.1740064638502477, 0.993694724706502, 0.001537530299804582, 0.9963196342733692, 0.9579807632751964, 0.9945074705660093, 0.9880429267222746, 0.9910595143363171, 0.9935628209214062, 0.9960805077218441, 0.9961084555522665, 0.3121503219806465, 0.673074131770769, 0.9793597938245198, 0.9730310488017389, 0.021915113711750873, 0.9995285361415944, 0.45662246727700906, 0.06263083283225324, 0.07501134629909399, 0.047337257373214654, 0.349567439063739, 0.003641327490247281, 0.002913061992197825, 0.9951540054641455, 0.9867703517499701, 0.9974669050210949, 0.9873159867248859, 0.9891043461814871, 0.9955824659813364, 0.9875865200770962, 0.9915921638276137, 0.9856329442733436, 0.9852966728417171, 0.014135162867957697, 0.9847496798010529, 0.981974855547668, 0.9655167823200558, 0.04251230707536343, 0.9352707556579954, 0.1996439018802115, 0.1193273896295517, 0.050484664843271874, 0.6287635530480224, 0.9849682595056631, 0.9793512090845968, 0.9960025470347184, 0.9882399506963508, 0.9871053939218472, 0.9878505056807241, 0.993807141930701, 0.9954795381253789, 0.10767585355091586, 0.8848146226575259, 0.9495612378465303, 0.9888021850463389, 0.9903281779030652, 0.9906602065554299, 0.11676324437911655, 0.19720014606250796, 0.09535664957627851, 0.06486846909950919, 0.0025947387639803678, 0.06486846909950919, 0.0032434234549754597, 0.016865801965872392, 0.03762371207771533, 0.044110558987666255, 0.11351982092414109, 0.0038921081459705516, 0.09276191081229815, 0.016217117274877298, 0.02659607233079877, 0.0019460540729852758, 0.05513819873458282, 0.013622378510896932, 0.006486846909950919, 0.0058381622189558275, 0.018163171347862574, 0.0012973693819901839, 0.9965977422110339, 0.9961340296073308, 0.9902538022912551, 0.9853003293816641, 0.9303426386744578, 0.06792126852954734, 0.997997344130437, 0.9824084189805717, 0.22687586447812905, 0.17803987331080295, 0.5944916720054025, 0.9973770185779969, 0.2528187546524314, 0.7459292085465883, 0.9968106816465732, 0.9954982285893855, 0.996088409501146, 0.9696660021777066, 0.9923586311167645, 0.9861416228246869, 0.9903311688045395, 0.9927486293084302, 0.9972771366931584, 0.9896805757523625, 0.9947167852018954, 0.9945162860290516, 0.9952510330777502, 0.9960163184152643, 0.9889952782291528, 0.9951477037046158, 0.9876330085086876, 0.9906374276455764, 0.9883609039715381, 0.996268354829098, 0.11203745203669019, 0.07157948324566318, 0.25146183679346024, 0.06660004093292139, 0.006224302890927233, 0.01680561780550353, 0.0186729086727817, 0.056018726018345094, 0.006224302890927233, 0.11079259145850474, 0.05913087746380871, 0.013071036070947189, 0.04170282936921246, 0.006224302890927233, 0.011826175492761742, 0.026764502430987103, 0.004357012023649063, 0.08776267076207399, 0.031121514454636165, 0.988686532360496, 0.05451574621359405, 0.6329883865911754, 0.1544612809385165, 0.06057305134843784, 0.0030286525674218918, 0.09085957702265675, 0.9864425872885019, 0.9883474298285686, 0.9708748065018041, 0.988749910822851, 0.9949759124209077, 0.1733824765404758, 0.82356676356726, 0.9925769856095717, 0.9875884359972616, 0.9634752218423998, 0.025354611101115785, 0.9861267660417355, 0.09600515076486414, 0.9016135897917675, 0.997666816028573, 0.2613837122888545, 0.2233642632286575, 0.5085101311801351, 0.9914470866150741, 0.9934702151549033, 0.6149417320025883, 0.11124574046277978, 0.2688438727850512, 0.9968441755945906, 0.9868732755209451, 0.996094359910357, 0.18171907976758978, 0.8120571377114167, 0.9978897438527158, 0.9905259617781391, 0.1991509295626042, 0.18104629960236746, 0.6155574186480494, 0.9935003339380845, 0.9905559304601251, 0.9968737556224195, 0.997604339001446, 0.9877313487857629, 0.9870239292690616, 0.9984954821023418, 0.9920962842892814, 0.9974405719655156, 0.9923250612561814, 0.1030696678527768, 0.08554081277577394, 0.025241551310884115, 0.09185120060349497, 0.04978194841868811, 0.11569044350821886, 0.0098161588431216, 0.0007011542030801143, 0.11919621452361943, 0.07502349972957223, 0.021735780295483544, 0.010517313046201715, 0.01612654667084263, 0.07782811654189269, 0.0035057710154005714, 0.0014023084061602286, 0.03155193913860514, 0.0014023084061602286, 0.1514493078653047, 0.007011542030801143, 0.0007011542030801143, 0.9911503146088003, 0.9958389146089106, 0.9951432231337952, 0.9868089024125929, 0.9944105812551991, 0.09875171773777655, 0.2930973947972961, 0.18544653970524316, 0.0031577584160335523, 0.029281032585038395, 0.05884913411698893, 0.002870689469121411, 0.03846723888622691, 0.007176723672803528, 0.0031577584160335523, 0.03818016993931477, 0.005741378938242822, 0.011769826823397786, 0.03789310099240263, 0.04593103150594258, 0.021243102071498442, 0.052246548338009687, 0.012343964717222069, 0.020094826283849877, 0.016937067867816326, 0.0020094826283849876, 0.00258362052220927, 0.0002870689469121411, 0.006028447885154963, 0.002870689469121411, 0.0002870689469121411, 0.0008612068407364234, 0.0020094826283849876, 0.0008612068407364234, 0.9970167958978269, 0.9985893648034324, 0.03958216932718546, 0.9569571525572484, 0.04423306433018656, 0.9544134265090254, 0.9948463671225838, 0.9965040555797451, 0.9787423979304093, 0.9965219819255796, 0.9859128060955031, 0.9953085011146258, 0.9952647025431343, 0.007563901876571345, 0.24960876192685438, 0.742018774091649, 0.9962468569207747, 0.9859955171055117, 0.9549518324619525, 0.035632531062013154, 0.9678719229095099, 0.27521629739720493, 0.009073064749358404, 0.6623337267031635, 0.04838967866324482, 0.9892225084706935, 0.9797098525662542, 0.9767834632044297, 0.9750402372113731, 0.994157744609528, 0.971461771178073, 0.03947925325165503, 0.11119989665882833, 0.07139164963007619, 0.01315975108388501, 0.01743667018614764, 0.0055928942106511295, 0.01809465774034189, 0.0003289937770971253, 0.02006862040292464, 0.07204963718427043, 0.029280446161644148, 0.05954787365457967, 0.05954787365457967, 0.0009869813312913757, 0.006579875541942505, 0.10527800867108009, 0.029609439938741274, 0.004276919102262629, 0.03947925325165503, 0.0013159751083885011, 0.08224844427428131, 0.002302956439679877, 0.03947925325165503, 0.008224844427428131, 0.00690886931903963, 0.00690886931903963, 0.017765663963244763, 0.0059218879877482545, 0.019739626625827515, 0.0055928942106511295, 0.002302956439679877, 0.0036189315480683777, 0.07073366207588193, 0.020397614180021766, 0.0026319502167770023, 0.9856553497494623, 0.9984122377491501, 0.15041173279043732, 0.8466724958687519, 0.9981952751480059, 0.8500469227413383, 0.14844569422872636, 0.9734208501857983, 0.9975951488686393, 0.9625736192509021, 0.982995357877538, 0.9698167299358691, 0.9951039448672324, 0.986690586966958, 0.1861585739767566, 0.0870741716988055, 0.4774066655210371, 0.07506394111965992, 0.006005115289572794, 0.03603069173743676, 0.10208695992273749, 0.030025576447863966, 0.9863254949554201, 0.23842524398957043, 0.7562550707794188, 0.9981102457821979, 0.9990163452911257, 0.28666846756330006, 0.14589377367060807, 0.11006021522519556, 0.07550642672426207, 0.023675743972861835, 0.0070387346946346, 0.013437584417029691, 0.03391390352869398, 0.015997124305987726, 0.030714478667496437, 0.09086366605801029, 0.02879482375077791, 0.035193673473173, 0.02879482375077791, 0.035193673473173, 0.03711332838989153, 0.7939989954851104, 0.12139654063202103, 0.07874370203158121, 0.2580844121279886, 0.36904824149702714, 0.05859887618364958, 0.0024935691993042373, 0.02992283039165085, 0.004987138398608475, 0.011221061396869068, 0.03179300729112903, 0.023065515093564196, 0.0006233922998260593, 0.04239067638817204, 0.03490996879025932, 0.0199485535944339, 0.02992283039165085, 0.013714630596173305, 0.04114389178851992, 0.013091238296347247, 0.013714630596173305, 0.0018701768994781782, 0.09608252703803556, 0.019026242977828824, 0.036625517732320485, 0.02473411587117747, 0.17646840361936236, 0.01569665045670878, 0.06611619434795517, 0.005707872893348648, 0.05660307285904075, 0.008561809340022972, 0.00808615326557725, 0.018550586903383105, 0.08609374947467544, 0.04280904670011486, 0.01569665045670878, 0.06992144294352093, 0.0670675064968466, 0.02330714764784031, 0.005707872893348648, 0.02283149157339459, 0.07562931583686958, 0.019977555126720267, 0.027588052317851795, 0.00808615326557725, 0.0004756560744457206, 0.0019026242977828824, 0.0004756560744457206, 0.9973510789873467, 0.9781854763766451, 0.12753706667943143, 0.10905343382733992, 0.09611489083087586, 0.22180359422509816, 0.04066399227460133, 0.3400988444784838, 0.06099598841190199, 0.9773100636900213, 0.997644039243598, 0.9988831039033789, 0.9881149921545446, 0.9984695878991073, 0.9955416306073273, 0.9871991669498746, 0.06035148474116675, 0.9367600022868057, 0.02996244123662718, 0.9344536360673101, 0.03370774639120557, 0.9782966443655005, 0.9939719898516738, 0.3650316555450252, 0.1781404483396099, 0.44941397317957726, 0.007500650456404627, 0.9994378604091411, 0.7694624367510651, 0.22835659413257417, 0.9987306117193581, 0.24852578434930025, 0.7455773530479007, 0.995394236144943, 0.11087409433953065, 0.1548383876716352, 0.07847013311865676, 0.18619140960966996, 0.19214673221242515, 0.03152817848517459, 0.02557285588241939, 0.018916907091104756, 0.04484007606780386, 0.010684549375531389, 0.0017515654713985884, 0.053948216519076525, 0.01366221067690899, 0.0033279743956573177, 0.018391437449685178, 0.00017515654713985883, 0.005254696414195765, 0.002101878565678306, 0.0455407022563633, 0.0017515654713985884, 0.9837772090361777, 0.9482775571681373, 0.02071646610393475, 0.0023675961261639717, 0.03551394189245957, 0.14501526272754325, 0.015389374820065815, 0.0503114176809844, 0.12548259468669049, 0.0029594951577049645, 0.005918990315409929, 0.11364461405587063, 0.044392427365574465, 0.05918990315409929, 0.032554446734754605, 0.005918990315409929, 0.04794382155482042, 0.10535802761429673, 0.015981273851606807, 0.03906533608170553, 0.026043557387803687, 0.023084062230098724, 0.036697739955541556, 0.04380052833403347, 0.9853493709135953, 0.996653040224739, 0.9866999434610825, 0.9773082626058123, 0.9966183007753191, 0.09038818294261966, 0.9092249732454647, 0.9857747169502723, 0.5107180457390239, 0.48702494052432693, 0.9931191073226109, 0.17541919512032525, 0.04038427513561444, 0.007572051587927708, 0.011358077381891563, 0.0441703009295783, 0.01893012896981927, 0.4114148029440721, 0.006310042989939757, 0.0466943181255542, 0.017668120371831318, 0.03281222354768674, 0.030288206351710832, 0.0908646190551325, 0.01009606878390361, 0.05552837831146986, 0.9982506916512557, 0.9896159753785986, 0.9932483467731913, 0.9962628943220315, 0.4244170981512581, 0.12079563562766578, 0.06638318714673525, 0.08705991756948885, 0.2045908062882988, 0.007617742787330274, 0.0206767304227536, 0.06855968508597246, 0.9982276425866576, 0.9902706450847343, 0.7687852741153999, 0.2205531524101557, 0.9938754765008536, 0.9928748279338161, 0.9886201914196626, 0.07885970611707792, 0.021123135567074444, 0.038021644020734, 0.05351194343658859, 0.6027134681805241, 0.20419031048171962, 0.9966472067750463, 0.9885061926135008, 0.9494374978064172, 0.04372409529371658, 0.9630044390331703, 0.9927699748493579, 0.9881323096632229, 0.997931617732257, 0.9804407537793016, 0.9969317434281899, 0.983961294468633, 0.9935164312038475, 0.9864048026497302, 0.08317315436431591, 0.914904698007475, 0.9980934703119031, 0.9948520966610636, 0.9947496124530617, 0.9994973430564916, 0.9885110907270664, 0.0013840029814143856, 0.997866149599772, 0.9701863452069938, 0.991434296026973, 0.9893427986741783, 0.9857114073441142, 0.9701005196442443, 0.9927989155420051, 0.05407870140280073, 0.9418707160987794, 0.14118497283129275, 0.025306740413156247, 0.10522276277049177, 0.6686307203897072, 0.01864707188337829, 0.039958011178667756, 0.9923866623336658, 0.9952952169507, 0.9860139021717025, 0.9944490320844389, 0.9905782549352111, 0.05190539037198928, 0.22956530375904202, 0.4570206322997105, 0.011815861222891869, 0.00928389096084361, 0.15107422563554604, 0.00928389096084361, 0.040511524192772125, 0.03924553906174799, 0.1778140859288967, 0.5378876099349125, 0.2756118331897899, 0.9971491916872535, 0.9903796112951422, 0.9854143070214142, 0.9884840009406533, 0.9929975847046921, 0.9607641095865516, 0.9950724600166226, 0.9907641763618428, 0.9967246248986396, 0.9774723222599689, 0.9876549837912338, 0.9652896209971281, 0.9641532421375184, 0.6637381495580171, 0.04176130258263294, 0.04176130258263294, 0.10218191057452741, 0.019547843762083503, 0.001777076705643955, 0.04709253269956481, 0.02487907387901537, 0.05686645458060656, 0.33003000565416324, 0.04175889867460841, 0.07947661360651279, 0.10372371606273702, 0.14682967598491345, 0.28018873949414674, 0.01616473497081616, 0.9684000998648595, 0.7108276643097723, 0.030067547622647635, 0.03546428693953311, 0.005396739316885473, 0.020045031748431755, 0.057051244207075004, 0.050112579371079394, 0.034693324179978044, 0.05088354213063446, 0.004625776557330406, 0.9901777154239536, 0.9986598670421585, 0.9988006167503632, 0.9958060871054598, 0.8969532797026774, 0.10190465985903945, 0.992589473061544, 0.19218676694621342, 0.8054568996734562, 0.9925730542632143, 0.9978586818154477, 0.9887010386341817, 0.985539675009416, 0.9896923608803754, 0.9879871356401434, 0.9754788696021035, 0.9935433626224606, 0.9995541999430232, 0.07078524624557474, 0.7432450855785347, 0.03539262312278737, 0.1459945703814979, 0.9948237974248046, 0.9521780864849658, 0.9893215598475348, 0.9914628010859154, 0.9729183364716257, 0.9985003643819637, 0.360236569640029, 0.058122202832676946, 0.04298621251166732, 0.027244782577817317, 0.05085692747859233, 0.04177533328598655, 0.18889715920620007, 0.04964604825291156, 0.013319671482488466, 0.01755774877237116, 0.035720937157582705, 0.05327868592995386, 0.03935357483462502, 0.0199795072237327, 0.9876823696255577, 0.7889423112769255, 0.04640837125158385, 0.027071549896757245, 0.13535774948378623, 0.9974109300647531, 0.998941705165232, 0.9879068118519727, 0.9819091144987887, 0.9680923358729437, 0.9920092634959364, 0.9855870803988566, 0.9983713668141772, 0.9971778165741909, 0.040973484365635894, 0.26223029994006974, 0.029705776165086024, 0.019462405073677053, 0.1147257562237805, 0.028681439055945127, 0.04609516991134038, 0.026632764837663334, 0.12599346442433038, 0.08809299138611718, 0.04199782147477679, 0.17311297144481166, 0.9978707748945118, 0.9954388458520818, 0.0109722675871399, 0.13636961144016732, 0.06374364979195561, 0.06687858338828129, 0.04336658141583865, 0.04597902607944339, 0.09091307429344488, 0.019854579443396008, 0.027691913434210222, 0.044411559281280544, 0.042321603550396755, 0.2225802853391237, 0.17085388099974985, 0.014107201183465585, 0.9945084685036844, 0.9904779135719904, 0.9940948136269137, 0.9981369411914319, 0.9906214266650346, 0.995874012375164, 0.9955836908354116, 0.02782529980356836, 0.14878017445989614, 0.005678632612973134, 0.045996924165082394, 0.11868342161113851, 0.0017035897838919404, 0.12095487465632777, 0.002839316306486567, 0.002839316306486567, 0.05167555677805553, 0.014764444793730151, 0.001135726522594627, 0.0630328220040018, 0.04486119764248776, 0.009085812180757016, 0.003407179567783881, 0.0800687198429212, 0.016468034577622092, 0.032936069155244184, 0.09540102789794866, 0.001135726522594627, 0.001135726522594627, 0.0051107693516758215, 0.011357265225946269, 0.022714530451892537, 0.0005678632612973135, 0.04088615481340657, 0.003407179567783881, 0.017035897838919404, 0.00965367544205433, 0.9949466971167942, 0.9072402145028075, 0.028559503079836544, 0.02760751964384199, 0.03617537056779296, 0.9966510528970324, 0.9787093530618506, 0.9988949015511582, 0.997253813801576, 0.9869689599619178, 0.9874196940281357, 0.10585989827919377, 0.13766756724571208, 0.08523461293371705, 0.035286632759731255, 0.04646901638077285, 0.004472953448416638, 0.08225264396810596, 0.002981968965611092, 0.017394818966064703, 0.010685388793439747, 0.028328705173305376, 0.033050156035522936, 0.03652911982873588, 0.008697409483032352, 0.004721450862217562, 0.009939896552036974, 0.037523109483939575, 0.027086218104300754, 0.001490984482805546, 0.04174756551855529, 0.15705036552218418, 0.027086218104300754, 0.001490984482805546, 0.028825700000907225, 0.02112228017307857, 0.006460932758824033, 0.9856151326595941, 0.9841633858108608, 0.9876585860761962, 0.9937245230539286, 0.9985023628348817, 0.9850888803897874, 0.9984981210233486, 0.9690369400388033, 0.9955472923170214, 0.13407113626251435, 0.021889165104083976, 0.7524400504528866, 0.06019520403623093, 0.02462531074209447, 0.9928757354709099, 0.07785553075681208, 0.9120219317226557, 0.9942078593077671, 0.9836849603237539, 0.9970800204003596, 0.990542693078852, 0.9943546201402633, 0.9995073851721145, 0.9994504111310002, 0.1653721999784504, 0.8268609998922519, 0.9986387275842727, 0.2431243870221284, 0.0653270513605165, 0.005387798050351876, 0.03704111159616915, 0.32932915582775846, 0.048490182453166886, 0.004040848537763907, 0.02491856598287743, 0.010102121344409768, 0.032326788302111255, 0.03973501062134509, 0.029632889276935317, 0.0020204242688819534, 0.001346949512587969, 0.03704111159616915, 0.02828593976434735, 0.006061272806645861, 0.03434721257099321, 0.020204242688819537, 0.7776594111473556, 0.21755947811860543, 0.25076221917128655, 0.13968633685380394, 0.06731871655605008, 0.34332545443585544, 0.10434401066187764, 0.035342326191926295, 0.05553794115874132, 0.027210533022952607, 0.38563893353219036, 0.049729594835051315, 0.005160618331939287, 0.03424773983923345, 0.07600183361583314, 0.10884213209181043, 0.007506353937366236, 0.0032840298475977285, 0.006568059695195457, 0.06661889119412534, 0.01735844348015942, 0.06474230270978379, 0.001876588484341559, 0.05160618331939287, 0.028148827265123386, 0.0014074413632561692, 0.016889296359074032, 0.0014074413632561692, 0.0014074413632561692, 0.007506353937366236, 0.01876588484341559, 0.005160618331939287, 0.011728678027134743, 0.02583667737887899, 0.9645692888114822, 0.9837876414273425, 0.9945060695281742, 0.9768811249664577, 0.9984517975601456, 0.9903679212548033, 0.9901319131725919, 0.18608418564655274, 0.8101819159688374, 0.9991685107346061, 0.9952678217904093, 0.22309708469824738, 0.766289986572241, 0.9985197509975158, 0.9863390989229942, 0.31046353570428326, 0.6819109802076221, 0.9940743764900286, 0.9908884997432571, 0.9942189123092863, 0.06127082998281959, 0.7429088135416876, 0.08616210466334005, 0.06701497029370893, 0.015317707495704897, 0.024891274680520457, 0.9468802584695588, 0.047129786620656776, 0.08479722582294759, 0.908541705245867, 0.988283232473007, 0.9942627924716141, 0.04744723684328459, 0.9331289912512637, 0.9967020673239425, 0.004557172424000959, 0.9889064160082082, 0.8869905848305174, 0.11087382310381468, 0.992738204817808, 0.11018145073004651, 0.7909454141692625, 0.09444124348289701, 0.8802128912125722, 0.057868667588369796, 0.057868667588369796, 0.9928102485244675, 0.9978684961540658, 0.9976899079853224, 0.9927884690192289, 0.9990287909135499, 0.9718289233449354, 0.02774097294999355, 0.9983482332569668, 0.9979126893728466, 0.98612198349231, 0.9963082312991683, 0.9987832355778163, 0.9985744620809479, 0.9997738486966085, 0.9902846075313522, 0.9952589532005136, 0.9995024286403901, 0.09687244224017895, 0.7265433168013421, 0.1750502026445339, 0.9832793356883524, 0.9902559590098566, 0.9908312810017073, 0.95206353460444, 0.6888977802545204, 0.06676737151375647, 0.24407674884499056, 0.9769627624376723, 0.9030386562078867, 0.08555103058811558, 0.9854820471162523, 0.9939898922833275, 0.982293409600241, 0.9173821289816451, 0.9922378533278963, 0.9904286710473236, 0.9843633982981684, 0.992815291451413, 0.981376056784861, 0.9886780196530198, 0.9930234120238127, 0.9953215380443416, 0.9970541026507826, 0.9808780052478443, 0.9826089702554601, 0.9885714861644208, 0.948645819444958, 0.9972549348482093, 0.9932436579527958, 0.9893575234805332, 0.9655593190152643, 0.9984666864481834, 0.11372683378438402, 0.8756966201397569, 0.9873158171833094, 0.0011185937475390534, 0.13493037079689832, 0.0013982421844238168, 0.00020973632766357254, 0.06781474594455512, 0.7944112970803916, 0.9940343506469714, 0.9932111528103135, 0.9961396609671163, 0.021156447385729436, 0.10903707498799017, 0.08516826255280824, 0.017359136316495948, 0.020613974375838937, 0.025496231464853424, 0.024411285445072425, 0.03634569166266339, 0.24736769251006724, 0.01301935223737196, 0.013561825247262458, 0.04882257089014485, 0.01952902835605794, 0.0330908536033204, 0.06997901827587429, 0.045567732830801864, 0.04719515186047336, 0.007594622138466977, 0.013561825247262458, 0.014646771267043456, 0.08625320857258924, 0.9934644016203147, 0.42857033025893204, 0.568419595922373, 0.980289348753043, 0.9897506675930149, 0.9761398187775804, 0.9744539834823712, 0.025161113553583914, 0.985386840422406, 0.3565419699816789, 0.6417755459670221, 0.9896978048941402, 0.9450340491327196, 0.04395507205268463, 0.9961475408497986, 0.02776274106514769, 0.029395843480744612, 0.07185650628626461, 0.2612963864955077, 0.6026147913552645, 0.0032662048311938455, 0.9989424752508086, 0.9920141365638607, 0.9889770260350109, 0.1955137587582301, 0.28928795045893674, 0.04525781452736808, 0.030051188846172402, 0.019913438392041953, 0.04018893930030285, 0.24728869857753918, 0.13215281841991477, 0.02478256211913735, 0.19413006993324256, 0.2560864752310859, 0.5163033774820281, 0.99249493436635, 0.9839067634286994, 0.9959026969717776, 0.9940461400494772, 0.9817898728390069, 0.9984551772108634, 0.9956493038663408, 0.26766141454214454, 0.3036159329134774, 0.12983576078536863, 0.159797859428146, 0.06691535363553613, 0.07091030012123979, 0.9838838740663182, 0.9811245498580891, 0.9437428238078409, 0.992583216753076, 0.980196503311057, 0.9842411117516803, 0.996592661704711, 0.9869893745412194, 0.36741873120289137, 0.44509450323571736, 0.18617526312629729, 0.999501680512344, 0.9853948887551959, 0.21236176242875046, 0.640034756208873, 0.14599871166976594, 0.15056389479708293, 0.002130621152788909, 0.8465668047081267, 0.9797206713144517, 0.9948873134425201, 0.9474813030515216, 0.38200096616259654, 0.6101404320652584, 0.2735807557548009, 0.7215316635291452, 0.5439972873405515, 0.06468351307008202, 0.055443011202927446, 0.004471210580881246, 0.3308695829852122, 0.987058206443972, 0.3129715532177586, 0.6849943428916981, 0.9759780485787679, 0.9810828231648587, 0.8744069900267556, 0.11505355131930994, 0.9958201388557664, 0.9974451102054679, 0.998375996185543, 0.998449263442332, 0.9807294127344393, 0.2197133240103604, 0.7689966340362614, 0.045638447671887176, 0.9540240473784325, 0.9943314787422065, 0.9758180181626103, 0.9845043954559803, 0.9916144614280282, 0.995585867037829, 0.9833241214928068, 0.9993967101728507, 0.9949943030262727, 0.9906076545130007, 0.9901330636381097, 0.45926404812483995, 0.019169282008688972, 0.23721986485752602, 0.055111685774980795, 0.030351363180424206, 0.007188480753258364, 0.02476032259455659, 0.06549504686302066, 0.009584641004344486, 0.030351363180424206, 0.011182081171735234, 0.04952064518911318, 0.0007987200836953738, 0.9869439621899214, 0.07728033886227957, 0.9205452129183302, 0.9900556750752956, 0.030679649777819198, 0.07017153938543752, 0.0006527585059110467, 0.32899028697916755, 0.15862031693638437, 0.007833102070932562, 0.10868429123418928, 0.09693463812779045, 0.012728790865265412, 0.04373481989604013, 0.016971721153687214, 0.008159481323888084, 0.00032637925295552335, 0.005874826553199421, 0.011749653106398842, 0.004242930288421804, 0.0022846547706886636, 0.0013055170118220934, 0.07604636593863695, 0.0022846547706886636, 0.011423273853443319, 0.9792799888634904, 0.9979629576802425, 0.9967875091809355, 0.19891403366470184, 0.0485896876127516, 0.24902214901535194, 0.45552832136954624, 0.04707125987485311, 0.9946534871739153, 0.2102441480065171, 0.09812605006354298, 0.2198750381053463, 0.01381033297190605, 0.025440087053511144, 0.05469618716504896, 0.02307779325568511, 0.06523565180150358, 0.022350933625584792, 0.04379329271354419, 0.00472458759565207, 0.039613849840467355, 0.011084609359029857, 0.005451447225752388, 0.030164674649163215, 0.0058148770408025475, 0.0019988639827758755, 0.023804652885785427, 0.0003634298150501592, 0.00926746028377906, 0.0005451447225752388, 0.00872231556120382, 0.002180578890300955, 0.00472458759565207, 0.000908574537625398, 0.00472458759565207, 0.0010902894451504776, 0.03616126659749084, 0.015264052232106686, 0.002725723612876194, 0.0014537192602006369, 0.003634298150501592, 0.0007268596301003184, 0.0029074385204012738, 0.004179442873076831, 0.0012720043526755571, 0.0001817149075250796, 0.9935469759013963, 0.22077843742300457, 0.7642330526180928, 0.9973515304119265, 0.9871919791130152, 0.9886381229722636, 0.9888850617636702, 0.9979356270211523, 0.9732743603181006, 0.9943982221883519, 0.1035979185995109, 0.49700773606600795, 0.04327507992131468, 0.31997331820608427, 0.03540688357198474, 0.9151724412019375, 0.07701946287343038, 0.991975659123829, 0.9413416460278006, 0.9853584398915084, 0.9818460099236846, 0.9995480969020609, 0.04783444434338235, 0.24515152725983452, 0.6995787485219668, 0.07381033218437168, 0.13906294469519304, 0.5519729189439969, 0.14869037932793716, 0.0855771967355034, 0.9551946428518323, 0.9918973259715406, 0.983310037367553, 0.9891050166394517, 0.9933082508541254, 0.99939489102611, 0.0644931222559778, 0.0087945166712697, 0.3605751835220577, 0.013191775006904551, 0.0703561333701576, 0.10553420005523641, 0.32099985850134405, 0.05423285280616315, 0.9728552512220738, 0.20783945375645424, 0.7868207892208625, 0.9938914883582854, 0.951634518004049, 0.044500894726808043, 0.9716829877913903, 0.14105838812956364, 0.01526378080506472, 0.3142233496766772, 0.008421396306242605, 0.04158064426207286, 0.08895099848468752, 0.04684401695347449, 0.05000204056831547, 0.021053490765606513, 0.010000408113663093, 0.05316006418315644, 0.005263372691401628, 0.010000408113663093, 0.038422620647231884, 0.010526745382803257, 0.012632094459363908, 0.01526378080506472, 0.030001224340989282, 0.01894814168904586, 0.008947733575382769, 0.022106165303886836, 0.00368436088398114, 0.00368436088398114, 0.0021053490765606513, 0.024737851649587653, 0.0021053490765606513, 0.11143993682287666, 0.08667550641779297, 0.049528860810167406, 0.04227032086384977, 0.0025618376281121074, 0.03501178091753213, 0.026045349219139757, 0.0017078917520747382, 0.028607186847251866, 0.02818021390923318, 0.03714664560762555, 0.00042697293801868455, 0.4756478529528146, 0.008112485822355006, 0.051236752562242145, 0.01409010695461659, 0.9969117509556149, 0.24707862236701264, 0.005473260622054077, 0.05238692309680331, 0.03205766935774531, 0.06176965559175316, 0.06802481058838639, 0.03987661310353685, 0.060987761217174, 0.08444459245454862, 0.0007818943745791539, 0.08366269807996947, 0.049259345598486694, 0.0023456831237374616, 0.09069974745118185, 0.014855993117003924, 0.009382732494949846, 0.01407409874242477, 0.007037049371212385, 0.07584375433417792, 0.9930419292680087, 0.9595579498316031, 0.03822940039169734, 0.9903219753892758, 0.9113635115387142, 0.08640834724785662, 0.999538911191425, 0.9277977376092634, 0.06803850075801265, 0.9859451766635676, 0.582817259909302, 0.10754366105469264, 0.30528523138106295, 0.9872248647758073, 0.9979216788981458, 0.9862226543029614, 0.3990603939810907, 0.02832041505672257, 0.5149166373949559, 0.030894998243697347, 0.02317124868277301, 0.994129755039514, 0.9948363428476783, 0.9951963033625837, 0.9907973629948783, 0.5167905956744435, 0.22834933297242851, 0.20130796459411462, 0.04807354378366916, 0.976285629950249, 0.976961923313727, 0.9892961600179551, 0.9975899191258982, 0.9976272970548261, 0.9951368001128069, 0.9886030368118979, 0.992136564431415, 0.9936759288197081, 0.6212303079037057, 0.3766208741666216, 0.9976882721924095, 0.0016954132312962346, 0.1175486507032056, 0.0005651377437654115, 0.08703121253987338, 0.13676333399122959, 0.2407486788440653, 0.014128443594135287, 0.03955964206357881, 0.020910096519320225, 0.08590093705234254, 0.024866060725678107, 0.008477066156481172, 0.04068991755110963, 0.00734679066895035, 0.031082575907097634, 0.006781652925184938, 0.01525871908166611, 0.014128443594135287, 0.012433030362839053, 0.020344958775554815, 0.02260550975061646, 0.001130275487530823, 0.027126611700739753, 0.005651377437654115, 0.012998168106604464, 0.003955964206357881, 0.9984773304082928, 0.09088965228942501, 0.905260936802673, 0.043310061932943164, 0.9528213625247496, 0.987242789487138, 0.31867517234531495, 0.6732574063633414, 0.988065792803785, 0.975396417800674, 0.9760401835104919, 0.9711443649148477, 0.9408856877778439, 0.02476014967836431, 0.20468557497836878, 0.3161120418304985, 0.07266943490356288, 0.10415952336177346, 0.04723513268731587, 0.2155859902139032, 0.03875703194856687, 0.09162062637572545, 0.3884714558330759, 0.5130755077040625, 0.9878245801484684, 0.24848506432465267, 0.11570678567789171, 0.14036560885514732, 0.09294479505273268, 0.2598660596372322, 0.030349320833545364, 0.026555655729352194, 0.03224615338564195, 0.013277827864676097, 0.011380995312579512, 0.026555655729352194, 0.22215579195784937, 0.04925394001836845, 0.09953400378711957, 0.1523793769318274, 0.06823722940044796, 0.04566250689202908, 0.07849846690427471, 0.001026123750382676, 0.041044950015307044, 0.031809836261862956, 0.000513061875191338, 0.024626970009184224, 0.12056954066996443, 0.016931041881314154, 0.014878794380548803, 0.000513061875191338, 0.017444103756505493, 0.004617556876722042, 0.009748175628635423, 0.5868979873442656, 0.038275955696365146, 0.07164473758550399, 0.029933760224080435, 0.10206921519030705, 0.00147215214216789, 0.03287806450841622, 0.1256236494649933, 0.0009814347614452601, 0.0004907173807226301, 0.008832912853007342, 0.9830693067256745, 0.048399382492919064, 0.5584544133798354, 0.3918488467215178, 0.9933671101667406, 0.986704782320926, 0.97469961888245, 0.9923249011198481, 0.9900792309995973, 0.9770471327965755, 0.9915534056718164, 0.9737276617302483, 0.26229186847277813, 0.643489383986549, 0.09092784773722974, 0.9819424256211342, 0.11393441166009205, 0.8804022719188931, 0.9670835285791165, 0.9761257457565948, 0.9840954989559115, 0.9862386252163915, 0.9912844037426883, 0.9879956456335862, 0.993507918287093, 0.9741179235776705, 0.9914890580658705, 0.9945431523852618, 0.9987741661754787, 0.40420351663245757, 0.10043844958745915, 0.4654464736979814, 0.024497182826209548, 0.9895575976072833, 0.9996863774209254, 0.9894533596344751, 0.989788952338276, 0.9700084404787772, 0.9545404695104546, 0.9910602935464896, 0.018937144817170015, 0.15038320884223247, 0.11696471798840304, 0.09468572408585008, 0.004455798780510592, 0.05124168597587181, 0.04010218902459533, 0.28962692073318846, 0.2317015365865508, 0.9895253643598075, 0.9983886503841499, 0.9945721488097906, 0.9893879323080048, 0.9652406251031753, 0.979471082763021, 0.9912514687002055, 0.9860119256344428, 0.9983997626223057, 0.9978493257089877, 0.9908256398005532, 0.999518119922747, 0.9998361750498063, 0.9840118111056546, 0.007793421940001272, 0.86618318133157, 0.01002011392285878, 0.07236748944286896, 0.04008045569143512, 0.0022266919828575066, 0.982758301268142, 0.9907496698732915, 0.9966644829871866, 0.9964181258914601, 0.9902438187753251, 0.9839805980757608, 0.9914707179456735, 0.9829559934142357, 0.9905157117229102, 0.9921483142902702, 0.9997570240926037, 0.9903105165341448, 0.9982071578716953, 0.9757248289158813, 0.994896453835326, 0.999727868736486, 0.9891671851999043, 0.48997929115931255, 0.10062074729164454, 0.06489309064461134, 0.15457680018716408, 0.09697506804194728, 0.0014582716998789063, 0.01166617359903125, 0.0021874075498183594, 0.0029165433997578125, 0.04301901514642774, 0.008020494349333985, 0.02260321134812305, 0.9730962097537368, 0.06062651056116053, 0.16884157242301695, 0.023468326668836335, 0.03259489815116157, 0.02672781648395249, 0.005215183704185852, 0.0019556938890696943, 0.005867081667209084, 0.02216453074278987, 0.07431636778464838, 0.04367716352255651, 0.028683510373022185, 0.038461979818370656, 0.05345563296790498, 0.11408214352906551, 0.02542402055790603, 0.031943000188138344, 0.05019614315278882, 0.005867081667209084, 0.01825314296465048, 0.0039113877781393885, 0.0475885513006959, 0.06258220445023022, 0.020208836853720175, 0.03259489815116157, 0.9891524169084108, 0.9903839984601323, 0.9791652237003258, 0.39192023501024725, 0.15009711128052022, 0.33809753349046473, 0.11901639631839231, 0.9566618158056044, 0.9994298978844741, 0.9930434512280196, 0.9978847583194697, 0.9963694866996383, 0.9898053036686844, 0.9938397047099176, 0.10494612141658424, 0.07476094937034262, 0.09371442949240132, 0.04597973881462387, 0.05896638260196038, 0.07476094937034262, 0.042118844715685985, 0.0508936040314539, 0.012635653414705796, 0.02141041273047371, 0.021059422357842993, 0.0017549518631535827, 0.07756887235138836, 0.0024569326084150156, 0.014741595650490096, 0.02562229720204231, 0.020006451239950842, 0.012986643787336513, 0.030887152791503055, 0.02737724906519589, 0.013688624532597945, 0.030185172046241625, 0.0035099037263071654, 0.008774759315767914, 0.010880701551552213, 0.004562874844199315, 0.040363892852532404, 0.030887152791503055, 0.014039614905228662, 0.00035099037263071657, 0.00912574968839863, 0.016496547513643676, 0.0028079229810457325, 0.9938752120719705, 0.9952097581295505, 0.04613484838409781, 0.9534535332713547, 0.9921241558287636, 0.9951057644328474, 0.9867301091424174, 0.9839489161247164, 0.9869806376006198, 0.2917089094173923, 0.7046474954757788, 0.995325902917963, 0.9998108914977861, 0.23823773572175305, 0.2719824574953725, 0.04926729378948434, 0.4393562774925247, 0.9963034030048279, 0.9875038060754603, 0.985543529278949, 0.9938055571550358, 0.012264566781350508, 0.9811653425080407, 0.9925053990362899, 0.986524677493685, 0.9943617752888166, 0.9867467602372884, 0.9688308127804534, 0.9969000326615517, 0.9924773458208688, 0.21636767052748776, 0.7815730139462312, 0.9937540110160316, 0.9948491233786709, 0.9913875503137081, 0.9865145298229195, 0.9781234676431323, 0.9967476859699957, 0.9918006927331418, 0.9979972428170667, 0.07308156756145584, 0.9196097251483193, 0.9904676627241519, 0.9485594469782067, 0.03952331029075861, 0.9996431467962701, 0.07443876276039148, 0.06646318103606381, 0.09836550793337445, 0.7576802638111275, 0.17072930603867081, 0.7984105782396664, 0.03012870106564779, 0.9948718904865819, 0.9962333178326077, 0.8020017879284184, 0.1929343923790063, 0.9852108465037941, 0.9966952116417935, 0.9847987254114521, 0.9820120720194011, 0.9957274950181838, 0.9821327934926748, 0.9780118616938873, 0.9834263784145523, 0.9952949234487617, 0.9986757981608636, 0.25743530778935725, 0.07606043184685556, 0.16382246859322735, 0.49146740577968206, 0.9829825122222331, 0.9916474295370646, 0.9984954632253398, 0.6949243708251919, 0.10250800106042103, 0.1198145466939986, 0.015975272892533147, 0.06123854608804373, 0.003993818223133287, 0.9994465553760856, 0.9943675573790156, 0.9882626074768962, 0.9979406808155624, 0.9935274512075644, 0.9861150018898457, 0.298486492330554, 0.08628125168930077, 0.6132964647104352, 0.044818851446372, 0.13804206245482578, 0.07977755557454216, 0.12818191513662394, 0.26712035462037714, 0.022409425723186, 0.05288624470671897, 0.022409425723186, 0.0044818851446372, 0.16134786520693922, 0.009860147318201841, 0.039440589272807365, 0.0089637702892744, 0.019720294636403683, 0.9588362979197409, 0.9923795905808819, 0.9857136566370011, 0.988897329408752, 0.5032083629237232, 0.49509209900559853, 0.9983914906340741, 0.04833400194240351, 0.04534426986349196, 0.03438191890748291, 0.871506901002719, 0.12472052544083392, 0.8634497915134656, 0.9755922138595657, 0.9887979806114369, 0.997321225161059, 0.9956993336777489, 0.9960546598986435, 0.9339069257854108, 0.06310181930982506, 0.9967513282202057, 0.5073423676572948, 0.0003504653260735997, 0.0003066571603143997, 0.3503339015763221, 0.0012704368070167989, 0.006702649361157594, 0.09256665424918951, 8.761633151839993e-05, 0.003329420597699197, 0.013887188545666387, 0.012441519075612788, 0.0038989267525687964, 0.00039427349183279964, 4.3808165759199963e-05, 0.006045526874769594, 0.0006571224863879994, 8.761633151839993e-05, 0.0002190408287959998, 0.13835118424680856, 0.858821502211321, 0.9911010677285137, 0.9876408076550226, 0.9848288756559951, 0.991925824401695, 0.9909429403348728, 0.9909364832579611, 0.09083653314444017, 0.8962537936918096, 0.9966911141246024, 0.9924611827644049, 0.9454433600412777, 0.9944679394747047, 0.9794935979149038, 0.03662116869334768, 0.05249034179379834, 0.05615245866313311, 0.0573731642862447, 0.2978521720392278, 0.062255986778691055, 0.05493175304002152, 0.03540046307023609, 0.18310584346673842, 0.04028328556268245, 0.12451197355738211, 0.9961664051149344, 0.2069693574810311, 0.1474098301483603, 0.02084583456643479, 0.005955952733267082, 0.11862272527090272, 0.03623204579404141, 0.20548036929771432, 0.04119533973843065, 0.004963293944389235, 0.024816469721946174, 0.0004963293944389235, 0.020349505171995862, 0.0506255982327702, 0.0024816469721946175, 0.0014889881833167705, 0.014889881833167706, 0.05658155096603728, 0.0004963293944389235, 0.004466964549950311, 0.0014889881833167705, 0.02134216396087371, 0.0004963293944389235, 0.002977976366633541, 0.007941270311022776, 0.9966282223663082, 0.9965574158651753, 0.9822623585752236, 0.972081759220336, 0.9990548525247109, 0.12362329868462978, 0.05215357913257818, 0.16998203569136594, 0.05988003530036754, 0.015452912335578722, 0.05408519317452552, 0.048290351048683504, 0.044427122964788825, 0.06953810551010424, 0.14680266718799787, 0.07919617571984094, 0.1352129829363138, 0.9942559259976828, 0.9985139456868909, 0.8483756855904692, 0.14009873706998574, 0.5889698686602635, 0.1393262054895247, 0.20989402385434888, 0.06152066216420571, 0.9912482887766253, 0.95721293249195, 0.9830442731439414, 0.9960290734180132, 0.9812567744429248, 0.9747518046167958, 0.987868523904567, 0.9940993213092691, 0.9933107468087101, 0.9836347472891979, 0.9935349807516995, 0.9922024062196669, 0.9919814319348237, 0.07142417518220456, 0.9271916074578778, 0.24554068571723178, 0.010110498823650721, 0.0852170615136275, 0.01733228369768695, 0.023109711596915935, 0.03322021042056665, 0.07221784874036229, 0.088105775463242, 0.01733228369768695, 0.10254934521131445, 0.008666141848843475, 0.05488556504267534, 0.2108761183218579, 0.02166535462210869, 0.008666141848843475, 0.982924721865288, 0.9897800411460488, 0.2843662164691393, 0.7109155411728483, 0.9945437127099926, 0.9878243367408887, 0.992872941436844, 0.11719991000658274, 0.8773250406207052, 0.8886660326053576, 0.10677770159211661, 0.9909548731944988, 0.9994181832148246, 0.006872431813274251, 0.0368941076291565, 0.1020013563864915, 0.1063418396369805, 0.0441282463799715, 0.15625739701760402, 0.07270309444569076, 0.08066064707158725, 0.07776699157126125, 0.02278753706506725, 0.02640460644047475, 0.0021702416252445, 0.014468277501630001, 0.032191917441126754, 0.004340483250489, 0.0039787763129482505, 0.038340935379319506, 0.00542560406311125, 0.006149017938192751, 0.00831925956343725, 0.02206412318998575, 0.0007234138750815001, 0.0039787763129482505, 0.00831925956343725, 0.09838428701108401, 0.00108512081262225, 0.01555339831425225, 0.00108512081262225, 0.9942412428166607, 0.9975216983265178, 0.10623680172570711, 0.7654497765365051, 0.12802896618226242, 0.9996598628631922, 0.9961725229134792, 0.0516563400975164, 0.06272555583269848, 0.8818475202361729, 0.15509505894779868, 0.844123927388019, 0.997147380300386, 0.2958140458191344, 0.07671812870542971, 0.13477509096899815, 0.1147316159018138, 0.0670419683281683, 0.028337326819122686, 0.03663117857106103, 0.05667465363824537, 0.006911543126615289, 0.007602697439276818, 0.00622038881395376, 0.0020734629379845866, 0.09676160377261404, 0.014514240565892107, 0.020734629379845868, 0.03248425269509186, 0.988707105935788, 0.9986290039641726, 0.8628161486457328, 0.07055739533317908, 0.06551758138080915, 0.8345418800311077, 0.16410137873832908, 0.232540502497684, 0.05694869448922873, 0.6074527412184398, 0.09966021535615027, 0.7640669046255119, 0.019647434690370304, 0.021830482989300338, 0.09605412515292149, 0.09387107685399146, 0.996809169411318, 0.988709193358992, 0.9517856500294506, 0.9874218660171759, 0.9957713935264223, 0.17925155362309686, 0.817053593258767, 0.9931408771327787, 0.9874167944250886, 0.9864906527905822, 0.003665139269295918, 0.12827987442535713, 0.021990835615775507, 0.8429820319380611, 0.9912705261600615, 0.9954303029955498, 0.13701958311146611, 0.09890135322331388, 0.09168979621744724, 0.13701958311146611, 0.4728720950989695, 0.06078312333516166, 0.28228789176099917, 0.28793364959621914, 0.4279484439096747, 0.815224085842202, 0.07832747221745556, 0.054623105625330855, 0.0010306246344402047, 0.048439357818689625, 0.9965363007052983, 0.9920566478829257, 0.9958669057249314, 0.9718519016860998, 0.9977755984040761, 0.9952620336995942, 0.9951686181583941, 0.9756195173192659, 0.9955930057093663, 0.9963682256400529, 0.9233029385834596, 0.030776764619448654, 0.04424159914045744, 0.9950770657537162, 0.9910220931995598, 0.5829898198804587, 0.3378009584907344, 0.07862034142387901, 0.9958665783178593, 0.9971634064119693, 0.09831487290553571, 0.43347921235622566, 0.040219720734082795, 0.3753840601847727, 0.051391865382439124, 0.8769720982052147, 0.05492148493810435, 0.008858304022274896, 0.056693145742559334, 0.9813644177649496, 0.9886903958419233, 0.0043918356911909024, 0.9936528251319418, 0.9864591403295332, 0.37496477422689883, 0.1811270519570613, 0.09533002734582174, 0.21767022910629297, 0.04607617988381384, 0.0826193570330455, 0.993117442921112, 0.9728410936683919, 0.9411222782680645, 0.05820242794423269, 0.2302366852781345, 0.24704228274369175, 0.035291754677670255, 0.48400120700804916, 0.9688029215675388, 0.9971148132060651, 0.9866075017484613, 0.9983334512525609, 0.157804313245787, 0.8378657584240595, 0.9984937074562723, 0.9866013938613626, 0.5227268120221613, 0.42292797945914096, 0.053550593082596264, 0.24964550213757497, 0.18920501214637261, 0.29694675517416813, 0.14190375910977945, 0.12088097998240473, 0.09590031273750063, 0.13202714287833991, 0.05517552239691817, 0.010509623313698698, 0.24894670224323792, 0.2627405828424675, 0.008539068942380193, 0.001970554371318506, 0.011823326227911036, 0.006568514571061687, 0.08079272922405875, 0.037440533055051614, 0.009195920399486362, 0.0013137029142123373, 0.025617206827140578, 0.009195920399486362, 0.9968118619715645, 0.9554825343937601, 0.11273159375909057, 0.23912762312534364, 0.6456445824384278, 0.9835264332924506, 0.9939825709308513, 0.9691944033196855, 0.9845363358010422, 0.9995102229576348, 0.9872066804899571, 0.21916711316796772, 0.6000644408805736, 0.03778743330482202, 0.10656056191959809, 0.014359224655832368, 0.006801737994867964, 0.0007557486660964404, 0.0037787433304822017, 0.009824732659253725, 0.9983167307480398, 0.9945587113370123, 0.06602756369797311, 0.17838266389222898, 0.0019483543386287145, 0.0019483543386287145, 0.03528686191072005, 0.022730800617335005, 0.3264575936280113, 0.005412095385079763, 0.0798825278837773, 0.03940005440338067, 0.0006494514462095716, 0.000865935261612762, 0.015153867078223335, 0.0019483543386287145, 0.012123093662578669, 0.03593631335692962, 0.01320551273959462, 0.003247257231047858, 0.0012989028924191431, 0.023596735878947766, 0.05390447003539443, 0.0025978057848382863, 0.03139015323346262, 0.000432967630806381, 0.000865935261612762, 0.004762643938870191, 0.006278030646692525, 0.007143965908305286, 0.0002164838154031905, 0.000865935261612762, 0.01233957747798186, 0.003030773415644667, 0.0012989028924191431, 0.0015153867078223336, 0.006061546831289334, 0.0002164838154031905, 0.0010824190770159525, 0.9945074175170338, 0.9914708576840953, 0.9860054045909157, 0.049251281398967284, 0.14588354237162462, 0.47443322967865953, 0.08354014819571666, 0.035535734680267536, 0.009351509126386194, 0.0018703018252772387, 0.0037406036505544774, 0.0062343394175907955, 0.01558584854397699, 0.08790418578803022, 0.07294177118581231, 0.012468678835181591, 0.2722930629699216, 0.7264868196451597, 0.1551381865094419, 0.8345364515680322, 0.08292265594976779, 0.368662508617439, 0.08424308040756665, 0.03485920568588965, 0.0005281697831195401, 0.010035225879271262, 0.007130292072113791, 0.0023767640240379305, 0.037235969709927576, 0.03802822438460689, 0.0036971884818367807, 0.11091565445510342, 0.049383874721677, 0.08213040127508849, 0.0007922546746793102, 0.05123246896259539, 0.00026408489155977006, 0.023503555348819535, 0.0066021222889942515, 0.005017612939635631, 0.9842897644595872, 0.9903133925460099, 0.9951686575158871, 0.9964080984385107, 0.9585917441752276, 0.9952790614827469, 0.9962572855351239, 0.09123040867062746, 0.9066021861643604, 0.9951914786012749, 0.9843427086475826, 0.9911495631714842, 0.9984812988123385, 0.9890080051439287, 0.9837166257364575, 0.9878664284826633, 0.9888713017287006, 0.9823786506623776, 0.9843952822312755, 0.824876790982174, 0.07551688931526945, 0.08713487228684937, 0.9676380107918382, 0.9947215920060343, 0.991823695619564, 0.14667751445189814, 0.8495072712005768, 0.9755368909727353, 0.9986567303600794, 0.9799402470155302, 0.9960317990322348, 0.9925579686722006, 0.022336311282596347, 0.11343342396455791, 0.14190127167767091, 0.005693569542622598, 0.0818998080361866, 0.047738390780451015, 0.018394609291549933, 0.04554855634098078, 0.015766807964185656, 0.20409256975862544, 0.00875933775788092, 0.20584443731020163, 0.000875933775788092, 0.001751867551576184, 0.08627947691512707, 0.9821403256404729, 0.9911743204537581, 0.0798906353356975, 0.3408667107656426, 0.03408667107656427, 0.20665044340167085, 0.02343458636513793, 0.20238960951710033, 0.0095868762402837, 0.06178209132627273, 0.03941271343227743, 0.9973473524136922, 0.11253506958761599, 0.1626935577466677, 0.012861150810013256, 0.12828997932988223, 0.02829453178202916, 0.009002805567009279, 0.08552665288658814, 0.028616060552279495, 0.06301963896906496, 0.012539622039762924, 0.003215287702503314, 0.04212026890279341, 0.003215287702503314, 0.04340638398379474, 0.03472510718703579, 0.012861150810013256, 0.017362553593517894, 0.007395161715757622, 0.0163979672827669, 0.0890634693593418, 0.026686887930777507, 0.0025722301620026513, 0.012218093269512592, 0.0006430575405006628, 0.014468794661264913, 0.0022507013917523197, 0.01157503572901193, 0.0003215287702503314, 0.009324334337259611, 0.0009645863107509942, 0.005144460324005303, 0.9852467709342838, 0.9946893455646766, 0.06348152894105102, 0.0807946731977013, 0.8512295926186387, 0.8597142472072622, 0.10962799125461734, 0.02307957710623523, 0.998256044093735, 0.9828442367537198, 0.5085108044131184, 0.03192444512010609, 0.025083492594369067, 0.0364850801372641, 0.05928825522305416, 0.13909936802331938, 0.020522857577211055, 0.17558444816058347, 0.9963505345838075, 0.9825537681039831, 0.18272660639019542, 0.02520366984692351, 0.5954367001335679, 0.13021896087577145, 0.024153516936635026, 0.0409559635012507, 0.010743331544737753, 0.9830148363435045, 0.995708606891844, 0.9910082302098662, 0.849105116744286, 0.14963737713116515, 0.9946948494875073, 0.9768016039366245, 0.9922719730600477, 0.004530062172596553, 0.06342087041635174, 0.12986178228110118, 0.6326986834393186, 0.16761230038607244, 0.9977588398616688, 0.9945392166117162, 0.9755645869645734, 0.9878734413962164, 0.9988490528148025, 0.9936181014474125, 0.9823609445815756, 0.9930648094528853, 0.9973043320424035, 0.9962667971060124, 0.9633832029164403, 0.027656934055017422, 0.9939941877446516, 0.994066067255245, 0.9910078969419496, 0.9935163939047567, 0.9925385044618886, 0.9825002278827258, 0.9933943354715046, 0.11612137965519553, 0.8802749748055145, 0.9911231980554457, 0.9898652794982558, 0.9932527840194562, 0.9967594987186633, 0.9878552149525497, 0.9764069394495815, 0.984881528488604, 0.9761000654292955, 0.9874501185352125, 0.9648123543336264, 0.9940233679751614, 0.994148150114598, 0.24458206458998086, 0.7527692432380522, 0.3132191025742191, 0.5220318376236985, 0.11419446448018404, 0.04567778579207362, 0.9943090599163145, 0.9859445564491265, 0.9876985393351794, 0.9945850193961501, 0.31109822195480236, 0.6787597569922961, 0.07732014534171255, 0.01754783440379292, 0.16889790613650685, 0.0071288077265408735, 0.004935328426066758, 0.30763547189149465, 0.10912559519858722, 0.11515766327489103, 0.0005483698251185288, 0.038385887758297016, 0.0016451094753555863, 0.025225011955452323, 0.12502832012702456, 0.0005483698251185288, 0.9946783556559543, 0.990671545524521, 0.9884576927847709, 0.09444575049789644, 0.04292988658995293, 0.04136879980486373, 0.10771498817115462, 0.040588256412319126, 0.03980771301977453, 0.1178620522742344, 0.18733041421070368, 0.055418580870666506, 0.01717195463598117, 0.05385749408557731, 0.01717195463598117, 0.0062443471403567895, 0.02341630177633796, 0.06400455818865709, 0.01795249802852577, 0.04917423373030971, 0.010147064103079782, 0.007024890532901388, 0.0007805433925445987, 0.0031221735701783947, 0.9909265987711501, 0.23464959653751868, 0.0830222089444187, 0.024359809810039018, 0.02535408776146918, 0.06661662274582099, 0.008948501562871476, 0.03977111805720656, 0.008948501562871476, 0.00994277951430164, 0.008948501562871476, 0.012428474392877049, 0.05915953811009476, 0.03678828420291606, 0.008451362587156393, 0.08053651406584328, 0.02783978264004459, 0.004474250781435738, 0.14765027578737935, 0.02038269800431836, 0.001988555902860328, 0.03479972830005574, 0.00248569487857541, 0.005965667708580984, 0.016902725174312787, 0.003977111805720656, 0.019388420052888197, 0.003479972830005574, 0.001988555902860328, 0.9973008410096921, 0.0010996342365126315, 0.0032989027095378944, 0.00769743965558842, 0.9874715443883431, 0.9982813011730216, 0.9977916612394054, 0.9912140012238783, 0.07285513322579205, 0.08499765543009073, 0.82569150989231, 0.9780354867588309, 0.9844433791665952, 0.06578258000694194, 0.27230928467989923, 0.09637912884738006, 0.03748077232953669, 0.08796507791625958, 0.05277904674975575, 0.022947411630328585, 0.04512990953964622, 0.04436499581863527, 0.0038245686050547645, 0.004589482326065718, 0.06425275256492004, 0.03289129000347097, 0.12621076396680722, 0.04207025465560241, 0.9991968426457908, 0.9841678274132803, 0.9878594316562458, 0.9538901398187808, 0.9869362401187464, 0.2191686358377045, 0.20587347961960845, 0.00261874289144316, 0.12791551815895436, 0.22239170401178837, 0.002014417608802431, 0.02941049708851549, 0.03666240048020424, 0.08823149126554648, 0.0336407740670006, 0.0076547869134492375, 0.0010072088044012154, 0.0012086505652814585, 0.0002014417608802431, 0.00584181106552705, 0.009669204522251668, 0.002014417608802431, 0.0036259516958443757, 0.0006043252826407292, 0.9970897031602537, 0.9882133698760079, 0.9993224244578472, 0.9921022795802152, 0.9594658509134244, 0.9984523377895034, 0.9951322755775647, 0.984745002506198, 0.9822195482330972, 0.991748884853719, 0.9923236300653696, 0.9973927771396965, 0.9991384904396352, 0.9958610732913606, 0.9979095703523463, 0.8848348919843619, 0.10409822258639552, 0.9950140411759076, 0.9897916344132163, 0.979455169430516, 0.9873430436469566, 0.9966276721622185, 0.9933312018756687, 0.6068696274791486, 0.35993018446586145, 0.03189254799064595, 0.1274974490316447, 0.1434346301606003, 0.6597992987387613, 0.06693616074161347, 0.9960120198087429, 0.9959483032085882, 0.9928202866847304, 0.9895214006077826, 0.9878463134850294, 0.6277617473174173, 0.06019633193454687, 0.17198951981299104, 0.02006544397818229, 0.11752617187221055, 0.9913478094718281, 0.9840655160739131, 0.014910083576877472, 0.989990519842196, 0.9986252603331415, 0.9967323347410665, 0.9778426351458781, 0.9927651202508418, 0.9980004170691436, 0.9057945351136885, 0.07424545369784331, 0.9904179432953772, 0.971316077706928, 0.4635219907649146, 0.037479500903433695, 0.028300847620960135, 0.11549805380445893, 0.0007648877735394631, 0.002294663320618389, 0.27382982292712776, 0.04436349086528886, 0.006119102188315705, 0.02753595984742067, 0.9348826280389112, 0.013511724149220326, 0.038604926140629506, 0.011581477842188851, 0.0025326512264202262, 0.5559169441992396, 0.4064905218404463, 0.013296418938706188, 0.021527535424571923, 0.9792629063987393, 0.9994458114694772, 0.9955984347793807, 0.997237402908399, 0.9854435439991036, 0.9931471381671073, 0.14268929454606388, 0.8508509785894921, 0.9878562988113376, 0.5546875714023001, 0.4431471358485766, 0.9996536080270297, 0.9980568835998745, 0.9922218139425449, 0.98042003686371, 0.9957240586437726, 0.9627363116514566, 0.9918108974755256, 0.9824397161324857, 0.1813600083710187, 0.10881600502261121, 0.16215718395526377, 0.5462136722703622, 0.9948599611637364, 0.7321874844449178, 0.25792968202036876, 0.9843439225787698, 0.1894371481337701, 0.294126098418222, 0.062314851359792804, 0.35062489698443416, 0.06480744541418451, 0.037388910815875684, 0.9944583390373124, 0.9968951425607271, 0.969689370738443, 0.9964319281680086, 0.6808527257345267, 0.06382994303761187, 0.24680911307876593, 0.10796075818054429, 0.1149259683857407, 0.2333345418740796, 0.1253737836935353, 0.3029866439260437, 0.1114433632831425, 0.9967027475115711, 0.9935125998204177, 0.995651921889143, 0.9728825182912015, 0.9871533838163851, 0.26715836879236027, 0.7309561460867116, 0.08472841397623312, 0.18889668050978378, 0.03936875800915882, 0.03178843814404129, 0.05073923780683513, 0.0728688812840331, 0.04694907787427636, 0.011003690126783523, 0.07176851227135475, 0.047315867545169144, 0.007947109536010322, 0.0191953261100557, 0.0055018450633917615, 0.005746371510653617, 0.022618696371721685, 0.00036678967089278405, 0.014060270717556722, 0.010270110784997954, 0.02420811827892375, 0.00012226322363092802, 0.0011003690126783522, 0.021273800911781476, 0.13644575757211566, 0.0008558425654164962, 0.0009781057890474241, 0.0009781057890474241, 0.0004890528945237121, 0.007702583088748466, 0.021396064135412403, 0.013204428152140226, 0.0007335793417855681, 0.0014671586835711362, 0.011615006244938162, 0.0011003690126783522, 0.0006113161181546401, 0.005135055392498977, 0.0020784748017257763, 0.00036678967089278405, 0.00012226322363092802, 0.0017116851308329923, 0.0006113161181546401, 0.0007335793417855681, 0.0017116851308329923, 0.0012226322363092803, 0.0004890528945237121, 0.0013448954599402083, 0.005746371510653617, 0.0020784748017257763, 0.00012226322363092802, 0.00024452644726185603, 0.0007335793417855681, 0.16774000953789986, 0.8290227394469282, 0.991715017843047, 0.9748779573084227, 0.999125578762112, 0.998041930173381, 0.9951409965750833, 0.9963899217453633, 0.35272174044284316, 0.6409212112924833, 0.9879009492144004, 0.9904285276675137, 0.9914023936572319, 0.985353764754973, 0.9795296927347565, 0.07938151382797815, 0.16923091958931605, 0.5539259481402871, 0.17795416286711582, 0.018318810883379572, 0.9918806983895613, 0.0864519540130974, 0.9105046220528343, 0.9873224933872053, 0.8447286977006132, 0.1475730857428782, 0.9950498542475331, 0.9948921317216118, 0.9900242186259097, 0.9991270953470143, 0.9366521793913128, 0.9926988425175746, 0.9965942084841034, 0.00933322473445656, 0.6113262201069046, 0.0699991855084242, 0.30332980386983815, 0.008501215246488827, 0.19154300602245136, 0.01726809346943043, 0.03692715372693584, 0.05339825826700794, 0.04091209837372748, 0.0023909667880749825, 0.0042506076232444135, 0.007172900364224947, 0.09245071580556599, 0.00318795571743331, 0.017533756445883206, 0.017002430492977654, 0.008235552270036051, 0.021253038116222065, 0.02231569002203317, 0.03400486098595531, 0.17560322743528484, 0.01461146370490267, 0.0406464353972747, 0.10068626807560203, 0.02683196062173036, 0.010095193105205482, 0.023112678951391498, 0.021253038116222065, 0.0029222927409805343, 0.003984944646791637, 0.001328314882263879, 0.9846814997480187, 0.9803687629858728, 0.9849334433149265, 0.22970216436146312, 0.7641112814473161, 0.9931821275166861, 0.9952351815989319, 0.996987523847227, 0.05438108190916317, 0.14360829397371244, 0.07338806199391923, 0.006863631697273022, 0.005807688359231018, 0.20749286592525365, 0.049629336887974156, 0.050685280226016156, 0.04012584684559613, 0.052797166902100165, 0.007391603366294023, 0.012143348387483039, 0.024814668443987078, 0.013727263394546043, 0.1568075856992375, 0.004751745021189015, 0.031150328472239096, 0.030622356803218096, 0.002111886676084007, 0.011615376718462037, 0.014255235063567046, 0.006863631697273022, 0.9989858137433718, 0.9872614187349104, 0.9861562514054322, 0.9954269160017077, 0.617771632540935, 0.37206700596215403, 0.9934269242138002, 0.1679896873495584, 0.1588643216169898, 0.015347206004774473, 0.04064935644507833, 0.0020739467574019555, 0.05765571985577437, 0.01825073146513721, 0.061803613370578284, 0.012443680544411735, 0.03359793746991168, 0.07839518742979393, 0.04811556477172537, 0.00041478935148039117, 0.0008295787029607823, 0.02198383562846073, 0.00041478935148039117, 0.002488736108882347, 0.009954944435529387, 0.017006363410696036, 0.002488736108882347, 0.07549166196943119, 0.01451762730181369, 0.03193878006399012, 0.1256811734985585, 0.9881511701458437, 0.9812483580808341, 0.9780023903056938, 0.9922275761309717, 0.9861147358377551, 0.9974166013652409, 0.9337695248764043, 0.9980873627378718, 0.13249266224219688, 0.16391720392784617, 0.24290321411069432, 0.04649982857538641, 0.05308199609062376, 0.0256916861078619, 0.011678039139937226, 0.0010616399218124752, 0.0014862958905374653, 0.009554759296312276, 0.00042465596872499006, 0.08790378552607293, 0.04034231702887405, 0.03758205323216162, 0.014438302936649662, 0.021020470451887006, 0.006794495499599841, 0.00976708728067477, 0.017835550686449583, 0.006157511546512355, 0.0063698395308748506, 0.0074314794526873255, 0.0010616399218124752, 0.00021232798436249503, 0.0050958716246998804, 0.0031849197654374253, 0.005945183562149861, 0.026965654014036868, 0.005945183562149861, 0.00042465596872499006, 0.005732855577787366, 0.0006369839530874851, 0.0006369839530874851, 0.9866182767330615, 0.9973488920020428, 0.00707438206490951, 0.0040425040370911484, 0.02526565023181968, 0.0707438206490951, 0.17079579556710103, 0.09803072289946035, 0.015159390139091808, 0.05255255248218493, 0.08590321078818691, 0.05255255248218493, 0.10005197491800592, 0.09600947088091477, 0.037393162343093124, 0.046488796426548205, 0.009095634083455085, 0.0626588125749128, 0.02829752825963804, 0.016170016148364594, 0.022233772204001318, 0.9942603312624273, 0.07799192274377693, 0.14370426616193793, 0.10620176714046221, 0.004314446790081278, 0.18087488466109972, 0.002323163656197611, 0.02854172491899922, 0.020908472905778498, 0.019912831338836666, 0.046795153646266165, 0.04878643678014983, 0.00033188052231394443, 0.18054300413878577, 0.012611459847929888, 0.010620176714046222, 0.013938981937185665, 0.021904114472720334, 0.0029869247008255, 0.0049782078347091665, 0.019912831338836666, 0.0029869247008255, 0.02157223395040639, 0.010620176714046222, 0.00033188052231394443, 0.016262145593383275, 0.9881496985798409, 0.9961829457758699, 0.987821456751662, 0.05070211656161601, 0.9488538956530996, 0.9045745302921975, 0.08710717699110049, 0.5902061391203856, 0.011263475937411938, 0.11714014974908417, 0.09461319787426029, 0.03829581818720059, 0.02027425668734149, 0.07433894118691879, 0.05181198931209492, 0.9915824517979012, 0.9922749122792625, 0.9959122419908495, 0.08464306620396361, 0.9133577376427702, 0.9888099534408709, 0.9967002535717946, 0.9917660662279051, 0.12454157082483275, 0.09057568787260564, 0.09434967486729753, 0.1792643822478653, 0.05660980492037852, 0.3302238620355414, 0.12076758383014084, 0.9996526298631387, 0.9948887026904758, 0.910472103855617, 0.0531050498027835, 0.035636283420288926, 0.9937981224007212, 0.9938127430974768, 0.1040306184907677, 0.0013337258780867654, 0.004001177634260296, 0.0013337258780867654, 0.06668629390433826, 0.8215751409014475, 0.9756631182691464, 0.9951986576099501, 0.9920989456107336, 0.8688800651000325, 0.07673226548935351, 0.04739345809636541, 0.0022568313379221624, 0.0022568313379221624, 0.9842417064921067, 0.9882499796511175, 0.9879940121191703, 0.9824460233796177, 0.9877048702833533, 0.9966532443935869, 0.9894940746412124, 0.9940287403589059, 0.8071849413522812, 0.1884206923617717, 0.9929276209910178, 0.9820893351891216, 0.9962395801370133, 0.994777795896031, 0.36988037867086915, 0.026195494240146538, 0.05972572686753411, 0.04400843032344619, 0.030386773318569985, 0.20222921553393128, 0.0052390988480293076, 0.10163851765176857, 0.05134316871068722, 0.022004215161723094, 0.0764908431812279, 0.0031434593088175847, 0.008382558156846893, 0.9898868520285445, 0.9812778226925697, 0.9943841798805052, 0.20578214515197904, 0.1722148448789612, 0.09486410946722437, 0.12989085757819954, 0.31961907651264826, 0.0758912875737795, 0.018590594255985547, 0.04337805326396628, 0.2577895736829996, 0.07064425817274508, 0.07560174997434123, 0.038420561462370134, 0.06320802047035086, 0.09791046308152389, 0.04585679916476435, 0.20945402861743717, 0.01735122130558651, 0.058250528668754714, 0.9885684303895621, 0.9948612006243961, 0.9768437009502939, 0.8781519797237356, 0.12170943020110574, 0.9517237798532961, 0.9840669456827731, 0.9987067422990279, 0.9078001599770584, 0.08321501466456369, 0.2251591924001519, 0.09736613725411974, 0.6693921936220733, 0.9900465542038434, 0.9937180001763033, 0.977408909381268, 0.9960951366918316, 0.9913316678338653, 0.994402655630632, 0.006376553461270207, 0.2247735095097748, 0.7667805537177423, 0.9958805341764401, 0.9987111129580579, 0.9839930764699467, 0.993049560335435, 0.9933932495828831, 0.9851288750975711, 0.9877646247051333, 0.9780738243242738, 0.9853506680849203, 0.993142113116425, 0.08092830845424415, 0.019403863430549766, 0.8608689653700007, 0.03880772686109953, 0.9967806563478323, 0.9933593263690752, 0.9869582129936119, 0.9896916100127037, 0.9735747746939317, 0.9956521171950333, 0.9925451543883226, 0.15260391824311567, 0.8434834753801302, 0.10415057083632814, 0.019400596528335635, 0.5115630979313764, 0.2562920909795918, 0.1082349069475567, 0.12057495648764839, 0.0820800495351274, 0.2805992391084588, 0.013998147982734906, 0.07094515909431555, 0.0862158659845718, 0.04581212067076878, 0.029586994599871505, 0.014316287709615244, 0.05535631247717895, 0.049947937120213184, 0.04390328230948675, 0.00509023563008542, 0.027678156238589473, 0.002226978088162371, 0.0019088383612820327, 0.0031813972688033874, 0.022269780881623714, 0.0006362794537606775, 0.0034995369956837266, 0.008271632898888808, 0.016543265797777616, 0.0047720959032050815, 0.001272558907521355, 0.00509023563008542, 0.0019088383612820327, 0.001272558907521355, 0.8847866114680502, 0.11059832643350627, 0.29899389275288507, 0.26617748988976353, 0.04557833730989102, 0.10391860906655152, 0.2151297521026856, 0.06927907271103434, 0.9967030470001699, 0.9866388033735575, 0.6797495674198434, 0.18310597203560358, 0.13293995229982178, 0.993070813644278, 0.9896405081928769, 0.031240517816407614, 0.0004198994330162314, 0.17652572164002367, 8.397988660324627e-05, 0.06785574837542299, 0.14688082166907773, 0.05114375094137698, 0.0014276580722551867, 0.0013436781856519403, 0.0010917385258422015, 0.4592859998331539, 0.001511637958858433, 0.027377443032658284, 0.002519396598097388, 0.0036111351239395896, 0.027125503372848546, 0.00016795977320649253, 8.397988660324627e-05, 0.0002519396598097388, 0.9821064515110328, 0.9863648462976808, 0.9959007137233625, 0.9864309703389975, 0.9968510045837474, 0.994839728628252, 0.9926711203789343, 0.4528934461577372, 0.46421578231168065, 0.08114340910326125, 0.9817425574958557, 0.11914451656010981, 0.7190660250544073, 0.0020994628468741817, 0.12859209937104363, 0.030442211279675637, 0.9972852824055196, 0.9521750121933007, 0.9886795967369499, 0.9884812567429571, 0.12326181712218397, 0.7336448538329988, 0.04503797164079799, 0.09718720196172198, 0.2707732578245628, 0.1574905683265314, 0.5691764399169381, 0.9950715077167195, 0.9961615171356875, 0.9745134971559043, 0.07707952991031672, 0.12411110748271335, 0.5003637280618864, 0.18812631028958654, 0.026128654206887023, 0.08361169346203846, 0.8952607802294946, 0.10135027700711259, 0.9802383072291777, 0.9900944588190755, 0.9917264250942407, 0.9786177279450815, 0.9940781762830644, 0.9909844119093721, 0.9748253574622984, 0.44969081197009225, 0.3014610802185755, 0.11321841474272293, 0.016368927432682832, 0.040012933724335814, 0.012276695574512124, 0.005456309144227611, 0.020006466862167907, 0.030464392721937492, 0.002273462143428171, 0.0013640772860569028, 0.006820386430284513, 0.9861034990864113, 0.9946889856025641, 0.015176876767469231, 0.14786614222020023, 0.0004336250504991209, 0.02775200323194374, 0.6998708315055812, 0.0021681252524956046, 0.10623813737228462, 0.9893006957868811, 0.9902777895658927, 0.9857474107115684, 0.9983754183190537, 0.9916733722063986, 0.992510553871774, 0.07650910704527551, 0.9181092845433061, 0.9029570772740234, 0.09074945500241441, 0.9918269460852879, 0.9941176327954699, 0.19833676393494049, 0.7999582812042599, 0.9914249745873529, 0.9953807551427977, 0.9922893382245754, 0.9947807474413821, 0.9734059365521758, 0.9967800785957409, 0.9993829255510949, 0.9788470563655486, 0.9974404864517586, 0.010401230520175306, 0.20059516003195232, 0.03863314193207971, 0.034175471709147434, 0.12630065631641443, 0.008915340445864547, 0.417535110881323, 0.08320984416140245, 0.04011903200639046, 0.04011903200639046, 0.9952599213091842, 0.9917237557231242, 0.9970944212269752, 0.36351192897140433, 0.07107124252325533, 0.015146330373808515, 0.08155716355127662, 0.4672060369151703, 0.9936849279533017, 0.9940417050016402, 0.23079105721319662, 0.7678240941900579, 0.9925658774805807, 0.0730888014140106, 0.16749516990710764, 0.121814669023351, 0.039589767432589074, 0.04568050088375662, 0.0730888014140106, 0.45680500883756625, 0.01827220035350265, 0.9965321199628933, 0.9990999589472057, 0.9907952369461652, 0.9920443181956572, 0.999070901434176, 0.9961582341588426, 0.96161793672099, 0.9937268182933329, 0.9957621791283738, 0.13881999791019034, 0.06940999895509517, 0.40489166057138853, 0.10122291514284713, 0.283424162399972, 0.9982132740224878, 0.9953785951482622, 0.9939109893052299, 0.9883609189677199, 0.9797225989573982, 0.991391731041919, 0.9944084857944929, 0.9890295328225925, 0.9846748576741555, 0.9956396798244851, 0.9993074946174544, 0.998558960049369, 0.9976591820189241, 0.9907348558269119, 0.13212121092149698, 0.10041212030033769, 0.010569696873719758, 0.016735353383389617, 0.7389979730875731, 0.4219118904278142, 0.5681746791094564, 0.19306043920499824, 0.08044184966874927, 0.08044184966874927, 0.013023918517797502, 0.003064451415952353, 0.0651195925889875, 0.05745846404910662, 0.015322257079761765, 0.03217673986749971, 0.10572357385035619, 0.010725579955833236, 0.00842724139386897, 0.07201460827488029, 0.07278072112886838, 0.004596677123928529, 0.004596677123928529, 0.03983786840738059, 0.024515611327618824, 0.11032025097428472, 0.005362789977916618, 0.9969879469870369, 0.7221874271334976, 0.15545518285214266, 0.05936476238366613, 0.0067917312896567175, 0.010061824132824767, 0.00025154560332061915, 0.007043276892977337, 0.01232573456271034, 0.020375193868970153, 0.005785548876374241, 0.9281291317823054, 0.06142031019147609, 0.9846736166658479, 0.9969075531444856, 0.9966346411586584, 0.989161147510008, 0.051256179993112376, 0.03417078666207492, 0.1139026222069164, 0.13478476961151772, 0.48218776734261276, 0.13098801553795386, 0.02088214740460134, 0.03417078666207492, 0.9207325484430461, 0.0771424567614444, 0.9807786760311705, 0.9841802918307159, 0.9974509829803143, 0.963482022553376, 0.9868163378145416, 0.9972246607099589, 0.9960264115252807, 0.9776387578818995, 0.9955372910058817, 0.9953639364559597, 0.11291285605003534, 0.8807202771902757, 0.9985369920225213, 0.9967226423879711, 0.06472827593101486, 0.9308542538650709, 0.2078777417784614, 0.13734779367505487, 0.11507517848450542, 0.44545230381098877, 0.08909046076219775, 0.9956808905521295, 0.9811444661191184, 0.0035641025580519, 0.9908205111384282, 0.9918068828603336, 0.9994250306629743, 0.9738709554120812, 0.9983921484213797, 0.9860516070381085, 0.996680771365934, 0.9874865432649097, 0.996732357915467, 0.2312118049057431, 0.0839285788994011, 0.36706506880516276, 0.017634798679251593, 0.023839635251580858, 0.024819346289317055, 0.061721795377380574, 0.03624930839623938, 0.0006531406918241331, 0.00032657034591206655, 0.029717901477998052, 0.003592273805032732, 0.029717901477998052, 0.0013062813836482662, 0.01600194694969126, 0.013715954528306795, 0.0016328517295603326, 0.01045025106918613, 0.0009797110377361997, 0.0009797110377361997, 0.008164258647801664, 0.009470540031449929, 0.005225125534593065, 0.0019594220754723993, 0.013715954528306795, 0.0026125627672965324, 0.0016328517295603326, 0.0016328517295603326, 0.9900254727572361, 0.971144566677098, 0.9988576006159557, 0.9603409784179708, 0.9984715188270465, 0.9901391481996887, 0.9672933543665144, 0.9961394196638009, 0.9813580529909425, 0.999525013863985, 0.14189629149378535, 0.7417830522805202, 0.11536283861283363, 0.9912336713000989, 0.982959764925842, 0.9962843338008751, 0.9878038950834545, 0.9918786482686858, 0.2951637111642101, 0.5135039906555435, 0.028303369563691377, 0.1415168478184569, 0.016173354036395072, 0.9908642176047971, 0.9943452692879297, 0.1070132826958149, 0.8928811844473287, 0.8137456273975651, 0.18440142141319094, 0.9934604056835834, 0.9966135251914201, 0.9739663824476613, 0.2386184790377622, 0.03833228578919875, 0.20986926469586314, 0.23095202187992245, 0.06228996440744796, 0.009583071447299687, 0.018207835749869405, 0.0642065786969079, 0.025874292907709154, 0.026832600052439124, 0.003833228578919875, 0.07091472871001768, 0.37683828851053414, 0.5914614197152092, 0.007486853414116572, 0.022460560242349714, 0.9797084782949931, 0.975639754826662, 0.9855542985488954, 0.31829099841734676, 0.014956405211975178, 0.077118964374247, 0.18742245281256395, 0.0172933435263463, 0.011217303908981383, 0.03365191172694415, 0.14489017549100952, 0.021032444829340094, 0.012152079234729832, 0.12385773066166944, 0.01308685456047828, 0.024304158469459665, 0.29073851790157274, 0.05605742665422481, 0.06270830778269217, 0.025653398638374066, 0.005700755252972015, 0.037054909144318096, 0.027553650389364737, 0.010451384630448693, 0.035154657393327425, 0.21092794435996454, 0.04275566439729011, 0.08456120291908488, 0.028503776264860073, 0.017102265758916043, 0.007601007003962686, 0.03800503501981343, 0.01805239163441138, 0.9836498878455638, 0.9847266110516588, 0.01172293584585308, 0.9860776533841749, 0.9905808887096913, 0.9988674897459744, 0.9938135690652523, 0.9884120384138657, 0.9894801612717112, 0.9928029542984473, 0.9938933606845255, 0.996202306322316, 0.9795674630942052, 0.9889617578753663, 0.976676683192388, 0.9896746338885335, 0.9876347125517558, 0.295489418553464, 0.10228479873004524, 0.590978837106928, 0.9585646812242028, 0.9744992029766651, 0.018830902472979038, 0.9951356512639996, 0.9940768858692276, 0.996404027613795, 0.9856553388929988, 0.9964215056659634, 0.8775108233362572, 0.11760454333372519, 0.9987613787452461, 0.09599571335604434, 0.901524960213286, 0.9651383810712147, 0.7997911288529119, 0.10511540550638271, 0.08683446541831615, 0.9762483584256572, 0.9971123209347785, 0.09659873223577498, 0.14636171550874996, 0.19953980547692912, 0.030735960256837492, 0.07659596444957915, 0.10830766947647498, 0.05659319666338332, 0.04098128034244999, 0.002439361925145833, 0.016587661090991662, 0.012196809625729164, 0.03463893933707082, 0.015124043935904163, 0.0029272343101749993, 0.04488425942268332, 0.01122106485567083, 0.005366596235320832, 0.01853915063110833, 0.06293553766876249, 0.010733192470641664, 0.002439361925145833, 0.0029272343101749993, 0.0014636171550874997, 0.03954759739131208, 0.10692498553947338, 0.6225084774558383, 0.05273012985508277, 0.10838971136878124, 0.03954759739131208, 0.007323629146539274, 0.020506161610309964, 0.9897618109876904, 0.9994267357009382, 0.003111438632165957, 0.0793416851202319, 0.1369032998153021, 0.05133873743073829, 0.11823466802230637, 0.6067305332723616, 0.9986342049433792, 0.9922485448645989, 0.9983505913831773, 0.9976689138282551, 0.9558329854352801, 0.9841942170557942, 0.1433080254562148, 0.12592777981102887, 0.06967898481388173, 0.14947011254859888, 0.0437666185792409, 0.04076457614961788, 0.017222243412047863, 0.020698292541085045, 0.017696250111462024, 0.07836910763647469, 0.004898069227279668, 0.012640178651044304, 0.01295618311732041, 0.004108058061589399, 0.034444486824095726, 0.050560714604177215, 0.0167482367126337, 0.01295618311732041, 0.0012640178651044302, 0.006636093791798259, 0.0034760491290371834, 0.0018960267976566455, 0.018486261277152295, 0.0020540290307946994, 0.00458206476100356, 0.019908281375394778, 0.00031600446627610756, 0.0025280357302088605, 0.03302246672585324, 0.013114185350458465, 0.007742109423764636, 0.019276272442842562, 0.00047400669941416137, 0.005530078159831883, 0.002212031263932753, 0.0006320089325522151, 0.000790011165690269, 0.9993316013171405, 0.38111809369915034, 0.6125112220164917, 0.9368416390949672, 0.9901118714643997, 0.994307383733949, 0.9885262663587528, 0.707978667810502, 0.15525847978300483, 0.13041712301772407, 0.9918460545565299, 0.9882799595213755, 0.9944959711801221, 0.9906134389177461, 0.991741293384023, 0.9860780503753231, 0.9952143611290378, 0.976400224791511, 0.9990090172600978, 0.2997305689994934, 0.1923504586102668, 0.15033215454491725, 0.1830130577068558, 0.17274191671310368, 0.000933740090341101, 0.9908404148299169, 0.9755916708233556, 0.05022228840458082, 0.9374827168855085, 0.9849111614958127, 0.9444261944102497, 0.1481057254508851, 0.8256341560582923, 0.0254211319803758, 0.9869371267650077, 0.9962174276570214, 0.9964613278325994, 0.9978147077751266, 0.9790639088977914, 0.9926412629508068, 0.9832163285979013, 0.9320708088268003, 0.06705545387243167, 0.9916140520575725, 0.9866160477817961, 0.9905412602355058, 0.8769155833508928, 0.06797795219774362, 0.04758456653842054, 0.9870484833991955, 0.9770223066375104, 0.9935878782432832, 0.9899552401039206, 0.9877128800358617, 0.9864770887119586, 0.9982717140681625, 0.9845792688990139, 0.9933742733827612, 0.9885357493103807, 0.9979369987227477, 0.995519605035361, 0.9823368264778979, 0.989129608710921, 0.9976307362661838, 0.9727642206683433, 0.02600973852054394, 0.9895714238681004, 0.05284711854718298, 0.9440417085928595, 0.988482221754349, 0.9896625528271467, 0.9878838682499637, 0.9360192944462891, 0.9852740623468687, 0.9912186935687299, 0.9828410071238235, 0.9988901825856835, 0.8340778486809151, 0.030597964557587915, 0.009066063572618641, 0.08499434599329976, 0.03966402813020656, 0.9842699304113137, 0.258376018480027, 0.0043424540921012935, 0.14112975799329205, 0.02822595159865841, 0.006513681138151941, 0.03473963273681035, 0.08902030888807652, 0.08250662774992458, 0.2757458348484322, 0.04125331387496229, 0.02171227046050647, 0.010856135230253234, 0.9655615841389413, 0.9868161413865439, 0.9963078548695331, 0.05247403254064639, 0.9370362953686855, 0.9966159976586842, 0.9959034911815614, 0.9959108592445048, 0.9955401434857972, 0.9857465477869529, 0.9595595205008606, 0.9911188076081514, 0.9806065710601615, 0.9993326808465011, 0.9912529081217697, 0.9803073429534092, 0.9691892301410501, 0.9862768991941436, 0.9993488182299026, 0.9990817796136114, 0.9877750198394728, 0.9961580026941973, 0.9796401855128049, 0.9957181400882056, 0.9980494200132085, 0.07209468729911138, 0.07903365393964575, 0.07091859125834284, 0.30025731920820775, 0.1458359090552987, 0.009173549117994596, 0.0002352192081537076, 0.10137947871424798, 0.009643987534302012, 0.0009408768326148304, 0.008467891493533473, 0.0008232672285379766, 0.030460887455905132, 0.008232672285379765, 0.003293068914151906, 0.0001176096040768538, 0.022345824774602223, 0.0001176096040768538, 0.016230125362605825, 0.00341067851822876, 0.0019993632693065147, 0.006703747432380666, 0.001058486436691684, 0.001058486436691684, 0.03904638855351546, 0.000588048020384269, 0.0009408768326148304, 0.001764144061152807, 0.0025874112896907835, 0.027991085770291205, 0.028343914582521765, 0.004704384163074152, 0.9950625433527241, 0.9919059987225369, 0.9727091758807124, 0.9978338013401056, 0.9895320003930624, 0.9901279710367447, 0.9940332909108275, 0.9842162994542033, 0.9913874901907981, 0.9871595248949132, 0.9960095327643184, 0.9884049867662036, 0.9930705490412643, 0.9709290009113107, 0.9935649045227426, 0.9848752466403091, 0.9396117213309457, 0.0550688188325829, 0.04365177553072401, 0.04560633264404001, 0.11466735064787202, 0.126394693327768, 0.05863671339948001, 0.009121266528808002, 0.10424304604352001, 0.020848609208704003, 0.06124278955056801, 0.007166709415492001, 0.022803166322020004, 0.024757723435336006, 0.007166709415492001, 0.016287975944300002, 0.30295635256398007, 0.0013030380755440002, 0.03192443285082801, 0.14267631409358428, 0.8515992497460811, 0.8726607469688401, 0.12425302026377524, 0.9916954256091147, 0.2541706315915155, 0.7413310088085867, 0.991848405856178, 0.009910160628513099, 0.05887801314587194, 0.11367537191529731, 0.09502095190868441, 0.011659012504133058, 0.1212537300429838, 0.014573765630166323, 0.029147531260332645, 0.003497703751239917, 0.019237370631819545, 0.0029147531260332645, 0.01807146938140624, 0.4488719814091227, 0.05246555626859876, 0.9865780614873372, 0.7977345482647799, 0.1983556174063777, 0.992557332072219, 0.9898344789187238, 0.9975462567138175, 0.9431125227501181, 0.05524508501465127, 0.9929468325787099, 0.16047358531307418, 0.6174744478350898, 0.08372534885899523, 0.1325651356934091, 0.2732255856817968, 0.09895196886854261, 0.17870430198647247, 0.4120537211093043, 0.03544548138574661, 0.989950997908694, 0.9877524003215038, 0.9985835258183344, 0.9918133312669373, 0.9884409860391992, 0.9917416885797963, 0.2647800266801253, 0.11264122681972182, 0.44105623228761204, 0.08192089223252495, 0.009508674991275218, 0.007314365377904013, 0.008045801915694414, 0.020480223058131238, 0.01097154806685602, 0.02194309613371204, 0.0043886192267424086, 0.01097154806685602, 0.0051200557645328095, 0.9882978775451707, 0.9990588208575942, 0.9945214830601129, 0.22002273895708713, 0.06544980209482971, 0.0013925489807410578, 0.06405725311408865, 0.4623262616060312, 0.12115176132447203, 0.06405725311408865, 0.9960308631702232, 0.992334559509571, 0.9875614162070422, 0.820481356004745, 0.09334817822808476, 0.08352205420407584, 0.9935147651583631, 0.985619573470746, 0.9940685314068449, 0.9953163670944456, 0.9902271394840658, 0.9650315888497172, 0.03465697027078933, 0.48330351740245386, 0.5143078939905358, 0.9801352385798985, 0.9981988213302528, 0.9902475901049052, 0.9910815033858817, 0.971337615145833, 0.9952443137584718, 0.9938419455951063, 0.9578368196699384, 0.07101255348106603, 0.8357631294310078, 0.0874000658228505, 0.15162066993593767, 0.8402312125616546, 0.9858181578916604, 0.27139615496314656, 0.0326491614993259, 0.0659785138632211, 0.3305727601806748, 0.004761336051985027, 0.041491642738726665, 0.223102603578727, 0.029248207176479454, 0.9936528125058506, 0.9921772350329993, 0.9990493453764998, 0.8056810931869703, 0.13608018464015853, 0.05778007839879747, 0.9847564011845483, 0.9960368919064059, 0.32614723043341104, 0.6700843097995536, 0.770753411605389, 0.029835615933111834, 0.09696575178261345, 0.07458903983277958, 0.027349314605352514, 0.978145860371629, 0.049251757656886996, 0.950558922777919, 0.9965807519164798, 0.996024910864834, 0.9871409705195119, 0.006431424314056702, 0.018304823047699845, 0.45168387682567457, 0.004452524525116178, 0.08558741587167766, 0.007915599155762095, 0.1118078380751396, 0.10240806407767211, 0.19393217931617135, 0.01682064820599445, 0.9890523581287266, 0.9862968222812579, 0.9890061357992165, 0.9946497531336096, 0.9992416774724261, 0.42332187304622615, 0.14626660695747118, 0.11298901913116821, 0.013156255652259313, 0.05494671478296537, 0.056494509565584106, 0.18573537391424913, 0.006965076521784342, 0.9969572668375222, 0.3448410240543774, 0.3761902080593208, 0.2769177920436667, 0.9861096622502352, 0.9952815839625181, 0.19132289688900703, 0.03635135040891133, 0.6658036811737444, 0.10331436432006379, 0.9941893383108036, 0.9864505336487446, 0.9944245670565395, 0.9860430318275822, 0.9948303900799992, 0.9943363787806669, 0.9872555809348376, 0.9883832772435129, 0.9951522086446646, 0.9940371776074048, 0.9974947004816146, 0.948176500001284, 0.040869676724193275, 0.5102251765563851, 0.4888171271903829, 0.9953074800448707, 0.9959471481836982, 0.9719421450197909, 0.274911137886608, 0.19540252447822626, 0.1118511002185709, 0.15632201958258102, 0.2587398944815134, 0.96296811007407, 0.9784567951056147, 0.9794922365355453, 0.982735898771116, 0.9914595583206927, 0.9735887742494367, 0.9949930127411595, 0.9576766375737383, 0.050986150301079836, 0.12448566567016894, 0.256255067097635, 0.06687793740790991, 0.029797100825306396, 0.020526891679655518, 0.009270209145650878, 0.08806698688368335, 0.041053783359311036, 0.007283735757297119, 0.029797100825306396, 0.03972946776707519, 0.11852624550510767, 0.007283735757297119, 0.015229629310712157, 0.05826988605837695, 0.029134943029188475, 0.0019864733883537596, 0.0013243155922358398, 0.0033107889805895994, 0.23618346149592617, 0.07591611262369055, 0.5089191253662219, 0.17432588824699313, 0.9952877845911412, 0.9957122316126281, 0.8070724701769618, 0.05484958535183236, 0.10969917070366472, 0.019589137625654413, 0.9944132934065877, 0.9661773438101982, 0.990051526895144, 0.9837960733981613, 0.9811423019210996, 0.9819169714159407, 0.994097935649674, 0.9944407732272215, 0.9980225147033563, 0.9926604012619229, 0.9948243325492879, 0.9991095855487424, 0.9458357786037046, 0.1254457689866841, 0.3468206554337737, 0.009321047850403772, 0.017865341713273898, 0.28584546741238237, 0.005825654906502358, 0.0031070159501345907, 0.03689581440784827, 0.05592628710242263, 0.021749111650942135, 0.0066024088940360055, 0.015535079750672953, 0.010874555825471068, 0.008544293862870124, 0.001941884968834119, 0.046605239252018864, 0.9934661809002256, 0.9474186755379084, 0.9943547928172397, 0.9901245387676718, 0.9984473102503677, 0.9941214930491163, 0.9940509219825459, 0.9984446103352195, 0.9948499116507995, 0.10789729111650882, 0.04691186570282992, 0.8397223960806557, 0.9859670110692091, 0.06747009331812955, 0.925304136934348, 0.9911938072485654, 0.9966706724568347, 0.7643410783543199, 0.23220488456333768, 0.9996463662131335, 0.9930965604830468, 0.9921448895851616, 0.1334591512946314, 0.06836018557854709, 0.7241162409999126, 0.00012543153317164603, 0.07387917303809952, 0.03466090694184239, 0.9643434553597038, 0.9850356382377, 0.9802155724139259, 0.98607227367707, 0.010271586184136145, 0.9937198047934449, 0.05678408597582135, 0.9388302214669131, 0.15137491717981638, 0.8460776620943309, 0.9942104686933052, 0.9980222183115632, 0.9955009144586036, 0.993104963858715, 0.9931718169464095, 0.9894847753440504, 0.9909072459984389, 0.9849808607792481, 0.9783648776779186, 0.9992176580734928, 0.9957586999627275, 0.9913829006329031, 0.1929594961297662, 0.18663295527305257, 0.5757152179609418, 0.0411225155686387, 0.9901705794563838, 0.3321853174420061, 0.660368402143747, 0.056689976520239084, 0.04281720280984825, 0.2635827004974258, 0.008905978184448436, 0.033226149380442244, 0.024320171195993806, 0.003254107413548467, 0.1031038243661146, 0.1589374568301567, 0.01592799944526355, 0.03613771917151192, 0.011132472730560545, 0.04007690183001796, 0.05977281512254816, 0.003082838602309074, 0.00017126881123939302, 0.011646279164278725, 0.044016084488524, 0.01815449399137566, 0.001198881678675751, 0.00017126881123939302, 0.001541419301154537, 0.004795526714703004, 0.000513806433718179, 0.010104859863124187, 0.011475010353039331, 0.000856344056196965, 0.001198881678675751, 0.005309333148421184, 0.003767913847266646, 0.004281720280984825, 0.001541419301154537, 0.011646279164278725, 0.001541419301154537, 0.001198881678675751, 0.00342537622478786, 0.00017126881123939302, 0.0006850752449575721, 0.00034253762247878604, 0.9948531113816863, 0.8336546665988781, 0.0661716781555388, 0.004339126436428774, 0.09546078160143302, 0.9930216091709783, 0.9992737258594221, 0.984759670501637, 0.5834952349362381, 0.08108744668884685, 0.09780650786180496, 0.024242638700789264, 0.016719061172958113, 0.09446269562721334, 0.014211201997014396, 0.03845384069780366, 0.006687624469183245, 0.002507859175943717, 0.030930263169972508, 0.008359530586479057, 0.9671394383030134, 0.9215067415506416, 0.017264763307740356, 0.03452952661548071, 0.021580954134675447, 0.9938217652969481, 0.9903223200299738, 0.9953497952364372, 0.22417956513547352, 0.17655470232598705, 0.20373514512048305, 0.034389168926949286, 0.014417452264906573, 0.08685924110415026, 0.0025998684412126606, 0.005317912720662261, 0.04727033529477565, 0.007563253647164104, 0.015126507294328208, 0.00023635167647387826, 0.024462398515046398, 0.000945406705895513, 0.011699407985456973, 0.03167112464749969, 0.006381495264794713, 0.02694409111802212, 0.010635825441324522, 0.00035452751471081736, 0.0010635825441324522, 0.011699407985456973, 0.005672440235373078, 0.026589563603311304, 0.004727033529477565, 0.0025998684412126606, 0.0008272308676585739, 0.00401797850005593, 0.00023635167647387826, 0.00673602277950553, 0.0007090550294216347, 0.0005908791911846957, 0.00035452751471081736, 0.0004727033529477565, 0.00023635167647387826, 0.0011817583823693913, 0.0007090550294216347, 0.9785597204448132, 0.9951032774714658, 0.993576303392246, 0.9983184849130158, 0.9861341101844893, 0.9657289094950399, 0.9949200292093074, 0.9471501264027485, 0.04154167221064687, 0.9968880428085085, 0.986994646240082, 0.9944109932764916, 0.050430151447853906, 0.9365599554601439, 0.9950707102219916, 0.9918197145195581, 0.9552731566419621, 0.041760575153746975, 0.14665663093698844, 0.850608459434533, 0.9949092815376728, 0.5138461268716769, 0.22923738849663167, 0.02214853995136538, 0.06201591186382306, 0.08859415980546152, 0.034330236924616335, 0.03543766392218461, 0.01107426997568269, 0.002214853995136538, 0.9823579451951745, 0.9902495191836374, 0.9648993676253518, 0.9983604832541937, 0.986603621555727, 0.39360857196178045, 0.08543441872038646, 0.08360368117637818, 0.23433440563306002, 0.04698893029621255, 0.02746106316012422, 0.11594671112052449, 0.012204916960055209, 0.1667087495757329, 0.11519301302651053, 0.10726751509586092, 0.12106881321647488, 0.008745377026923693, 0.05944123447987198, 0.005875800189964356, 0.020087037858715358, 0.022410028631491965, 0.153317391003256, 0.002322990772776606, 0.0010931721283654616, 0.00040993954813704813, 0.0020496977406852406, 0.026099484564725398, 0.022819968179629013, 0.04085730829765913, 0.0030062233530050195, 0.009428609607152107, 0.003689455933233433, 0.007515558382512549, 0.0009565256123197789, 0.003279516385096385, 0.0002732930320913654, 0.0031428698690507025, 0.013254712056431223, 0.021453503019172187, 0.0010931721283654616, 0.002322990772776606, 0.002186344256730923, 0.008198790962740963, 0.0006832325802284136, 0.0001366465160456827, 0.0030062233530050195, 0.0013664651604568272, 0.0015031116765025097, 0.0027329303209136543, 0.012298186444111445, 0.01407459115270532, 0.005465860641827309, 0.0012298186444111444, 0.0002732930320913654, 0.0015031116765025097, 0.9934704814518739, 0.9918708949884906, 0.9779323025059429, 0.9924149948760183, 0.16811956485864263, 0.830090351489548, 0.9781337847961283, 0.9885174236848454, 0.9975255939890882, 0.7618502982072876, 0.23055995866799492, 0.99715589238054, 0.960626313357084, 0.9876597267185828, 0.14706644253120893, 0.8391438191486627, 0.2224736686908049, 0.7678929854811654, 0.9930636437964176, 0.9971384323359954, 0.09046765962875358, 0.006089169398089183, 0.00869881342584169, 0.004349406712920845, 0.04436394847179262, 0.007828932083257521, 0.0026096440277525073, 0.024356677592356733, 0.509750466754323, 0.040884423101455944, 0.043494067129208454, 0.028706084305277577, 0.03566513504595093, 0.07393991411965437, 0.00869881342584169, 0.03479525370336676, 0.020877152222020058, 0.013918101481346704, 0.9885396646147908, 0.9061752486941024, 0.08826382292475024, 0.9902232932370141, 0.9906420510127677, 0.2146310277550452, 0.5939788907639623, 0.01497425775035199, 0.17469967375410655, 0.1564273310012424, 0.21365684234316035, 0.6257093240049696, 0.9942752524862434, 0.9888109594343936, 0.9943726986325967, 0.9081748248365157, 0.08759046533956243, 0.006372964493468228, 0.3939991578020651, 0.052858117269354125, 0.11733752273267972, 0.12146120564021799, 0.020243534273369664, 0.035238744846236084, 0.031489942203019476, 0.026991379031159553, 0.01649473163015306, 0.017244492158796382, 0.0037488026432166044, 0.04948419489045918, 0.004498563171859925, 0.017244492158796382, 0.056606919912570726, 0.0029990421145732835, 0.012371048722614795, 0.0048734434361815855, 0.0007497605286433209, 0.0018744013216083022, 0.005248323700503247, 0.00037488026432166044, 0.9639263289954165, 0.9829008935413507, 0.9986201848158742, 0.9885242616346777, 0.9925980193549224, 0.1604564709894182, 0.12854247675947866, 0.07357948669680503, 0.09042298365149534, 0.056735989742114724, 0.01063799807664651, 0.057622489581835266, 0.007978498557484883, 0.14006697467584572, 0.031913994229939534, 0.02482199551217519, 0.15956997114969765, 0.04609799166546821, 0.012410997756087595, 0.9853717873220277, 0.9987151604048724, 0.9917443782694623, 0.9807574739718169, 0.9775968499118741, 0.9952523671663595, 0.14519660251654798, 0.8525114804900175, 0.9928878690440952, 0.9392524760917236, 0.04420011652196346, 0.21476019447056433, 0.6647339352660324, 0.11249343519886702, 0.9965640334201888, 0.9921317508216481, 0.9977624053497814, 0.9975221445381646, 0.9895218236434914, 0.9908571158486312, 0.9833890269604314, 0.9962994651971393, 0.9950236137086297, 0.9904629867947136, 0.98644507462793, 0.06344350814915209, 0.9199308681627054, 0.991478137513088, 0.9908407002708144, 0.9919343503178174, 0.9931012744384622, 0.9928965893982106, 0.9954604491469172, 0.5111422481435245, 0.014198395781764569, 0.055906183390697986, 0.02395979288172771, 0.010648796836323426, 0.036383389190771706, 0.013310996045404283, 0.06211798154521999, 0.0914021728451094, 0.020410193936286566, 0.014198395781764569, 0.11536196572683712, 0.02129759367264685, 0.00976139709996314, 0.99906811049576, 0.9957486466507608, 0.9928129866021799, 0.9866966446956218, 0.2373787632231104, 0.7604912229184834, 0.021388371299277963, 0.7058162528761728, 0.11228894932120931, 0.16041278474458473, 0.9972105552719166, 0.9902204705464098, 0.9801190789802122, 0.9910806706604894, 0.9993507164551024, 0.9943947927464872, 0.9944559939821888, 0.9934455878522459, 0.9951983605959138, 0.9895284472364178, 0.9750404077048526, 0.9962145594832345, 0.9842452318113586, 0.012824042108291318, 0.9987008814814405, 0.9966467838539721, 0.19299272994460276, 0.8036957520980718, 0.9910521422303059, 0.1756828113404297, 0.8198531195886719, 0.9964801176742243, 0.9965643824427349, 0.9980163535888205, 0.9832872576540876, 0.9487123548742561, 0.04693276667222468, 0.9952403075638335, 0.957651836320674, 0.3372697515758071, 0.0010113036029259584, 0.5238552663156464, 0.1284355575715967, 0.007079125220481708, 0.0015169554043889374, 0.9862894613909224, 0.9234082152086118, 0.07242417374185191, 0.9933860679349228, 0.9743951461260814, 0.9908448799192597, 0.9879018895403642, 0.9903674330162865, 0.9791723683947101, 0.964528669765974, 0.9869336762538882, 0.9914669669810527, 0.9751972702841564, 0.8652337471201694, 0.13089433610279486, 0.17722814867034545, 0.7028012792099906, 0.110003678485042, 0.9971850274804068, 0.9899347657708414, 0.9334271715940475, 0.01995450010533593, 0.03990900021067186, 0.002217166678370659, 0.782900178406274, 0.1032395839656625, 0.1103466567748929, 0.002992451709149638, 0.00037405646364370475, 0.9951122698814906, 0.9983863041301888, 0.9920506266188326, 0.2206682202707368, 0.08634843401898395, 0.23026249071729057, 0.37897368263887404, 0.004797135223276887, 0.0719570283491533, 0.9964743949241738, 0.9728500282430009, 0.2723622641623406, 0.034011541411749176, 0.018895300784305097, 0.03698080296356855, 0.010527381865541412, 0.04507878901398502, 0.02807301830811043, 0.06883288142854001, 0.015386173495791295, 0.012956777680666354, 0.014036509154055216, 0.02888281691315208, 0.04804805056580439, 0.17680602876742627, 0.048587916302498826, 0.013226710549013568, 0.0585754324313458, 0.002699328683472157, 0.0075581203137220394, 0.02132469659943004, 0.008907784655458119, 0.0021594629467777257, 0.002429395815124941, 0.0016195972100832943, 0.0013496643417360784, 0.010527381865541412, 0.007288187445374824, 0.0029692615518193727, 0.9847281764328226, 0.35943804962801124, 0.17106710344879753, 0.10185173252713298, 0.06220621943592638, 0.04534044867336887, 0.07644355839133206, 0.09352836513781888, 0.007885295421455456, 0.044026232769792964, 0.01117083518039523, 0.026941426023306143, 0.994057403472243, 0.0018674856068705678, 0.0018674856068705678, 0.9953698284620126, 0.9164715071172029, 0.08005957993207749, 0.9868099104316722, 0.26136914215040863, 0.6471997805629166, 0.08919740565450453, 0.9849068407630568, 0.9985868331705232, 0.9931632860911604, 0.5880946221517844, 0.40901317706045154, 0.9915375883110307, 0.9749517940587582, 0.12465056952183694, 0.003452924363485788, 0.051448573015938234, 0.015883452072034624, 0.6729749584433801, 0.08701369395984185, 0.04419743185261808, 0.9792173821509473, 0.9786529627191584, 0.9980527103748246, 0.134470855951086, 0.032273005428260636, 0.13783262734986312, 0.08404428496942874, 0.4605626816324695, 0.14993500438546087, 0.9799666900815994, 0.9983277685357904, 0.12920782122044414, 0.08293745281042023, 0.1523430054254561, 0.06765950097692176, 0.09341376263910488, 0.017897029290669626, 0.03623057149086778, 0.00174605163811411, 0.039286161857567475, 0.011785848557270242, 0.0061111807333993845, 0.058056216967294155, 0.05500062660059446, 0.007420719461984967, 0.029682877847939867, 0.015714464743026988, 0.023135184205011955, 0.014841438923969934, 0.006547693642927912, 0.05456411369106593, 0.050198984595780656, 0.015277951833498461, 0.005674667823870857, 0.014841438923969934, 0.0004365129095285275, 0.0061111807333993845, 0.0026190774571711647, 0.0013095387285855823, 0.9950359571338414, 0.1260144368308935, 0.09389310979556771, 0.12189631798021071, 0.08236237701365588, 0.017296099172867736, 0.01482522786245806, 0.010707109011775264, 0.0700080204616075, 0.01894334671314085, 0.0140016040923215, 0.13342705076212252, 0.12519081306075694, 0.12519081306075694, 0.046122931127647294, 0.013307216504250758, 0.984734021314556, 0.6177369713851296, 0.300520688781955, 0.0796251397627402, 0.05472314688293903, 0.649837369234901, 0.2872965211354299, 0.9807820178933525, 0.9963190384296675, 0.9886378151808862, 0.985285958949025, 0.9977282193386713, 0.23799194573240698, 0.7562855164385377, 0.9940334033739421, 0.9966601887878335, 0.9765090520221283, 0.9963556558178718, 0.9874553244672778, 0.9966253388001923, 0.022171117541034856, 0.9710949482973267, 0.9876740825121281, 0.9974094783855222, 0.9943374127433476, 0.3004005186333047, 0.0010767043678613071, 0.0915198712682111, 0.464597934732154, 0.03714630069121509, 0.07267754483063822, 0.032839483219769865, 0.9920425124964578, 0.3968061152468329, 0.5988833035669793, 0.00038231001060200367, 0.10016522277772495, 0.00038231001060200367, 0.016439330455886157, 0.019497810540702185, 0.7470337607163151, 0.11545762320180511, 0.6738067478639341, 0.3235096618184638, 0.9614288842847063, 0.20543673038139648, 0.1317211977151307, 0.27190155491655416, 0.03504508930035587, 0.026585929814063075, 0.010876062196662166, 0.0036253540655540556, 0.23081420884027487, 0.0821746921525586, 0.9962761835244882, 0.9956421012171244, 0.9952171910427232, 0.1138292818573638, 0.03261055101859611, 0.017843509047911082, 0.0892175452395554, 0.044916419327500304, 0.006768227569897306, 0.0639905152063018, 0.03691760492671258, 0.03753289834215779, 0.076296383515206, 0.031379964187705695, 0.047992886404726355, 0.04922347323561677, 0.02338114978691797, 0.007383520985342516, 0.0190740958788015, 0.04553171274294552, 0.09352459914767187, 0.011690574893458984, 0.03384113784948653, 0.006768227569897306, 0.022150562956027547, 0.052299940312842824, 0.006152934154452097, 0.0024611736617808386, 0.024611736617808386, 0.9782274173097476, 0.1946418772998217, 0.010783483506915329, 0.1655264718311503, 0.07171016532098694, 0.039898888975586716, 0.09058126145808876, 0.02534118624125102, 0.0032350450520745983, 0.04097723732627825, 0.036124669748166346, 0.08950291310739722, 0.026419534591942555, 0.015096876909681459, 0.09597300321154642, 0.012401006032952628, 0.022106141189176424, 0.001078348350691533, 0.01186183185760686, 0.024802012065905255, 0.0005391741753457665, 0.007009264279494963, 0.0005391741753457665, 0.01347935438364416, 0.9807785486231715, 0.10061691682973628, 0.07863338878290314, 0.23420912572972227, 0.20715247582592763, 0.08455203094935822, 0.08116994971138389, 0.032129771760756126, 0.043967056093666274, 0.010991764023416568, 0.040584974855691944, 0.02959321083227538, 0.04227601547467911, 0.010991764023416568, 0.0016910406189871645, 0.9977065333956442, 0.9969135712836584, 0.9951286613996488, 0.9924499687538614, 0.15556071565988608, 0.8075630195561042, 0.036522950633190644, 0.9899868366605545, 0.998407400877498, 0.8589622737009374, 0.1382450011321251, 0.9731986256451161, 0.9836484059392042, 0.9985907130671201, 0.9834870534003949, 0.9784919537266261, 0.14304428825748838, 0.15659585240819782, 0.028608857651497677, 0.04065469245212828, 0.2544682601633214, 0.14153855890740955, 0.11142397190583306, 0.05571198595291653, 0.0662520914034683, 0.9869921333614068, 0.9942457974928733, 0.9890817187389772, 0.9897996795885639, 0.4117437976754614, 0.566816137059726, 0.020795141296740472, 0.08787635847603525, 0.5404396046276169, 0.3646868876755463, 0.9756416036436584, 0.23498633542848738, 0.7639690277383558, 0.8034909946313652, 0.19030049872848123, 0.981711153565756, 0.9972154832982487, 0.7842034047376806, 0.16702514074932417, 0.02240581156393373, 0.024442703524291343, 0.02461607722352492, 0.0017902601617109032, 0.028196597546946728, 0.17634062592852398, 0.0640018007811648, 0.009398865848982243, 0.09980700401538287, 0.0004475650404277258, 0.5943663736880199, 0.07889645022953531, 0.10086761358459578, 0.1667811036497772, 0.2686474064777848, 0.02896198805894334, 0.054927908387651166, 0.012982960164353912, 0.022969852598472305, 0.0009986892434118394, 0.009986892434118395, 0.0019973784868236788, 0.11385057374894969, 0.0019973784868236788, 0.0039947569736473575, 0.06191873309153404, 0.007989513947294715, 0.006990824703882876, 0.027963298815531504, 0.024967231085295985, 0.0009986892434118394, 0.0009986892434118394, 0.9831314104998082, 0.9905154649041951, 0.9861747351228348, 0.9502196637108651, 0.011030742364802747, 0.03309222709440824, 0.004727461013486891, 0.22947658313372812, 0.23065945211895353, 0.06624066317262255, 0.016560165793155637, 0.0898980428771306, 0.20108772748831846, 0.10645820867028624, 0.03903467651243829, 0.018925903763606443, 0.9889912937596362, 0.9849325503146809, 0.23179666289960285, 0.7627727395417163, 0.00042342374598706273, 0.02921623847310733, 0.0431892220906804, 0.07409915554773598, 0.16555868468094154, 0.0863784441813608, 0.042342374598706274, 0.03175678094902971, 0.049540578280486344, 0.0008468474919741255, 0.011855864887637757, 0.00465766120585769, 0.08045051173754192, 0.0029639662219094393, 0.0008468474919741255, 0.06181986691411116, 0.05250454450239578, 0.016513526093495448, 0.001693694983948251, 0.021171187299353137, 0.07409915554773598, 0.001693694983948251, 0.0012702712379611882, 0.039801832122783896, 0.00042342374598706273, 0.04700003580456397, 0.023711729775275515, 0.008468474919741256, 0.019900916061391948, 0.003387389967896502, 0.0012702712379611882, 0.9955439051929903, 0.9798296286041782, 0.9824556722819016, 0.3375850404574355, 0.6620946039957449, 0.9848167037791222, 0.9942936745747275, 0.9901017167788422, 0.9902566113647508, 0.00935459533443862, 0.7470312559930269, 0.24188310793334145, 0.9913281573785884, 0.6565043913142853, 0.3377667520530019, 0.992849000890954, 0.9265791502309736, 0.6442714631343731, 0.3509446181301057, 0.9941174232423748, 0.9800811391680182, 0.7103963455089095, 0.17257700424316696, 0.08583194920030526, 0.0063917408978950725, 0.013696587638346585, 0.010957270110677268, 0.9949736462303841, 0.9828942589271038, 0.9967225762695519, 0.9968895701711848, 0.23151334885025968, 0.7633683394522076, 0.9917491059735114, 0.9933315595066169, 0.9855471926195744, 0.9949130895434335, 0.988349260991745, 0.9493496067395433, 0.9967844512452512, 0.9922364527434271, 0.9981444161942308, 0.185132514408425, 0.061710838136141664, 0.0774667968091991, 0.018819617303929725, 0.19651181789452202, 0.003938989668264362, 0.20526512826844284, 0.03282491390220301, 0.09672407963182487, 0.0446418829069961, 0.013567631079577244, 0.011379303486097045, 0.00043766551869604017, 0.025822265603066368, 0.020132613860017846, 0.005251986224352482, 0.9986452912523454, 0.9895047627067193, 0.9810616352366256, 0.9824726949133648, 0.992216664056171, 0.25656448501838647, 0.7376228944278611, 0.002528987655962052, 0.000280998628440228, 0.06968765985317654, 0.9270144752243122, 0.9907715050949724, 0.9947620322218437, 0.9972847018174043, 0.7628832436792056, 0.2348691467808665, 0.9895765616020966, 0.9863861874062683, 0.9939213048850442, 0.99284659071179, 0.9792530805799831, 0.9866626170824948, 0.11636781331367343, 0.8797406686513712, 0.9996211560276829, 0.9837232663062706, 0.9879354193594185, 0.9988394115345265, 0.934196144543198, 0.9523897165354208, 0.9924006325501541, 0.9841814093531319, 0.9916955874132378, 0.10411008779990147, 0.03224054331867916, 0.002686711943223264, 0.04231571310576641, 0.07881021700121574, 0.006268994534187615, 0.012090203744504688, 0.006492887196122887, 0.0015672486335469038, 0.00022389266193527198, 0.03067329468513226, 0.05418202418833582, 0.5174159417324136, 0.0011194633096763599, 0.035375040585772975, 0.006940672519993431, 0.006045101872252344, 0.01007516978708724, 0.0015672486335469038, 0.0017911412954821758, 0.018806983602562846, 0.0035822825909643517, 0.00022389266193527198, 0.022165373531591925, 0.0011194633096763599, 0.001343355971611632, 0.00022389266193527198, 0.989177422761556, 0.1864355788425306, 0.19434496703585005, 0.6180621916779651, 0.9897889535677833, 0.9908484060984827, 0.9887319138145765, 0.9847679827038613, 0.9483235606400985, 0.9746088730490944, 0.9543686336830064, 0.03940053992269293, 0.9907751687683855, 0.9934492115187706, 0.9855512060058639, 0.39381818341612673, 0.053013986229093986, 0.059072727512419015, 0.027264335774962623, 0.19387972106640086, 0.06967552475823781, 0.2029678329913884, 0.9868573727347938, 0.9927542993430571, 0.10967383594653915, 0.06938548804781047, 0.42526589448658036, 0.0033573623248940553, 0.031335381699011185, 0.08952966199717481, 0.019025053174399648, 0.08729142044724544, 0.12422240602108005, 0.024620657049223074, 0.014548570074540907, 0.23351568852093446, 0.0757901796076717, 0.005462355287039403, 0.008193532930559104, 0.030042954078716714, 0.10924710574078805, 0.021849421148157612, 0.1672846306655817, 0.037553692598395895, 0.2710693811193304, 0.030042954078716714, 0.009559121752318954, 0.9973038127847068, 0.9840730611046633, 0.9953667937979268, 0.25764784248708644, 0.34914894542642555, 0.007223771284684667, 0.38285987808828736, 0.9930869492852128, 0.2780318786551421, 0.5763369151288884, 0.1419121047302288, 0.9724661079221834, 0.0248078088755659, 0.32789718011986835, 0.6704489828149263, 0.9910314212120478, 0.9960677818863498, 0.9340718563602654, 0.9976183721659966, 0.9937575992900922, 0.9988109847306181, 0.2994904455106693, 0.16188672730306447, 0.5342262001001127, 0.9944556404237851, 0.9914777387976004, 0.9991947839084337, 0.9960626922809083, 0.973657038946971, 0.993335732340769, 0.2931419078672028, 0.18077084318477507, 0.42994146487189744, 0.09282827082461423, 0.9906246091580043, 0.9918079397594561, 0.9969951411967807, 0.9855451464506179, 0.9172232977602202, 0.07861913980801886, 0.986882691165706, 0.9866446191563134, 0.9984940114113726, 0.80611646529911, 0.19210618630054205, 0.199028668887956, 0.10126019996053902, 0.694854475591285, 0.9911198287381429, 0.9933554167767675, 0.9969744375993337, 0.983610997920983, 0.9907785118707816, 0.9760622383526822], \"Term\": [\"abc\", \"abc_boost\", \"abductive\", \"abductive_framework\", \"abductive_reasoning\", \"abelian\", \"abelian\", \"abelian\", \"ability_generalize\", \"ability_handle\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able\", \"able_classify\", \"able_classify\", \"able_classify\", \"able_classify\", \"able_deal\", \"able_deal\", \"able_deal\", \"able_deal\", \"able_deal\", \"able_express\", \"able_express\", \"able_learn\", \"able_localize\", \"able_reach\", \"abnormal\", \"abnormality\", \"abo\", \"absence\", \"absolute\", \"absolute\", \"absolute\", \"absolute\", \"absorbed\", \"absorber\", \"abstracted\", \"abundance\", \"ac\", \"ac\", \"academia_industry\", \"accelerate\", \"accelerate\", \"accelerating\", \"accelerator\", \"accept\", \"acceptance_probability\", \"acceptance_probability\", \"access\", \"access\", \"access\", \"access\", \"access\", \"access\", \"access\", \"access\", \"access\", \"access\", \"access\", \"access\", \"access\", \"access\", \"access\", \"accessibility\", \"accommodates\", \"accomplish\", \"accordance\", \"accordance\", \"accordance\", \"account\", \"account\", \"accountability\", \"accountability\", \"accounting\", \"accumulated\", \"accuracy\", \"accuracy\", \"accurate\", \"accurate\", \"accurate\", \"accurate\", \"accurate\", \"accurate\", \"accurate\", \"accurate\", \"accurate\", \"accurate_prediction\", \"accurately_predict\", \"achievable\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve\", \"achieve_better\", \"achieve_competitive\", \"achieve_goal\", \"achieved\", \"achieved\", \"achieved\", \"achieved\", \"achieved\", \"achieved\", \"achieved\", \"achieved\", \"achieved\", \"achieved\", \"achieved\", \"achieved\", \"achieved_great\", \"achievement\", \"achievement\", \"achieves_better\", \"achieves_better\", \"achieves_optimal\", \"achieves_state\", \"achieves_state\", \"achieving\", \"acoustic_emission\", \"acoustic_token\", \"acquired\", \"acronym\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"action\", \"activation\", \"activation_function\", \"actively\", \"activity_recognition\", \"actor_critic\", \"actuator\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acute\", \"acyclic_directed\", \"acyclic_directed\", \"acyclic_directed\", \"ad_hoc\", \"adaboost\", \"adapt\", \"adaptability\", \"adaptation\", \"adaptation\", \"adaptation\", \"adapted\", \"adapted\", \"adapted\", \"adapted\", \"adapted\", \"adaptive_lasso\", \"adaptively\", \"adaptively\", \"adaptivity\", \"adaptivity\", \"adapts\", \"addiction\", \"adding\", \"additive_multiplicative\", \"additive_multiplicative\", \"additive_multiplicative\", \"additive_multiplicative\", \"additive_multiplicative\", \"additive_multiplicative\", \"additive_multiplicative\", \"additive_multiplicative\", \"additive_multiplicative\", \"address_challenge\", \"address_issue\", \"address_issue\", \"addressing_challenge\", \"addressing_challenge\", \"adequacy\", \"adequately\", \"adjustable\", \"adjustable\", \"adjustment\", \"adjustment\", \"admixture\", \"adoption\", \"advanced\", \"advanced\", \"advanced\", \"advanced\", \"advanced\", \"advanced\", \"advanced\", \"advanced\", \"advanced_ligo\", \"advantage\", \"advected\", \"advent\", \"adversarial\", \"adversarial_network\", \"adversarial_training\", \"advocate\", \"aerodynamic\", \"aesthetic\", \"affected\", \"affecting\", \"affinity_propagation\", \"affordable\", \"affords\", \"affords\", \"affords\", \"affords\", \"affords\", \"affords\", \"affords\", \"affords\", \"afsa\", \"agb\", \"age\", \"agency\", \"agent_acting\", \"aggregate\", \"aggressive\", \"agn\", \"agnostic\", \"agnostic\", \"agnostic\", \"agnostic\", \"agnostic\", \"agnostic\", \"agnostic\", \"agnostic\", \"agnostic\", \"ago\", \"ago\", \"ago\", \"ago\", \"ago\", \"ago\", \"ago\", \"ago\", \"ago\", \"ago\", \"ago\", \"agreement\", \"agreement\", \"agrees\", \"ahead\", \"ai_planning\", \"aia\", \"aid\", \"aid\", \"aid\", \"aid\", \"aid\", \"aid\", \"aid\", \"aid\", \"aid\", \"aid\", \"aid\", \"aid\", \"aid\", \"aid\", \"aided\", \"aim\", \"aiming\", \"airspace\", \"aka\", \"alexnet\", \"alexnet\", \"algorithm\", \"algorithm\", \"algorithm\", \"algorithm\", \"algorithm\", \"algorithm\", \"algorithmic_fairness\", \"algorithmically\", \"alien\", \"alien\", \"alien\", \"alien\", \"alien\", \"alien\", \"alien\", \"alien\", \"alien\", \"alien\", \"alien\", \"aligned\", \"allen\", \"allen_interval\", \"allen_interval\", \"allen_interval\", \"allen_interval\", \"alleviates\", \"allocating\", \"allocating\", \"allow\", \"allows\", \"allows\", \"allows\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost\", \"almost_always\", \"almost_always\", \"almost_linearly\", \"alone\", \"alone\", \"along\", \"along\", \"along\", \"along\", \"alongside\", \"alpha\", \"alphago\", \"also\", \"also\", \"also\", \"also\", \"also\", \"also_discussed\", \"also_discussed\", \"alternate\", \"alternate\", \"alternating_direction\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"alternative\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"although\", \"always\", \"alzheimers_disease\", \"ambient\", \"amenable\", \"amenable\", \"amidst\", \"among_thing\", \"amplification\", \"analysis\", \"analytic\", \"analytical_expression\", \"analytically\", \"analytically\", \"analytically\", \"analytically\", \"analytically\", \"analytically\", \"analytically\", \"analytically\", \"analytically\", \"analytically\", \"analytically\", \"analytically\", \"analytically\", \"analytically\", \"analyze\", \"analyze\", \"analyze\", \"analyze\", \"analyze\", \"analyze\", \"analyze\", \"analyze\", \"analyze\", \"analyze\", \"analyze\", \"analyzer\", \"anaphora_resolution\", \"anaphoric\", \"anatomy\", \"andor\", \"android_platform\", \"angle\", \"angular\", \"anisotropy\", \"ann_trained\", \"annotated\", \"annotating\", \"annotation\", \"annotation\", \"annotation_effort\", \"annual\", \"anomalous\", \"anomaly\", \"answer_query\", \"answering_question\", \"ant_colony\", \"antenna\", \"antenna\", \"antenna\", \"anthropic_principle\", \"anti_ferromagnetic\", \"anticipated\", \"anticipated\", \"anticipated\", \"anticipated\", \"anticipated\", \"antigen_presenting\", \"anything\", \"anything\", \"anything\", \"aperiodic\", \"apex\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"app\", \"apparent\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"apparently\", \"appealing\", \"appealing\", \"appealing\", \"appealing\", \"appealing\", \"appealing\", \"appealing_alternative\", \"appealing_alternative\", \"appear\", \"appear_theory\", \"appear_theory\", \"appearance\", \"appears\", \"appears\", \"appears\", \"applicable_wide\", \"application\", \"application\", \"application\", \"application\", \"application\", \"application\", \"application\", \"application\", \"application\", \"application\", \"application\", \"application\", \"application\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"application_ranging\", \"applies\", \"applies\", \"applies\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"apply\", \"approach\", \"approach\", \"approach\", \"approach\", \"approach\", \"approached\", \"approx\", \"approximate\", \"approximate\", \"approximate\", \"approximate\", \"approximate\", \"approximate\", \"approximate_inference\", \"approximate_solution\", \"approximated\", \"approximated\", \"approximated\", \"approximated\", \"approximated\", \"approximated\", \"approximated\", \"approximated\", \"approximating\", \"apps\", \"aps\", \"arabic\", \"arabic_language\", \"arabic_language\", \"arabic_language\", \"arabic_language\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"arbitrary\", \"architecture\", \"architecture\", \"architecture\", \"architecture\", \"area\", \"area\", \"area\", \"area\", \"area\", \"area_research\", \"arena\", \"arena\", \"arena\", \"arena\", \"arena\", \"argue\", \"argues\", \"arises\", \"arises\", \"arises\", \"arises\", \"arises\", \"arises\", \"arises\", \"arises\", \"arises\", \"arises\", \"arithmetic_circuit\", \"array\", \"arrive\", \"arrow\", \"article\", \"article\", \"article\", \"article\", \"article_provides\", \"article_provides\", \"artifical\", \"artifical\", \"artificial_chemistry\", \"artificial_chemistry\", \"artificial_cosmogenesis\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_intelligence\", \"artificial_molecule\", \"artificial_molecule\", \"artificial_molecule\", \"artificial_molecule\", \"ascending\", \"ascertain\", \"ascertain\", \"asd_process\", \"asgd\", \"ask_whether\", \"asp\", \"asp\", \"asp\", \"aspect\", \"aspect\", \"aspect\", \"assemble\", \"asserting\", \"assertion\", \"assertion\", \"assessed\", \"assigning\", \"assignment\", \"assist\", \"assist\", \"assist\", \"assist\", \"assistant\", \"assistant\", \"assistant\", \"assisted\", \"assistive\", \"assistive_technology\", \"associating\", \"assume\", \"assume\", \"assumed\", \"assuming\", \"assuming\", \"assuming\", \"assuming\", \"assuming\", \"assuming\", \"assuming\", \"assuming\", \"assuming\", \"assumption\", \"astroinformatics\", \"astronomical_community\", \"astronomical_community\", \"astronomical_object\", \"astronomy\", \"astrophysics\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetric\", \"asymmetrically\", \"asymmetry\", \"asymptotic_behavior\", \"asymptotic_optimality\", \"asymptotic_property\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"asymptotically\", \"atari\", \"atm\", \"atom\", \"atomic\", \"attached\", \"attached\", \"attached\", \"attached\", \"attached\", \"attack\", \"attack\", \"attacker\", \"attempt_made\", \"attempt_made\", \"attempto_controlled\", \"attention\", \"attention\", \"attention\", \"attention\", \"attention\", \"attention\", \"attention\", \"attention_mechanism\", \"attention_mechanism\", \"attention_paid\", \"attention_researcher\", \"attention_researcher\", \"attention_researcher\", \"attention_researcher\", \"attention_researcher\", \"attitude_towards\", \"attract\", \"attract\", \"attract\", \"attract\", \"attract\", \"attract\", \"attract\", \"attract\", \"attract\", \"attract\", \"attracted\", \"attracted\", \"attraction\", \"attractive_property\", \"attractor\", \"attribute\", \"attributed\", \"audio\", \"augmented\", \"australia\", \"authentication\", \"authentication\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"author\", \"auto\", \"auto_encoder\", \"auto_encoders\", \"autoencoding\", \"automate\", \"automated_theorem\", \"automated_theorem\", \"automated_theorem\", \"automated_theorem\", \"automatic_generation\", \"automatic_segmentation\", \"automatically_detecting\", \"automatically_extract\", \"automatically_learns\", \"automatically_recognize\", \"automation\", \"automobile\", \"autonomic\", \"autonomous\", \"autonomous\", \"autonomous\", \"autonomous\", \"autonomous\", \"autonomous\", \"autonomous\", \"autonomous\", \"autonomous\", \"autonomous\", \"autonomous\", \"autonomous_agent\", \"autonomous_agent\", \"autonomous_agent\", \"autonomous_vehicle\", \"autonomously\", \"autonomously\", \"autonomously\", \"autonomously\", \"availability\", \"average\", \"averaged\", \"averaging\", \"avoidance\", \"avoidance\", \"avoids\", \"awareness\", \"ax\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axiomatically\", \"axis\", \"baby\", \"back\", \"back\", \"back\", \"back_door\", \"backdoor_set\", \"background\", \"background_radiation\", \"backpropagation\", \"backtrack\", \"backtrack\", \"balanced\", \"ball\", \"bandit\", \"bandit_problem\", \"bandit_problem\", \"bandwidth\", \"bandwidth_selection\", \"bank\", \"base_learner\", \"based\", \"based\", \"based\", \"based\", \"based\", \"based\", \"based\", \"based\", \"based\", \"based\", \"based\", \"based\", \"based_solely\", \"basic\", \"basic\", \"basic\", \"basic\", \"bat_algorithm\", \"bat_algorithm\", \"batch_normalization\", \"bayesian\", \"bbns\", \"beam\", \"bear\", \"became\", \"becomes_increasingly\", \"becomes_necessary\", \"becoming_important\", \"becoming_important\", \"becoming_important\", \"becoming_important\", \"becoming_important\", \"becoming_important\", \"becoming_important\", \"becoming_important\", \"becoming_important\", \"becoming_increasingly\", \"behave\", \"behave_like\", \"behavioral_science\", \"belief\", \"belief\", \"belief\", \"belief\", \"belief\", \"belief\", \"belief\", \"belief\", \"belief\", \"belief\", \"belief\", \"belief\", \"belief_desire\", \"belief_propagation\", \"believe\", \"believe\", \"believe\", \"believe\", \"believe\", \"believe\", \"bellman_equation\", \"belongs_class\", \"benchmark\", \"benchmark\", \"benchmark\", \"benchmark\", \"benchmark\", \"benchmark\", \"benchmarked\", \"benign_malignant\", \"bernoulli\", \"besides\", \"best\", \"best\", \"best_fit\", \"best_published\", \"best_published\", \"bet\", \"bet\", \"bet\", \"bet\", \"bethe\", \"bethe_free\", \"bf\", \"bias\", \"bias\", \"bias\", \"bias\", \"bias\", \"bias\", \"biased\", \"biased\", \"biased\", \"biased\", \"biased\", \"biased\", \"biased\", \"biased\", \"bic_score\", \"bic_score\", \"bidding\", \"bidding\", \"bidding_policy\", \"big_bang\", \"big_data\", \"biggest\", \"bilinear\", \"billion\", \"billion\", \"binary_classifier\", \"binary_code\", \"binding\", \"biological\", \"biological_organism\", \"biometrics\", \"biometrics\", \"biometrics\", \"biometrics\", \"bird\", \"bird\", \"bird\", \"bisimulation\", \"bisimulation\", \"bit\", \"black_box\", \"black_hole\", \"blind_source\", \"blog\", \"bluff\", \"blur\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body\", \"body_motion\", \"body_part\", \"boltzmann_machine\", \"boolean\", \"boolean_satisfiability\", \"boolean_satisfiability\", \"boolean_satisfiability\", \"boost\", \"boosted\", \"botnets\", \"bounded\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bounded_rationality\", \"bp\", \"brain\", \"brain_tumor\", \"branch\", \"breach\", \"breaking_symmetry\", \"breaking_symmetry\", \"brick\", \"briefly\", \"briefly_discussed\", \"briefly_review\", \"bright_star\", \"bring_together\", \"bringing\", \"broad\", \"broader_class\", \"broadly\", \"broadly\", \"broadly\", \"broadly\", \"broken\", \"bs\", \"bsds\", \"bug\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building\", \"building_block\", \"bush\", \"business\", \"business\", \"business\", \"bypassing\", \"byproduct\", \"c\", \"ca\", \"cable\", \"caching\", \"calculate\", \"calculate\", \"calculated\", \"calculated\", \"calculated\", \"calculated\", \"calculated\", \"calculated\", \"calculated\", \"calculating\", \"calculus\", \"calculus\", \"calibrated\", \"calibrating\", \"came\", \"came\", \"came\", \"came\", \"came\", \"came\", \"came\", \"camera\", \"cancer\", \"candidate\", \"candidate\", \"candidate\", \"cannot_easily\", \"cannot_handled\", \"capability\", \"capable\", \"capable_handling\", \"capillary\", \"capitalizing\", \"caption\", \"caption\", \"caption\", \"captioning\", \"capturing\", \"capturing\", \"capturing\", \"capturing\", \"car\", \"cardinality\", \"cardinality\", \"cardinality\", \"cardinality_constraint\", \"career\", \"career\", \"career\", \"career\", \"careful\", \"careful\", \"careful_design\", \"carefully_crafted\", \"carefully_engineered\", \"caricature\", \"carlo\", \"carlo\", \"carry\", \"carry\", \"carry\", \"carry\", \"carry\", \"carrying\", \"cascade\", \"cascaded\", \"cascading\", \"cash\", \"cassandra\", \"cast\", \"cast\", \"cast\", \"cast\", \"catalog\", \"catalogue\", \"categorization\", \"categorization\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"category\", \"caught\", \"causal_inference\", \"causal_relationship\", \"causal_relationship\", \"causal_relationship\", \"causal_relationship\", \"causal_relationship\", \"causal_relationship\", \"causal_relationship\", \"causal_relationship\", \"causal_relationship\", \"causal_relationship\", \"causal_relationship\", \"causal_relationship\", \"causal_structure\", \"cause_effect\", \"cause_significant\", \"cavity\", \"cc\", \"cca\", \"cd\", \"cdc\", \"cdna\", \"cdna\", \"ce\", \"central\", \"central_idea\", \"central_limit\", \"central_region\", \"centrality\", \"centralized_decentralized\", \"centre\", \"centric\", \"century\", \"century\", \"century\", \"century\", \"century\", \"century\", \"certain\", \"certain\", \"certain\", \"certain\", \"certain\", \"certain\", \"certain\", \"certain_sense\", \"cga\", \"chain_graph\", \"challenge\", \"challenge\", \"challenge\", \"challenge\", \"challenge\", \"challenge\", \"challenge\", \"challenge\", \"challenge\", \"challenge\", \"challenge_faced\", \"champion\", \"chance\", \"change_point\", \"changing\", \"changing\", \"changing_environment\", \"channel\", \"character\", \"character\", \"character\", \"character\", \"character\", \"character\", \"character\", \"character\", \"character\", \"character\", \"character\", \"character_level\", \"characterised\", \"characterizes\", \"characterizes\", \"chatbots\", \"cheap\", \"check\", \"checkable\", \"chemical\", \"chemical\", \"chemical_reaction\", \"chest_ray\", \"chief\", \"childrens\", \"china\", \"china\", \"chinese\", \"choice\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"choose_appropriate\", \"chunk\", \"cifar_cifar\", \"circuit\", \"circumstance\", \"circumvent\", \"circumvent\", \"citation\", \"citing\", \"city\", \"city\", \"city\", \"cl\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claim\", \"claimed\", \"claimed\", \"claimed\", \"class_imbalance\", \"class_imbalance\", \"classical\", \"classical\", \"classical\", \"classical\", \"classical\", \"classical_logic\", \"classical_logic\", \"classical_logic\", \"classification\", \"classification\", \"classification\", \"classification\", \"classification\", \"classification\", \"classification\", \"classification\", \"classification\", \"classification\", \"classification\", \"classification_task\", \"classified\", \"classified\", \"classified\", \"classified\", \"classifier\", \"classifier_trained\", \"classroom\", \"clausal\", \"clause\", \"clause\", \"cleaned\", \"click\", \"client\", \"client_server\", \"clinical\", \"clinical_trial\", \"clip\", \"clipping\", \"clique\", \"close_relationship\", \"closed\", \"closed_form\", \"closely_match\", \"closest\", \"cloud\", \"cloud_computing\", \"cluster\", \"cluster_assignment\", \"clustered\", \"clustering\", \"clustering\", \"cm\", \"cnf\", \"cnns\", \"cnns_trained\", \"co\", \"coarse\", \"coarsely\", \"coco\", \"coding\", \"coding\", \"coding\", \"coding\", \"coding\", \"coding\", \"coding\", \"coding\", \"coding\", \"coding\", \"coding\", \"coexisting\", \"coffee\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitive\", \"cognitively\", \"collaborate\", \"collaborate\", \"collaborate\", \"collaborate\", \"collaborative_filtering\", \"collected\", \"collected\", \"collection\", \"collection\", \"collection\", \"collection\", \"collection\", \"collection\", \"collective\", \"collider\", \"collision\", \"collision\", \"collision_avoidance\", \"color\", \"color_image\", \"coloring\", \"colour\", \"column\", \"combat\", \"combinatorial\", \"combinatorial\", \"combinatorial\", \"combine_advantage\", \"combine_idea\", \"combine_idea\", \"combine_idea\", \"combine_idea\", \"combine_idea\", \"combine_idea\", \"combine_idea\", \"combing\", \"come_expense\", \"coming_decade\", \"coming_decade\", \"coming_decade\", \"commerce\", \"commit\", \"commit\", \"commit\", \"commit\", \"commit\", \"commit\", \"commit\", \"committed\", \"committing\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common\", \"common_sense\", \"commonly_employed\", \"commonplace\", \"communicating\", \"communicating_agent\", \"communicating_agent\", \"community_structure\", \"compact\", \"compact_representation\", \"compactly\", \"companion\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"company\", \"comparative\", \"compare_favorably\", \"compared_conventional\", \"compared_existing\", \"compared_traditional\", \"comparing\", \"comparing\", \"comparing\", \"comparing\", \"comparing\", \"comparing\", \"comparing\", \"comparing\", \"comparing\", \"comparing\", \"comparison\", \"comparison\", \"compatibility\", \"competed\", \"competitive_performance\", \"compilation\", \"compilation\", \"compiled\", \"compiled\", \"complementary\", \"complementary_information\", \"complemented\", \"complete\", \"completion\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complex\", \"complicated\", \"component\", \"component_analysis\", \"component_analysis\", \"composed\", \"composed\", \"composed\", \"composed\", \"composed\", \"composite\", \"composite\", \"compound\", \"comprehension\", \"comprehensive\", \"comprehensive\", \"comprehensive\", \"comprehensive\", \"comprehensive\", \"comprehensive\", \"comprehensive\", \"compress\", \"compressed\", \"comprises\", \"comprises\", \"comprises\", \"comprises\", \"comprising\", \"comput\", \"comput\", \"computability\", \"computable\", \"computable\", \"computation\", \"computation\", \"computation\", \"computation\", \"computation\", \"computational_burden\", \"computational_complexity\", \"computational_complexity\", \"computational_complexity\", \"computational_resource\", \"computationally\", \"computationally_efficient\", \"computationally_efficient\", \"computationally_expensive\", \"computationally_expensive\", \"computationally_hard\", \"computationally_hard\", \"computationally_hard\", \"computationally_intensive\", \"computed\", \"computed_polynomial\", \"computed_tomography\", \"computer_aided\", \"computer_graphic\", \"computer_scientist\", \"computer_simulation\", \"computes\", \"computes\", \"computes\", \"computing_marginals\", \"computing_paradigm\", \"con\", \"concentration\", \"concentration\", \"concentrator\", \"concentrator\", \"concept_borrowed\", \"conceptualization\", \"conceptualization\", \"conceptualization\", \"conceptually\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concern\", \"concerned\", \"concluding\", \"conclusion\", \"conclusion\", \"conclusion\", \"conclusion\", \"conclusion\", \"concrete\", \"concrete\", \"concrete\", \"concrete\", \"concrete\", \"concrete\", \"concretely\", \"concurrency\", \"concurrency\", \"concurrency\", \"condition\", \"conditional_density\", \"conditional_independence\", \"conditional_independence\", \"conditional_independence\", \"conditional_independence\", \"conditional_independence\", \"conditional_independence\", \"conditional_independence\", \"conditional_independence\", \"conditional_independence\", \"conditional_independence\", \"conditional_independence\", \"conditional_independence\", \"conditional_random\", \"conditionally\", \"conditionally\", \"conditioned\", \"conditioning\", \"conducted\", \"conducted\", \"conducting\", \"conducting\", \"cone\", \"cone_programming\", \"cone_programming\", \"cone_programming\", \"cone_programming\", \"cone_programming\", \"cone_programming\", \"cone_programming\", \"cone_programming\", \"cone_programming\", \"cone_programming\", \"cone_programming\", \"cone_programming\", \"cone_programming\", \"confidence\", \"configured\", \"confined\", \"conflict\", \"conforms\", \"confounders\", \"confounders\", \"confounders\", \"congruence\", \"conjectured\", \"conjectured\", \"conjugate_prior\", \"conjunction\", \"conjunction\", \"connected_component\", \"connectivity\", \"connectivity_pattern\", \"connectome\", \"connects\", \"conorm\", \"consciousness\", \"consensus\", \"consensus\", \"consensus\", \"consensus\", \"conservative\", \"considerable_challenge\", \"considerably\", \"considerably\", \"considered\", \"considered\", \"considered\", \"considered\", \"considered\", \"considered\", \"considered\", \"considered\", \"considered\", \"considered\", \"considered\", \"considered\", \"considered\", \"considered\", \"considered\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"considers\", \"consisted\", \"consortium\", \"consortium\", \"consortium\", \"consortium\", \"consortium\", \"consortium\", \"constant\", \"constant\", \"constant\", \"constant\", \"constant\", \"constant_fraction\", \"constitute\", \"constitute\", \"constitute\", \"constitutes\", \"constitutes\", \"constitutes\", \"constitutes\", \"constitutes\", \"constitutes\", \"constrain\", \"constraint\", \"constraint\", \"constraint_programming\", \"constraint_propagation\", \"constraint_propagation\", \"constraint_satisfaction\", \"constructing\", \"consult\", \"consult\", \"consumer\", \"consumption\", \"consumption\", \"consumption\", \"consumption\", \"consumption\", \"consumption\", \"contact\", \"contact\", \"contact\", \"containment\", \"contemporary\", \"content\", \"content\", \"content\", \"content\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"content_marketing\", \"context_free\", \"contextual_bandit\", \"continual\", \"continuation\", \"continuation\", \"continue\", \"continuous\", \"continuous\", \"continuous\", \"continuous\", \"continuous\", \"continuous\", \"continuous\", \"continuous\", \"continuous_chance\", \"continuous_speech\", \"continuous_valued\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuous_variable\", \"continuum\", \"contour\", \"contrast_existing\", \"contrast_existing\", \"contrast_previous\", \"contribute\", \"contribution\", \"control\", \"control\", \"control_policy\", \"controller\", \"controlling\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"controversy\", \"convective\", \"convenient\", \"conveniently\", \"conveniently\", \"conveniently\", \"convention\", \"conventionally\", \"convergence_guarantee\", \"convergence_rate\", \"convergence_rate\", \"convexity\", \"convexity\", \"convnet\", \"convnets\", \"convolution_neural\", \"convolutional_filter\", \"convolutional_layer\", \"cooling\", \"cooperating\", \"cooperating\", \"cooperation\", \"cooperation\", \"cooperation\", \"cooperative\", \"cooperative\", \"coordinate\", \"coordinate_descent\", \"coordinated\", \"cop\", \"cope\", \"cope\", \"copula\", \"corner\", \"corner\", \"corner\", \"corner\", \"corner\", \"corner\", \"corner\", \"corner\", \"corner\", \"corner\", \"corner\", \"corner\", \"corner\", \"corner\", \"corner\", \"corollary\", \"corpus\", \"corpus\", \"corpus\", \"corpus\", \"corpus\", \"corpus\", \"correction\", \"correction\", \"correction\", \"correction\", \"correction\", \"correction\", \"correction\", \"correction\", \"correction\", \"correction\", \"correction\", \"correction\", \"correction\", \"correction\", \"correctly_classify\", \"correctness\", \"correctness\", \"correlated\", \"correlated\", \"correlated\", \"correlated\", \"correlation\", \"correlation\", \"correlation\", \"correlation\", \"correlation\", \"correlation\", \"correlational\", \"correlational\", \"correlational\", \"correlational\", \"correspondence\", \"corroborate\", \"corroborated\", \"corruption\", \"cortical\", \"cortical_neuron\", \"cost_function\", \"cost_sensitive\", \"could_lead\", \"counterpart\", \"counting\", \"coupling\", \"coupling\", \"covariance\", \"covariate\", \"covariates\", \"coverage\", \"coverage\", \"cox\", \"cox\", \"cp\", \"cpu_gpu\", \"cr\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"craft\", \"create\", \"created\", \"created\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creating\", \"creation\", \"creation\", \"creation\", \"creation\", \"creation\", \"creation\", \"creation\", \"creation\", \"creation\", \"creation\", \"creation\", \"creation\", \"creative_process\", \"credal_set\", \"credit_assignment\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criminal\", \"criterion\", \"criterion\", \"criterion\", \"criterion\", \"criterion\", \"criterion\", \"criterion\", \"criterion\", \"criterion\", \"criterion\", \"criterion\", \"critic\", \"critical_phenomenon\", \"critical_point\", \"critical_point\", \"criticized\", \"criticized\", \"criticized\", \"criticized\", \"criticized\", \"critiquing\", \"cross_disciplinary\", \"cross_sectional\", \"crossover_mutation\", \"crossroad\", \"crowd\", \"crowd_sourcing\", \"crowd_sourcing\", \"crucial\", \"crucially\", \"crucially\", \"crucially\", \"crucially\", \"crucially\", \"crucially\", \"crucially\", \"crucially\", \"crucially\", \"crude\", \"cryo_em\", \"cryptographically\", \"cryptography\", \"crystal\", \"csp_instance\", \"ct\", \"ct_image\", \"cumbersome\", \"cumulant\", \"cur\", \"current_trend\", \"curriculum_learning\", \"curse_dimensionality\", \"curvature\", \"curvature\", \"cusp\", \"custom\", \"customizable\", \"cut\", \"cutoff\", \"cutting_plane\", \"cv\", \"cybernetics\", \"cybersecurity\", \"cybersecurity\", \"cybersecurity\", \"cybersecurity\", \"cybersecurity\", \"cybersecurity\", \"cybersecurity\", \"cycle\", \"dag\", \"dag\", \"dag\", \"dag\", \"dag\", \"dag\", \"damaged\", \"damped\", \"dark_energy\", \"darwin\", \"darwin\", \"darwin\", \"darwin\", \"darwin\", \"darwin\", \"darwin\", \"darwin\", \"darwin\", \"darwinian_evolution\", \"darwinian_evolution\", \"data\", \"data_assimilation\", \"data_driven\", \"data_set\", \"data_stream\", \"database\", \"database_management\", \"dataset_consisting\", \"daunting_task\", \"daunting_task\", \"dawids\", \"dawn\", \"dawn\", \"day\", \"day_day\", \"db\", \"dbm\", \"dc\", \"dcnn\", \"dd\", \"dead_end\", \"dead_end\", \"deadlock\", \"deadlock\", \"dealt\", \"dealt\", \"death\", \"dec_pomdp\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade\", \"decade_ago\", \"decay\", \"decent\", \"decide\", \"decide\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"decide_whether\", \"deciding\", \"deciding_whether\", \"decision_made\", \"decision_made\", \"decision_made\", \"decision_made\", \"decision_made\", \"decision_made\", \"decision_made\", \"decision_made\", \"decision_made\", \"decision_made\", \"decision_made\", \"decision_made\", \"decision_made\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_making\", \"decision_tree\", \"decoder\", \"decomposes\", \"decomposing\", \"decomposition\", \"decomposition\", \"decomposition_svd\", \"decrease\", \"decreased\", \"deductive\", \"deep_inelastic\", \"deep_neural\", \"deep_radiomic\", \"deep_recurrent\", \"deep_residual\", \"default\", \"default_logic\", \"default_logic\", \"defeasible_reasoning\", \"defeasible_reasoning\", \"defection\", \"defending\", \"defense\", \"defense\", \"definable_set\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"define\", \"deformable\", \"degeneration\", \"degrades\", \"degree\", \"degree\", \"degree\", \"degree\", \"degree\", \"degree\", \"degree\", \"degree\", \"degree\", \"degree\", \"degree\", \"degree_freedom\", \"delayed\", \"delineate\", \"deluge\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demand\", \"demographic\", \"demonstrate_effectiveness\", \"demonstrate_empirically\", \"demonstrate_utility\", \"demonstrating\", \"demonstration\", \"dempster\", \"dempster_shafers\", \"dempstershafer\", \"dempstershafer\", \"dempstershafer\", \"denoted\", \"denotes\", \"denser\", \"densest_subgraph\", \"dependency\", \"dependency_among\", \"dependent\", \"depending_whether\", \"depends\", \"depiction\", \"deploy\", \"deploying\", \"depth\", \"depth_estimation\", \"depth_map\", \"derive_sufficient\", \"descendant\", \"descent\", \"descent\", \"descent_sgd\", \"describe\", \"described\", \"described\", \"described\", \"described\", \"described\", \"described\", \"describes\", \"describing\", \"description\", \"description\", \"description\", \"description\", \"description\", \"description\", \"description\", \"description_length\", \"description_logic\", \"desert\", \"designed\", \"designed\", \"designed\", \"desirability\", \"desirable\", \"desired\", \"desktop\", \"despite_fact\", \"destructive\", \"detect_presence\", \"detected\", \"detected\", \"detected\", \"detected\", \"detected\", \"detected\", \"detecting\", \"detection\", \"detector\", \"deteriorates\", \"deteriorates\", \"determinism\", \"deterministic\", \"deterministic\", \"deterministic\", \"deterministic\", \"deterministic_annealing\", \"deterministic_policy\", \"developer\", \"developer\", \"developer\", \"developer\", \"developer\", \"developer\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"developing\", \"deviation\", \"deviation\", \"deviation\", \"deviation\", \"deviation\", \"deviation\", \"deviation\", \"deviation\", \"deviation\", \"deviation\", \"deviation\", \"deviation\", \"deviation\", \"device\", \"dhcp\", \"diagnosis\", \"diagnostic\", \"diagram\", \"diagrammatic\", \"diagrammatic\", \"diagrammatic\", \"diagrammatic\", \"diagrammatic\", \"dialog_policy\", \"dialogue_manager\", \"dialogue_manager\", \"diameter\", \"diary\", \"dichotomous\", \"diet\", \"diff\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"difference\", \"differentiate\", \"differentiation\", \"differing\", \"diffraction\", \"digit_recognition\", \"dihedral_angle\", \"dilution\", \"dimension_reduction\", \"dimensionality_reduction\", \"diophantine_equation\", \"direct\", \"direct_indirect\", \"directed\", \"directed\", \"directed\", \"directed\", \"directed\", \"directed\", \"directed\", \"directed_cycle\", \"directed_undirected\", \"direction\", \"directional\", \"directionality\", \"directionally\", \"directly_raw\", \"directly_raw\", \"directory\", \"dirichlet_process\", \"dirty\", \"dirty\", \"disappearance\", \"discard\", \"discard\", \"discard\", \"discarded\", \"disclosed\", \"discontinuity\", \"discount\", \"discount\", \"discount\", \"discount\", \"discount\", \"discount\", \"discount\", \"discount\", \"discounted\", \"discourse\", \"discourse\", \"discovering\", \"discovering_causal\", \"discrepancy\", \"discrete\", \"discriminated\", \"discriminative_feature\", \"discriminator\", \"discus\", \"discus_implication\", \"discussed_detail\", \"discussion\", \"discussion\", \"discussion\", \"discussion\", \"discussion\", \"disjoint\", \"disk\", \"disorder\", \"disorder\", \"dispatching\", \"dispersion\", \"dispersion\", \"dispersion\", \"dispersion\", \"dispersion\", \"dispersion\", \"display\", \"display\", \"displayed\", \"dissemination\", \"dissimilarity\", \"distance\", \"distance_measure\", \"distill\", \"distill\", \"distillation\", \"distinguishing\", \"distribute\", \"distribute\", \"distribute\", \"distribution\", \"distribution\", \"distribution\", \"distribution\", \"distribution\", \"distribution\", \"distribution\", \"distribution\", \"distribution\", \"distribution\", \"divergence\", \"divergence\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diverse_range\", \"diversify\", \"diversify\", \"diversify\", \"diversify\", \"diversify\", \"diversify\", \"diversify\", \"diversify\", \"diversify\", \"diversify\", \"diversify\", \"diversity\", \"diversity\", \"diversity\", \"diversity\", \"diversity\", \"diversity\", \"diversity\", \"diversity\", \"diversity\", \"diversity\", \"diversity\", \"diversity\", \"divide\", \"divide_conquer\", \"division_multiplexing\", \"dlp\", \"dm\", \"dm\", \"dm\", \"dnns\", \"doctor\", \"document\", \"document\", \"document_summarization\", \"doesnt\", \"domain_adaptation\", \"domain_independent\", \"dominate\", \"dominated\", \"domino\", \"done\", \"done\", \"doped\", \"dose\", \"downward\", \"draft\", \"dramatic\", \"dramatic_improvement\", \"dramatically\", \"drastically_increase\", \"drastically_reducing\", \"drawback\", \"drawn\", \"drive\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"driving\", \"dropout\", \"drug\", \"drum\", \"dsd\", \"dt\", \"dt\", \"dt\", \"dt\", \"duality\", \"duality_gap\", \"due\", \"due\", \"due\", \"due\", \"due\", \"due\", \"due\", \"due\", \"due\", \"due\", \"due_simplicity\", \"dung\", \"duration\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic\", \"dynamic_programming\", \"dynamical_system\", \"e\", \"early\", \"early_stopping\", \"earth\", \"earth\", \"ease\", \"ease_use\", \"ease_use\", \"easily_extended\", \"easily_interpretable\", \"easily_interpretable\", \"easy\", \"economic\", \"economic\", \"economic\", \"economic\", \"economic\", \"economic\", \"economic\", \"economic\", \"economic\", \"economic_theory\", \"economic_theory\", \"edge_weight\", \"edit\", \"edit\", \"educator\", \"eeg\", \"eer\", \"effect\", \"effective\", \"effective\", \"effective\", \"effective\", \"effective\", \"effective\", \"effective\", \"effective\", \"effective\", \"effective\", \"effective\", \"effective\", \"effective\", \"effective\", \"effective_way\", \"efficacy\", \"efficient\", \"efficient\", \"efficiently_compute\", \"efficiently_compute\", \"efficiently_solved\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort\", \"effort_estimation\", \"ehr\", \"ei\", \"eigenvalue\", \"eigenvalue_decomposition\", \"el\", \"elaborating\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elaboration\", \"elastic\", \"elastic_net\", \"election\", \"electrical_engineering\", \"electrolyte\", \"electromyography\", \"electromyography\", \"electron_microscopy\", \"electronic\", \"elegans\", \"elegans\", \"element\", \"element\", \"element\", \"element\", \"element\", \"element\", \"element\", \"element\", \"element\", \"element\", \"element\", \"element\", \"element\", \"element\", \"element\", \"element\", \"elemental\", \"elementary_particle\", \"elementary_particle\", \"elicit\", \"elicitation\", \"elicitation\", \"eliminate\", \"eliminated\", \"ell\", \"em_algorithm\", \"emanating\", \"embed\", \"embracing\", \"emerge\", \"emerge\", \"emerge\", \"emerging\", \"emerging\", \"emission\", \"emotional_response\", \"emphasized\", \"empirical_bayes\", \"empirical_study\", \"empirically\", \"empirically_evaluated\", \"employment\", \"emulate\", \"emulate\", \"emulated\", \"emulating\", \"en\", \"enabling\", \"enabling\", \"enabling\", \"enabling\", \"enabling\", \"enabling\", \"enabling\", \"enabling\", \"enabling\", \"enabling\", \"enabling\", \"enabling\", \"enclosing\", \"encoder_decoder\", \"encountered\", \"encountered\", \"encourage\", \"encouraging_experimental\", \"encouraging_result\", \"end\", \"endogenously\", \"energized\", \"energy\", \"energy_consumed\", \"energy_consumption\", \"energy_harvesting\", \"energy_harvesting\", \"energy_management\", \"energy_saving\", \"energy_saving\", \"energy_saving\", \"energy_saving\", \"energy_saving\", \"energy_saving\", \"energy_saving\", \"energy_saving\", \"energy_saving\", \"energy_saving\", \"energy_supply\", \"enforcing\", \"engaged\", \"engaging\", \"engaging\", \"engine\", \"english_language\", \"enhancing\", \"enhancing\", \"enhancing\", \"enough\", \"enough\", \"enough\", \"enrollment\", \"ensemble_teacher\", \"ensure\", \"entangled\", \"entangled\", \"entangled\", \"entangled\", \"enters\", \"enters\", \"enters\", \"enters\", \"entity\", \"entrance\", \"entropy\", \"entropy\", \"enumeration\", \"envelope\", \"environment\", \"environment\", \"environment\", \"environment\", \"environmental\", \"environmental\", \"environmental\", \"environmental\", \"environmental\", \"environmental\", \"environmental\", \"environmental\", \"envisage\", \"envision\", \"eos\", \"episodic\", \"epistatic\", \"epistemic\", \"epoch\", \"epsilon\", \"epsilon\", \"epsilon\", \"epsilon\", \"epsilon\", \"equality_constraint\", \"equation\", \"equi\", \"equilibrium\", \"equilibrium\", \"equilibrium\", \"equilibrium\", \"equipping\", \"equivalence\", \"equivalent\", \"equivalent\", \"equivalent\", \"equivalent\", \"equivalent\", \"equivalent\", \"equivalent\", \"equivalent\", \"equivalently\", \"era\", \"erm\", \"erroneous\", \"error_bound\", \"error_mae\", \"error_mse\", \"error_mse\", \"error_prone\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"especially\", \"espresso\", \"esr\", \"essentially\", \"essentially\", \"establish\", \"establish\", \"establish\", \"establish\", \"establish\", \"establish\", \"establish\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"established\", \"establishment\", \"estimate\", \"estimation\", \"eu\", \"euclidean_space\", \"europe\", \"europe\", \"evaluate\", \"evaluate\", \"evaluate_performance\", \"evaluate_performance\", \"evaluate_performance\", \"evaluated\", \"evaluated\", \"evaluating\", \"evaluating\", \"evaluating\", \"evaluating\", \"evaluating\", \"evaluating\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation\", \"evaluation_metric\", \"evaluator\", \"evaluator\", \"evaluator\", \"evd\", \"even_modest\", \"even_modest\", \"even_though\", \"even_though\", \"even_though\", \"even_though\", \"eventually\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"ever\", \"everyday_life\", \"everywhere\", \"everywhere\", \"everywhere\", \"everywhere\", \"evidence\", \"evidence\", \"evidential\", \"evolution\", \"evolutionary\", \"evolutionary_algorithm\", \"evolutionary_algorithm\", \"evolutionary_algorithm\", \"evolutionary_algorithm\", \"evolutionary_algorithm\", \"evolutionary_algorithm\", \"evolutionary_algorithm\", \"evolutionary_algorithm\", \"evolutionary_algorithm\", \"evolutionary_algorithm\", \"evolutionary_algorithm\", \"evolutionary_computation\", \"evolve\", \"exact_approximate\", \"exact_recovery\", \"exact_solution\", \"exactly\", \"exam\", \"examine\", \"examine\", \"examine\", \"examine\", \"examine\", \"examine\", \"examined\", \"examining\", \"examining\", \"exceed\", \"exceeds\", \"excels\", \"except\", \"except\", \"exception\", \"excess_risk\", \"exchangeable\", \"excited\", \"exclusively\", \"execute\", \"executed\", \"execution_time\", \"execution_time\", \"execution_time\", \"execution_time\", \"execution_time\", \"execution_time\", \"execution_time\", \"execution_time\", \"execution_time\", \"execution_time\", \"execution_time\", \"exhaustively_search\", \"exhibited\", \"existing\", \"existing\", \"existing\", \"existing\", \"existing\", \"existing\", \"existing\", \"existing\", \"existing\", \"existing\", \"existing\", \"existing\", \"existing\", \"existing\", \"existing\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exists\", \"exogenous_variable\", \"expansion\", \"expansion\", \"expansion\", \"expansion\", \"expansion\", \"expansion\", \"expansion\", \"expectation_propagation\", \"expectation_propagation\", \"expense\", \"expensive\", \"expensive\", \"expensive_obtain\", \"experienced\", \"experiment\", \"experiment\", \"experiment\", \"experiment\", \"experiment_simulated\", \"experiment_synthetic\", \"experimental\", \"experimental\", \"experimental\", \"experimental\", \"experimental\", \"experimental\", \"experimental_evaluation\", \"experimentally_evaluated\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert\", \"expert_system\", \"expert_system\", \"expert_system\", \"explainable\", \"explaining_away\", \"explanation\", \"explicit\", \"explicit\", \"explicit\", \"explicit\", \"explicit_implicit\", \"explicitely\", \"exploding\", \"exploding\", \"exploding\", \"exploding\", \"exploding\", \"exploding\", \"exploit_regularity\", \"exploit_regularity\", \"exploit_regularity\", \"explorative\", \"explore_whether\", \"explored\", \"explored\", \"explored\", \"explores\", \"explosive_growth\", \"explosive_growth\", \"exponent\", \"exponent\", \"exponential_tail\", \"exponentially\", \"exponentially\", \"expose\", \"expose\", \"expose\", \"expose\", \"expose\", \"expose\", \"expose\", \"expose\", \"exposition\", \"exposure\", \"expressed\", \"expressed\", \"expressing\", \"expressing\", \"expressive\", \"expspace\", \"extends\", \"extends_previous\", \"extension\", \"extension\", \"extension\", \"extensive_empirical\", \"extensive_experimental\", \"extensively\", \"extensively\", \"extensively_evaluated\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"extensively_studied\", \"external_stimulus\", \"extra\", \"extract\", \"extract\", \"extract\", \"extract\", \"extract\", \"extract\", \"extract_relevant\", \"extract_relevant\", \"extract_relevant\", \"extract_relevant\", \"extract_relevant\", \"extract_relevant\", \"extracting\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extraction\", \"extractor\", \"extrapolation\", \"extreme\", \"extreme\", \"extreme\", \"extreme_value\", \"extremely\", \"f\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face\", \"face_image\", \"facial_attribute\", \"facilitate\", \"facilitate\", \"facilitate\", \"facilitate\", \"facilitate\", \"facilitate\", \"facilitate\", \"facilitate\", \"facilitate\", \"facilitate\", \"facilitate\", \"facilitated\", \"fact\", \"factor\", \"factor_graph\", \"factoring\", \"factorization\", \"factorization\", \"factorization\", \"factorization\", \"factorization\", \"factorizes\", \"fail_capture\", \"fail_capture\", \"fail_capture\", \"faithfulness\", \"fake\", \"fall_within\", \"fashion\", \"fashion\", \"fashion\", \"fashion\", \"fashion\", \"fashion\", \"fashion\", \"fashion\", \"fashion\", \"fashion\", \"fashion\", \"fashion\", \"fashion\", \"fashion\", \"fast_downward\", \"fast_downward\", \"fast_downward\", \"faster_convergence\", \"fastest\", \"fault\", \"favor\", \"favor\", \"favorable\", \"favoured\", \"fcc\", \"fcn\", \"fcn\", \"feasible\", \"feature_extracted\", \"feature_extraction\", \"fed\", \"feed\", \"feedforward\", \"feedforward_neural\", \"feedforward_neural\", \"feedforward_neural\", \"feedforward_neural\", \"feedforward_neural\", \"feedforward_neural\", \"feedforward_neural\", \"feel\", \"fg\", \"fiction\", \"fidelity\", \"field\", \"field\", \"field\", \"field\", \"field\", \"field\", \"field\", \"field\", \"field\", \"field\", \"field\", \"field\", \"field\", \"field_crf\", \"field_view\", \"fight\", \"figure\", \"filling\", \"film\", \"filter\", \"final\", \"finally_demonstrate\", \"finally_demonstrate\", \"financial_asset\", \"financial_instrument\", \"find\", \"find_optimal\", \"find_optimal\", \"finding\", \"finding_optimal\", \"finding_optimal\", \"finding_probable\", \"finer_level\", \"finite_alphabet\", \"finite_mixture\", \"finite_sample\", \"finite_temperature\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first\", \"first_stage\", \"firstly\", \"firstly\", \"firstly\", \"firstly\", \"fisher_information\", \"fisher_vector\", \"fitted\", \"fitting\", \"fitting\", \"five_year\", \"fixed_point\", \"fixed_point\", \"fixed_point\", \"fixed_point\", \"fixed_point\", \"fixpoint\", \"fixpoint\", \"flare\", \"flexibility\", \"flexibly\", \"flight\", \"flow\", \"fluent\", \"fly\", \"fml\", \"focal_element\", \"focal_length\", \"focus\", \"focus\", \"focused_mainly\", \"focused_mainly\", \"focused_mainly\", \"focused_mainly\", \"focused_mainly\", \"focused_mainly\", \"focused_mainly\", \"focused_mainly\", \"focused_mainly\", \"focusing\", \"fol\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"followed\", \"following_question\", \"force\", \"forecasting\", \"foreground\", \"forgetting\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form\", \"form_basis\", \"formal\", \"formal\", \"formal\", \"formal\", \"formal\", \"formal\", \"formal\", \"formal_definition\", \"formalised\", \"formalised\", \"formalised\", \"formalised\", \"formalised\", \"formalised\", \"formalised\", \"formalised\", \"formalised\", \"formalised\", \"formalised\", \"formalised\", \"formalised\", \"formalises\", \"formalism\", \"formalizes\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formally_solves\", \"formed\", \"formed\", \"formed\", \"formed\", \"formed\", \"formed\", \"formed\", \"formed\", \"formed\", \"formed\", \"forming\", \"forming\", \"forming\", \"forming\", \"forming\", \"forming\", \"forming\", \"forming\", \"forming\", \"forming\", \"forming\", \"forming\", \"forming\", \"formula\", \"formulate\", \"formulating\", \"forward_backward\", \"forward_backward\", \"forward_backward\", \"forward_backward\", \"forward_backward\", \"forward_backward\", \"forward_backward\", \"forward_backward\", \"foundation\", \"foundation\", \"foundation\", \"foundation\", \"foundation\", \"foundation\", \"founded\", \"fourier\", \"fourth\", \"fox\", \"fpgas\", \"fps\", \"frac\", \"fraction\", \"fraction\", \"fragment\", \"fragment\", \"fragment\", \"fragment\", \"framed\", \"frank\", \"frank\", \"frequency_domain\", \"frequently\", \"frequently\", \"frontier\", \"fueled\", \"fulfil\", \"full\", \"full_fledged\", \"fully\", \"fully_automated\", \"fully_automatic\", \"fully_bayesian\", \"fully_bayesian\", \"fully_characterized\", \"fully_observable\", \"function_approximator\", \"functional_magnetic\", \"functionals\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental\", \"fundamental_importance\", \"fundamental_issue\", \"fundamental_issue\", \"furnishes\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"furthermore\", \"future_development\", \"future_development\", \"future_development\", \"future_research\", \"future_research\", \"future_work\", \"fuzzy\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fuzzy_logic\", \"fv\", \"fvs\", \"fw\", \"ga\", \"gabor_filter\", \"gain\", \"gain\", \"gain\", \"gain\", \"gain\", \"gain\", \"gained\", \"gained_attention\", \"gaining\", \"gaining_popularity\", \"gaining_popularity\", \"gaining_popularity\", \"gait\", \"galaxy\", \"galaxy_sloan\", \"game\", \"game_engine\", \"game_played\", \"game_theoretic\", \"game_theoretic\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"game_theory\", \"gamification\", \"gaming\", \"gamma_ray\", \"gas\", \"gbp\", \"gbp\", \"gc\", \"gcc\", \"gen\", \"gender\", \"gene_expression\", \"gene_regulatory\", \"general\", \"general\", \"general\", \"general\", \"general\", \"general\", \"general\", \"general\", \"general\", \"general\", \"general\", \"general\", \"general\", \"generalisation\", \"generalise\", \"generalise\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generality\", \"generalizability\", \"generalization\", \"generalization\", \"generalization\", \"generalization\", \"generalization\", \"generalization\", \"generalization\", \"generalization_bound\", \"generalization_capability\", \"generalization_performance\", \"generalize_well\", \"generalized_reed\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generalizes_well\", \"generally\", \"generally\", \"generally_applicable\", \"generated\", \"generated\", \"generated\", \"generated\", \"generated_according\", \"generative\", \"generator\", \"generator\", \"generator\", \"generator_discriminator\", \"generic\", \"generically\", \"genetic\", \"genetic_programming\", \"genome\", \"genomic\", \"genuine\", \"genuine\", \"genuine\", \"genuine\", \"genuine\", \"genuine\", \"genuine\", \"genuine\", \"genuine\", \"genuine\", \"geometric\", \"geometric\", \"geq\", \"gesture_recognition\", \"get\", \"get\", \"get\", \"get\", \"get\", \"get\", \"get\", \"get\", \"get\", \"get\", \"get_trapped\", \"giant\", \"gibbs_sampler\", \"give_detailed\", \"give_overview\", \"given\", \"given\", \"given\", \"given\", \"global_constraint\", \"global_minimum\", \"global_optimization\", \"gmm\", \"go\", \"good\", \"good\", \"good\", \"good_performance\", \"goodness\", \"goodness_fit\", \"govern\", \"gpu\", \"gpu_implementation\", \"gpus\", \"gpus\", \"gradient_descent\", \"gram\", \"grammatical\", \"granular\", \"graph\", \"graph_dag\", \"graph_embedding\", \"graphic\", \"graphical_criterion\", \"graphical_model\", \"grassmann_manifold\", \"gravitational\", \"grayscale\", \"great_importance\", \"great_importance\", \"great_importance\", \"great_interest\", \"great_success\", \"greedy\", \"greedy_heuristic\", \"ground_truth\", \"grounding\", \"groundwork\", \"group\", \"group\", \"group_lasso\", \"grow\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"growing_interest\", \"grows\", \"grows\", \"grows_linearly\", \"guarantee\", \"guarantee\", \"guarantee\", \"guaranteeing\", \"guess\", \"guide\", \"guide\", \"guide\", \"guide\", \"guide\", \"guide\", \"guide\", \"guided\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guideline\", \"guiding\", \"guiding_principle\", \"hacker\", \"hadron\", \"hallmark\", \"hallmark\", \"hamiltonian_cycle\", \"hand_engineered\", \"hand_gesture\", \"hand_pose\", \"handle\", \"handwriting_recognition\", \"handwritten\", \"handwritten_character\", \"handwritten_character\", \"handwritten_character\", \"handwritten_digit\", \"hardest\", \"hardly\", \"hardware\", \"hardware\", \"hardware\", \"hardware\", \"hardware\", \"hardware_platform\", \"hardwaresoftware\", \"harmonic\", \"harnessing\", \"hash_code\", \"hash_function\", \"hastie\", \"hazardous\", \"hcf\", \"hcf\", \"hcf\", \"healthcare\", \"healthy\", \"heavier\", \"heavy_tailed\", \"hebbs\", \"hebbs\", \"hebbs\", \"hedging\", \"help_guide\", \"help_understand\", \"hera\", \"heretofore\", \"heterogeneous\", \"heterogeneous\", \"heterogeneous\", \"heterogeneous\", \"heterogeneous\", \"heterogeneous\", \"heterogeneous\", \"heterogeneous\", \"heterogeneous\", \"heterogeneous\", \"heterogeneous\", \"heterogeneous\", \"heuristic\", \"heuristic\", \"heuristic\", \"heuristic\", \"hidden_behind\", \"hidden_behind\", \"hidden_behind\", \"hidden_behind\", \"hiding\", \"hierarchical_bayesian\", \"hierarchical_clustering\", \"hierarchical_structure\", \"hierarchy\", \"hierarchy\", \"hierarchy\", \"higgs_boson\", \"high_dimension\", \"high_level\", \"high_level\", \"high_level\", \"high_level\", \"high_level\", \"high_level\", \"high_level\", \"high_precision\", \"high_precision\", \"high_resolution\", \"high_speed\", \"higher_order\", \"highlight\", \"highlighting\", \"highly_efficient\", \"highly_inefficient\", \"highly_scalable\", \"hinder\", \"hinder\", \"hindi\", \"hinge\", \"hinge_loss\", \"hinge_loss\", \"hint\", \"historical\", \"historical\", \"historical_data\", \"historical_document\", \"historically\", \"history\", \"hitting_set\", \"hmc\", \"hmi\", \"hmms\", \"hold_promise\", \"holonomic\", \"home\", \"homogeneous\", \"homotopy\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"hope\", \"horizon\", \"horizon\", \"horizon\", \"horizon\", \"horizon\", \"horizon\", \"horizon\", \"horizon\", \"horizon\", \"horizon\", \"horizon\", \"hospital\", \"host\", \"host\", \"host\", \"hotspot_detection\", \"hough_transform\", \"hour\", \"hour\", \"hour\", \"house\", \"however\", \"however\", \"however\", \"hr\", \"hsi\", \"hugin\", \"human_action\", \"human_animal\", \"human_intervention\", \"human_mind\", \"human_mind\", \"human_mind\", \"human_mind\", \"human_mind\", \"human_mind\", \"human_mind\", \"human_perception\", \"human_perception\", \"human_pose\", \"human_pose\", \"hurt\", \"hybrid\", \"hybrid\", \"hybrid\", \"hybrid\", \"hybrid\", \"hybrid\", \"hybrid\", \"hybrid\", \"hybrid\", \"hybrid\", \"hybrid\", \"hybrid\", \"hybridization\", \"hyper\", \"hyper_parameter\", \"hypothesis\", \"hypothesis\", \"icet\", \"iclp\", \"icmaus\", \"idea\", \"idea\", \"idea\", \"idea_behind\", \"ideal\", \"identifies\", \"identifying\", \"identifying\", \"identifying\", \"identifying\", \"identifying\", \"identifying\", \"identifying\", \"identifying\", \"identity\", \"ie\", \"ie\", \"ie\", \"ie\", \"ignores\", \"iid\", \"illumination\", \"illustration\", \"ilp\", \"ilsvrc\", \"image_caption\", \"image_denoising\", \"image_patch\", \"image_retrieval\", \"imagine\", \"imaging\", \"imbalanced\", \"imitator\", \"immediate\", \"immediate\", \"immediate_consequence\", \"immense\", \"immune\", \"immune\", \"immune\", \"immune\", \"immune\", \"immune\", \"immune\", \"immune\", \"immune\", \"immune\", \"immune\", \"immune\", \"immune_system\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacted\", \"impacting\", \"impeded\", \"imperfect\", \"implementation\", \"implementation\", \"implementation\", \"implementation\", \"implementation\", \"implementation\", \"implementation\", \"implementation\", \"implementation\", \"implementation\", \"implemented_prototype\", \"implicitly\", \"implicitly\", \"implicitly\", \"implicitly\", \"importance_sampling\", \"impossibility\", \"imprecision\", \"improper\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve\", \"improve_robustness\", \"improve_upon\", \"improvement\", \"improves_performance\", \"improves_performance\", \"improving_robustness\", \"impulsive_control\", \"inaccessible\", \"inaccessible\", \"inaccessible\", \"inaccessible\", \"inaccessible\", \"inaccessible\", \"inaccessible\", \"inaccessible\", \"inaccessible\", \"incentive\", \"incentive\", \"incentive\", \"incentive\", \"incentive\", \"incentive\", \"incentive\", \"incentive\", \"incentive\", \"incentive\", \"incentive\", \"incentive\", \"incidence\", \"incidence\", \"incidence\", \"incidence\", \"incidence\", \"incidence\", \"incident\", \"includes\", \"includes\", \"includes\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"including\", \"incomplete\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incomputable\", \"incorporate\", \"incorporate\", \"incorporate\", \"incorporate\", \"incorporate\", \"incorporate\", \"incorporate_prior\", \"incorporation\", \"incorrect\", \"increase\", \"increase\", \"increase\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increased\", \"increasing_depth\", \"increasing_number\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"increasingly\", \"incredibly\", \"incrementally\", \"incurs\", \"independent\", \"independent_interest\", \"index\", \"indexing\", \"indicated\", \"indicating\", \"indicative\", \"indicator\", \"indicator\", \"indifferent\", \"indifferent\", \"indirect\", \"indirectly\", \"indirectly\", \"indistinguishable\", \"indoor\", \"induced\", \"inductive_inference\", \"inductive_inference\", \"inefficient\", \"inexpensive\", \"inference_procedure\", \"inferencing\", \"infers\", \"infinite_dimensional\", \"infinity\", \"inflammatory\", \"influence\", \"influence\", \"influence\", \"influence\", \"infogan\", \"infor_mation\", \"information\", \"information_gathering\", \"information_processing\", \"information_regarding\", \"information_regarding\", \"information_regarding\", \"information_regarding\", \"information_regarding\", \"information_retrieval\", \"information_theoretic\", \"information_theoretic\", \"information_theoretic\", \"informed\", \"informed_decision\", \"informs\", \"informs\", \"ing\", \"inheritance\", \"inherited\", \"inherits\", \"initial\", \"initial\", \"initial\", \"initial\", \"initial\", \"initial\", \"initial\", \"initial\", \"initial\", \"initial_configuration\", \"initialization\", \"initialize\", \"initiative\", \"injecting\", \"injection\", \"injection\", \"injection\", \"innate\", \"innate\", \"innate_immunity\", \"innate_immunity\", \"innovation\", \"insect\", \"insensitive\", \"inside_cell\", \"insider\", \"insight\", \"insight\", \"insight\", \"insight\", \"insight\", \"insight_gained\", \"inspection\", \"inspire\", \"inspire\", \"inspire\", \"inspire\", \"inspire\", \"inspire\", \"inspire\", \"inspired\", \"instability\", \"instability\", \"instance_segmentation\", \"instantaneously\", \"instantaneously\", \"instantaneously\", \"instantaneously\", \"instantaneously\", \"instantaneously\", \"instantaneously\", \"instantaneously\", \"instead_choosing\", \"instrumental\", \"instrumental\", \"instrumental\", \"instrumental\", \"instrumental\", \"instrumental\", \"instrumental\", \"instrumental\", \"instrumental\", \"instrumental\", \"instrumental\", \"instrumental\", \"intangible\", \"integer\", \"integer\", \"integrate\", \"integrate\", \"integrate\", \"integrate\", \"integrated\", \"integrated_luminosity\", \"integrates\", \"integrates\", \"integrates\", \"integration\", \"integrative\", \"intellect\", \"intelligence_consciousness\", \"intelligence_consciousness\", \"intelligent\", \"intelligent\", \"intelligent\", \"intelligent\", \"intelligent\", \"intelligent\", \"intelligent\", \"intelligent\", \"intelligent\", \"intelligent\", \"intelligent\", \"intelligent_agent\", \"intelligent_agent\", \"intelligent_agent\", \"intelligent_controller\", \"intelligent_life\", \"intended\", \"intended\", \"intended\", \"intended\", \"intended_receiver\", \"intends\", \"intensity\", \"intensive_care\", \"intensively\", \"intent\", \"intention\", \"intention\", \"intention\", \"inter\", \"inter\", \"inter_class\", \"interaction\", \"interaction\", \"interaction\", \"interaction\", \"interaction\", \"interaction\", \"interaction\", \"interaction\", \"interaction\", \"interaction\", \"interaction_among\", \"interactive_theorem\", \"interception\", \"interdependency\", \"interdependency\", \"interestingly\", \"interference\", \"interleaved\", \"interleaving\", \"intermediate\", \"internal\", \"internal\", \"internal\", \"internal_representation\", \"internal_state\", \"internal_state\", \"internal_state\", \"internal_state\", \"internal_state\", \"internal_state\", \"internal_state\", \"internal_state\", \"internal_state\", \"internal_state\", \"international_planning\", \"internet\", \"internet_thing\", \"interplay\", \"interpolation\", \"interpret\", \"interpreter\", \"interpreter\", \"interpreting\", \"interrelated\", \"interval\", \"interval\", \"interval\", \"interval\", \"interval\", \"intervention\", \"intervention\", \"intra\", \"intraclass\", \"intricate\", \"intricate\", \"intricate\", \"intricate\", \"introduce\", \"introduce\", \"introduce\", \"introduce\", \"introduce\", \"introduce\", \"introduce_novel\", \"introduce_novel\", \"intuition\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitive_notion\", \"intuitively\", \"invader\", \"inverse\", \"inverse_covariance\", \"inverted\", \"inverted\", \"inverted\", \"investigate\", \"investigating\", \"investigation\", \"investor\", \"invited\", \"invoked\", \"invoked\", \"invokes\", \"involvement\", \"involvement\", \"involves\", \"involves\", \"involving\", \"ionosphere\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq\", \"iq_test\", \"iq_test\", \"ir\", \"irregular\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"irrelevant\", \"ising_model\", \"isomorphic\", \"isomorphic\", \"issue_arise\", \"item\", \"item\", \"iterate\", \"iterates\", \"iterative_optimization\", \"iteratively\", \"ix\", \"j\", \"jade\", \"japan\", \"japan\", \"jet\", \"job\", \"job\", \"joining\", \"joint\", \"joint\", \"joint_distribution\", \"joint_probability\", \"joint_probability\", \"joint_probability\", \"joint_probability\", \"joint_probability\", \"jointly\", \"judea_pearl\", \"judea_pearl\", \"judea_pearl\", \"judge\", \"junction_tree\", \"justifiable\", \"kalman_filter\", \"keep\", \"keep\", \"keep\", \"keeping\", \"keeping\", \"keeping\", \"keeping\", \"keeping\", \"keeping\", \"keeping\", \"kendall_tau\", \"kepler\", \"kernel\", \"kernel_density\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key\", \"key_aspect\", \"key_challenge\", \"keyboard\", \"keyboard\", \"keyphrase\", \"keypoint\", \"keystroke\", \"keywords\", \"kid\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kind\", \"kinematic\", \"kinematics\", \"kinetics\", \"kl_divergence\", \"kmeans\", \"knee\", \"knowledge_base\", \"knowledge_base\", \"knowledge_discovery\", \"knowledge_discovery\", \"knowledge_discovery\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_gained\", \"knowledge_management\", \"knowledge_tracing\", \"known\", \"kolmogorov_complexity\", \"kolmogorov_complexity\", \"kondo_temperature\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kr\", \"kripke\", \"kripke_model\", \"kullback_leibler\", \"kullback_leibler\", \"kullback_leibler\", \"l\", \"label\", \"label\", \"labeled\", \"labeled\", \"labeled_training\", \"labelings\", \"laboratory\", \"laboratory_experiment\", \"laborious\", \"lag\", \"lagrange\", \"landau\", \"langevin_dynamic\", \"language_grounding\", \"language_modeling\", \"laplace\", \"laplacian\", \"large\", \"large_amount\", \"large_enough\", \"large_enough\", \"large_margin\", \"large_scale\", \"largely_focused\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"largest\", \"larp\", \"last\", \"last\", \"last\", \"last\", \"last\", \"last\", \"last\", \"last\", \"last\", \"last\", \"last\", \"last\", \"last\", \"last\", \"last\", \"last_decade\", \"last_decade\", \"last_decade\", \"last_decade\", \"last_decade\", \"last_decade\", \"last_decade\", \"last_least\", \"lastly\", \"lastly\", \"latent\", \"latent_factor\", \"latent_position\", \"later\", \"later\", \"lateral\", \"latest_development\", \"latest_development\", \"latest_development\", \"latex\", \"latter\", \"latter\", \"latter\", \"latter\", \"latter\", \"lattice\", \"law\", \"law\", \"law\", \"law\", \"law_physic\", \"layer_wise\", \"lds\", \"lead\", \"lead_better\", \"lead_better\", \"lead_better\", \"lead_better\", \"lead_better\", \"lead_better\", \"lead_better\", \"lead_better\", \"lead_better\", \"lead_improved\", \"lead_substantial\", \"leaf\", \"leaning\", \"learnability\", \"learned\", \"learned\", \"learned\", \"learned\", \"learned\", \"learned\", \"learned\", \"learned\", \"learned\", \"learned\", \"learner\", \"learning\", \"learning\", \"learning\", \"learning\", \"learning\", \"learning\", \"learning\", \"learns\", \"learns\", \"learns\", \"learns\", \"least_absolute\", \"leave_one\", \"lebesgue_measure\", \"lecture\", \"lee\", \"left\", \"left\", \"legendre\", \"legitimate\", \"lemma\", \"lens\", \"let\", \"letter\", \"level\", \"level_granularity\", \"levenberg_marquardt\", \"leverage\", \"leveraged\", \"leveraged\", \"leveraged\", \"leveraging\", \"leveraging\", \"leveraging\", \"levin\", \"lewis\", \"lg\", \"library\", \"lid\", \"life\", \"life\", \"life\", \"life\", \"life\", \"life\", \"life\", \"life\", \"life\", \"life\", \"life\", \"life\", \"life\", \"lifetime\", \"lifted_inference\", \"lifted_inference\", \"light\", \"light\", \"lightest\", \"lightweight\", \"likelihood\", \"likelihood\", \"likelihood\", \"likelihood\", \"likelihood\", \"likelihood\", \"likelihood_estimate\", \"likelihood_weighting\", \"likely\", \"likely\", \"likely\", \"likely\", \"likely\", \"likely\", \"likely\", \"likely\", \"likely\", \"likely\", \"likely\", \"likely\", \"likely\", \"likely\", \"limitation_imposed\", \"limitation_imposed\", \"limited\", \"limited\", \"limited\", \"limited\", \"limited\", \"limited_availability\", \"limiting\", \"line_research\", \"line_research\", \"line_width\", \"linear\", \"linear\", \"linear_algebra\", \"linear_convergence\", \"linear_discriminant\", \"linear_programming\", \"linear_subspace\", \"linear_transformation\", \"linearized\", \"linearly_solvable\", \"linearly_solvable\", \"lineup\", \"lingual\", \"lingual\", \"linguistic\", \"link\", \"link\", \"link\", \"link\", \"link\", \"link\", \"link\", \"linking\", \"liquid\", \"list\", \"listening\", \"listening_test\", \"listing\", \"literal\", \"little\", \"little_known\", \"liver\", \"living\", \"living\", \"lm\", \"lmm\", \"loading\", \"loading\", \"local\", \"local\", \"local\", \"local\", \"local_optimum\", \"localisation\", \"localized\", \"localizing\", \"locally_linear\", \"locally_weighted\", \"locates\", \"location\", \"log_file\", \"log_file\", \"logarithmic\", \"logarithmic_factor\", \"logg\", \"logging\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical\", \"logical_form\", \"logistic_regression\", \"long_duration\", \"long_period\", \"long_short\", \"long_short\", \"long_term\", \"longstanding\", \"look\", \"look\", \"look\", \"loop_cutset\", \"loop_formula\", \"loop_formula\", \"loopy_belief\", \"looser\", \"lose\", \"lossless\", \"low_cost\", \"low_dimensional\", \"low_dose\", \"low_precision\", \"low_rank\", \"low_resolution\", \"lower_bound\", \"lower_prevision\", \"lower_upper\", \"lp\", \"lr\", \"luminosity\", \"luminosity_function\", \"lying\", \"lymph_node\", \"m\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_learning\", \"machine_translation\", \"machining\", \"machining\", \"machining\", \"machining\", \"machining\", \"machining\", \"macro_action\", \"macroscopic_quantum\", \"mae\", \"magnet\", \"magnetic\", \"magnetic_field\", \"magnetic_field\", \"magnetic_resonance\", \"mail\", \"main_innovation\", \"main_innovation\", \"main_theorem\", \"mainly\", \"mainly\", \"mainly_due\", \"mainly_focus\", \"mainly_focus\", \"mainly_focus\", \"maintaining\", \"major_advantage\", \"major_drawback\", \"major_drawback\", \"major_drawback\", \"major_limitation\", \"make_easier\", \"make_possible\", \"making_difficult\", \"making_difficult\", \"malfunctioning\", \"mallow_model\", \"manage_uncertainty\", \"manage_uncertainty\", \"manage_uncertainty\", \"manageable\", \"managed\", \"management\", \"management_system\", \"manager\", \"managing_uncertainty\", \"manic\", \"manifest\", \"manifestation\", \"manifesting\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulated\", \"manipulating\", \"manipulation\", \"manually_constructed\", \"manufacturing_process\", \"manuscript\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many\", \"many_application\", \"many_practical\", \"mapped\", \"mapped\", \"margin\", \"margin\", \"marginal_likelihood\", \"marginalisation\", \"marginalizes\", \"marginals\", \"mark\", \"marker\", \"market_penetration\", \"markov\", \"markov\", \"markov\", \"markov_blanket\", \"markov_chain\", \"markov_decision\", \"markov_decision\", \"markov_random\", \"marriage\", \"marriage\", \"marriage\", \"marriage\", \"mask\", \"master\", \"mat\", \"matchings\", \"materialized\", \"mathbbrn\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical\", \"mathematical_formalism\", \"mathematical_foundation\", \"mathematician\", \"mathematician\", \"matlab\", \"matrix_factorization\", \"matrix_factorization\", \"matrix_multiplication\", \"max\", \"max_margin\", \"max_pooling\", \"maxcut\", \"maxent\", \"maximal\", \"maximal_tractable\", \"maximal_tractable\", \"maximal_tractable\", \"maximal_tractable\", \"maximal_tractable\", \"maximal_tractable\", \"maximal_tractable\", \"maximal_tractable\", \"maximization\", \"maximizers\", \"maximizers\", \"maximizing_expected\", \"maximum\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_entropy\", \"maximum_likelihood\", \"maximum_likelihood\", \"maximum_likelihood\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"may_depend\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"maze\", \"mci\", \"mcmc\", \"mcmc_sampling\", \"mcmc_sampling\", \"mcmc_sampling\", \"mcmc_sampling\", \"mcmc_sampling\", \"mcmc_sampling\", \"mcmc_sampling\", \"mcp\", \"mcts\", \"mdl\", \"mdps\", \"mean\", \"mean_clustering\", \"mean_field\", \"mean_shift\", \"mean_shift\", \"meaning\", \"meaning\", \"meaning\", \"meanwhile\", \"measure\", \"measurement\", \"measurement\", \"measurement\", \"measurement\", \"mechanism\", \"medical\", \"medical\", \"medical_diagnosis\", \"medical_image\", \"medical_image\", \"medical_imaging\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"meet\", \"mel\", \"melanoma\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member\", \"member_group\", \"membership_function\", \"memorizing\", \"memoryless\", \"mental\", \"mere\", \"mere\", \"merge\", \"merger\", \"merger\", \"merging_operator\", \"merit\", \"merit\", \"merit\", \"merit\", \"merit\", \"merit\", \"merit\", \"merit\", \"merit\", \"merit\", \"merit\", \"merit\", \"merit\", \"merit\", \"merit\", \"message\", \"metabolism\", \"metadata\", \"metaphysical\", \"method\", \"method\", \"method\", \"method\", \"method\", \"method\", \"method\", \"method\", \"metric\", \"metro\", \"metropolis\", \"metropolis\", \"metropolis_hastings\", \"mic\", \"micro\", \"microarray\", \"microarray\", \"microarray\", \"microarray\", \"microarray\", \"microarray\", \"microscopic\", \"microwave\", \"mid\", \"mid\", \"mid_level\", \"midi\", \"migration\", \"mild\", \"mild_condition\", \"mimic_human\", \"miml\", \"min_max\", \"minc\", \"minded\", \"minded\", \"minimal_change\", \"minimalistic\", \"minimax_rate\", \"minimized\", \"minimizes\", \"minimizing\", \"minimizing\", \"minimizing_sum\", \"minimum\", \"minimum_description\", \"minority_class\", \"mirror_descent\", \"misguided\", \"mismatch\", \"mismatch\", \"misplaced\", \"misplaced\", \"misplaced\", \"misplaced\", \"misplaced\", \"misplaced\", \"miss\", \"missing_data\", \"missingness\", \"mix\", \"mixing\", \"mixture\", \"mixture\", \"mixture\", \"mixture\", \"mixture\", \"mixture\", \"mixture\", \"mixture\", \"mixture\", \"mixture_component\", \"mixture_component\", \"mixture_component\", \"mixture_model\", \"mle\", \"mm\", \"mmd\", \"mnist\", \"mobile_computing\", \"mobile_device\", \"mobile_phone\", \"mobile_robotics\", \"mobility_demand\", \"mod\", \"model_gmm\", \"model_sbm\", \"modeled\", \"modeled\", \"modeled\", \"modeled\", \"modeled\", \"modeled\", \"modeled\", \"modeled\", \"modeled\", \"modeling\", \"modeling\", \"modeling\", \"modeling\", \"modeling\", \"modeling\", \"modeling\", \"moderately_sized\", \"modern\", \"modern\", \"modern\", \"modern\", \"modern\", \"modern\", \"modern\", \"modern\", \"modern\", \"modern\", \"modern_day\", \"modifying\", \"module\", \"modulus\", \"molecular\", \"molecular\", \"molecule\", \"moment\", \"moment\", \"money\", \"monocular\", \"monolingual\", \"monolingual_corpus\", \"monotone\", \"monotonic_transformation\", \"monte_carlo\", \"monthly\", \"moral\", \"morphogenesis\", \"morphogenesis\", \"morphogenesis\", \"morphogenesis\", \"morphological_computation\", \"mortality\", \"mother\", \"motif\", \"motion_capture\", \"motivates\", \"motivation\", \"motivation\", \"motivation\", \"motivation\", \"motivation\", \"motivation\", \"motivation\", \"motivation\", \"motivation\", \"motivation\", \"motivation\", \"motivation\", \"motivation\", \"motivation\", \"motive\", \"move\", \"move\", \"move\", \"move\", \"movie_recommendation\", \"movielens_dataset\", \"mp\", \"mpe\", \"mrfs\", \"mri\", \"msc\", \"mt\", \"mu\", \"much\", \"much\", \"much\", \"much\", \"much\", \"much\", \"much\", \"much\", \"much\", \"much\", \"much\", \"much\", \"much_le\", \"much_weaker\", \"multi\", \"multi\", \"multi\", \"multi\", \"multi\", \"multi\", \"multi\", \"multi\", \"multi\", \"multi\", \"multi\", \"multi\", \"multi\", \"multi\", \"multi_armed\", \"multi_hop\", \"multi_label\", \"multi_layer\", \"multi_layered\", \"multi_scale\", \"multi_stage\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multi_tasking\", \"multiagent\", \"multicellular\", \"multicellular\", \"multicellular\", \"multicellular\", \"multicore\", \"multilevel\", \"multilingual\", \"multimedia\", \"multimedia_application\", \"multinomial_logistic\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiphoton\", \"multiple\", \"multiple_output\", \"multiplicative\", \"multiscale\", \"multispectral\", \"multitude\", \"multiuser\", \"multivariate_gaussian\", \"multivariate_hawkes\", \"must_able\", \"must_able\", \"must_able\", \"must_able\", \"must_able\", \"mutation\", \"mutation_operator\", \"mutation_operator\", \"mvp\", \"mvpa\", \"mwes\", \"myriad\", \"naive_bayes\", \"naive_bayesian\", \"narrow\", \"narrower\", \"narrower\", \"nasdaq\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural\", \"natural_extension\", \"natural_extension\", \"naturally\", \"naturally\", \"naturally\", \"naturally\", \"naturally\", \"naturally\", \"naturally\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature\", \"nature_inspired\", \"nature_inspired\", \"navigate\", \"navigating\", \"nb\", \"nbc\", \"nd_order\", \"ne\", \"near\", \"near\", \"near_optimal\", \"near_optimality\", \"nearby\", \"nearby\", \"nearest_neighbor\", \"nearest_neighbour\", \"nearly\", \"nearly\", \"nearly_optimal\", \"nebular\", \"necessary_sufficient\", \"necessity\", \"necessity\", \"necessity\", \"necessity\", \"necessity\", \"necessity\", \"negation\", \"negation\", \"negation_failure\", \"negation_failure\", \"negatively\", \"negatively_affect\", \"negotiate\", \"negotiate\", \"negotiating\", \"neighbor\", \"neighbor\", \"neighborhood\", \"neighborhood\", \"neighboring_pixel\", \"neighbour\", \"neighbour\", \"neighbour\", \"neither\", \"neither\", \"neither\", \"ner\", \"nest\", \"nested\", \"nesterov\", \"net\", \"network\", \"network\", \"network_cnn\", \"network_cnns\", \"network_dnns\", \"network_gans\", \"network_rnn\", \"networking\", \"neural_network\", \"neuroimaging\", \"neutral\", \"never\", \"nevertheless\", \"nevertheless\", \"nevertheless\", \"new_york\", \"news_article\", \"newswire\", \"newton_method\", \"next_generation\", \"next_generation\", \"next_generation\", \"next_step\", \"ng\", \"ng\", \"nice_property\", \"night_sky\", \"nk\", \"nlp\", \"nmf\", \"nmt\", \"nns\", \"nodal\", \"node_degree\", \"noisy_gate\", \"noisy_observation\", \"nominal\", \"non_asymptotic\", \"non_convexity\", \"non_cooperative\", \"non_differentiable\", \"non_invasive\", \"non_linear\", \"non_monotonic\", \"non_negative\", \"non_parametric\", \"non_rigid\", \"non_uniform\", \"non_uniform\", \"nonconvex_optimization\", \"none\", \"none\", \"none\", \"none\", \"none\", \"none\", \"nonetheless\", \"nonexperimental\", \"nonlinear_manifold\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonmonotonic\", \"nonparametric_regression\", \"nonsmooth\", \"nonsmooth\", \"nonspecific\", \"nontraditional\", \"nonzero_entry\", \"norm\", \"norm\", \"normal_abnormal\", \"normal_distribution\", \"normal_distribution\", \"normality\", \"normalizing_flow\", \"normalizing_flow\", \"nose\", \"notably\", \"notably\", \"notably\", \"notably\", \"notably\", \"notably\", \"notation\", \"noticeable\", \"novelty\", \"novice\", \"novice\", \"novice\", \"novice\", \"novice\", \"novice\", \"novice\", \"novice\", \"nowadays\", \"nowadays\", \"nowadays\", \"nowadays\", \"np\", \"nrmse\", \"nuclear\", \"nuclear_magnetic\", \"null\", \"number_iteration\", \"numeric\", \"numerous\", \"numerous\", \"numerous\", \"numerous\", \"numerous\", \"numerous\", \"numerous_application\", \"obeys\", \"object_category\", \"object_detection\", \"object_detector\", \"object_localization\", \"object_proposal\", \"object_tracking\", \"objective\", \"objective\", \"objective\", \"objective_minimize\", \"obscure\", \"observables\", \"observables\", \"observables\", \"observation\", \"observation\", \"observation\", \"observational\", \"observational_data\", \"observe\", \"observer\", \"observer\", \"obtained\", \"obtained\", \"obtains\", \"obtains\", \"obtains\", \"obtains\", \"obtains\", \"obvious\", \"obviously\", \"obviously\", \"oc\", \"occluded\", \"occlusion\", \"occlusion\", \"occupancy_grid\", \"occurs\", \"odd\", \"odds\", \"ode\", \"ofdm\", \"ofdm\", \"offer\", \"offer\", \"offer_elegant\", \"offer_substantial\", \"offering\", \"office\", \"official\", \"often_suffer\", \"old\", \"older\", \"om\", \"omega\", \"one\", \"one\", \"one\", \"one\", \"one\", \"one\", \"one\", \"one\", \"one\", \"one\", \"one\", \"one\", \"one\", \"one_another\", \"one_major\", \"one_major\", \"ongoing_project\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"ongoing_research\", \"online_social\", \"open_ended\", \"open_sourced\", \"openly\", \"openly\", \"openly\", \"openly\", \"openly\", \"operation\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"operative\", \"opinion_pool\", \"opponent\", \"opponent\", \"opportunity\", \"opposed\", \"opposite_direction\", \"optically\", \"optimal_solution\", \"optimal_stopping\", \"optimal_transport\", \"optimality\", \"optimality\", \"optimality\", \"optimality\", \"optimality\", \"optimally\", \"optimally\", \"optimisation\", \"optimisation_problem\", \"optimised\", \"optimistic\", \"optimization_problem\", \"optimize\", \"optimize\", \"optimize\", \"optimized\", \"optimized\", \"optimized\", \"optimized\", \"optimized\", \"optimizer\", \"oracle\", \"oracle_inequality\", \"oracle_return\", \"orca\", \"orchestrated\", \"order\", \"order\", \"order\", \"order\", \"order\", \"order\", \"order\", \"order\", \"order_magnitude\", \"ordinal\", \"ordinal\", \"ordinal_preference\", \"ordinary\", \"ordinary\", \"ordinary_differential\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"organizing\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented\", \"oriented_programming\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"original\", \"originally_proposed\", \"orthogonal_projection\", \"orthogonal_projection\", \"orthogonality\", \"os\", \"os\", \"othello\", \"others\", \"others\", \"otherwise\", \"ought\", \"ought\", \"ought\", \"outer\", \"outlier\", \"outlier_detection\", \"outline\", \"outline\", \"outline\", \"outline\", \"outline\", \"outlined\", \"outlining\", \"outperform_state\", \"outperforming_state\", \"outperforms\", \"outperforms\", \"outperforms\", \"outperforms\", \"outstanding\", \"outstanding_performance\", \"overcomes\", \"overfitting\", \"overlap\", \"overlapping\", \"overlapping_group\", \"overshoot\", \"overwhelming\", \"ozone\", \"ozone\", \"p_agent\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"pa\", \"package\", \"page\", \"page\", \"paid\", \"paid\", \"pain\", \"pairwise\", \"pairwise\", \"pairwise_comparison\", \"pairwise_constraint\", \"pairwise_distance\", \"pairwise_interaction\", \"pairwise_markov\", \"pairwise_markov\", \"paper\", \"paper\", \"paper\", \"paper\", \"paper\", \"paper\", \"paper\", \"paper_address\", \"paper_address\", \"paper_address\", \"paper_concerned\", \"paper_considers\", \"paper_considers\", \"paper_considers\", \"paper_considers\", \"paper_considers\", \"paper_considers\", \"paper_considers\", \"paper_considers\", \"paper_considers\", \"paper_considers\", \"paper_considers\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_explore\", \"paper_present\", \"paper_present\", \"paper_present\", \"paper_present\", \"paper_present\", \"paper_present\", \"paper_present\", \"paper_present\", \"paper_present\", \"paper_present\", \"paper_present\", \"para\", \"paradigm_shift\", \"paradigm_shift\", \"paradigm_shift\", \"paradigmatic\", \"parallel_coordinate\", \"parallel_implementation\", \"parallelisation\", \"parallelism\", \"parallelizable\", \"parallelizing\", \"parameterization\", \"parametric\", \"parametric\", \"parametric\", \"parametric_family\", \"parametrised\", \"parametrised\", \"parametrization\", \"parasite\", \"parent\", \"parity\", \"parse_tree\", \"parseval\", \"parsing\", \"partial_correlation\", \"partial_observability\", \"partially_observable\", \"partially_ordered\", \"participant\", \"participant\", \"participant\", \"participant\", \"participates\", \"particle\", \"particle_filter\", \"particular_emphasis\", \"particularly\", \"partition_function\", \"partitioned\", \"partitioning\", \"partitioning\", \"partitioning\", \"partitioning\", \"partitioning\", \"partitioning\", \"partitioning\", \"partitioning\", \"partitioning\", \"partly\", \"pas\", \"pascal\", \"passenger\", \"password\", \"path\", \"path_integral\", \"path_planning\", \"pathfinder\", \"pathway\", \"patient_health\", \"pattern\", \"pay_per\", \"pay_special\", \"payment\", \"payment\", \"payment\", \"payment\", \"payment\", \"payment\", \"pcanet\", \"pdes\", \"pdfs\", \"peak\", \"pearl\", \"peer\", \"penalization\", \"penalized\", \"pendulum\", \"penetration_testing\", \"people\", \"peptide\", \"per_se\", \"per_second\", \"perceive\", \"percent\", \"percept\", \"perceptrons\", \"perceptrons\", \"perceptrons\", \"perceptrons\", \"perceptrons\", \"perceptrons\", \"perceptrons\", \"perceptrons\", \"perceptrons\", \"perceptrons\", \"perceptrons\", \"perceptrons\", \"perceptual_similarity\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform\", \"perform_better\", \"perform_extensive\", \"perform_similarly\", \"performed\", \"performed\", \"performed\", \"performed\", \"performs_par\", \"performs_significantly\", \"perimeter\", \"period\", \"periodic\", \"permutation\", \"persistent\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"person\", \"personal_computer\", \"personalized\", \"personalized_medicine\", \"personalized_medicine\", \"personalized_recommendation\", \"pertaining\", \"pf\", \"phase\", \"phase_retrieval\", \"phenomenal\", \"phenomenal\", \"phenomenological\", \"phenomenon\", \"philosophical\", \"philosophical\", \"philosophical\", \"philosophical\", \"phone\", \"phonetic\", \"photo\", \"photograph\", \"photographic_plate\", \"photographic_plate\", \"phrase\", \"phys_rev\", \"physical_reality\", \"physiological_signal\", \"piano\", \"picture\", \"piecewise_constant\", \"pilot\", \"pilot\", \"pipeline\", \"pixel\", \"pixel_wise\", \"pl\", \"place_recognition\", \"placed\", \"placement\", \"placing\", \"plan_execution\", \"plan_execution\", \"plane\", \"planet\", \"planet\", \"planner\", \"plant\", \"plant\", \"plant\", \"plant\", \"plausibility_measure\", \"plausibility_measure\", \"plausibility_measure\", \"plausible\", \"play_central\", \"play_important\", \"play_important\", \"play_key\", \"play_pivotal\", \"played\", \"player_game\", \"playing\", \"plethora\", \"plug\", \"plugging\", \"ply\", \"point\", \"point_view\", \"point_view\", \"point_view\", \"point_view\", \"pointwise\", \"poisson_process\", \"polarization\", \"policy_gradient\", \"policy_gradient\", \"policy_gradient\", \"policy_gradient\", \"policy_gradient\", \"policy_gradient\", \"polynomial\", \"polynomial_time\", \"polynomially\", \"pooling\", \"poor_performance\", \"portal\", \"portfolio\", \"portfolio\", \"portfolio\", \"portrayed\", \"portrayed\", \"portrayed\", \"portrayed\", \"portrayed\", \"portrayed\", \"portrayed\", \"portrayed\", \"portrayed\", \"portrayed\", \"portrayed\", \"portrayed\", \"portrayed\", \"portrayed\", \"pose_estimation\", \"posit\", \"positive_definite\", \"positron\", \"posse\", \"posse\", \"possessing\", \"possibilistic_logic\", \"possibilistic_logic\", \"possibilistic_logic\", \"possibilistic_logic\", \"possibility_necessity\", \"possibility_necessity\", \"possibly_conflicting\", \"post\", \"post_hoc\", \"post_processing\", \"posterior_distribution\", \"posterior_probability\", \"posterior_probability\", \"posteriori\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potential\", \"potentially\", \"potentially\", \"power_consumption\", \"power_grid\", \"power_spectrum\", \"powered\", \"pp\", \"practical_application\", \"practical_implementation\", \"practical_implementation\", \"practical_interest\", \"practice_logic\", \"pre_training\", \"precisely\", \"precision_medicine\", \"precludes\", \"precludes\", \"precludes\", \"precludes\", \"precludes\", \"precludes\", \"precludes\", \"precludes\", \"precludes\", \"precludes\", \"precludes\", \"predicated\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict\", \"predict_next\", \"predictable\", \"predicting\", \"prediction_accuracy\", \"predictive\", \"predictive_accuracy\", \"predictive_accuracy\", \"predictive_accuracy\", \"predictive_accuracy\", \"predictive_accuracy\", \"predictive_accuracy\", \"predictive_accuracy\", \"predictive_accuracy\", \"predictive_accuracy\", \"predictive_accuracy\", \"predictive_accuracy\", \"predictive_accuracy\", \"predictive_analytics\", \"predictive_capability\", \"predictive_performance\", \"predictive_performance\", \"predictor\", \"predictor\", \"predictor\", \"predictor\", \"preference_elicitation\", \"preference_statement\", \"preferring\", \"prefers\", \"preliminary_empirical\", \"preliminary_experiment\", \"preliminary_experimental\", \"preliminary_result\", \"premise\", \"prepositional_phrase\", \"preprocessing_phase\", \"prescribed\", \"presence_absence\", \"present_comprehensive\", \"present_comprehensive\", \"presented\", \"presented\", \"presented\", \"presented\", \"presented\", \"presented\", \"presented\", \"presented\", \"presented\", \"presented\", \"presented\", \"presented\", \"presented\", \"presented\", \"presented\", \"preserving\", \"pressing_need\", \"pressure\", \"pressure\", \"presume\", \"pretraining\", \"prevents_overfitting\", \"previous\", \"previous\", \"previously\", \"previously\", \"previously_developed\", \"previously_learned\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"previously_proposed\", \"pricing_scheme\", \"primal_dual\", \"primarily\", \"primarily\", \"primarily\", \"primary\", \"primary_contribution\", \"primitive\", \"primitive\", \"primitive\", \"principal\", \"principal\", \"principally\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principle\", \"principled_way\", \"print\", \"prior\", \"prior\", \"prior\", \"prior_distribution\", \"prior_distribution\", \"prior_knowledge\", \"prior_knowledge\", \"prior_knowledge\", \"prior_knowledge\", \"prior_work\", \"prior_work\", \"prior_work\", \"prior_work\", \"prior_work\", \"priori\", \"prioritization\", \"prioritize\", \"priority\", \"privacy_preserving\", \"pro\", \"pro\", \"pro_con\", \"proactively\", \"prob_lem\", \"probabilistic\", \"probabilistic\", \"probabilistic\", \"probabilistic\", \"probabilistic_generative\", \"probabilistic_program\", \"probabilistic_reasoning\", \"probabilistic_reasoning\", \"probabilistic_reasoning\", \"probabilistic_reasoning\", \"probabilistic_reasoning\", \"probabilistic_reasoning\", \"probability\", \"probability\", \"probability\", \"probing\", \"probing\", \"probing\", \"probing\", \"probing\", \"problem\", \"proceed\", \"process\", \"process_ibp\", \"processor\", \"producer\", \"producing\", \"product_review\", \"product_service\", \"profiling\", \"profound\", \"profound\", \"profound\", \"progenitor\", \"prognostic\", \"programmable\", \"programmable\", \"programmable\", \"programming_asp\", \"programming_interface\", \"programming_paradigm\", \"programming_paradigm\", \"programming_paradigm\", \"programming_paradigm\", \"programming_paradigm\", \"progression\", \"progression\", \"progression\", \"progression\", \"prohibitively_large\", \"projected\", \"projection_onto\", \"projection_onto\", \"prominence\", \"promise\", \"promise\", \"promise\", \"promise\", \"promise\", \"promise\", \"promising_avenue\", \"promoter_sequence\", \"prompt\", \"prompt\", \"prompted\", \"prompted\", \"prompted\", \"prompted\", \"proof_principle\", \"propagated\", \"propagation_lbp\", \"proper\", \"proportional\", \"proportional\", \"proposal\", \"proposal_generation\", \"propose\", \"propose\", \"propose\", \"propose_novel\", \"propose_novel\", \"propose_novel\", \"propose_novel\", \"propose_novel\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposes\", \"proposing\", \"propositional\", \"propositional_logic\", \"propositional_logic\", \"propositional_logic\", \"proprioceptive\", \"prospective\", \"protects\", \"protein\", \"prototype_implementation\", \"provably\", \"provably_correct\", \"provably_correct\", \"provably_correct\", \"provably_correct\", \"provably_correct\", \"provably_correct\", \"provably_correct\", \"provably_correct\", \"provably_correct\", \"prove\", \"prove_existence\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide\", \"provide_comprehensive\", \"provide_insight\", \"provide_theoretical\", \"provides\", \"provides\", \"provides\", \"provides\", \"provides\", \"provides\", \"provides\", \"provides\", \"provides\", \"provides\", \"provides\", \"provides\", \"provides\", \"provides_overview\", \"provides_overview\", \"provides_valuable\", \"provides_valuable\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"providing\", \"province\", \"proxy\", \"prune\", \"pruning_search\", \"psi\", \"pspace_complete\", \"publication\", \"publicly\", \"publicly\", \"publicly_available\", \"pulsar\", \"pulse\", \"punishment\", \"purely\", \"purity\", \"pursued\", \"pushed\", \"pushing\", \"puzzle\", \"python\", \"python\", \"python\", \"qa_pair\", \"qcd\", \"qr\", \"quadratic\", \"quadratic\", \"quadratically\", \"qualitative_preference\", \"qualitatively\", \"qualitatively_different\", \"quality_assessment\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantification\", \"quantifier_elimination\", \"quantile_regression\", \"quantitative\", \"quantitative\", \"quantitative\", \"quantitative\", \"quantitative\", \"quantitative\", \"quantitative\", \"quantitative\", \"quantitative\", \"quantized\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum\", \"quantum_cloning\", \"quantum_computer\", \"quantum_gate\", \"quantum_gate\", \"quantum_gate\", \"quarter_century\", \"quarter_century\", \"quarter_century\", \"quartet\", \"quasi_newton\", \"qubit\", \"qubit\", \"qubit\", \"qubit\", \"qubit\", \"qubit\", \"qubit\", \"qubit\", \"qubits\", \"queen\", \"quench\", \"quench\", \"quench\", \"quench\", \"quench\", \"quench\", \"query\", \"query\", \"question\", \"quickly_accurately\", \"quintessential\", \"quintessential\", \"r\", \"rademacher\", \"radial\", \"radically\", \"radically\", \"radically\", \"radically\", \"radically\", \"radically_different\", \"radio_spectrum\", \"railway\", \"raise_question\", \"random_forest\", \"random_projection\", \"random_sampling\", \"random_variable\", \"random_walk\", \"randomized\", \"randomly_generated\", \"randomly_generated\", \"randomness\", \"rank\", \"rank_minimization\", \"rap\", \"rapid\", \"rapid_mixing\", \"rapidly\", \"rapidly_advancing\", \"rapidly_advancing\", \"rapidly_exploring\", \"rare\", \"rate\", \"rate_convergence\", \"rb\", \"rbf\", \"rbm\", \"rc\", \"rcm\", \"rcnn\", \"rcs\", \"rdf_triple\", \"re\", \"re\", \"reach\", \"reach\", \"reach\", \"reach\", \"reach_goal\", \"reachability\", \"reader\", \"readily\", \"real_datasets\", \"real_datasets\", \"real_world\", \"real_world\", \"real_world\", \"real_world\", \"real_world\", \"real_world\", \"real_world\", \"real_world\", \"real_world\", \"real_world\", \"real_world\", \"real_world\", \"real_world\", \"real_world\", \"realised\", \"realize\", \"realized\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"realm\", \"reanalyze\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonable_assumption\", \"reasonably\", \"reasoning\", \"reasoning\", \"reasoning\", \"reasoning\", \"reasoning_capability\", \"reasoning_uncertainty\", \"receding\", \"received\", \"received\", \"received\", \"received_substantial\", \"receiver\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_research\", \"recent_work\", \"recently_attracted\", \"recently_shown\", \"receptive_field\", \"recognised\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognition\", \"recognizing\", \"recommend\", \"recommendation\", \"recommendation_system\", \"recommender\", \"reconsider\", \"reconstruct\", \"reconstructed\", \"reconstruction\", \"record\", \"record_linkage\", \"recording\", \"recover\", \"recovers\", \"recruited\", \"rectangle\", \"rectangle\", \"rectified_linear\", \"recur\", \"recurrence\", \"recurrent\", \"recurrent_neural\", \"recursion\", \"recursive\", \"recursive\", \"recursive\", \"recycling\", \"recycling\", \"recycling\", \"recycling\", \"red\", \"redefinition\", \"reduce_computational\", \"reduced_rank\", \"reduces\", \"redundancy\", \"redundancy\", \"redundancy\", \"redundancy\", \"redundancy\", \"referee\", \"referring\", \"referring\", \"refers\", \"refine\", \"refinement\", \"refining\", \"reflect\", \"reflected\", \"reflects\", \"reflects\", \"reformulate\", \"reframing\", \"refuting\", \"refuting\", \"refuting\", \"refuting\", \"refuting\", \"refuting\", \"refuting\", \"refuting\", \"refuting\", \"refuting\", \"regard\", \"regard\", \"regard\", \"regard\", \"regarding\", \"regarding\", \"regarding\", \"regarding\", \"regarding\", \"regardless\", \"regime\", \"region_interest\", \"registration\", \"regression_coefficient\", \"regression_spline\", \"regression_tree\", \"regression_tree\", \"regressor\", \"regret\", \"regret\", \"regret_bound\", \"regular\", \"regularisation\", \"regularization\", \"regularization_parameter\", \"regularization_term\", \"regularizer\", \"regularizers\", \"regularly\", \"regularly\", \"regularly\", \"regularly\", \"regulates\", \"regulating\", \"regulating\", \"regulation\", \"reinforcement\", \"reinforcement\", \"reinforcement\", \"reinforcement\", \"reinforcement\", \"reinforcement\", \"reinterpret\", \"reject\", \"rejected\", \"rejection\", \"relate\", \"relate\", \"relate\", \"relationship\", \"relationship\", \"relationship\", \"relationship\", \"relationship\", \"relationship\", \"relationship_among\", \"relative_error\", \"relative_position\", \"relatively_short\", \"relativistic\", \"relevance\", \"relevance\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"reliable\", \"relies\", \"relies\", \"rely\", \"rely_hand\", \"relying\", \"remaining\", \"remains_challenging\", \"remains_difficult\", \"remains_elusive\", \"remains_elusive\", \"remains_major\", \"remains_unchanged\", \"remarkable_progress\", \"remedy\", \"reminiscent\", \"remote_sensing\", \"remote_sensing\", \"remote_sensing\", \"remote_sensing\", \"remote_sensing\", \"removing\", \"render\", \"render\", \"repetition\", \"replaced\", \"replaced\", \"replay\", \"replicated\", \"replication\", \"report\", \"report_experimental\", \"reported_date\", \"representability\", \"representable\", \"representable\", \"representable\", \"representable\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation\", \"representation_formalism\", \"representational_power\", \"representativeness\", \"representer_theorem\", \"representer_theorem\", \"represents\", \"reproduce\", \"reproducing_kernel\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"require\", \"requiring_le\", \"requisite\", \"rescaled\", \"rescue\", \"research\", \"research\", \"research_area\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"research_direction\", \"researcher_working\", \"residual_network\", \"resilient\", \"resolve\", \"resolved\", \"resonance\", \"resonance_nmr\", \"resorting\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"resource\", \"respecting\", \"respective\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"respectively\", \"response\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"responsibility\", \"restarting\", \"restaurant\", \"restricted_boltzmann\", \"result\", \"result\", \"result_showed\", \"result_showed\", \"resurgence\", \"resurgence\", \"resurgence\", \"resurgence\", \"resurgence\", \"resurgence\", \"resurgence\", \"resurgence\", \"retained\", \"retains\", \"retina\", \"retrieval\", \"retrieval\", \"retrieved\", \"returning\", \"reusing\", \"reveal\", \"reveal\", \"reveal\", \"reveal\", \"reveal\", \"reveal\", \"reveal\", \"reveal_interesting\", \"reveals\", \"revenue\", \"revenue\", \"revenue\", \"reversible\", \"revise\", \"revision_update\", \"revision_update\", \"revision_update\", \"revision_update\", \"revision_update\", \"revision_update\", \"revisit\", \"revisits\", \"revolutionary\", \"reward\", \"reward\", \"reward\", \"reward\", \"reward\", \"reward_function\", \"rewriting\", \"reynolds\", \"rgbd\", \"rhythm\", \"rich\", \"ridge\", \"riemannian\", \"riemannian_manifold\", \"riemannian_manifold\", \"right\", \"rightarrow_mathbbr\", \"rigorously\", \"ripple\", \"rise\", \"rise\", \"rise\", \"rise\", \"rise\", \"rise\", \"rise\", \"rise\", \"rise\", \"rise\", \"rise\", \"rise\", \"rise\", \"risk_factor\", \"risk_minimization\", \"risk_sensitive\", \"rl\", \"rl\", \"rl\", \"rl\", \"rl\", \"rl\", \"rl_agent\", \"rl_agent\", \"rl_agent\", \"rl_agent\", \"rl_agent\", \"rl_agent\", \"rl_agent\", \"rl_agent\", \"rl_agent\", \"rl_agent\", \"rl_agent\", \"rl_agent\", \"rn\", \"rna\", \"road\", \"robotic\", \"robotic\", \"rod\", \"role_determining\", \"rollouts\", \"rotate\", \"rotate\", \"round\", \"round\", \"round\", \"route\", \"routine\", \"routing\", \"row\", \"roy\", \"rst\", \"rt\", \"rt\", \"rt\", \"rudimentary\", \"run_time\", \"runtime\", \"s\", \"saddle_point\", \"sage\", \"saliency_map\", \"saliency_prediction\", \"salient_object\", \"sam\", \"sample\", \"sample\", \"sample\", \"sample\", \"sample_size\", \"sampled\", \"samsung\", \"sana\", \"sat_instance\", \"sat_solver\", \"satellite\", \"satisfactorily\", \"satisfactorily\", \"satisfiability_modulo\", \"satisfiability_modulo\", \"satisfiability_modulo\", \"satisfiability_modulo\", \"satisfiability_modulo\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"satisfy\", \"saturation\", \"saturation\", \"savage\", \"savage\", \"savage\", \"savage\", \"savage\", \"savage\", \"saved\", \"saving\", \"say\", \"say\", \"say\", \"scalability\", \"scalable\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale\", \"scale_invariance\", \"scale_linearly\", \"scaled\", \"scaling\", \"scan\", \"scatter\", \"scenario\", \"scene\", \"scene\", \"scene\", \"scheduling_problem\", \"scheme\", \"scheme\", \"scheme\", \"scheme\", \"scheme\", \"scholar\", \"schubert\", \"science_engineering\", \"science_technology\", \"scientific_research\", \"scientific_research\", \"scientific_research\", \"scientific_research\", \"scm\", \"scm\", \"scm\", \"scored\", \"scoring_function\", \"scp\", \"screen\", \"screen\", \"screen\", \"screen\", \"screen\", \"screen\", \"script\", \"script\", \"sda\", \"sdas\", \"sdp\", \"seamless\", \"search_engine\", \"search_extraterrestrial\", \"search_heuristic\", \"searching\", \"searching\", \"searching\", \"searching\", \"searching\", \"searching\", \"searching\", \"searching\", \"searching\", \"searching\", \"searching\", \"searching\", \"season\", \"second_contribution\", \"second_order\", \"second_order\", \"second_order\", \"second_order\", \"second_order\", \"second_order\", \"second_order\", \"second_step\", \"secondary\", \"section\", \"secure\", \"seed\", \"seeding\", \"seek\", \"seek\", \"seek_minimize\", \"seek_minimize\", \"seem\", \"seems\", \"segmented\", \"segmented\", \"segregate\", \"seldom\", \"select\", \"select_subset\", \"selecting_appropriate\", \"selecting_subset\", \"selecting_suitable\", \"selection_criterion\", \"selective\", \"self\", \"self\", \"self\", \"self\", \"self\", \"self\", \"self\", \"self\", \"self\", \"self\", \"self_concordant\", \"self_organize\", \"self_play\", \"self_regulation\", \"self_regulation\", \"self_regulation\", \"self_regulation\", \"self_regulation\", \"self_supervised\", \"self_sustaining\", \"selfish\", \"selfish\", \"semantic\", \"semantic_web\", \"semantic_web\", \"semantic_web\", \"semantic_web\", \"semantic_web\", \"semantic_web\", \"semantic_web\", \"semantic_web\", \"semi_automated\", \"semi_markov\", \"semidefinite_programming\", \"semimeasure\", \"semimeasures\", \"sems\", \"send_message\", \"sensing\", \"sensing_action\", \"sensitivity\", \"sensitivity\", \"sensitivity\", \"sensitivity\", \"sensitivity\", \"sentence\", \"sentiment\", \"separate\", \"separated\", \"sequence_labeling\", \"sequence_sequence\", \"sequencing\", \"sequential_decision\", \"sequential_pattern\", \"sequentially\", \"series\", \"serious\", \"serve\", \"served\", \"serving\", \"serving\", \"serving\", \"serving\", \"serving\", \"session\", \"session\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"set\", \"seven\", \"several\", \"several\", \"several\", \"several\", \"several\", \"several\", \"several\", \"several\", \"several\", \"several\", \"sfh\", \"sfh\", \"sfr\", \"sfs\", \"sgd\", \"shall\", \"shallow\", \"shallow\", \"shallow\", \"shallow\", \"shallow\", \"shallow\", \"shallow\", \"shallow\", \"shannon\", \"shannon\", \"shapiro\", \"shared\", \"sharing\", \"sharply\", \"shear\", \"shed\", \"shelf\", \"shenoy_shafer\", \"shift\", \"shock\", \"shop\", \"shop\", \"short\", \"shortage\", \"shortcoming\", \"shortcoming\", \"shortly\", \"shortly\", \"shortly\", \"shortly\", \"shortly\", \"show_superiority\", \"showed\", \"showing\", \"showing\", \"shown\", \"shown_promising\", \"siamese\", \"side\", \"sigmoid\", \"sign\", \"signaling\", \"signature\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant\", \"significant_advantage\", \"significant_improvement\", \"significant_saving\", \"significant_speedup\", \"significantly_improved\", \"significantly_improves\", \"significantly_increased\", \"significantly_outperform\", \"significantly_outperformed\", \"similar\", \"similarity_dissimilarity\", \"similarity_dissimilarity\", \"similarity_dissimilarity\", \"similarity_metric\", \"simple_implement\", \"simplest\", \"simplex\", \"simplification\", \"simplifies\", \"simplifies\", \"simplifies\", \"simplifies\", \"simplifies\", \"simplistic\", \"simply\", \"simulation\", \"simulation\", \"simulator\", \"simulator\", \"simulink\", \"simultaneous\", \"since_inception\", \"single\", \"single\", \"single\", \"single\", \"single\", \"single\", \"single\", \"single\", \"single\", \"single\", \"single\", \"single\", \"single_cell\", \"single_cell\", \"single_cell\", \"single_cell\", \"single_gpu\", \"single_nucleotide\", \"single_pas\", \"singular\", \"singular\", \"singular\", \"singular\", \"singular\", \"singular\", \"singular\", \"singular\", \"singular\", \"singular\", \"singular\", \"singular\", \"singular\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"singularity\", \"sir\", \"site\", \"site\", \"skilled\", \"skin\", \"skin_lesion\", \"slack\", \"slam\", \"sleeping\", \"slice\", \"sliding_tile\", \"slight\", \"slightly_modified\", \"slightly_worse\", \"slip\", \"slot_filling\", \"slowness\", \"small\", \"small\", \"small\", \"small_subset\", \"smaller\", \"smaller\", \"smallest\", \"smart_device\", \"smartphones\", \"smc\", \"smile\", \"smodels\", \"smodels\", \"smooth\", \"smoothed\", \"smoothed\", \"smoother\", \"smoothness\", \"smoothness\", \"smoothness\", \"snr\", \"social_network\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"social_psychology\", \"socially\", \"socially\", \"socially\", \"socially\", \"socially\", \"socially\", \"socially\", \"socially\", \"societal\", \"software\", \"software_defined\", \"software_defined\", \"software_defined\", \"software_defined\", \"software_defined\", \"software_defined\", \"solar\", \"solely\", \"solid\", \"solomonoff\", \"solvable\", \"solvable_polynomial\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve\", \"solve_problem\", \"solved\", \"solved\", \"solves\", \"somehow\", \"someone\", \"sonar\", \"sooner\", \"sooner\", \"sooner\", \"sort\", \"sorting\", \"sought\", \"sound\", \"soundness\", \"source_separation\", \"source_target\", \"south\", \"sp\", \"space\", \"space\", \"space\", \"space\", \"space\", \"space\", \"spacecraft\", \"spaced\", \"span\", \"span\", \"spanning\", \"spark\", \"sparse_coding\", \"sparse_coding\", \"sparse_coding\", \"sparse_pca\", \"sparse_principal\", \"sparse_representation\", \"sparse_signal\", \"spatially_distributed\", \"spatio_temporal\", \"spearmans\", \"special_case\", \"special_case\", \"specialized\", \"specially\", \"specifically_designed\", \"spectral_clustering\", \"spectral_clustering\", \"spectral_clustering\", \"spectral_norm\", \"spectrogram\", \"speculate\", \"speech_enhancement\", \"speech_separation\", \"speech_signal\", \"speed\", \"sphere\", \"spiking\", \"spite\", \"split\", \"splitting\", \"spoken\", \"sponsored\", \"spontaneous\", \"spontaneously\", \"spontaneously\", \"sport\", \"spreadsheet\", \"spreadsheet\", \"spurious\", \"square\", \"square_error\", \"squeezed_vacuum\", \"ssdh\", \"sst\", \"stage\", \"staging\", \"standard\", \"standard\", \"standard\", \"standard\", \"standard\", \"standard_deviation\", \"standardized\", \"standardized\", \"standardized\", \"standardized\", \"standardized\", \"standardized\", \"standardized\", \"standardized\", \"standardized\", \"standardized\", \"standardized\", \"standardized\", \"stanford\", \"star_galaxy\", \"statement\", \"static\", \"static\", \"stationary\", \"statistic_machine\", \"statistical_dependency\", \"statistical_inference\", \"statistical_mechanic\", \"statistical_physic\", \"statistical_significance\", \"statistically_significant\", \"steer\", \"steganographic\", \"stellar_mass\", \"step_forward\", \"stepped\", \"stepping_stone\", \"stepwise\", \"stereo_vision\", \"stick\", \"stiffness\", \"stigmergic\", \"stigmergy\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still\", \"still_remains\", \"still_unclear\", \"stimulation\", \"stimulus\", \"stl\", \"stochastic_approximation\", \"stochastic_block\", \"stochastic_blockmodel\", \"stochastic_differential\", \"stochastic_gradient\", \"stochastic_optimization\", \"stochasticity\", \"stock_market\", \"stock_price\", \"stopping_rule\", \"stored\", \"story\", \"story\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"straightforward\", \"strategic_game\", \"strategic_game\", \"strategy_cma\", \"strategy_cma\", \"straw\", \"streaming\", \"streaming\", \"street\", \"strength\", \"strength\", \"strength\", \"strength\", \"strength\", \"strength\", \"strength\", \"strength\", \"strength\", \"strength\", \"strength\", \"strength\", \"strength\", \"strength\", \"strictly_positive\", \"string\", \"string\", \"stringent\", \"strive\", \"strong\", \"stronger\", \"stronger\", \"strongly_convex\", \"structural\", \"structural\", \"structural\", \"structural\", \"structured\", \"structured\", \"structured\", \"structured\", \"structured\", \"structured_prediction\", \"structuring\", \"struggle\", \"student\", \"studied\", \"studied_detail\", \"study\", \"study\", \"study\", \"study\", \"study\", \"study\", \"study\", \"study\", \"study\", \"study\", \"study\", \"study\", \"study\", \"stylistic\", \"sub\", \"sub_optimal\", \"subclass\", \"subclass\", \"subclass\", \"subclass\", \"subclass\", \"subclass\", \"subclass\", \"subconscious\", \"subgroup_discovery\", \"subject_verb\", \"subjective\", \"subjective\", \"subjective\", \"submission\", \"submitted\", \"subsample\", \"subsampling\", \"subsequence\", \"subsequent\", \"subsequent\", \"subsequently\", \"subsequently\", \"subset\", \"subspace\", \"subspace_clustering\", \"substantial_amount\", \"substantial_gain\", \"substantially_higher\", \"substantially_improves\", \"substantiate\", \"substantiated\", \"substantiated\", \"substantiated\", \"substrate\", \"substrate\", \"subtask\", \"subtlety\", \"subtlety\", \"subtlety\", \"subtlety\", \"subtlety\", \"subtlety\", \"subtlety\", \"subtlety\", \"subtracting\", \"success_rate\", \"successful\", \"successfully_tested\", \"successfully_tested\", \"successfully_tested\", \"sudoku\", \"suffice\", \"sufficient_statistic\", \"sufficient_statistic\", \"suggesting\", \"suggesting\", \"suggesting\", \"suggesting\", \"suggesting\", \"suggestive\", \"suitably\", \"suitably\", \"suited\", \"sum_product\", \"summarized\", \"summarizes\", \"summarizes\", \"summarizes\", \"summarizes\", \"summarizes\", \"summarizes\", \"summarizes\", \"summarizes\", \"summarizes\", \"summarizes\", \"summarizing\", \"sun\", \"sup\", \"super_resolution\", \"superintelligent\", \"superintelligent_ai\", \"superintelligent_ai\", \"superintelligent_ai\", \"superintelligent_ai\", \"superintelligent_ai\", \"superintelligent_ai\", \"superintelligent_ai\", \"superintelligent_ai\", \"superior\", \"superior_performance\", \"superior_performance\", \"superior_performance\", \"superlinear\", \"supernova\", \"superposition\", \"superposition\", \"superposition\", \"superposition\", \"supervise\", \"supervised\", \"supervised_setting\", \"supervised_unsupervised\", \"supervision\", \"supply_demand\", \"supremacy\", \"surgical\", \"surprisingly\", \"surrounding\", \"surroundings\", \"surveillance\", \"surveillance\", \"survey\", \"survey\", \"survival_fittest\", \"suspected\", \"sustainability\", \"sustainable\", \"sustainable\", \"sustainable\", \"sustainable\", \"sustainable\", \"sutskever\", \"sutton\", \"svd\", \"svm\", \"svm_classifier\", \"svm_solver\", \"swap\", \"swiss\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"syllogism\", \"symmetric\", \"symmetric\", \"symmetric\", \"symmetric\", \"symmetry\", \"symmetry_breaking\", \"symmetry_broken\", \"symmetry_broken\", \"symmetry_broken\", \"symmetry_broken\", \"synaptic\", \"synaptic_plasticity\", \"synchronization\", \"synchronized\", \"synergetic\", \"synergy\", \"synset\", \"syntax_semantics\", \"synthesis\", \"synthesize\", \"synthetic_data\", \"synthetically_generated\", \"system_anfis\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_bias\", \"systematic_way\", \"tackle\", \"tackle_challenge\", \"tactic\", \"tactical\", \"takagi_sugeno\", \"take_advantage\", \"take_consideration\", \"take_input\", \"taken_account\", \"taken_account\", \"taken_account\", \"taken_consideration\", \"taking_account\", \"taking_account\", \"talent\", \"tamper\", \"tap\", \"tap\", \"target\", \"targeting\", \"tarskis\", \"task\", \"task\", \"task\", \"task\", \"task\", \"task_oriented\", \"task_oriented\", \"tc\", \"td\", \"teach\", \"teach\", \"teaching\", \"technician\", \"technician\", \"technique\", \"technique\", \"technology_stack\", \"template\", \"temporal_correlation\", \"temporal_evolution\", \"temporally\", \"ten_thousand\", \"tends\", \"tennis\", \"tense\", \"tensor\", \"tensor_decomposition\", \"terahertz\", \"termed\", \"termed\", \"termed\", \"termed\", \"termite\", \"territory\", \"territory\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test\", \"test_bed\", \"tested\", \"tested\", \"tested\", \"tested\", \"textual\", \"th\", \"theano\", \"theoretical\", \"theoretical\", \"theoretical\", \"theoretical\", \"theoretical\", \"theoretical\", \"theoretical\", \"theoretical\", \"theoretical\", \"theoretical\", \"theoretical\", \"theoretical\", \"theoretical_finding\", \"theoretical_foundation\", \"theoretical_foundation\", \"theoretical_foundation\", \"theoretical_foundation\", \"theoretical_guarantee\", \"theoretical_property\", \"theoretically\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory\", \"theory_irt\", \"theory_practice\", \"therapy\", \"thereby\", \"thereby_facilitating\", \"thereby_providing\", \"thermal\", \"thermal_emission\", \"thermal_emission\", \"thermodynamic\", \"thermodynamical\", \"thermodynamics\", \"thesis\", \"thesis\", \"theta\", \"think\", \"thomas\", \"thomas\", \"thompson_sampling\", \"thompson_sampling\", \"thoroughly\", \"thousand\", \"thousand\", \"thousand\", \"thousand\", \"thousand\", \"thousand\", \"thousand\", \"thousand\", \"thousand\", \"thread\", \"three_different\", \"three_main\", \"threefold\", \"thresholding\", \"throughout\", \"throughout\", \"throughout\", \"throughout\", \"throughout\", \"throughout\", \"throughout\", \"throughout\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus\", \"thus_far\", \"tie\", \"tied\", \"tight\", \"time\", \"time\", \"time_consuming\", \"time_dependent\", \"time_lagged\", \"time_series\", \"time_series\", \"time_warping\", \"timer\", \"timescales\", \"timing\", \"timing\", \"tion\", \"tion\", \"titled\", \"today\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"tool\", \"toolbox\", \"top\", \"top\", \"topic_modeling\", \"topological\", \"topology\", \"topology\", \"topology\", \"topology\", \"total\", \"total\", \"total\", \"total_number\", \"total_variation\", \"tourism\", \"tournament\", \"tournament\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"towards\", \"toy\", \"tr\", \"traced_back\", \"tracing\", \"tracked\", \"tracking\", \"tracking\", \"tracking\", \"tracking\", \"tracking\", \"tracking\", \"tracking\", \"tracking\", \"tracking\", \"tracking\", \"tracking\", \"tracking\", \"tracking\", \"tracking\", \"trade_offs\", \"traffic\", \"traffic_accident\", \"traffic_flow\", \"train_deep\", \"trainable\", \"trained\", \"trained\", \"transfer_learning\", \"transformed\", \"transformed\", \"transforming\", \"transforming\", \"transforming\", \"translate\", \"translates\", \"translation\", \"transmit\", \"transmitting\", \"transport\", \"transportation_system\", \"transposition_table\", \"transposon\", \"trapped\", \"trapped_optical\", \"travel_time\", \"travel_time\", \"travelling_salesman\", \"treat\", \"treatment_option\", \"tree_search\", \"tri\", \"trial\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_error\", \"trial_trial\", \"triangle\", \"triangular\", \"trick\", \"triggered\", \"triggered\", \"tropical\", \"tropical\", \"tropical\", \"tropical\", \"troubleshooting\", \"truncated\", \"trunk\", \"truth_assignment\", \"truth_maintenance\", \"tube\", \"tumour\", \"tunable\", \"tuned\", \"tuning_parameter\", \"tunneling\", \"turbo\", \"turing_complete\", \"turing_complete\", \"turing_computable\", \"turing_machine\", \"turn\", \"turn\", \"tutorial\", \"tweaking\", \"tweaking\", \"tweet\", \"twenty_five\", \"twenty_year\", \"twice\", \"twist\", \"twist\", \"twitter\", \"twitter_message\", \"two\", \"two\", \"two\", \"two\", \"two\", \"two\", \"two_consecutive\", \"two_dimensional\", \"two_dimensional\", \"two_fold\", \"two_stage\", \"type_ii\", \"typical\", \"typology\", \"ubiquity\", \"ucf\", \"ultimate_goal\", \"ultimately_lead\", \"ultrahigh\", \"unanimous\", \"unanimous\", \"unbiased\", \"unbiased\", \"unbiased\", \"uncertain_environment\", \"uncertain_imprecise\", \"uncertain_knowledge\", \"uncertain_knowledge\", \"uncertain_knowledge\", \"uncertain_knowledge\", \"uncertainty\", \"uncertainty\", \"uncertainty\", \"uncertainty\", \"uncertainty\", \"uncertainty_management\", \"unconscious\", \"unconstrained_face\", \"uncover\", \"uncover\", \"uncover\", \"uncover\", \"uncover\", \"uncover\", \"uncovers\", \"underdeveloped\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underlying\", \"underpin\", \"understand\", \"understand\", \"understand\", \"understand\", \"understand\", \"understand\", \"understand\", \"understand\", \"understand\", \"understand\", \"understand\", \"understandable\", \"underwater\", \"underwater\", \"underwater\", \"undesirable\", \"undesirable\", \"undetected\", \"undirected_graph\", \"undirected_graph\", \"undirected_graph\", \"unforeseen_event\", \"unfortunately\", \"unification\", \"unification_search\", \"unification_search\", \"uniform_convergence\", \"uniform_distribution\", \"uniformly\", \"uniformly\", \"uniformly\", \"uniformly\", \"uniformly\", \"uniformly\", \"uniformly\", \"uninformed\", \"union_subspace\", \"unique\", \"unit\", \"unit\", \"unit\", \"unit\", \"unit\", \"unit\", \"unitary\", \"united_state\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universal\", \"universe\", \"unknown\", \"unknown\", \"unknown\", \"unknown\", \"unknown\", \"unknown\", \"unknown\", \"unknown\", \"unknown\", \"unknown\", \"unknown\", \"unknown\", \"unknown\", \"unknown\", \"unlabelled\", \"unlabelled\", \"unless\", \"unless\", \"unless\", \"unlike\", \"unlike\", \"unlike\", \"unlike_traditional\", \"unlikely\", \"unmeasured\", \"unmixing\", \"unnormalized\", \"unobservable\", \"unobservable\", \"unrestricted\", \"unstructured\", \"unstructured_text\", \"upcoming\", \"update\", \"upgrade\", \"upon\", \"upon\", \"upper_bound\", \"upper_lower\", \"ups\", \"us\", \"us\", \"us\", \"us\", \"us\", \"us\", \"us\", \"usa\", \"usage\", \"usage\", \"use\", \"use\", \"use\", \"use\", \"use\", \"use\", \"use\", \"used_guide\", \"used_guide\", \"useful_tool\", \"user\", \"user\", \"user\", \"user\", \"user\", \"user\", \"user\", \"user\", \"user\", \"user_friendly\", \"user_item\", \"user_specified\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"using\", \"usually\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utility_function\", \"utilize\", \"utilizing\", \"utilizing\", \"utilizing\", \"utilizing\", \"utilizing\", \"utilizing\", \"utilizing\", \"utilizing\", \"utilizing\", \"utilizing\", \"utilizing\", \"utilizing\", \"utilizing\", \"utilizing\", \"utterance\", \"v\", \"vague\", \"valence_arousal\", \"validation\", \"validation\", \"validation\", \"valuation\", \"value\", \"value_iteration\", \"value_iteration\", \"varepsilon\", \"variable\", \"variance\", \"variational_bayes\", \"variational_lower\", \"variety\", \"variety\", \"variety\", \"variety\", \"variety\", \"variety\", \"variety\", \"variety\", \"variety\", \"various_aspect\", \"varying\", \"varying_degree\", \"vascular\", \"vast\", \"vast\", \"vast\", \"vector\", \"vector\", \"vector\", \"vector_valued\", \"velocity\", \"velocity\", \"vendor\", \"vendor\", \"verb\", \"verification\", \"verified\", \"verified\", \"verified\", \"verified\", \"versatility\", \"versatility\", \"versatility\", \"versatility\", \"versatility\", \"versatility\", \"versatility\", \"versatility\", \"versatility\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"version\", \"vertex\", \"vessel\", \"vgg\", \"via\", \"via\", \"via\", \"via\", \"vibration\", \"vibration\", \"vibration\", \"vibration\", \"vibration\", \"vibration\", \"vibration\", \"vibration\", \"vibration\", \"vice_versa\", \"video\", \"video_frame\", \"video_frame\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_game\", \"video_sequence\", \"vietnamese\", \"viral\", \"virtually\", \"virtually\", \"virus\", \"virus_worm\", \"visibility\", \"visible\", \"vision\", \"vision\", \"vision\", \"vision_task\", \"visual\", \"visual\", \"visual_object\", \"visual_question\", \"visualization\", \"visualization\", \"visualizing\", \"vivo\", \"vlsi\", \"vlsi\", \"vlsi\", \"vlsi\", \"vlsi\", \"vlsi\", \"vlt\", \"volatility\", \"volume\", \"voluminous\", \"von_neumann\", \"von_neumann\", \"vortex\", \"voter\", \"voting_rule\", \"voxel\", \"vr\", \"vt\", \"vulnerable_adversarial\", \"walk\", \"wall\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"wallace\", \"want\", \"warehouse\", \"warm_absorber\", \"warming\", \"wasserstein_distance\", \"wastage\", \"wastage\", \"waste\", \"waste\", \"waste\", \"waste\", \"wave_propagation\", \"wavelength\", \"wavelet_decomposition\", \"weak\", \"weak\", \"weak_classifier\", \"weak_gravitational\", \"weapon\", \"wear\", \"web_server\", \"web_site\", \"week\", \"week\", \"weight\", \"weight_bias\", \"weight_sharing\", \"weighted\", \"weighted_graph\", \"weighting\", \"weighting_scheme\", \"weka\", \"welfare\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well\", \"well_founded\", \"well_known\", \"well_known\", \"well_known\", \"went\", \"wfomc\", \"whenever\", \"whenever_possible\", \"whereas_latter\", \"whereby\", \"wherein\", \"wherein\", \"whisker\", \"white\", \"whole\", \"wide\", \"wide\", \"wide\", \"wide\", \"wide\", \"wide\", \"wide\", \"wide_applicability\", \"wide_variety\", \"widely_accepted\", \"widely_accepted\", \"widely_accepted\", \"widely_accepted\", \"widely_accepted\", \"widely_accepted\", \"widely_accepted\", \"widely_accepted\", \"widely_accepted\", \"widely_accepted\", \"widely_accepted\", \"widely_used\", \"widely_used\", \"widely_used\", \"widely_used\", \"widely_used\", \"widely_used\", \"widely_used\", \"widely_used\", \"widely_used\", \"widely_used\", \"widely_used\", \"widely_used\", \"wikileaks\", \"wikipedia\", \"wild\", \"winning\", \"winning\", \"winning\", \"winning\", \"wireless\", \"wiring\", \"wiring\", \"wiring\", \"within_dempster\", \"within_dempster\", \"without_requiring\", \"without_requiring\", \"wmn\", \"wonder\", \"word_meaning\", \"work\", \"work_focused\", \"work_proposes\", \"working\", \"working\", \"working\", \"workload\", \"workplace\", \"world\", \"wormhole\", \"worse\", \"worst_case\", \"would\", \"would\", \"would\", \"would\", \"would_allow\", \"written\", \"wrong\", \"wrt\", \"www\", \"www\", \"xml\", \"xxn\", \"yang\", \"year\", \"year\", \"yet\", \"yet\", \"yet\", \"yield_superior\", \"yielded\", \"younger\", \"zero_shot\", \"zhang\", \"zone\"]}, \"R\": 30, \"mdsDat\": {\"cluster\": [1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1, 1], \"Freq\": [5.419408612354518, 4.232364304813866, 3.4377993637483804, 3.0615374410689173, 2.873507416467038, 2.391134194617947, 2.3611875074353956, 2.144254541569681, 1.9740003687460164, 1.8443298245536088, 1.8335574449227339, 1.7025947986878878, 1.4260470538586902, 1.3338405026268552, 1.2866467378158566, 1.2513980213810953, 1.213051703162024, 1.204297991585257, 1.1897902141643943, 1.154577467271994, 1.1347789554832035, 1.1333913155103659, 1.1099346788275712, 1.089505442613433, 1.0856380815910358, 1.026907144782244, 1.0091170216362297, 1.0062336722618899, 1.004119074716249, 0.9616966840309897, 0.9556377799372219, 0.9536699420608341, 0.9446950904828373, 0.9035544594836372, 0.9007626865455146, 0.8944736172613953, 0.8608035443397367, 0.8572438458050977, 0.8470124911065812, 0.8438764427331612, 0.8350180183613557, 0.8342523716927622, 0.8327331253244675, 0.8321601057744328, 0.8294275637172648, 0.8223787580786568, 0.8056031896051521, 0.8023853166605011, 0.7860707392595194, 0.7765771325462059, 0.767582580562042, 0.7547634152061752, 0.7348239254418142, 0.7327121218699446, 0.7208101998875017, 0.7121303785656212, 0.7071061821094289, 0.6920532952243776, 0.6859734505101787, 0.6835444840830439, 0.6807748431977307, 0.6792375645925552, 0.6736445838564105, 0.6732853582474249, 0.6690058423886145, 0.6638936304225155, 0.6430937469203528, 0.6353492488173818, 0.6347671202512678, 0.6269834975885452, 0.6216496948023993, 0.6173794477343051, 0.6026633356280094, 0.601415461793859, 0.5946646148718104, 0.5908224291063258, 0.5894977999301847, 0.579089352049851, 0.5749848827765026, 0.5686267003613693, 0.5620429683616449, 0.5613021272515477, 0.5472255924231896, 0.5444548565522723, 0.5323347482579532, 0.5259402826672578, 0.5247818563556225, 0.48680439972491607, 0.45452649258643446, 0.4492597870566014, 0.44868770612707726, 0.4424124979005053, 0.43739720032811025, 0.4345449855020582, 0.41857745298734295, 0.4172519294321949, 0.4097163444695118, 0.39206920776201465, 0.3687820283593229, 0.31457454401517504], \"topics\": [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100], \"x\": [-0.2259159604057234, -0.2631944566389969, -0.2270355346780189, -0.15797743276123213, -0.1315081990474804, -0.2962132819257748, -0.06300106489976143, -0.0873384780942412, -0.181934025020557, 0.07061108395224071, -0.2657854843461732, 0.02056536086251887, -0.2687883170775504, -0.07605739240630162, -0.031418515371271, -0.20082548867914357, -0.17155143989871074, -0.015182699395401332, -0.25485374566839186, -0.09857118170751557, -0.23678486482579436, -0.09298783802782892, 0.07545616418844611, -0.1515122775873081, -0.16900002273165018, -0.04256667155471146, 0.0846024456757782, 0.06918091841338389, 0.06617057178587414, 0.01659488151473194, -0.06359418027137025, -0.09471893709301035, 0.025586714508186212, -0.2333573300279379, -0.09626449559228048, 0.09229497532686205, 0.0806435011383462, 0.005121699605851001, -0.062295873875818676, -0.24281451668015513, -0.14447628130557025, 0.07692457875471058, -0.19546701148694184, 0.09517963376222029, 0.01996151143368414, 0.09670367303800619, -0.05041002420751736, 0.09263837344908, 0.10514974096455063, 0.02907009042178924, 0.08468560841554816, 0.03693797038073458, -0.02897305266253633, 0.060973495566059716, 0.08659253077650561, 0.061119783732746166, 0.11700751545177918, 0.07238549829707208, 0.09524757627257446, 0.06931816621846755, 0.11679933054737013, 0.026153360388181803, -0.07814380869741215, 0.09964431802331299, 0.007759759382624842, 0.0650213358986393, 0.03256031639966603, 0.07005473081805041, 0.11778424825378894, 0.0770522506189141, 0.07246215574031815, 0.07394656203528782, 0.0951991105491278, 0.08156619852334364, 0.10563254666660961, 0.07386531833283713, 0.08920317772856927, 0.10089737273729996, 0.09786651063045872, 0.10007078388787859, 0.07873477601162088, 0.09680183759707356, 0.061312584103020165, 0.08968858089637093, 0.013222587407692318, 0.09287643425165952, 0.1132308020809075, 0.07834545503190175, 0.09341706549496105, 0.08541246941732088, 0.0853606614809912, 0.11554799471141249, 0.09253728796340253, 0.09179032349151826, 0.10626534558008613, 0.11858638878588981, 0.061521546000388776, 0.08363627988817021, 0.010546512060680255, 0.1214215013249939], \"y\": [-0.12343280708932158, 0.09968101869983775, -0.018125343494744612, -0.1191745213578666, -0.11307790946302915, -0.018157437356684496, -0.01684645875647266, -0.12035408682475801, 0.042342392971394, 0.04302268953743888, -0.06119727794170826, 0.05503147798314244, -0.0958710345381227, 0.01247883916982603, -0.10379383105898765, 0.189125252877021, 0.05352029863921262, -0.08516093762985284, -0.10658755534789613, -0.049710091629863944, 0.07694966240305799, 0.1560094902350128, -0.013180806229396455, 0.0959376819058513, 0.19509192011998783, -0.1579550033377736, -0.03051787677028569, 0.0056952313022438795, 0.021985955912658672, -0.05660577471749887, 0.07591283001467908, 0.13690454429702045, -0.08476846916916456, 0.06543009137887944, 0.20493613457242457, 0.0027890186994167622, 0.013963486523482997, -0.09093970337181081, -0.013890256920075325, -0.09857844374170555, -0.01994774591997937, -0.0008728158901534251, 0.026319272623342296, -0.0009632255536123904, 0.014936765134965743, -0.006961082994244585, 0.046513007850133174, -0.03274340782001543, -0.01403204641123103, -0.08135277220481327, 0.06994284011399986, -0.07918054190915702, -0.006963435795537812, 0.056546853102748464, -0.017084841783457462, -0.009490626495244984, -0.011406419063786664, -0.01141750985648669, 0.04077954084128402, 0.005716755200120023, -0.003269827884525593, -0.00637144550624088, -0.1100198622444856, -0.0023728473216679405, -0.04872708527760803, 0.000321307741959796, 0.0019966746502174397, 0.01216063589500955, 0.0026280136436067983, -0.0083841979812578, 0.02089430660972667, -0.018009599038237085, 0.02413631462097565, -0.03706996851527615, 0.013421849131390762, -0.02069140236345647, 0.048089545317412837, 0.005771286189245692, 0.045830663920853255, 0.001992151396021606, -0.060240366562309695, -0.007927161553688836, -0.004066463195580952, -0.02405464147656897, -0.033566619955516686, -0.00580455525454003, 0.006487550291769426, 0.026652014888330838, 0.04195298360105261, -0.007729941444935408, 0.030713415654406197, 0.010375351734823662, 0.04684139391532683, -0.03088566859210938, 0.004918326096096494, 0.011141803851176517, 0.06806972831905315, 0.001688012983610343, 0.05689371630256418, 0.00899365374892968]}};\n", "\n", "function LDAvis_load_lib(url, callback){\n", " var s = document.createElement('script');\n", " s.src = url;\n", " s.async = true;\n", " s.onreadystatechange = s.onload = callback;\n", " s.onerror = function(){console.warn(\"failed to load library \" + url);};\n", " document.getElementsByTagName(\"head\")[0].appendChild(s);\n", "}\n", "\n", "if(typeof(LDAvis) !== \"undefined\"){\n", " // already loaded: just create the visualization\n", " !function(LDAvis){\n", " new LDAvis(\"#\" + \"ldavis_el1008174796761449978178010\", ldavis_el1008174796761449978178010_data);\n", " }(LDAvis);\n", "}else if(typeof define === \"function\" && define.amd){\n", " // require.js is available: use it to load d3/LDAvis\n", " require.config({paths: {d3: \"https://cdnjs.cloudflare.com/ajax/libs/d3/3.5.5/d3.min\"}});\n", " require([\"d3\"], function(d3){\n", " window.d3 = d3;\n", " LDAvis_load_lib(\"https://cdn.rawgit.com/bmabey/pyLDAvis/files/ldavis.v1.0.0.js\", function(){\n", " new LDAvis(\"#\" + \"ldavis_el1008174796761449978178010\", ldavis_el1008174796761449978178010_data);\n", " });\n", " });\n", "}else{\n", " // require.js not available: dynamically load d3 & LDAvis\n", " LDAvis_load_lib(\"https://cdnjs.cloudflare.com/ajax/libs/d3/3.5.5/d3.min.js\", function(){\n", " LDAvis_load_lib(\"https://cdn.rawgit.com/bmabey/pyLDAvis/files/ldavis.v1.0.0.js\", function(){\n", " new LDAvis(\"#\" + \"ldavis_el1008174796761449978178010\", ldavis_el1008174796761449978178010_data);\n", " })\n", " });\n", "}\n", "</script>" ], "text/plain": [ "PreparedData(topic_coordinates= Freq cluster topics x y\n", "topic \n", "57 5.419409 1 1 -0.225916 -0.123433\n", "9 4.232364 1 2 -0.263194 0.099681\n", "5 3.437799 1 3 -0.227036 -0.018125\n", "42 3.061537 1 4 -0.157977 -0.119175\n", "18 2.873507 1 5 -0.131508 -0.113078\n", "13 2.391134 1 6 -0.296213 -0.018157\n", "73 2.361188 1 7 -0.063001 -0.016846\n", "40 2.144255 1 8 -0.087338 -0.120354\n", "99 1.974000 1 9 -0.181934 0.042342\n", "46 1.844330 1 10 0.070611 0.043023\n", "41 1.833557 1 11 -0.265785 -0.061197\n", "54 1.702595 1 12 0.020565 0.055031\n", "67 1.426047 1 13 -0.268788 -0.095871\n", "70 1.333841 1 14 -0.076057 0.012479\n", "91 1.286647 1 15 -0.031419 -0.103794\n", "56 1.251398 1 16 -0.200825 0.189125\n", "98 1.213052 1 17 -0.171551 0.053520\n", "21 1.204298 1 18 -0.015183 -0.085161\n", "64 1.189790 1 19 -0.254854 -0.106588\n", "15 1.154577 1 20 -0.098571 -0.049710\n", "36 1.134779 1 21 -0.236785 0.076950\n", "62 1.133391 1 22 -0.092988 0.156009\n", "61 1.109935 1 23 0.075456 -0.013181\n", "12 1.089505 1 24 -0.151512 0.095938\n", "81 1.085638 1 25 -0.169000 0.195092\n", "55 1.026907 1 26 -0.042567 -0.157955\n", "20 1.009117 1 27 0.084602 -0.030518\n", "37 1.006234 1 28 0.069181 0.005695\n", "87 1.004119 1 29 0.066171 0.021986\n", "10 0.961697 1 30 0.016595 -0.056606\n", "... ... ... ... ... ...\n", "59 0.621650 1 71 0.072462 0.020894\n", "63 0.617379 1 72 0.073947 -0.018010\n", "22 0.602663 1 73 0.095199 0.024136\n", "7 0.601415 1 74 0.081566 -0.037070\n", "32 0.594665 1 75 0.105633 0.013422\n", "17 0.590822 1 76 0.073865 -0.020691\n", "34 0.589498 1 77 0.089203 0.048090\n", "89 0.579089 1 78 0.100897 0.005771\n", "69 0.574985 1 79 0.097867 0.045831\n", "94 0.568627 1 80 0.100071 0.001992\n", "49 0.562043 1 81 0.078735 -0.060240\n", "58 0.561302 1 82 0.096802 -0.007927\n", "25 0.547226 1 83 0.061313 -0.004066\n", "33 0.544455 1 84 0.089689 -0.024055\n", "88 0.532335 1 85 0.013223 -0.033567\n", "96 0.525940 1 86 0.092876 -0.005805\n", "14 0.524782 1 87 0.113231 0.006488\n", "84 0.486804 1 88 0.078345 0.026652\n", "30 0.454526 1 89 0.093417 0.041953\n", "71 0.449260 1 90 0.085412 -0.007730\n", "78 0.448688 1 91 0.085361 0.030713\n", "8 0.442412 1 92 0.115548 0.010375\n", "52 0.437397 1 93 0.092537 0.046841\n", "79 0.434545 1 94 0.091790 -0.030886\n", "75 0.418577 1 95 0.106265 0.004918\n", "74 0.417252 1 96 0.118586 0.011142\n", "2 0.409716 1 97 0.061522 0.068070\n", "48 0.392069 1 98 0.083636 0.001688\n", "43 0.368782 1 99 0.010547 0.056894\n", "50 0.314575 1 100 0.121422 0.008994\n", "\n", "[100 rows x 5 columns], topic_info= Category Freq Term Total loglift \\\n", "term \n", "26957 Default 14303.000000 none 14303.000000 30.0000 \n", "3677 Default 11475.000000 simulation 11475.000000 29.0000 \n", "23790 Default 22826.000000 potential 22826.000000 28.0000 \n", "22152 Default 9216.000000 pay_per 9216.000000 27.0000 \n", "13557 Default 14031.000000 algorithm 14031.000000 26.0000 \n", "26730 Default 8429.000000 given 8429.000000 25.0000 \n", "11662 Default 12144.000000 intelligent 12144.000000 24.0000 \n", "4561 Default 11907.000000 scale 11907.000000 23.0000 \n", "11790 Default 6886.000000 includes 6886.000000 22.0000 \n", "25161 Default 6518.000000 phenomenon 6518.000000 21.0000 \n", "21865 Default 9229.000000 continuous_variable 9229.000000 20.0000 \n", "3540 Default 7351.000000 also 7351.000000 19.0000 \n", "27309 Default 6013.000000 assignment 6013.000000 18.0000 \n", "17577 Default 7972.000000 task 7972.000000 17.0000 \n", "25545 Default 5651.000000 extract 5651.000000 16.0000 \n", "19531 Default 5157.000000 neural_network 5157.000000 15.0000 \n", "13918 Default 7119.000000 furthermore 7119.000000 14.0000 \n", "3414 Default 6003.000000 however 6003.000000 13.0000 \n", "25197 Default 5056.000000 classifier 5056.000000 12.0000 \n", "6813 Default 6295.000000 efficient 6295.000000 11.0000 \n", "82 Default 4801.000000 particle 4801.000000 10.0000 \n", "12672 Default 4509.000000 kernel 4509.000000 9.0000 \n", "18725 Default 4749.000000 architecture 4749.000000 8.0000 \n", "13334 Default 4274.000000 aiming 4274.000000 7.0000 \n", "16604 Default 4079.000000 primary 4079.000000 6.0000 \n", "26959 Default 4038.000000 alzheimers_disease 4038.000000 5.0000 \n", "11452 Default 4546.000000 constraint 4546.000000 4.0000 \n", "6771 Default 3878.000000 offer 3878.000000 3.0000 \n", "5849 Default 4460.000000 diagrammatic 4460.000000 2.0000 \n", "18819 Default 4432.000000 discus 4432.000000 1.0000 \n", "... ... ... ... ... ... \n", "17234 Topic100 182.245516 infor_mation 183.442564 5.7552 \n", "8340 Topic100 165.014677 flight 166.211726 5.7545 \n", "12802 Topic100 156.569540 remains_challenging 157.766588 5.7541 \n", "28501 Topic100 144.313941 metabolism 145.510990 5.7534 \n", "662 Topic100 144.132953 wfomc 145.330001 5.7534 \n", "10007 Topic100 135.332363 kinematics 136.529411 5.7529 \n", "27379 Topic100 134.329411 cost_sensitive 135.526460 5.7528 \n", "26908 Topic100 130.515186 c 131.712234 5.7526 \n", "28764 Topic100 102.776411 lying 103.973459 5.7501 \n", "4326 Topic100 95.768089 route 96.965137 5.7493 \n", "25649 Topic100 88.083652 mathematical_formalism 89.280700 5.7482 \n", "23090 Topic100 86.240798 ing 87.437847 5.7479 \n", "21134 Topic100 183.462091 query 186.161992 5.7471 \n", "6455 Topic100 78.766327 accessibility 79.963375 5.7466 \n", "649 Topic100 78.233606 abductive 79.430655 5.7465 \n", "14741 Topic100 67.985918 tr 69.182967 5.7443 \n", "6721 Topic100 66.972675 stage 68.169724 5.7440 \n", "28572 Topic100 55.924315 representational_power 57.121363 5.7405 \n", "8416 Topic100 54.911756 sda 56.108805 5.7401 \n", "2347 Topic100 49.963788 mcp 51.160836 5.7380 \n", "180 Topic100 49.327962 maxcut 50.525010 5.7377 \n", "7135 Topic100 48.167797 holonomic 49.364845 5.7372 \n", "10810 Topic100 47.897984 likelihood_estimate 49.095032 5.7370 \n", "7540 Topic100 70.580487 class_imbalance 73.079225 5.7269 \n", "22073 Topic100 90.231868 possibility_necessity 104.233044 5.6175 \n", "5738 Topic100 143.113604 unobservable 189.082029 5.4832 \n", "14816 Topic100 185.544566 phenomenal 263.961770 5.4092 \n", "2440 Topic100 266.593030 clause 499.591608 5.1336 \n", "9067 Topic100 57.517837 travel_time 63.048216 5.6699 \n", "16994 Topic100 65.948288 working 123.543173 5.1340 \n", "\n", " logprob \n", "term \n", "26957 30.0000 \n", "3677 29.0000 \n", "23790 28.0000 \n", "22152 27.0000 \n", "13557 26.0000 \n", "26730 25.0000 \n", "11662 24.0000 \n", "4561 23.0000 \n", "11790 22.0000 \n", "25161 21.0000 \n", "21865 20.0000 \n", "3540 19.0000 \n", "27309 18.0000 \n", "17577 17.0000 \n", "25545 16.0000 \n", "19531 15.0000 \n", "13918 14.0000 \n", "3414 13.0000 \n", "25197 12.0000 \n", "6813 11.0000 \n", "82 10.0000 \n", "12672 9.0000 \n", "18725 8.0000 \n", "13334 7.0000 \n", "16604 6.0000 \n", "26959 5.0000 \n", "11452 4.0000 \n", "6771 3.0000 \n", "5849 2.0000 \n", "18819 1.0000 \n", "... ... \n", "17234 -4.0154 \n", "8340 -4.1147 \n", "12802 -4.1673 \n", "28501 -4.2488 \n", "662 -4.2500 \n", "10007 -4.3130 \n", "27379 -4.3205 \n", "26908 -4.3493 \n", "28764 -4.5882 \n", "4326 -4.6588 \n", "25649 -4.7425 \n", "23090 -4.7636 \n", "21134 -4.0087 \n", "6455 -4.8543 \n", "649 -4.8611 \n", "14741 -5.0015 \n", "6721 -5.0165 \n", "28572 -5.1968 \n", "8416 -5.2150 \n", "2347 -5.3095 \n", "180 -5.3223 \n", "7135 -5.3461 \n", "10810 -5.3517 \n", "7540 -4.9640 \n", "22073 -4.7184 \n", "5738 -4.2571 \n", "14816 -3.9975 \n", "2440 -3.6350 \n", "9067 -5.1687 \n", "16994 -5.0319 \n", "\n", "[4755 rows x 6 columns], token_table= Topic Freq Term\n", "term \n", "16267 65 0.995152 abc\n", "22873 21 0.992341 abc_boost\n", "649 100 0.981989 abductive\n", "17324 20 0.990350 abductive_framework\n", "4192 21 0.971908 abductive_reasoning\n", "22300 42 0.734455 abelian\n", "22300 64 0.143782 abelian\n", "22300 66 0.116580 abelian\n", "19112 13 0.954569 ability_generalize\n", "18689 59 0.990206 ability_handle\n", "10963 1 0.024690 able\n", "10963 2 0.127795 able\n", "10963 3 0.120271 able\n", "10963 4 0.002119 able\n", "10963 5 0.002225 able\n", "10963 6 0.077779 able\n", "10963 8 0.003709 able\n", "10963 9 0.045777 able\n", "10963 11 0.137331 able\n", "10963 13 0.009113 able\n", "10963 14 0.000106 able\n", "10963 15 0.019286 able\n", "10963 16 0.087740 able\n", "10963 17 0.005510 able\n", "10963 18 0.009749 able\n", "10963 19 0.020239 able\n", "10963 20 0.007841 able\n", "10963 21 0.013776 able\n", "10963 22 0.030836 able\n", "10963 24 0.026385 able\n", "... ... ... ...\n", "6257 87 0.994456 workload\n", "9773 48 0.991478 workplace\n", "7507 32 0.999195 world\n", "25922 76 0.996063 wormhole\n", "11256 16 0.973657 worse\n", "11129 52 0.993336 worst_case\n", "575 11 0.293142 would\n", "575 60 0.180771 would\n", "575 84 0.429941 would\n", "575 88 0.092828 would\n", "11300 49 0.990625 would_allow\n", "8146 45 0.991808 written\n", "14758 67 0.996995 wrong\n", "22167 96 0.985545 wrt\n", "27284 7 0.917223 www\n", "27284 88 0.078619 www\n", "7403 84 0.986883 xml\n", "24672 32 0.986645 xxn\n", "1264 76 0.998494 yang\n", "10766 18 0.806116 year\n", "10766 26 0.192106 year\n", "19652 13 0.199029 yet\n", "19652 56 0.101260 yet\n", "19652 89 0.694854 yet\n", "14127 44 0.991120 yield_superior\n", "531 5 0.993355 yielded\n", "13045 46 0.996974 younger\n", "5807 13 0.983611 zero_shot\n", "24306 94 0.990779 zhang\n", "1687 41 0.976062 zone\n", "\n", "[10974 rows x 3 columns], R=30, lambda_step=0.01, plot_opts={'ylab': 'PC2', 'xlab': 'PC1'}, topic_order=[58, 10, 6, 43, 19, 14, 74, 41, 100, 47, 42, 55, 68, 71, 92, 57, 99, 22, 65, 16, 37, 63, 62, 13, 82, 56, 21, 38, 88, 11, 39, 78, 94, 73, 96, 27, 69, 40, 91, 5, 66, 20, 67, 83, 24, 54, 81, 17, 87, 28, 52, 46, 32, 98, 61, 84, 4, 29, 1, 2, 12, 86, 36, 77, 25, 93, 7, 45, 48, 30, 60, 64, 23, 8, 33, 18, 35, 90, 70, 95, 50, 59, 26, 34, 89, 97, 15, 85, 31, 72, 79, 9, 53, 80, 76, 75, 3, 49, 44, 51])" ] }, "execution_count": 100, "metadata": {}, "output_type": "execute_result" } ], "source": [ "check_model= lda_models[1][1]\n", "\n", "#Explore topics via LDAvis\n", "import pyLDAvis.gensim\n", "\n", "pyLDAvis.enable_notebook()\n", "pyLDAvis.gensim.prepare(\n", " #Insert best model/corpus/topics here \n", " check_model, \n", " corpora_to_process[str(5)][1],\n", " corpora_to_process[str(5)][0])" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#Can we extract the relevant terms for the topics as in Sievert and Shirley in order to name them?\n", "\n", "#First - create a matrix with top 30 terms per topic\n", "top_30_kws = [check_model.get_topic_terms(topicid=n,topn=1000) for n in np.arange(0,100)]\n", "\n", "#Keyword df where the columns are tokens and the rows are topics\n", "top_30_kws_df = pd.concat([pd.DataFrame([x[1] for x in el],\n", " index=[x[0] for x in el]) for el in top_30_kws],\n", " axis=1).fillna(0).T.reset_index(drop=True)" ] }, { "cell_type": "code", "execution_count": 98, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#This is the dictionary\n", "selected_dictionary = corpora_to_process[str(5)][0]\n", "\n", "#Total number of terms in the document\n", "total_terms = np.sum([vals for vals in selected_dictionary.dfs.values()])\n", "\n", "#Appearances of different terms\n", "document_freqs = pd.Series([v for v in selected_dictionary.dfs.values()],\n", " index=[k for k in selected_dictionary.dfs.keys()])[top_30_kws_df.columns]/total_terms\n", "\n", "#Normalise the terms (divide the vector of probabilities of each keywords in each topic by the totals)\n", "top_30_kws_normalised = top_30_kws_df.apply(lambda x: x/document_freqs,axis=0)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#Now we want to extract, for each topic, the relevance score.\n", "\n", "def relevance_score(prob_in_topic,prob_in_corpus,id2word_lookup,lambda_par = 0.6):\n", " '''\n", " Combines the probabilities using the definition in Sievert and Shirley and returns the top 5 named\n", " #terms for each topic \n", " '''\n", " #Create dataframe\n", " combined = pd.concat([prob_in_topic,prob_in_corpus],axis=1)\n", " \n", " combined.columns=['prob_in_topic','prob_in_corpus']\n", " \n", " #Create relevance metric\n", " combined['relevance'] = lambda_par*combined['prob_in_topic'] + (1-lambda_par)*combined['prob_in_corpus']\n", " \n", " #Top words\n", " top_ids = list(combined.sort_values('relevance',ascending=False).index[:5])\n", " \n", " #Top words\n", " top_words = \"_\".join([id2word_lookup[this_id] for this_id in top_ids])\n", " \n", " return(top_words)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "relevance_scores = [relevance_score(top_30_kws_df.iloc[n,:],\n", " top_30_kws_normalised.iloc[n,:],\n", " dictionary.id2token,lambda_par=0.6) for n in np.arange(len(top_30_kws_df))]" ] }, { "cell_type": "code", "execution_count": 601, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "CPU times: user 5min 51s, sys: 2min 56s, total: 8min 47s\n", "Wall time: 8min 59s\n" ] } ], "source": [ "%%time\n", "#Create a df with the topic predictions.\n", "paper_preds = check_model[corpora_to_process[str(5)][1]]\n", "\n", "paper_topics_df = pd.concat([pd.DataFrame([x[1] for x in el],index=[x[0] for x in el]) for el in paper_preds],\n", " axis=1).T\n", "\n", "#Replace NAs with zeros and drop pointless index\n", "paper_topics_df.fillna(value=0,inplace=True)\n", "paper_topics_df.reset_index(drop=True,inplace=True)" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [], "source": [ "paper_topics_df.columns = relevance_scores\n", "\n", "paper_topics_df.to_csv(int_data+'/{t}_paper_topic_mix.csv'.format(t=today_str),index=False)" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#paper_topics_df = pd.read_csv(int_data+'/{t}_paper_topic_mix.csv')" ] }, { "cell_type": "code", "execution_count": 86, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.legend.Legend at 0x1561f7e48>" ] }, "execution_count": 86, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAmAAAAFdCAYAAACkZ9E+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nNIYAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1569c32e8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#Quick test of Deep learning papers\n", "\n", "#These are papers with a topic that seems to capture deep learning\n", "dl_papers = [x>0.05 for x in paper_topics_df['network_training_model_deep_deep_learning']]\n", "\n", "dl_papers_metadata = pd.concat([pd.Series(dl_papers),all_papers],axis=1)\n", "\n", "paper_frequencies = pd.crosstab(dl_papers_metadata.year_published,dl_papers_metadata[0])\n", "\n", "paper_frequencies.columns=['no_dl','dl']\n", "\n", "\n", "fig,ax = plt.subplots(figsize=(10,5))\n", "\n", "paper_frequencies.plot.bar(stacked=True,ax=ax)\n", "ax.set_title('Number of papers in the DL \\'topic\\'')\n", "ax.legend(labels=['Not ANN/DL related','NN/DL topic >0.05'])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Some of this is interesting. Doesn't seem to be picking up the policy related terms (safety, discrimination)\n", "\n", "Next stages - focus on policy related terms. Can we look for papers in keyword dictionaries identified through the word embeddings?\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Obtain Google Scholar data" ] }, { "cell_type": "code", "execution_count": 102, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "68083" ] }, "execution_count": 102, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#How many authors are there in the data? Can we collect all their institutions from Google Scholar\n", "\n", "paper_authors = pd.Series([x for el in all_papers['authors'] for x in el.split(\", \")])\n", "\n", "paper_authors_unique = paper_authors.drop_duplicates()\n", "\n", "len(paper_authors_unique)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We have 68,000 authors. It might take a while to get their data from Google Scholar" ] }, { "cell_type": "code", "execution_count": 103, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x2159e2278>" ] }, "execution_count": 103, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAmYAAADSCAYAAADg6AzAAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAGwJJREFUeJzt3X+0XWV95/H3RyKIP4BQImKCBkuWFlmDYgbjaFsrDgSk\nhplpLQ6VSFMzXaK1LR0Lakv91dHaimVG6WJJSlArUlolKogp2lrXDEjwBwhouSJIIpBI+OGPFkG/\n88d+oudez+WekB93J+f9Wuuss/f3efazn/1k59zv3c/e56aqkCRJ0ux71Gx3QJIkSR0TM0mSpJ4w\nMZMkSeoJEzNJkqSeMDGTJEnqCRMzSZKknjAxk8ZMkr9O8sfbqa2nJPlekj3a+j8l+e3t0XZr7/Ik\ny7dXe1ux37cl+U6SO3dA25Xk0GnKXpnk8wPr30vytB3QhxuSvHB7tytp282Z7Q5I2n6S3AocCDwE\n/Ai4EbgQOK+qfgxQVb+zFW39dlX943R1qupbwOO3rdc/2d+fAodW1W8OtH/c9mh7K/vxFOB04KlV\ntXFn739QVW3z2Ca5AFhfVW8aaPeZ29qupB3DK2bS7udXq+oJwFOBdwB/BJy/vXeSZHf9xe4pwN2z\nnZRJGk8mZtJuqqruq6o1wG8Ay5McDt0VlCRva8sHJPlEknuTbE7yL0keleQDdAnKx9t02uuTLGzT\ncCuSfAv4zEBsMEn7+SRfSHJ/kkuT7N/29cIk6wf7mOTWJC9OshR4A/AbbX9faeU/mRpt/XpTktuS\nbExyYZJ9W9mWfixP8q02DfnG6cYmyb5t+02tvTe19l8MrAWe3PpxwZBtX5hkfZI3tP3cmuTkgfJJ\n07lTpyeb45Pc0rZ/V5Khn8WD055J9k7yl62/9yX5fJK9W9nfJbmzxT+X5JktvhI4GXh9O56PD457\nW94ryXuSfLu93pNkrynHenob8zuSnDrQv+OT3Jjku0k2JPnD6cZc0mhMzKTdXFV9AVgP/OKQ4tNb\n2Ty6KdA3dJvUK4Bv0V19e3xV/fnANr8M/AJw7DS7PAX4LeAguinVc0bo46eAPwM+0vZ3xJBqr2yv\nXwGeRjeF+n+m1HkB8HTgaOBPkvzCNLv838C+rZ1fbn0+tU3bHgd8u/XjldNs/yTgAGA+sBw4L8nT\nZzrOAf8FWAwcCSyjG6+Z/AXwHOA/AfsDrwd+3MouBxYBTwS+CHwIoKrOa8t/3o7nV4e0+0ZgCfAs\n4AjgKOBNA+VPohur+cAK4L1J5ray84H/0a7QHg58ZoTjkPQwTMyk8fBtuh/mUz1Il0A9taoerKp/\nqZn/gO6fVtX3q+rfpin/QFV9taq+D/wx8LK0hwO20cnAu6vqlqr6HnAmcNKUq3Vvrqp/q6qvAF+h\nSzQmaX05CTizqr5bVbcCfwm8Yiv788dV9UBV/TPwSeBlW7HtO6tqc7tH7z3Ayx+ucrui9lvA66pq\nQ1X9qKr+b1U9AFBVq9qxPAD8KXDElquJIzgZeEtVbayqTcCbmTwWD7byB6vqMuB7dMnvlrLDkuxT\nVfdU1RdH3KekaZiYSeNhPrB5SPxdwATw6Ta1dsYIbd2+FeW3AY+mu7q0rZ7c2htsew7dlb4tBp+i\n/AHDH0w4oPVpalvzt6Iv97TEc3D7J2/F9lPHaKZtDwAeA3xjakGSPZK8I8k3ktwP3DqwzSiGjetg\nf+6uqocG1gfH9b8BxwO3JfnnJM8bcZ+SpmFiJu3mkvxHuqRj6n1OtKssp1fV04CXAn+Q5OgtxdM0\nOdMVtYMHlp9Cd1XlO8D3gccO9GsPuinUUdv9Nt0DDYNtPwTcNcN2U32n9WlqWxu2oo25SR43Zftv\nt+VJx0k3FTjV1DH69pA6g74D/Dvw80PK/jvddOiL6aYcF7Z42vsjGdeZ+tM1XHVNVS2jm0L9GHDx\nKNtJmp6JmbSbSrJPkhOAi4APVtX1Q+qckOTQJAHuo/uKjS33Ld1Fdw/W1vrNJIcleSzwFuCSqvoR\n8K/AY5K8JMmj6e5j2mtgu7uAhdPdCA98GPj9JIckeTw/vSftoWnqD9X6cjHw9iRPSPJU4A+AD25N\nO8Cbk+yZ5BeBE4C/a/EvA/81yWPbjfsrhmz7P5PMTXIw8DrgIzP0+cfAKuDdSZ7crpI9r92k/wTg\nAeBuuoTwz6ZsPtO/44eBNyWZl+QA4E8YYSzasZ+cZN+qehC4n5+eO5IeIRMzaffz8STfpZsueyPw\nbuDUaeouAv6R7r6h/we8r6o+28r+F90P7Hu38mm7DwAX0E0rPgb4XeieEgVeDbyf7urU9+kePNhi\nS2Jzd5Jh9yqtam1/Dvgm3RWk125Fvwa9tu3/FroriX/b2h/VncA9dFeWPgT8TlV9rZWdDfyQLiFa\n3cqnuhS4li6J+ySjfZ3JHwLXA9fQTUu/k+4z/EK66ccNdN9bd9WU7c6nuw/s3iQfG9Lu24B1wHWt\n/S+22CheAdzaplB/h+5+NUnbIDPf5ytJ2iLdN+Z/sKoWzHZfJO1+vGImSZLUEyZmkiRJPeFUpiRJ\nUk94xUySJKknTMwkSZJ6Ys7MVfrpgAMOqIULF852NyRJkmZ07bXXfqeq5s1Ub5dNzBYuXMi6detm\nuxuSJEkzSnLbzLWcypQkSeoNEzNJkqSeMDGTJEnqCRMzSZKknjAxkyRJ6old9qnMnWHhGZ/c5jZu\nfcdLtkNPJEnSOPCKmSRJUk+YmEmSJPWEiZkkSVJPmJhJkiT1hImZJElST5iYSZIk9YSJmSRJUk+Y\nmEmSJPXESIlZkv2SXJLka0luSvK8JPsnWZvk5vY+t9VNknOSTCS5LsmRA+0sb/VvTrJ8IP6cJNe3\nbc5Jku1/qJIkSf026hWzvwI+VVXPAI4AbgLOAK6sqkXAlW0d4DhgUXutBM4FSLI/cBbwXOAo4Kwt\nyVyr86qB7ZZu22FJkiTtemZMzJLsC/wScD5AVf2wqu4FlgGrW7XVwIlteRlwYXWuAvZLchBwLLC2\nqjZX1T3AWmBpK9unqq6qqgIuHGhLkiRpbIxyxewQYBPwN0m+lOT9SR4HHFhVd7Q6dwIHtuX5wO0D\n269vsYeLrx8S/xlJViZZl2Tdpk2bRui6JEnSrmOUxGwOcCRwblU9G/g+P522BKBd6art373Jquq8\nqlpcVYvnzZu3o3cnSZK0U42SmK0H1lfV1W39ErpE7a42DUl739jKNwAHD2y/oMUeLr5gSFySJGms\nzJiYVdWdwO1Jnt5CRwM3AmuALU9WLgcubctrgFPa05lLgPvalOcVwDFJ5rab/o8Brmhl9ydZ0p7G\nPGWgLUmSpLExZ8R6rwU+lGRP4BbgVLqk7uIkK4DbgJe1upcBxwMTwA9aXapqc5K3Ate0em+pqs1t\n+dXABcDewOXtJUmSNFZGSsyq6svA4iFFRw+pW8Bp07SzClg1JL4OOHyUvkiSJO2u/OZ/SZKknjAx\nkyRJ6gkTM0mSpJ4wMZMkSeoJEzNJkqSeMDGTJEnqCRMzSZKknjAxkyRJ6gkTM0mSpJ4wMZMkSeoJ\nEzNJkqSeMDGTJEnqCRMzSZKknjAxkyRJ6gkTM0mSpJ4YKTFLcmuS65N8Ocm6Fts/ydokN7f3uS2e\nJOckmUhyXZIjB9pZ3urfnGT5QPw5rf2Jtm2294FKkiT13dZcMfuVqnpWVS1u62cAV1bVIuDKtg5w\nHLCovVYC50KXyAFnAc8FjgLO2pLMtTqvGthu6SM+IkmSpF3UtkxlLgNWt+XVwIkD8QurcxWwX5KD\ngGOBtVW1uaruAdYCS1vZPlV1VVUVcOFAW5IkSWNj1MSsgE8nuTbJyhY7sKruaMt3Age25fnA7QPb\nrm+xh4uvHxL/GUlWJlmXZN2mTZtG7LokSdKuYc6I9V5QVRuSPBFYm+Rrg4VVVUlq+3dvsqo6DzgP\nYPHixTt8f5IkSTvTSFfMqmpDe98IfJTuHrG72jQk7X1jq74BOHhg8wUt9nDxBUPikiRJY2XGxCzJ\n45I8YcsycAzwVWANsOXJyuXApW15DXBKezpzCXBfm/K8Ajgmydx20/8xwBWt7P4kS9rTmKcMtCVJ\nkjQ2RpnKPBD4aPsGiznA31bVp5JcA1ycZAVwG/CyVv8y4HhgAvgBcCpAVW1O8lbgmlbvLVW1uS2/\nGrgA2Bu4vL0kSZLGyoyJWVXdAhwxJH43cPSQeAGnTdPWKmDVkPg64PAR+itJkrTb8pv/JUmSesLE\nTJIkqSdMzCRJknrCxEySJKknTMwkSZJ6wsRMkiSpJ0zMJEmSesLETJIkqSdMzCRJknrCxEySJKkn\nTMwkSZJ6wsRMkiSpJ0zMJEmSesLETJIkqSdMzCRJknpi5MQsyR5JvpTkE239kCRXJ5lI8pEke7b4\nXm19opUvHGjjzBb/epJjB+JLW2wiyRnb7/AkSZJ2HVtzxex1wE0D6+8Ezq6qQ4F7gBUtvgK4p8XP\nbvVIchhwEvBMYCnwvpbs7QG8FzgOOAx4easrSZI0VkZKzJIsAF4CvL+tB3gRcEmrsho4sS0va+u0\n8qNb/WXARVX1QFV9E5gAjmqviaq6pap+CFzU6kqSJI2VUa+YvQd4PfDjtv5zwL1V9VBbXw/Mb8vz\ngdsBWvl9rf5P4lO2mS7+M5KsTLIuybpNmzaN2HVJkqRdw4yJWZITgI1Vde1O6M/DqqrzqmpxVS2e\nN2/ebHdHkiRpu5ozQp3nAy9NcjzwGGAf4K+A/ZLMaVfFFgAbWv0NwMHA+iRzgH2BuwfiWwxuM11c\nkiRpbMx4xayqzqyqBVW1kO7m/c9U1cnAZ4Ffa9WWA5e25TVtnVb+maqqFj+pPbV5CLAI+AJwDbCo\nPeW5Z9vHmu1ydJIkSbuQUa6YTeePgIuSvA34EnB+i58PfCDJBLCZLtGiqm5IcjFwI/AQcFpV/Qgg\nyWuAK4A9gFVVdcM29EuSJGmXtFWJWVX9E/BPbfkWuicqp9b5d+DXp9n+7cDbh8QvAy7bmr5IkiTt\nbvzmf0mSpJ4wMZMkSeoJEzNJkqSeMDGTJEnqCRMzSZKknjAxkyRJ6gkTM0mSpJ4wMZMkSeoJEzNJ\nkqSeMDGTJEnqCRMzSZKknjAxkyRJ6gkTM0mSpJ4wMZMkSeoJEzNJkqSemDExS/KYJF9I8pUkNyR5\nc4sfkuTqJBNJPpJkzxbfq61PtPKFA22d2eJfT3LsQHxpi00kOWP7H6YkSVL/jXLF7AHgRVV1BPAs\nYGmSJcA7gbOr6lDgHmBFq78CuKfFz271SHIYcBLwTGAp8L4keyTZA3gvcBxwGPDyVleSJGmszJiY\nVed7bfXR7VXAi4BLWnw1cGJbXtbWaeVHJ0mLX1RVD1TVN4EJ4Kj2mqiqW6rqh8BFra4kSdJYGeke\ns3Zl68vARmAt8A3g3qp6qFVZD8xvy/OB2wFa+X3Azw3Gp2wzXXxYP1YmWZdk3aZNm0bpuiRJ0i5j\npMSsqn5UVc8CFtBd4XrGDu3V9P04r6oWV9XiefPmzUYXJEmSdpiteiqzqu4FPgs8D9gvyZxWtADY\n0JY3AAcDtPJ9gbsH41O2mS4uSZI0VkZ5KnNekv3a8t7AfwZuokvQfq1VWw5c2pbXtHVa+Weqqlr8\npPbU5iHAIuALwDXAovaU5550Dwis2R4HJ0mStCuZM3MVDgJWt6cnHwVcXFWfSHIjcFGStwFfAs5v\n9c8HPpBkAthMl2hRVTckuRi4EXgIOK2qfgSQ5DXAFcAewKqqumG7HaEkSdIuYsbErKquA549JH4L\n3f1mU+P/Dvz6NG29HXj7kPhlwGUj9FeSJGm35Tf/S5Ik9YSJmSRJUk+YmEmSJPWEiZkkSVJPmJhJ\nkiT1hImZJElST5iYSZIk9YSJmSRJUk+YmEmSJPWEiZkkSVJPmJhJkiT1hImZJElST5iYSZIk9YSJ\nmSRJUk/MmJglOTjJZ5PcmOSGJK9r8f2TrE1yc3uf2+JJck6SiSTXJTlyoK3lrf7NSZYPxJ+T5Pq2\nzTlJsiMOVpIkqc9GuWL2EHB6VR0GLAFOS3IYcAZwZVUtAq5s6wDHAYvaayVwLnSJHHAW8FzgKOCs\nLclcq/Oqge2WbvuhSZIk7VpmTMyq6o6q+mJb/i5wEzAfWAasbtVWAye25WXAhdW5CtgvyUHAscDa\nqtpcVfcAa4GlrWyfqrqqqgq4cKAtSZKksbFV95glWQg8G7gaOLCq7mhFdwIHtuX5wO0Dm61vsYeL\nrx8SlyRJGisjJ2ZJHg/8PfB7VXX/YFm70lXbuW/D+rAyybok6zZt2rSjdydJkrRTjZSYJXk0XVL2\noar6hxa+q01D0t43tvgG4OCBzRe02MPFFwyJ/4yqOq+qFlfV4nnz5o3SdUmSpF3GKE9lBjgfuKmq\n3j1QtAbY8mTlcuDSgfgp7enMJcB9bcrzCuCYJHPbTf/HAFe0svuTLGn7OmWgLUmSpLExZ4Q6zwde\nAVyf5Mst9gbgHcDFSVYAtwEva2WXAccDE8APgFMBqmpzkrcC17R6b6mqzW351cAFwN7A5e0lSZI0\nVmZMzKrq88B03yt29JD6BZw2TVurgFVD4uuAw2fqiyRJ0u7Mb/6XJEnqCRMzSZKknjAxkyRJ6gkT\nM0mSpJ4wMZMkSeoJEzNJkqSeMDGTJEnqCRMzSZKknjAxkyRJ6gkTM0mSpJ4wMZMkSeoJEzNJkqSe\nMDGTJEnqCRMzSZKknjAxkyRJ6okZE7Mkq5JsTPLVgdj+SdYmubm9z23xJDknyUSS65IcObDN8lb/\n5iTLB+LPSXJ92+acJNneBylJkrQrGOWK2QXA0imxM4Arq2oRcGVbBzgOWNReK4FzoUvkgLOA5wJH\nAWdtSeZanVcNbDd1X5IkSWNhxsSsqj4HbJ4SXgasbsurgRMH4hdW5ypgvyQHAccCa6tqc1XdA6wF\nlrayfarqqqoq4MKBtiRJksbKI73H7MCquqMt3wkc2JbnA7cP1FvfYg8XXz8kLkmSNHa2+eb/dqWr\ntkNfZpRkZZJ1SdZt2rRpZ+xSkiRpp3mkidldbRqS9r6xxTcABw/UW9BiDxdfMCQ+VFWdV1WLq2rx\nvHnzHmHXJUmS+umRJmZrgC1PVi4HLh2In9KezlwC3NemPK8Ajkkyt930fwxwRSu7P8mS9jTmKQNt\nSZIkjZU5M1VI8mHghcABSdbTPV35DuDiJCuA24CXteqXAccDE8APgFMBqmpzkrcC17R6b6mqLQ8U\nvJruyc+9gcvbS5IkaezMmJhV1cunKTp6SN0CTpumnVXAqiHxdcDhM/VDkiRpd+c3/0uSJPWEiZkk\nSVJPmJhJkiT1hImZJElST5iYSZIk9YSJmSRJUk+YmEmSJPWEiZkkSVJPmJhJkiT1hImZJElST5iY\nSZIk9YSJmSRJUk+YmEmSJPWEiZkkSVJPzJntDuzuFp7xyW1u49Z3vGQ79ESSJPVdb66YJVma5OtJ\nJpKcMdv9kSRJ2tl6kZgl2QN4L3AccBjw8iSHzW6vJEmSdq6+TGUeBUxU1S0ASS4ClgE3zmqvesLp\nUEmSxkNfErP5wO0D6+uB585SX3ZLJneSJPVfXxKzkSRZCaxsq99L8vUdsJsDgO/sgHZ3VT8Zj7xz\nlnvSD54fkzkekzkekzkekzkek43beDx1lEp9Scw2AAcPrC9osUmq6jzgvB3ZkSTrqmrxjtzHrsTx\nmMzxmMzxmMzxmMzxmMzxmMzxGK4XN/8D1wCLkhySZE/gJGDNLPdJkiRpp+rFFbOqeijJa4ArgD2A\nVVV1wyx3S5IkaafqRWIGUFWXAZfNdj/YwVOluyDHYzLHYzLHYzLHYzLHYzLHYzLHY4hU1Wz3QZIk\nSfTnHjNJkqSxZ2LWjPufhEpycJLPJrkxyQ1JXtfi+ydZm+Tm9j53tvu6MyXZI8mXknyirR+S5Op2\nnnykPawyFpLsl+SSJF9LclOS543z+ZHk99v/la8m+XCSx4zb+ZFkVZKNSb46EBt6TqRzThub65Ic\nOXs93zGmGY93tf8z1yX5aJL9BsrObOPx9STHzk6vd5xh4zFQdnqSSnJAW9/tz49RmZjhn4RqHgJO\nr6rDgCXAaW0MzgCurKpFwJVtfZy8DrhpYP2dwNlVdShwD7BiVno1O/4K+FRVPQM4gm5cxvL8SDIf\n+F1gcVUdTvfQ0kmM3/lxAbB0Smy6c+I4YFF7rQTO3Ul93Jku4GfHYy1weFX9B+BfgTMB2ufrScAz\n2zbvaz+LdicX8LPjQZKDgWOAbw2Ex+H8GImJWecnfxKqqn4IbPmTUGOjqu6oqi+25e/S/dCdTzcO\nq1u11cCJs9PDnS/JAuAlwPvbeoAXAZe0KmMzHkn2BX4JOB+gqn5YVfcyxucH3cNTeyeZAzwWuIMx\nOz+q6nPA5inh6c6JZcCF1bkK2C/JQTunpzvHsPGoqk9X1UNt9Sq67+mEbjwuqqoHquqbwATdz6Ld\nxjTnB8DZwOuBwZvcd/vzY1QmZp1hfxJq/iz1ZdYlWQg8G7gaOLCq7mhFdwIHzlK3ZsN76D48ftzW\nfw64d+BDdpzOk0OATcDftKnd9yd5HGN6flTVBuAv6H7jvwO4D7iW8T0/Bk13Tvg5C78FXN6Wx3I8\nkiwDNlTVV6YUjeV4DGNipkmSPB74e+D3qur+wbLqHuEdi8d4k5wAbKyqa2e7Lz0xBzgSOLeqng18\nnynTlmN2fsyl+w3/EODJwOMYMmUz7sbpnJhJkjfS3TLyodnuy2xJ8ljgDcCfzHZf+szErDPSn4Ta\n3SV5NF1S9qGq+ocWvmvL5eT2vnG2+reTPR94aZJb6aa2X0R3j9V+beoKxus8WQ+sr6qr2/oldIna\nuJ4fLwa+WVWbqupB4B/ozplxPT8GTXdOjO3nbJJXAicAJ9dPv6NqHMfj5+l+mflK+2xdAHwxyZMY\nz/EYysSsM/Z/EqrdP3U+cFNVvXugaA2wvC0vBy7d2X2bDVV1ZlUtqKqFdOfDZ6rqZOCzwK+1auM0\nHncCtyd5egsdDdzImJ4fdFOYS5I8tv3f2TIeY3l+TDHdObEGOKU9fbcEuG9gynO3lWQp3S0RL62q\nHwwUrQFOSrJXkkPobnr/wmz0cWepquur6olVtbB9tq4HjmyfL2N5fgxVVb66X2COp3ti5hvAG2e7\nP7Nw/C+gm3K4Dvhyex1Pd1/VlcDNwD8C+892X2dhbF4IfKItP43uw3MC+Dtgr9nu304ch2cB69o5\n8jFg7jifH8Cbga8BXwU+AOw1bucH8GG6e+wepPshu2K6cwII3dPv3wCup3uiddaPYSeMxwTdvVNb\nPlf/eqD+G9t4fB04brb7vzPGY0r5rcAB43J+jPrym/8lSZJ6wqlMSZKknjAxkyRJ6gkTM0mSpJ4w\nMZMkSeoJEzNJkqSeMDGTJEnqCRMzSZKknjAxkyRJ6on/D0PMuTylYZTAAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x213d217f0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#Top authors and frequencies\n", "\n", "authors_freq = paper_authors.value_counts()\n", "\n", "fig,ax=plt.subplots(figsize=(10,3))\n", "\n", "ax.hist(authors_freq,bins=30)\n", "ax.set_title('Distribution of publications')" ] }, { "cell_type": "code", "execution_count": 104, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "count 68083.000000\n", "mean 1.859701\n", "std 2.795677\n", "min 1.000000\n", "25% 1.000000\n", "50% 1.000000\n", "75% 2.000000\n", "max 150.000000\n", "dtype: float64\n" ] }, { "data": { "text/plain": [ "9856" ] }, "execution_count": 104, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#Pretty skewed distribution!\n", "print(authors_freq.describe())\n", "\n", "np.sum(authors_freq>2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Less than 10,000 authors with 3+ papers in the data" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "get_scholar_data(" ] }, { "cell_type": "code", "execution_count": 186, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "CPU times: user 178 µs, sys: 1e+03 ns, total: 179 µs\n", "Wall time: 182 µs\n" ] } ], "source": [ "%%time\n", "#Test run\n", "import scholarly\n", "\n", "@ratelim.patient(max_calls=30,time_interval=60)\n", "def get_scholar_data(scholarly_object):\n", " '''''' \n", " try:\n", " scholarly_object = next(scholarly_object)\n", " metadata = {}\n", " metadata['name']=scholarly_object.name\n", " metadata['affiliation'] = scholarly_object.affiliation\n", " metadata['interests'] = scholarly_object.interests\n", " return(metadata)\n", " \n", " except:\n", " return('nothing')\n", " \n", "\n", "#Extract information from each query (it is a generator)\n", "#Get data\n", "\n", "#ml_author_gscholar=[]\n", "\n", "for num,x in enumerate(paper_authors_unique[1484:]):\n", " if num % 100 == 0:\n", " print(str(num)+\":\"+x) \n", "\n", " result = get_scholar_data(scholarly.search_author(x))\n", " ml_author_gscholar.append(result)" ] }, { "cell_type": "code", "execution_count": 182, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "1484" ] }, "execution_count": 182, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(ml_author_gscholar)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
jmschrei/pomegranate
tutorials/old/Tutorial_7_Parallelization.ipynb
1
83938
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# pomegranate and parallelization\n", "\n", "pomegranate supports parallelization through a set of built in functions based off of joblib. All computationally intensive functions in pomegranate are implemented in cython with the global interpreter lock (GIL) released, allowing for multithreading to be used for efficient parallel processing. The following functions can be called for parallelization:\n", "\n", "1. fit\n", "2. summarize\n", "3. predict\n", "4. predict_proba\n", "5. predict_log_proba\n", "6. log_probability\n", "7. probability\n", "\n", "These functions can all be simply parallelized by passing in `n_jobs=X` to the method calls. This tutorial will demonstrate how to use those calls. First we'll look at a simple multivariate Gaussian mixture model, and compare its performance to sklearn. Then we'll look at a hidden Markov model with Gaussian emissions, and lastly we'll look at a mixture of Gaussian HMMs. These can all utilize the build-in parallelization that pomegranate has.\n", "\n", "Let's dive right in!" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] } ], "source": [ "%pylab inline\n", "from sklearn.mixture import GaussianMixture\n", "from pomegranate import *\n", "import seaborn, time\n", "seaborn.set_style('whitegrid')" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "def create_dataset(n_samples, n_dim, n_classes, alpha=1):\n", " \"\"\"Create a random dataset with n_samples in each class.\"\"\"\n", " \n", " X = numpy.concatenate([numpy.random.normal(i*alpha, 1, size=(n_samples, n_dim)) for i in range(n_classes)])\n", " y = numpy.concatenate([numpy.zeros(n_samples) + i for i in range(n_classes)])\n", " idx = numpy.arange(X.shape[0])\n", " numpy.random.shuffle(idx)\n", " return X[idx], y[idx]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 1. General Mixture Models\n", "\n", "pomegranate has a very efficient implementation of mixture models, particularly Gaussian mixture models. Lets take a look at how fast pomegranate is versus sklearn, and then see how much faster parallelization can get it to be." ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "sklearn GMM\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/home/jmschr/anaconda2/lib/python2.7/site-packages/sklearn/mixture/base.py:237: ConvergenceWarning: Initialization 1 did not converge. Try different init parameters, or increase max_iter, tol or check for degenerate data.\n", " % (init + 1), ConvergenceWarning)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "1 loop, best of 3: 37 s per loop\n", "\n", "pomegranate GMM\n", "1 loop, best of 3: 23.6 s per loop\n", "\n", "pomegranate GMM (4 jobs)\n", "1 loop, best of 3: 10.6 s per loop\n" ] } ], "source": [ "n, d, k = 1000000, 5, 3\n", "X, y = create_dataset(n, d, k)\n", "\n", "print \"sklearn GMM\"\n", "%timeit GaussianMixture(n_components=k, covariance_type='full', max_iter=15, tol=1e-10).fit(X)\n", "print \n", "print \"pomegranate GMM\"\n", "%timeit GeneralMixtureModel.from_samples(MultivariateGaussianDistribution, k, X, max_iterations=15, stop_threshold=1e-10)\n", "print\n", "print \"pomegranate GMM (4 jobs)\"\n", "%timeit GeneralMixtureModel.from_samples(MultivariateGaussianDistribution, k, X, n_jobs=4, max_iterations=15, stop_threshold=1e-10)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It looks like on a large dataset not only is pomegranate faster than sklearn at performing 15 iterations of EM on 3 million 5 dimensional datapoints with 3 clusters, but the parallelization is able to help in speeding things up. \n", "\n", "Lets now take a look at the time it takes to make predictions using GMMs. Lets fit the model to a small amount of data, and then predict a larger amount of data drawn from the same underlying distributions." ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "d, k = 25, 2\n", "X, y = create_dataset(1000, d, k)\n", "a = GaussianMixture(k, n_init=1, max_iter=25).fit(X)\n", "b = GeneralMixtureModel.from_samples(MultivariateGaussianDistribution, k, X, max_iterations=25)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "sklearn GMM\n", "1 loop, best of 3: 2.12 s per loop\n", "\n", "pomegranate GMM\n", "1 loop, best of 3: 1.68 s per loop\n", "\n", "pomegranate GMM (4 jobs)\n", "1 loop, best of 3: 1.59 s per loop\n" ] } ], "source": [ "del X, y\n", "n = 1000000\n", "X, y = create_dataset(n, d, k)\n", "\n", "print \"sklearn GMM\"\n", "%timeit -n 1 a.predict_proba(X)\n", "print\n", "print \"pomegranate GMM\"\n", "%timeit -n 1 b.predict_proba(X)\n", "print\n", "print \"pomegranate GMM (4 jobs)\"\n", "%timeit -n 1 b.predict_proba(X, n_jobs=4)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It looks like pomegranate can be slightly slower than sklearn when using a single processor, but that it can be parallelized to get faster performance. At the same time, predictions at this level happen so quickly (millions per second) that this may not be the most reliable test for parallelization.\n", "\n", "To ensure that we're getting the exact same results just faster, lets subtract the predictions from each other and make sure that the sum is equal to 0." ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0.0\n" ] } ], "source": [ "print (b.predict_proba(X) - b.predict_proba(X, n_jobs=4)).sum()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Great, no difference between the two.\n", "\n", "Lets now make sure that pomegranate and sklearn are learning basically the same thing. Lets fit both models to some 2 dimensional 2 component data and make sure that they both extract the underlying clusters by plotting them." ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<matplotlib.collections.PathCollection at 0x7f4e62e02210>" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA50AAAFzCAYAAAC9/W2RAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f4e64e5be50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "d, k = 2, 2\n", "X, y = create_dataset(1000, d, k, alpha=2)\n", "a = GaussianMixture(k, n_init=1, max_iter=25).fit(X)\n", "b = GeneralMixtureModel.from_samples(MultivariateGaussianDistribution, k, X, max_iterations=25)\n", "\n", "y1, y2 = a.predict(X), b.predict(X)\n", "\n", "plt.figure(figsize=(16,6))\n", "plt.subplot(121)\n", "plt.title(\"sklearn clusters\", fontsize=14)\n", "plt.scatter(X[y1==0, 0], X[y1==0, 1], color='m', edgecolor='m')\n", "plt.scatter(X[y1==1, 0], X[y1==1, 1], color='c', edgecolor='c')\n", "\n", "plt.subplot(122)\n", "plt.title(\"pomegranate clusters\", fontsize=14)\n", "plt.scatter(X[y2==0, 0], X[y2==0, 1], color='m', edgecolor='m')\n", "plt.scatter(X[y2==1, 0], X[y2==1, 1], color='c', edgecolor='c')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It looks like we're getting the same basic results for the two. The two algorithms are initialized a bit differently, and so it can be difficult to directly compare the results between them, but it looks like they're getting roughly the same results." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3. Multivariate Gaussian HMM\n", "\n", "Now let's move on to training a hidden Markov model with multivariate Gaussian emissions with a diagonal covariance matrix. We'll randomly generate some Gaussian distributed numbers and use pomegranate with either one or four threads to fit our model to the data." ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "pomegranate Gaussian HMM (1 job)\n", "1 loop, best of 1: 22.1 s per loop\n", "\n", "pomegranate Gaussian HMM (2 jobs)\n", "1 loop, best of 1: 12.9 s per loop\n", "\n", "pomegranate Gaussian HMM (2 jobs)\n", "1 loop, best of 1: 8.37 s per loop\n" ] } ], "source": [ "X = numpy.random.randn(1000, 500, 50)\n", "\n", "print \"pomegranate Gaussian HMM (1 job)\"\n", "%timeit -n 1 -r 1 HiddenMarkovModel.from_samples(NormalDistribution, 5, X, max_iterations=5)\n", "print\n", "print \"pomegranate Gaussian HMM (2 jobs)\"\n", "%timeit -n 1 -r 1 HiddenMarkovModel.from_samples(NormalDistribution, 5, X, max_iterations=5, n_jobs=2)\n", "print\n", "print \"pomegranate Gaussian HMM (2 jobs)\"\n", "%timeit -n 1 -r 1 HiddenMarkovModel.from_samples(NormalDistribution, 5, X, max_iterations=5, n_jobs=4)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "All we had to do was pass in the n_jobs parameter to the fit function in order to get a speed improvement. It looks like we're getting a really good speed improvement, as well! This is mostly because the HMM algorithms perform a lot more operations than the other models, and so spend the vast majority of time with the GIL released. You may not notice as strong speedups when using a MultivariateGaussianDistribution because BLAS uses multithreaded operations already internally, even when only one job is specified.\n", "\n", "Now lets look at the prediction function to make sure the we're getting speedups there as well. You'll have to use a wrapper function to parallelize the predictions for a HMM because it returns an annotated sequence rather than a single value like a classic machine learning model might." ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "pomegranate Gaussian HMM (1 job)\n", "1 loop, best of 3: 3.22 s per loop\n", "\n", "pomegranate Gaussian HMM (2 jobs)\n", "1 loop, best of 3: 1.93 s per loop\n" ] } ], "source": [ "model = HiddenMarkovModel.from_samples(NormalDistribution, 5, X, max_iterations=2, verbose=False)\n", "\n", "print \"pomegranate Gaussian HMM (1 job)\"\n", "%timeit predict_proba(model, X)\n", "print\n", "print \"pomegranate Gaussian HMM (2 jobs)\"\n", "%timeit predict_proba(model, X, n_jobs=2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Great, we're getting a really good speedup on that as well! Looks like the parallel processing is more efficient with a bigger, more complex model, than with a simple one. This can make sense, because all inference/training is more complex, and so there is more time with the GIL released compared to with the simpler operations." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4. Mixture of Hidden Markov Models\n", "\n", "Let's stack another layer onto this model by making it a mixture of these hidden Markov models, instead of a single one. At this point we're sticking a multivariate Gaussian HMM into a mixture and we're going to train this big thing in parallel." ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [], "source": [ "def create_model(mus):\n", " n = mus.shape[0]\n", " \n", " starts = numpy.zeros(n)\n", " starts[0] = 1.\n", " \n", " ends = numpy.zeros(n)\n", " ends[-1] = 0.5\n", " \n", " transition_matrix = numpy.zeros((n, n))\n", " distributions = []\n", " \n", " for i in range(n):\n", " transition_matrix[i, i] = 0.5\n", " \n", " if i < n - 1:\n", " transition_matrix[i, i+1] = 0.5\n", " \n", " distribution = IndependentComponentsDistribution([NormalDistribution(mu, 1) for mu in mus[i]])\n", " distributions.append(distribution)\n", " \n", " model = HiddenMarkovModel.from_matrix(transition_matrix, distributions, starts, ends)\n", " return model\n", " \n", "\n", "def create_mixture(mus):\n", " hmms = [create_model(mu) for mu in mus]\n", " return GeneralMixtureModel(hmms)\n", "\n", "n, d = 50, 10\n", "mus = [(numpy.random.randn(d, n)*0.2 + numpy.random.randn(n)*2).T for i in range(2)]" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "pomegranate Mixture of Gaussian HMMs (1 job)\n", "1 loop, best of 3: 3 s per loop\n", "\n", "pomegranate Mixture of Gaussian HMMs (2 jobs)\n", "1 loop, best of 3: 1.83 s per loop\n" ] } ], "source": [ "model = create_mixture(mus)\n", "X = numpy.random.randn(400, 150, d)\n", "\n", "print \"pomegranate Mixture of Gaussian HMMs (1 job)\"\n", "%timeit model.fit(X, max_iterations=5)\n", "print\n", "\n", "model = create_mixture(mus)\n", "print \"pomegranate Mixture of Gaussian HMMs (2 jobs)\"\n", "%timeit model.fit(X, max_iterations=5, n_jobs=2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Looks like we're getting a really nice speed improvement when training this complex model. Let's take a look now at the time it takes to do inference with it." ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "pomegranate Mixture of Gaussian HMMs (1 job)\n", "1 loop, best of 3: 598 ms per loop\n", "\n", "pomegranate Mixture of Gaussian HMMs (2 jobs)\n", "1 loop, best of 3: 411 ms per loop\n" ] } ], "source": [ "model = create_mixture(mus)\n", "\n", "print \"pomegranate Mixture of Gaussian HMMs (1 job)\"\n", "%timeit model.predict_proba(X)\n", "print\n", "\n", "model = create_mixture(mus)\n", "print \"pomegranate Mixture of Gaussian HMMs (2 jobs)\"\n", "%timeit model.predict_proba(X, n_jobs=2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We're getting a good speed improvement here too through parallelization." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Conclusions\n", "\n", "Hopefully you'll find pomegranate useful in your work! Parallelization should allow you to train complex models faster than before. Keep in mind though that there is an overhead to using parallel processing, and so it's possible that on some smaller examples it does not work as well. In general, the bigger the dataset, the closer to a linear speedup you'll get with pomegranate.\n", "\n", "If you have any interesting examples of how you've used pomegranate in your work, I'd love to hear about them. In addition I'd like to hear any feedback you may have on features you'd like to see. Please shoot me an email. Good luck!" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.14" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
arsenovic/galgebra
examples/ipython/inner_product.ipynb
1
21533
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "from __future__ import print_function\n", "from sympy import Symbol, symbols, sin, cos, Rational, expand, simplify, collect, S\n", "from galgebra.printer import Eprint, Get_Program, Print_Function, Format\n", "from galgebra.ga import Ga, one, zero\n", "from galgebra.mv import Nga\n", "Format()" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "X = (x, y, z) = symbols('x y z')\n", "o3d = Ga('e_x e_y e_z', g=[1, 1, 1], coords=X)\n", "(ex, ey, ez) = o3d.mv()\n", "grad = o3d.grad" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "c = o3d.mv('c', 'scalar')" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "a = o3d.mv('a', 'vector')\n", "b = o3d.mv('b', 'vector')" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [ "A = o3d.mv('A','mv')\n", "B = o3d.mv('B','mv')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The inner product of blades in GAlgebra is zero if either operand is a scalar:\n", "\n", "$$\\begin{split}\\begin{aligned}\n", " {\\boldsymbol{A}}_{r}{\\wedge}{\\boldsymbol{B}}_{s} &\\equiv {\\left <{{\\boldsymbol{A}}_{r}{\\boldsymbol{B}}_{s}} \\right >_{r+s}} \\\\\n", " {\\boldsymbol{A}}_{r}\\cdot{\\boldsymbol{B}}_{s} &\\equiv {\\left \\{ { \\begin{array}{cc}\n", " r\\mbox{ and }s \\ne 0: & {\\left <{{\\boldsymbol{A}}_{r}{\\boldsymbol{B}}_{s}} \\right >_{{\\left |{r-s}\\right |}}} \\\\\n", " r\\mbox{ or }s = 0: & 0 \\end{array}} \\right \\}}\n", " \\end{aligned}\\end{split}$$\n", " \n", "This definition comes from _David Hestenes and Garret Sobczyk, “Clifford Algebra to Geometric Calculus,” Kluwer Academic Publishers, 1984_.\n", "\n", "In some other literature, the inner product is defined without the exceptional case for scalar part and the definition above is known as \"the modified Hestenes inner product\" (this name comes from the source code of [GAViewer](http://www.geometricalgebra.net/gaviewer_download.html))." ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} 0 \\end{equation*}" ], "text/plain": [ " 0 " ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "c|a" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} 0 \\end{equation*}" ], "text/plain": [ " 0 " ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a|c" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} 0 \\end{equation*}" ], "text/plain": [ " 0 " ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "c|A" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} 0 \\end{equation*}" ], "text/plain": [ " 0 " ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "A|c" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$ab=a \\wedge b + a \\cdot b$ holds for vectors:" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} \\left ( a^{x} b^{x} + a^{y} b^{y} + a^{z} b^{z}\\right ) + \\left ( a^{x} b^{y} - a^{y} b^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( a^{x} b^{z} - a^{z} b^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( a^{y} b^{z} - a^{z} b^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} \\end{equation*}" ], "text/plain": [ "\\left ( a^{x} b^{x} + a^{y} b^{y} + a^{z} b^{z}\\right ) + \\left ( a^{x} b^{y} - a^{y} b^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( a^{x} b^{z} - a^{z} b^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( a^{y} b^{z} - a^{z} b^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z}" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a*b" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} \\left ( a^{x} b^{y} - a^{y} b^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( a^{x} b^{z} - a^{z} b^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( a^{y} b^{z} - a^{z} b^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} \\end{equation*}" ], "text/plain": [ "\\left ( a^{x} b^{y} - a^{y} b^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( a^{x} b^{z} - a^{z} b^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( a^{y} b^{z} - a^{z} b^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z}" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a^b" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} a^{x} b^{x} + a^{y} b^{y} + a^{z} b^{z} \\end{equation*}" ], "text/plain": [ "a^{x} b^{x} + a^{y} b^{y} + a^{z} b^{z}" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a|b" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} 0 \\end{equation*}" ], "text/plain": [ " 0 " ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "(a*b)-(a^b)-(a|b)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$aA=a \\wedge A + a \\cdot A$ holds for the products between vectors and multivectors:" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} \\left ( A^{x} a^{x} + A^{y} a^{y} + A^{z} a^{z}\\right ) + \\left ( A a^{x} - A^{xy} a^{y} - A^{xz} a^{z}\\right ) \\boldsymbol{e}_{x} + \\left ( A a^{y} + A^{xy} a^{x} - A^{yz} a^{z}\\right ) \\boldsymbol{e}_{y} + \\left ( A a^{z} + A^{xz} a^{x} + A^{yz} a^{y}\\right ) \\boldsymbol{e}_{z} + \\left ( A^{xyz} a^{z} - A^{x} a^{y} + A^{y} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( - A^{xyz} a^{y} - A^{x} a^{z} + A^{z} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( A^{xyz} a^{x} - A^{y} a^{z} + A^{z} a^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} + \\left ( A^{xy} a^{z} - A^{xz} a^{y} + A^{yz} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} \\end{equation*}" ], "text/plain": [ "\\left ( A^{x} a^{x} + A^{y} a^{y} + A^{z} a^{z}\\right ) + \\left ( A a^{x} - A^{xy} a^{y} - A^{xz} a^{z}\\right ) \\boldsymbol{e}_{x} + \\left ( A a^{y} + A^{xy} a^{x} - A^{yz} a^{z}\\right ) \\boldsymbol{e}_{y} + \\left ( A a^{z} + A^{xz} a^{x} + A^{yz} a^{y}\\right ) \\boldsymbol{e}_{z} + \\left ( A^{xyz} a^{z} - A^{x} a^{y} + A^{y} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( - A^{xyz} a^{y} - A^{x} a^{z} + A^{z} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( A^{xyz} a^{x} - A^{y} a^{z} + A^{z} a^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} + \\left ( A^{xy} a^{z} - A^{xz} a^{y} + A^{yz} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z}" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a*A" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} A a^{x} \\boldsymbol{e}_{x} + A a^{y} \\boldsymbol{e}_{y} + A a^{z} \\boldsymbol{e}_{z} + \\left ( - A^{x} a^{y} + A^{y} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( - A^{x} a^{z} + A^{z} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( - A^{y} a^{z} + A^{z} a^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} + \\left ( A^{xy} a^{z} - A^{xz} a^{y} + A^{yz} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} \\end{equation*}" ], "text/plain": [ "A a^{x} \\boldsymbol{e}_{x} + A a^{y} \\boldsymbol{e}_{y} + A a^{z} \\boldsymbol{e}_{z} + \\left ( - A^{x} a^{y} + A^{y} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( - A^{x} a^{z} + A^{z} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( - A^{y} a^{z} + A^{z} a^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} + \\left ( A^{xy} a^{z} - A^{xz} a^{y} + A^{yz} a^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z}" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a^A" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} \\left ( A^{x} a^{x} + A^{y} a^{y} + A^{z} a^{z}\\right ) + \\left ( - A^{xy} a^{y} - A^{xz} a^{z}\\right ) \\boldsymbol{e}_{x} + \\left ( A^{xy} a^{x} - A^{yz} a^{z}\\right ) \\boldsymbol{e}_{y} + \\left ( A^{xz} a^{x} + A^{yz} a^{y}\\right ) \\boldsymbol{e}_{z} + A^{xyz} a^{z} \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} - A^{xyz} a^{y} \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + A^{xyz} a^{x} \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} \\end{equation*}" ], "text/plain": [ "\\left ( A^{x} a^{x} + A^{y} a^{y} + A^{z} a^{z}\\right ) + \\left ( - A^{xy} a^{y} - A^{xz} a^{z}\\right ) \\boldsymbol{e}_{x} + \\left ( A^{xy} a^{x} - A^{yz} a^{z}\\right ) \\boldsymbol{e}_{y} + \\left ( A^{xz} a^{x} + A^{yz} a^{y}\\right ) \\boldsymbol{e}_{z} + A^{xyz} a^{z} \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} - A^{xyz} a^{y} \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + A^{xyz} a^{x} \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z}" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "a|A" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} 0 \\end{equation*}" ], "text/plain": [ " 0 " ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "(a*A)-(a^A)-(a|A)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$AB=A \\wedge B + A \\cdot B$ does NOT hold for the products between multivectors and multivectors:" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} \\left ( A B - A^{xyz} B^{xyz} - A^{xy} B^{xy} - A^{xz} B^{xz} + A^{x} B^{x} - A^{yz} B^{yz} + A^{y} B^{y} + A^{z} B^{z}\\right ) + \\left ( A B^{x} - A^{xyz} B^{yz} + A^{xy} B^{y} + A^{xz} B^{z} + A^{x} B - A^{yz} B^{xyz} - A^{y} B^{xy} - A^{z} B^{xz}\\right ) \\boldsymbol{e}_{x} + \\left ( A B^{y} + A^{xyz} B^{xz} - A^{xy} B^{x} + A^{xz} B^{xyz} + A^{x} B^{xy} + A^{yz} B^{z} + A^{y} B - A^{z} B^{yz}\\right ) \\boldsymbol{e}_{y} + \\left ( A B^{z} - A^{xyz} B^{xy} - A^{xy} B^{xyz} - A^{xz} B^{x} + A^{x} B^{xz} - A^{yz} B^{y} + A^{y} B^{yz} + A^{z} B\\right ) \\boldsymbol{e}_{z} + \\left ( A B^{xy} + A^{xyz} B^{z} + A^{xy} B - A^{xz} B^{yz} + A^{x} B^{y} + A^{yz} B^{xz} - A^{y} B^{x} + A^{z} B^{xyz}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( A B^{xz} - A^{xyz} B^{y} + A^{xy} B^{yz} + A^{xz} B + A^{x} B^{z} - A^{yz} B^{xy} - A^{y} B^{xyz} - A^{z} B^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( A B^{yz} + A^{xyz} B^{x} - A^{xy} B^{xz} + A^{xz} B^{xy} + A^{x} B^{xyz} + A^{yz} B + A^{y} B^{z} - A^{z} B^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} + \\left ( A B^{xyz} + A^{xyz} B + A^{xy} B^{z} - A^{xz} B^{y} + A^{x} B^{yz} + A^{yz} B^{x} - A^{y} B^{xz} + A^{z} B^{xy}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} \\end{equation*}" ], "text/plain": [ "\\left ( A B - A^{xyz} B^{xyz} - A^{xy} B^{xy} - A^{xz} B^{xz} + A^{x} B^{x} - A^{yz} B^{yz} + A^{y} B^{y} + A^{z} B^{z}\\right ) + \\left ( A B^{x} - A^{xyz} B^{yz} + A^{xy} B^{y} + A^{xz} B^{z} + A^{x} B - A^{yz} B^{xyz} - A^{y} B^{xy} - A^{z} B^{xz}\\right ) \\boldsymbol{e}_{x} + \\left ( A B^{y} + A^{xyz} B^{xz} - A^{xy} B^{x} + A^{xz} B^{xyz} + A^{x} B^{xy} + A^{yz} B^{z} + A^{y} B - A^{z} B^{yz}\\right ) \\boldsymbol{e}_{y} + \\left ( A B^{z} - A^{xyz} B^{xy} - A^{xy} B^{xyz} - A^{xz} B^{x} + A^{x} B^{xz} - A^{yz} B^{y} + A^{y} B^{yz} + A^{z} B\\right ) \\boldsymbol{e}_{z} + \\left ( A B^{xy} + A^{xyz} B^{z} + A^{xy} B - A^{xz} B^{yz} + A^{x} B^{y} + A^{yz} B^{xz} - A^{y} B^{x} + A^{z} B^{xyz}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( A B^{xz} - A^{xyz} B^{y} + A^{xy} B^{yz} + A^{xz} B + A^{x} B^{z} - A^{yz} B^{xy} - A^{y} B^{xyz} - A^{z} B^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( A B^{yz} + A^{xyz} B^{x} - A^{xy} B^{xz} + A^{xz} B^{xy} + A^{x} B^{xyz} + A^{yz} B + A^{y} B^{z} - A^{z} B^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} + \\left ( A B^{xyz} + A^{xyz} B + A^{xy} B^{z} - A^{xz} B^{y} + A^{x} B^{yz} + A^{yz} B^{x} - A^{y} B^{xz} + A^{z} B^{xy}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z}" ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "A*B" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} \\left ( - A^{xyz} B^{xyz} - A^{xy} B^{xy} - A^{xz} B^{xz} + A^{x} B^{x} - A^{yz} B^{yz} + A^{y} B^{y} + A^{z} B^{z}\\right ) + \\left ( - A^{xyz} B^{yz} + A^{xy} B^{y} + A^{xz} B^{z} - A^{yz} B^{xyz} - A^{y} B^{xy} - A^{z} B^{xz}\\right ) \\boldsymbol{e}_{x} + \\left ( A^{xyz} B^{xz} - A^{xy} B^{x} + A^{xz} B^{xyz} + A^{x} B^{xy} + A^{yz} B^{z} - A^{z} B^{yz}\\right ) \\boldsymbol{e}_{y} + \\left ( - A^{xyz} B^{xy} - A^{xy} B^{xyz} - A^{xz} B^{x} + A^{x} B^{xz} - A^{yz} B^{y} + A^{y} B^{yz}\\right ) \\boldsymbol{e}_{z} + \\left ( A^{xyz} B^{z} + A^{z} B^{xyz}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( - A^{xyz} B^{y} - A^{y} B^{xyz}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( A^{xyz} B^{x} + A^{x} B^{xyz}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} \\end{equation*}" ], "text/plain": [ "\\left ( - A^{xyz} B^{xyz} - A^{xy} B^{xy} - A^{xz} B^{xz} + A^{x} B^{x} - A^{yz} B^{yz} + A^{y} B^{y} + A^{z} B^{z}\\right ) + \\left ( - A^{xyz} B^{yz} + A^{xy} B^{y} + A^{xz} B^{z} - A^{yz} B^{xyz} - A^{y} B^{xy} - A^{z} B^{xz}\\right ) \\boldsymbol{e}_{x} + \\left ( A^{xyz} B^{xz} - A^{xy} B^{x} + A^{xz} B^{xyz} + A^{x} B^{xy} + A^{yz} B^{z} - A^{z} B^{yz}\\right ) \\boldsymbol{e}_{y} + \\left ( - A^{xyz} B^{xy} - A^{xy} B^{xyz} - A^{xz} B^{x} + A^{x} B^{xz} - A^{yz} B^{y} + A^{y} B^{yz}\\right ) \\boldsymbol{e}_{z} + \\left ( A^{xyz} B^{z} + A^{z} B^{xyz}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( - A^{xyz} B^{y} - A^{y} B^{xyz}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( A^{xyz} B^{x} + A^{x} B^{xyz}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z}" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "A|B" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "scrolled": true }, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} \\left ( - A^{xz} B^{yz} + A^{yz} B^{xz}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( A^{xy} B^{yz} - A^{yz} B^{xy}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( - A^{xy} B^{xz} + A^{xz} B^{xy}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} \\end{equation*}" ], "text/plain": [ "\\left ( - A^{xz} B^{yz} + A^{yz} B^{xz}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( A^{xy} B^{yz} - A^{yz} B^{xy}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( - A^{xy} B^{xz} + A^{xz} B^{xy}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z}" ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" } ], "source": [ "(A*B)-(A^B)-(A|B)" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [ { "data": { "text/latex": [ "\\begin{equation*} \\left ( A B - 2 A^{xyz} B^{xyz} - 2 A^{xy} B^{xy} - 2 A^{xz} B^{xz} + 2 A^{x} B^{x} - 2 A^{yz} B^{yz} + 2 A^{y} B^{y} + 2 A^{z} B^{z}\\right ) + \\left ( - A^{xyz} B^{yz} + A^{xy} B^{y} + A^{xz} B^{z} - A^{yz} B^{xyz} - A^{y} B^{xy} - A^{z} B^{xz}\\right ) \\boldsymbol{e}_{x} + \\left ( A^{xyz} B^{xz} - A^{xy} B^{x} + A^{xz} B^{xyz} + A^{x} B^{xy} + A^{yz} B^{z} - A^{z} B^{yz}\\right ) \\boldsymbol{e}_{y} + \\left ( - A^{xyz} B^{xy} - A^{xy} B^{xyz} - A^{xz} B^{x} + A^{x} B^{xz} - A^{yz} B^{y} + A^{y} B^{yz}\\right ) \\boldsymbol{e}_{z} + \\left ( A^{xyz} B^{z} + A^{xz} B^{yz} - A^{x} B^{y} - A^{yz} B^{xz} + A^{y} B^{x} + A^{z} B^{xyz}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( - A^{xyz} B^{y} - A^{xy} B^{yz} - A^{x} B^{z} + A^{yz} B^{xy} - A^{y} B^{xyz} + A^{z} B^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( A^{xyz} B^{x} + A^{xy} B^{xz} - A^{xz} B^{xy} + A^{x} B^{xyz} - A^{y} B^{z} + A^{z} B^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} + \\left ( - A^{xy} B^{z} + A^{xz} B^{y} - A^{x} B^{yz} - A^{yz} B^{x} + A^{y} B^{xz} - A^{z} B^{xy}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} \\end{equation*}" ], "text/plain": [ "\\left ( A B - 2 A^{xyz} B^{xyz} - 2 A^{xy} B^{xy} - 2 A^{xz} B^{xz} + 2 A^{x} B^{x} - 2 A^{yz} B^{yz} + 2 A^{y} B^{y} + 2 A^{z} B^{z}\\right ) + \\left ( - A^{xyz} B^{yz} + A^{xy} B^{y} + A^{xz} B^{z} - A^{yz} B^{xyz} - A^{y} B^{xy} - A^{z} B^{xz}\\right ) \\boldsymbol{e}_{x} + \\left ( A^{xyz} B^{xz} - A^{xy} B^{x} + A^{xz} B^{xyz} + A^{x} B^{xy} + A^{yz} B^{z} - A^{z} B^{yz}\\right ) \\boldsymbol{e}_{y} + \\left ( - A^{xyz} B^{xy} - A^{xy} B^{xyz} - A^{xz} B^{x} + A^{x} B^{xz} - A^{yz} B^{y} + A^{y} B^{yz}\\right ) \\boldsymbol{e}_{z} + \\left ( A^{xyz} B^{z} + A^{xz} B^{yz} - A^{x} B^{y} - A^{yz} B^{xz} + A^{y} B^{x} + A^{z} B^{xyz}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y} + \\left ( - A^{xyz} B^{y} - A^{xy} B^{yz} - A^{x} B^{z} + A^{yz} B^{xy} - A^{y} B^{xyz} + A^{z} B^{x}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{z} + \\left ( A^{xyz} B^{x} + A^{xy} B^{xz} - A^{xz} B^{xy} + A^{x} B^{xyz} - A^{y} B^{z} + A^{z} B^{y}\\right ) \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z} + \\left ( - A^{xy} B^{z} + A^{xz} B^{y} - A^{x} B^{yz} - A^{yz} B^{x} + A^{y} B^{xz} - A^{z} B^{xy}\\right ) \\boldsymbol{e}_{x}\\wedge \\boldsymbol{e}_{y}\\wedge \\boldsymbol{e}_{z}" ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" } ], "source": [ "(A<B)+(A|B)+(A>B)-A*B" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.2" } }, "nbformat": 4, "nbformat_minor": 2 }
bsd-3-clause
jbliss1234/ML
t81_558_class4_class_reg.ipynb
1
126785
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# T81-558: Applications of Deep Neural Networks\n", "**Class 4: Classification and Regression**\n", "* Instructor: [Jeff Heaton](https://sites.wustl.edu/jeffheaton/), School of Engineering and Applied Science, [Washington University in St. Louis](https://engineering.wustl.edu/Programs/Pages/default.aspx)\n", "* For more information visit the [class website](https://sites.wustl.edu/jeffheaton/t81-558/)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Binary Classification, Classification and Regression\n", "\n", "* **Binary Classification** - Classification between two possibilities (positive and negative). Common in medical testing, does the person have the disease (positive) or not (negative).\n", "* **Classification** - Classification between more than 2. The iris dataset (3-way classification).\n", "* **Regression** - Numeric prediction. How many MPG does a car get?\n", "\n", "In this class session we will look at some visualizations for all three.\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Feature Vector Encoding\n", "\n", "These are exactly the same feature vector encoding functions from [Class 3](https://github.com/jeffheaton/t81_558_deep_learning/blob/master/t81_558_class3_training.ipynb). They must be defined for this class as well. For more information, refer to class 3." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from sklearn import preprocessing\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import pandas as pd\n", "\n", "# Encode text values to dummy variables(i.e. [1,0,0],[0,1,0],[0,0,1] for red,green,blue)\n", "def encode_text_dummy(df,name):\n", " dummies = pd.get_dummies(df[name])\n", " for x in dummies.columns:\n", " dummy_name = \"{}-{}\".format(name,x)\n", " df[dummy_name] = dummies[x]\n", " df.drop(name, axis=1, inplace=True)\n", "\n", "# Encode text values to indexes(i.e. [1],[2],[3] for red,green,blue).\n", "def encode_text_index(df,name):\n", " le = preprocessing.LabelEncoder()\n", " df[name] = le.fit_transform(df[name])\n", " return le.classes_\n", "\n", "# Encode a numeric column as zscores\n", "def encode_numeric_zscore(df,name,mean=None,sd=None):\n", " if mean is None:\n", " mean = df[name].mean()\n", "\n", " if sd is None:\n", " sd = df[name].std()\n", "\n", " df[name] = (df[name]-mean)/sd\n", "\n", "# Convert all missing values in the specified column to the median\n", "def missing_median(df, name):\n", " med = df[name].median()\n", " df[name] = df[name].fillna(med)\n", "\n", "# Convert a Pandas dataframe to the x,y inputs that TensorFlow needs\n", "def to_xy(df,target):\n", " result = []\n", " for x in df.columns:\n", " if x != target:\n", " result.append(x)\n", "\n", " # find out the type of the target column. Is it really this hard? :(\n", " target_type = df[target].dtypes\n", " target_type = target_type[0] if hasattr(target_type, '__iter__') else target_type\n", " \n", " # Encode to int for classification, float otherwise. TensorFlow likes 32 bits.\n", " if target_type in (np.int64, np.int32):\n", " # Classification\n", " return df.as_matrix(result).astype(np.float32),df.as_matrix([target]).astype(np.int32)\n", " else:\n", " # Regression\n", " return df.as_matrix(result).astype(np.float32),df.as_matrix([target]).astype(np.float32)\n", " \n", "# Nicely formatted time string\n", "def hms_string(sec_elapsed):\n", " h = int(sec_elapsed / (60 * 60))\n", " m = int((sec_elapsed % (60 * 60)) / 60)\n", " s = sec_elapsed % 60\n", " return \"{}:{:>02}:{:>05.2f}\".format(h, m, s)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Toolkit: Visualization Functions\n", "\n", "This class will introduce 3 different visualizations that can be used with the two different classification type neural networks and regression neural networks.\n", "\n", "* **Confusion Matrix** - For any type of classification neural network.\n", "* **ROC Curve** - For binary classification.\n", "* **Lift Curve** - For regression neural networks.\n", "\n", "The code used to produce these visualizations is shown here:" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "from sklearn.metrics import roc_curve, auc\n", "\n", "# Plot a confusion matrix.\n", "# cm is the confusion matrix, names are the names of the classes.\n", "def plot_confusion_matrix(cm, names, title='Confusion matrix', cmap=plt.cm.Blues):\n", " plt.imshow(cm, interpolation='nearest', cmap=cmap)\n", " plt.title(title)\n", " plt.colorbar()\n", " tick_marks = np.arange(len(names))\n", " plt.xticks(tick_marks, names, rotation=45)\n", " plt.yticks(tick_marks, names)\n", " plt.tight_layout()\n", " plt.ylabel('True label')\n", " plt.xlabel('Predicted label')\n", " \n", "\n", "# Plot an ROC. pred - the predictions, y - the expected output.\n", "def plot_roc(pred,y):\n", " fpr, tpr, _ = roc_curve(y_test, pred)\n", " roc_auc = auc(fpr, tpr)\n", "\n", " plt.figure()\n", " plt.plot(fpr, tpr, label='ROC curve (area = %0.2f)' % roc_auc)\n", " plt.plot([0, 1], [0, 1], 'k--')\n", " plt.xlim([0.0, 1.0])\n", " plt.ylim([0.0, 1.05])\n", " plt.xlabel('False Positive Rate')\n", " plt.ylabel('True Positive Rate')\n", " plt.title('Receiver Operating Characteristic (ROC)')\n", " plt.legend(loc=\"lower right\")\n", " plt.show()\n", " \n", "# Plot a lift curve. pred - the predictions, y - the expected output.\n", "def chart_regression(pred,y):\n", " t = pd.DataFrame({'pred' : pred.flatten(), 'y' : y_test.flatten()})\n", " t.sort_values(by=['y'],inplace=True)\n", "\n", " a = plt.plot(t['y'].tolist(),label='expected')\n", " b = plt.plot(t['pred'].tolist(),label='prediction')\n", " plt.ylabel('output')\n", " plt.legend()\n", " plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Binary Classification\n", "\n", "\n", "Binary classification is used to create a model that classifies between only two classes. These two classes are often called \"positive\" and \"negative\". Consider the following program that uses the [wcbreast_wdbc dataset](https://github.com/jeffheaton/t81_558_deep_learning/blob/master/datasets_wcbc.ipynb) to classify if a breast tumor is cancerous (malignant) or not (benign). The iris dataset is not binary, because there are three classes (3 types of iris).\n" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/usr/local/lib/python3.4/dist-packages/tensorflow/contrib/learn/python/learn/io/data_feeder.py:281: VisibleDeprecationWarning: converting an array with ndim > 0 to an index will result in an error in the future\n", " out.itemset((i, self.y[sample]), 1.0)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Step #50, epoch #3, avg. train loss: 2.53698, avg. val loss: 2.35609\n", "Step #100, epoch #7, avg. train loss: 0.55002, avg. val loss: 0.54495\n", "Step #150, epoch #10, avg. train loss: 0.48565, avg. val loss: 0.49846\n", "Step #200, epoch #14, avg. train loss: 0.45980, avg. val loss: 0.49158\n", "Step #250, epoch #17, avg. train loss: 0.43307, avg. val loss: 0.45604\n", "Step #300, epoch #21, avg. train loss: 0.40331, avg. val loss: 0.44432\n", "Step #350, epoch #25, avg. train loss: 0.36997, avg. val loss: 0.44423\n", "Step #400, epoch #28, avg. train loss: 0.36701, avg. val loss: 0.44364\n", "Step #450, epoch #32, avg. train loss: 0.34160, avg. val loss: 0.44327\n", "Step #500, epoch #35, avg. train loss: 0.35113, avg. val loss: 0.44534\n", "Step #550, epoch #39, avg. train loss: 0.32387, avg. val loss: 0.43548\n", "Step #600, epoch #42, avg. train loss: 0.33891, avg. val loss: 0.42804\n", "Final accuracy: 0.8471001757469244\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Stopping. Best step:\n", " step 429 with loss 0.3980848491191864\n" ] } ], "source": [ "import os\n", "import pandas as pd\n", "from sklearn.cross_validation import train_test_split\n", "import tensorflow.contrib.learn as skflow\n", "import numpy as np\n", "from sklearn import metrics\n", "\n", "path = \"./data/\"\n", " \n", "filename = os.path.join(path,\"wcbreast_wdbc.csv\") \n", "df = pd.read_csv(filename,na_values=['NA','?'])\n", "\n", "# Encode feature vector\n", "df.drop('id',axis=1,inplace=True)\n", "encode_numeric_zscore(df,'mean_radius')\n", "encode_text_index(df,'mean_texture') \n", "encode_text_index(df,'mean_perimeter')\n", "encode_text_index(df,'mean_area')\n", "encode_text_index(df,'mean_smoothness')\n", "encode_text_index(df,'mean_compactness')\n", "encode_text_index(df,'mean_concavity')\n", "encode_text_index(df,'mean_concave_points')\n", "encode_text_index(df,'mean_symmetry')\n", "encode_text_index(df,'mean_fractal_dimension')\n", "encode_text_index(df,'se_radius')\n", "encode_text_index(df,'se_texture')\n", "encode_text_index(df,'se_perimeter')\n", "encode_text_index(df,'se_area')\n", "encode_text_index(df,'se_smoothness')\n", "encode_text_index(df,'se_compactness')\n", "encode_text_index(df,'se_concavity')\n", "encode_text_index(df,'se_concave_points')\n", "encode_text_index(df,'se_symmetry')\n", "encode_text_index(df,'se_fractal_dimension')\n", "encode_text_index(df,'worst_radius')\n", "encode_text_index(df,'worst_texture')\n", "encode_text_index(df,'worst_perimeter')\n", "encode_text_index(df,'worst_area')\n", "encode_text_index(df,'worst_smoothness')\n", "encode_text_index(df,'worst_compactness')\n", "encode_text_index(df,'worst_concavity')\n", "encode_text_index(df,'worst_concave_points')\n", "encode_text_index(df,'worst_symmetry')\n", "encode_text_index(df,'worst_fractal_dimension')\n", "diagnosis = encode_text_index(df,'diagnosis')\n", "num_classes = len(diagnosis)\n", "\n", "# Create x & y for training\n", "\n", "# Create the x-side (feature vectors) of the training\n", "x, y = to_xy(df,'diagnosis')\n", " \n", "# Split into train/test\n", "x_train, x_test, y_train, y_test = train_test_split( \n", " x, y, test_size=0.25, random_state=42) \n", " \n", "# Create a deep neural network with 3 hidden layers of 10, 20, 10\n", "classifier = skflow.TensorFlowDNNClassifier(hidden_units=[10, 20, 10], n_classes=num_classes,\n", " steps=10000)\n", "\n", "# Early stopping\n", "early_stop = skflow.monitors.ValidationMonitor(x_test, y_test,\n", " early_stopping_rounds=200, print_steps=50, n_classes=num_classes)\n", " \n", "# Fit/train neural network\n", "classifier.fit(x_train, y_train, early_stop)\n", "\n", "# Measure accuracy\n", "score = metrics.accuracy_score(y, classifier.predict(x))\n", "print(\"Final accuracy: {}\".format(score))\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Confusion Matrix\n", "\n", "The confusion matrix is a common visualization for both binary and larger classification problems. Often a model will have difficulty differentiating between two classes. For example, a neural network might be really good at telling the difference between cats and dogs, but not so good at telling the difference between dogs and wolves. The following code generates a confusion matrix:" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Confusion matrix, without normalization\n", "[[67 22]\n", " [ 3 51]]\n", "Normalized confusion matrix\n", "[[ 0.75 0.25]\n", " [ 0.06 0.94]]\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAUYAAAEoCAYAAAAkKVjaAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n/wPcPtpYhNMFTAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f299c153080>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAUkAAAEoCAYAAADVIgNXAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f298c0f6940>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import numpy as np\n", "\n", "from sklearn import svm, datasets\n", "from sklearn.cross_validation import train_test_split\n", "from sklearn.metrics import confusion_matrix\n", "\n", "pred = classifier.predict(x_test)\n", " \n", "# Compute confusion matrix\n", "cm = confusion_matrix(y_test, pred)\n", "np.set_printoptions(precision=2)\n", "print('Confusion matrix, without normalization')\n", "print(cm)\n", "plt.figure()\n", "plot_confusion_matrix(cm, diagnosis)\n", "\n", "# Normalize the confusion matrix by row (i.e by the number of samples\n", "# in each class)\n", "cm_normalized = cm.astype('float') / cm.sum(axis=1)[:, np.newaxis]\n", "print('Normalized confusion matrix')\n", "print(cm_normalized)\n", "plt.figure()\n", "plot_confusion_matrix(cm_normalized, diagnosis, title='Normalized confusion matrix')\n", "\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The above two confusion matrixes show the same network. The bottom (normalized) is the type you will normally see. Notice the two labels. The label \"B\" means benign (no cancer) and the label \"M\" means malignant (cancer). The left-right (x) axis are the predictions, the top-bottom) are the expected outcomes. A perfect model (that never makes an error) has a dark blue diagonal that runs from top-left to bottom-right. \n", "\n", "To read, consider the top-left square. This square indicates \"true labeled\" of B and also \"predicted label\" of B. This is good! The prediction matched the truth. The blueness of this box represents how often \"B\" is classified correct. It is not darkest blue. This is because the square to the right(which is off the perfect diagonal) has some color. This square indicates truth of \"B\" but prediction of \"M\". The white square, at the bottom-left, indicates a true of \"M\" but predicted of \"B\". The whiteness indicates this rarely happens. \n", "\n", "Your conclusion from the above chart is that the model sometimes classifies \"B\" as \"M\" (a false negative), but never mis-classifis \"M\" as \"B\". Always look for the dark diagonal, this is good!\n", "\n", "### ROC Curves\n", "\n", "ROC curves can be a bit confusing. However, they are very common. It is important to know how to read them. Even their name is confusing. Do not worry about their name, it comes from electrical engineering (EE).\n", "\n", "Binary classification is common in medical testing. Often you want to diagnose if someone has a disease. This can lead to two types of errors, know as false positives and false negatives:\n", "\n", "* **False Positive** - Your test (neural network) indicated that the patient had the disease; however, the patient did not have the disease.\n", "* **False Negative** - Your test (neural network) indicated that the patient did not have the disease; however, the patient did have the disease.\n", "* **True Positive** - Your test (neural network) correctly identified that the patient had the disease.\n", "* **True Negative** - Your test (neural network) correctly identified that the patient did not have the disease.\n", "\n", "Types of errors:\n", "\n", "![Type of Error](https://raw.githubusercontent.com/jeffheaton/t81_558_deep_learning/master/images/class_4_errors.png \"Type of Error\")\n", "\n", "Neural networks classify in terms of probbility of it being positive. However, at what probability do you give a positive result? Is the cutoff 50%? 90%? Where you set this cutoff is called the threshold. Anything above the cutoff is positive, anything below is negative. Setting this cutoff allows the model to be more sensative or specific:\n", "\n", "![Sensitivity vs. Specificity](https://raw.githubusercontent.com/jeffheaton/t81_558_deep_learning/master/images/class_4_t1vst2.png \"Sensitivity vs. Specificity\")\n", "\n", "The following shows a more sensitive cutoff:\n", "\n", "![Sensitive Cutoff ](https://raw.githubusercontent.com/jeffheaton/t81_558_deep_learning/master/images/class_4_spec_cut.png \"Sensitive Cutoff\")\n", "\n", "**An ROC curve measures how good a model is regardless of the cutoff.** The following shows how to read a ROC chart:\n", "\n", "\n", "![Reading a ROC Chart](https://raw.githubusercontent.com/jeffheaton/t81_558_deep_learning/master/images/class_4_roc.png \"Reading a ROC Chart\")\n", "\n", "The following code shows an ROC chart for the breast cancer neural network. The area under the curve (AUC) is also an important measure. The larger the AUC, the better." ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYgAAAEZCAYAAACNebLAAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nzipdNPxZvI0AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f29d26a6fd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "pred = classifier.predict_proba(x_test)\n", "pred = pred[:,1] # Only positive cases\n", "# print(pred[:,1])\n", "plot_roc(pred,y_test)\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Classification\n", "\n", "We've already seen multi-class classification, with the iris dataset. Confusion matrixes work just fine with 3 classes. The following code generates a confusion matrix for iris." ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/usr/local/lib/python3.4/dist-packages/tensorflow/contrib/learn/python/learn/io/data_feeder.py:281: VisibleDeprecationWarning: converting an array with ndim > 0 to an index will result in an error in the future\n", " out.itemset((i, self.y[sample]), 1.0)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "Step #50, epoch #12, avg. train loss: 0.42043, avg. val loss: 0.43100\n", "Step #100, epoch #25, avg. train loss: 0.09383, avg. val loss: 0.16211\n", "Step #150, epoch #37, avg. train loss: 0.05107, avg. val loss: 0.14559\n", "Step #200, epoch #50, avg. train loss: 0.04197, avg. val loss: 0.16927\n", "Step #250, epoch #62, avg. train loss: 0.02741, avg. val loss: 0.15594\n", "Step #300, epoch #75, avg. train loss: 0.02923, avg. val loss: 0.15539\n", "Step #350, epoch #87, avg. train loss: 0.02136, avg. val loss: 0.16504\n", "Step #400, epoch #100, avg. train loss: 0.02007, avg. val loss: 0.15931\n", "Step #450, epoch #112, avg. train loss: 0.02117, avg. val loss: 0.16234\n", "Step #500, epoch #125, avg. train loss: 0.02170, avg. val loss: 0.15867\n", "Step #550, epoch #137, avg. train loss: 0.01714, avg. val loss: 0.15081\n", "Step #600, epoch #150, avg. train loss: 0.01578, avg. val loss: 0.15566\n", "Step #650, epoch #162, avg. train loss: 0.01815, avg. val loss: 0.16091\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Stopping. Best step:\n", " step 464 with loss 0.030616959556937218\n" ] }, { "data": { "text/plain": [ "TensorFlowDNNClassifier(batch_size=32, class_weight=None, clip_gradients=5.0,\n", " config=None, continue_training=False, dropout=None,\n", " hidden_units=[10, 20, 10], learning_rate=0.1, n_classes=3,\n", " optimizer='Adagrad', steps=10000, verbose=1)" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import os\n", "import pandas as pd\n", "from sklearn.cross_validation import train_test_split\n", "import tensorflow.contrib.learn as skflow\n", "import numpy as np\n", "\n", "path = \"./data/\"\n", " \n", "filename = os.path.join(path,\"iris.csv\") \n", "df = pd.read_csv(filename,na_values=['NA','?'])\n", "\n", "# Encode feature vector\n", "encode_numeric_zscore(df,'petal_w')\n", "encode_numeric_zscore(df,'petal_l')\n", "encode_numeric_zscore(df,'sepal_w')\n", "encode_numeric_zscore(df,'sepal_l')\n", "species = encode_text_index(df,\"species\")\n", "num_classes = len(species)\n", "\n", "# Create x & y for training\n", "\n", "# Create the x-side (feature vectors) of the training\n", "x, y = to_xy(df,'species')\n", " \n", "# Split into train/test\n", "x_train, x_test, y_train, y_test = train_test_split( \n", " x, y, test_size=0.25, random_state=45) \n", " # as much as I would like to use 42, it gives a perfect result, and a boring confusion matrix!\n", " \n", "# Create a deep neural network with 3 hidden layers of 10, 20, 10\n", "classifier = skflow.TensorFlowDNNClassifier(hidden_units=[10, 20, 10], n_classes=num_classes,\n", " steps=10000)\n", "\n", "# Early stopping\n", "early_stop = skflow.monitors.ValidationMonitor(x_test, y_test,\n", " early_stopping_rounds=200, print_steps=50, n_classes=num_classes)\n", " \n", "# Fit/train neural network\n", "classifier.fit(x_train, y_train, early_stop)\n" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Confusion matrix, without normalization\n", "[[14 0 0]\n", " [ 0 9 0]\n", " [ 0 3 12]]\n", "Normalized confusion matrix\n", "[[ 1. 0. 0. ]\n", " [ 0. 1. 0. ]\n", " [ 0. 0.2 0.8]]\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAV0AAAEoCAYAAAD7ZyLFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f29744527b8>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAVcAAAEoCAYAAADsRbIMAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f298c05fa58>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import numpy as np\n", "\n", "from sklearn import svm, datasets\n", "from sklearn.cross_validation import train_test_split\n", "from sklearn.metrics import confusion_matrix\n", "\n", "\n", "\n", "pred = classifier.predict(x_test)\n", " \n", "# Compute confusion matrix\n", "cm = confusion_matrix(y_test, pred)\n", "np.set_printoptions(precision=2)\n", "print('Confusion matrix, without normalization')\n", "print(cm)\n", "plt.figure()\n", "plot_confusion_matrix(cm, species)\n", "\n", "# Normalize the confusion matrix by row (i.e by the number of samples\n", "# in each class)\n", "cm_normalized = cm.astype('float') / cm.sum(axis=1)[:, np.newaxis]\n", "print('Normalized confusion matrix')\n", "print(cm_normalized)\n", "plt.figure()\n", "plot_confusion_matrix(cm_normalized, species, title='Normalized confusion matrix')\n", "\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "See the strong diagonal? Iris is easy. See the light blue near the bottom? Sometimes virginica is confused for versicolor." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Regression\n", "\n", "We've already seen regression with the MPG dataset. Regression uses its own set of visualizations, one of the most common is the lift chart. The following code generates a lift chart." ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Step #50, epoch #5, avg. train loss: 40.25010, avg. val loss: 35.26281\n", "Step #100, epoch #10, avg. train loss: 6.67342, avg. val loss: 6.36329\n", "Step #150, epoch #15, avg. train loss: 3.44723, avg. val loss: 3.21085\n", "Step #200, epoch #20, avg. train loss: 1.99451, avg. val loss: 1.88279\n", "Step #250, epoch #25, avg. train loss: 1.46245, avg. val loss: 1.39983\n", "Step #300, epoch #30, avg. train loss: 1.22336, avg. val loss: 1.14907\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "Stopping. Best step:\n", " step 109 with loss 0.603066623210907\n" ] }, { "data": { "text/plain": [ "TensorFlowDNNRegressor(batch_size=32, clip_gradients=5.0, config=None,\n", " continue_training=False, dropout=None,\n", " hidden_units=[50, 25, 10], learning_rate=0.1, n_classes=0,\n", " optimizer='Adagrad', steps=5000, verbose=1)" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import tensorflow.contrib.learn as skflow\n", "import pandas as pd\n", "import os\n", "import numpy as np\n", "from sklearn import metrics\n", "from scipy.stats import zscore\n", "\n", "path = \"./data/\"\n", "\n", "filename_read = os.path.join(path,\"auto-mpg.csv\")\n", "df = pd.read_csv(filename_read,na_values=['NA','?'])\n", "\n", "# create feature vector\n", "missing_median(df, 'horsepower')\n", "df.drop('name',1,inplace=True)\n", "encode_numeric_zscore(df, 'horsepower')\n", "encode_numeric_zscore(df, 'weight')\n", "encode_numeric_zscore(df, 'cylinders')\n", "encode_numeric_zscore(df, 'displacement')\n", "encode_numeric_zscore(df, 'acceleration')\n", "encode_text_dummy(df, 'origin')\n", "\n", "# Encode to a 2D matrix for training\n", "x,y = to_xy(df,['mpg'])\n", "\n", "# Split into train/test\n", "x_train, x_test, y_train, y_test = train_test_split(\n", " x, y, test_size=0.25, random_state=42)\n", "\n", "# Create a deep neural network with 3 hidden layers of 50, 25, 10\n", "regressor = skflow.TensorFlowDNNRegressor(hidden_units=[50, 25, 10], steps=5000)\n", "\n", "# Early stopping\n", "early_stop = skflow.monitors.ValidationMonitor(x_test, y_test,\n", " early_stopping_rounds=200, print_steps=50)\n", "\n", "# Fit/train neural network\n", "regressor.fit(x_train, y_train, early_stop)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYYAAAEACAYAAAC3adEgAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f299e373198>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "pred = regressor.predict(x_test)\n", "\n", "chart_regression(pred,y_test)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "To generate a lift chart, perform the following activities:\n", "\n", "* Sort the data by expected output. Plot the blue line above.\n", "* For every point on the x-axis plot the predicted value for that same data point. This is the green line above.\n", "* The x-axis is just 0 to 100% of the dataset. The expected always starts low and ends high.\n", "* The y-axis is ranged according to the values predicted.\n", "\n", "Reading a lift chart:\n", "* The expected and predict lines should be close. Notice where one is above the ot other.\n", "* The above chart is the most accurate on lower MPG." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
MilweeScience/Turner
index_turner.ipynb
1
4245
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# 7th Grade Comprehensive Science Jupyter Notebooks\n", "\n", "\n", "## <span style=\"color:green\">beta.mybinder.org/repo/MilweeScience/Turner</span>\n", "\n", "![](https://www.fullstackpython.com/img/logos/jupyter.png)\n", "\n", "### What is Jupyter Notebooks?\n", "Jupyter Notebooks is a program that simplifies coding into “blocks” that allows code to be sectioned into chunks that are more easily\n", "interpreted and meaningful to students and teachers. Jupyter Notebooks also allows the use of section headings, in-code help\n", "comments, and easy reset options which make it a great tool for sharing code for others to manipulate and explore. Jupyter\n", "Notebooks uses a type of code called Python, this coding language is used in a wide variety of applications including- web\n", "development, app development, and most importantly- analysis of experimental results in scientific research.\n", "\n", "### Why are we doing this?\n", "\n", "This coding activity uses the same programing language and system used by many scientists around the world. The goal of this activity is to introduce you to the ways that coding is used in the real world. Organizations like CERN in Geneva, Switzerland use Jupyter Notebooks to analyze data from their particle colliders as they study the composition of atoms (other groups include- NASA, Google, & IBM). Each unit this year has a Jupyter Notebook associated with it, each explores different scientific concepts that are\n", "part of that unit for your practice and introduces you to new coding functions like creating graphs, customizing graphs, analyzing\n", "data, creating data charts, and sorting large amounts of information.\n", "\n", "Since this is the first time many of you will work with code, the changes you will be making are small. Others of you, who have coded before, will find opportunity to look into different ways code can be used. Each lesson provides an opportunity for “unstructured coding” where you can explore new ideas you might have or work with the information in a new way. Know that coding is often a community effort in which many people contribute, don’t be afraid to ask for help or offer it.\n", "\n", "### [Jupyter Notebooks Quick Start Guide](https://github.com/SCPSscience/booklet/raw/master/JupyterNotebooksStudentGuide.pdf)\n", "\n", "- [Intro to Jupyter](./Intro_to_Jupyter.ipynb) is a 5-minute guide of how to use our activities. If you've never programmed before, this is a great place to start. From CodinginK12.org and Adam LaMee at UCF.\n", "\n", "### Unit 3 - Beyond Our World\n", "- [Properties of Stars](./Stars_Turner.ipynb) - Unit 3 - Beyond Our World [Propterites of Stars Coding Booklet](https://github.com/SCPSscience/booklet/raw/master/Stars.pdf)\n", "\n", "![](https://media.giphy.com/media/GC7C2Fi902BDG/giphy.gif)\n", "\n", "### Previous Jupyter Notebooks\n", "\n", "#### Unit 2 - Interaction of Spheres\n", "- [Global & Local Temperature](./Global_Local_Temp_Turner.ipynb) - Unit 2 - Interactions of Spheres (Atmosphere & Hydrosphere) \n", " [Global & Local Temperature Coding Booklet](https://github.com/SCPSscience/booklet/raw/master/Temps.pdf)\n", "\n", "#### Unit 1 - Change Over Time \n", "- [Weathering & Erosion](./Erosion_Turner.ipynb) Unit 1 - Change Over Time (Weathering & Erosion) \n", " [Erosion Coding Booklet](https://github.com/SCPSscience/booklet/raw/master/Erosion.pdf)\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
blagasz/python-ann
example.ipynb
1
2057
{ "metadata": { "name": "" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "import neurolab as nl\n", "import numpy as np" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "# Create train samples\n", "x = np.linspace(-7, 7, 20)\n", "y = np.sin(x) * 0.5" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "size = len(x)\n", "\n", "inp = x.reshape(size,1)\n", "tar = y.reshape(size,1)\n", "\n", "# Create network with 2 layers and random initialized\n", "net = nl.net.newff([[-7, 7]],[5, 1])\n", "\n", "# Train network\n", "# default train method is\n", "# BroydenFletcherGoldfarbShanno (BFGS) method Using scipy.optimize.fmin_bfgs\n", "error = net.train(inp, tar, epochs=500, show=100, goal=0.02)\n", "\n", "# Simulate network\n", "out = net.sim(inp)\n", "\n", "# Plot result\n", "import pylab as pl\n", "pl.subplot(211)\n", "pl.plot(error)\n", "pl.xlabel('Epoch number')\n", "pl.ylabel('error (default SSE)')\n", "\n", "x2 = np.linspace(-6.0,6.0,150)\n", "y2 = net.sim(x2.reshape(x2.size,1)).reshape(x2.size)\n", "\n", "y3 = out.reshape(size)\n", "\n", "pl.subplot(212)\n", "pl.plot(x2, y2, '-',x , y, '.', x, y3, 'p')\n", "pl.legend(['train target', 'net output'])\n", "pl.show()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
gpl-2.0
michhar/csvconf2016
csvconfv2 MHarris-python-kernel.ipynb
1
1283756
null
mit
jobar8/interpies
notebooks/Create_Globes_with_Basemap_and_Cartopy.ipynb
1
8557458
null
bsd-3-clause
hadibakalim/deepLearning
01.neural_network/00.intro_and_general/.ipynb_checkpoints/00.intro-checkpoint.ipynb
1
1354
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Neural Networks\n", "\n", "### What is all?\n", "\n", "\n", "Scikit-learn\n", "- An extremely popular Machine Learning library for python.\n", "\n", "Perceptrons\n", "- The simplest form of a neural network.\n", "\n", "Gradient Descent\n", "- A process by which Machine Learning algorithms learn to improve themselves based on the accuracy of their predictions. \n", "\n", "Backpropagation\n", "- The process by which neural networks learn how to improve individual parameters. \n", "\n", "Numpy\n", "- An extremely popular library for scientific computing in python.\n", "\n", "Tensorflow\n", "- One of the most popular python libraries for creating neural networks. It is maintained by Google.\n", "\n", "We'll learn more about those." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
SteveDiamond/cvxpy
examples/notebooks/WWW/max_entropy.ipynb
2
5361
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Entropy maximization\n", "\n", "A derivative work by Judson Wilson, 6/2/2014.<br>\n", "Adapted from the CVX example of the same name, by Joëlle Skaf, 4/24/2008.\n", "\n", "## Introduction\n", "\n", "Consider the linear inequality constrained entropy maximization problem:\n", " $$\\begin{array}{ll}\n", " \\mbox{maximize} & -\\sum_{i=1}^n x_i \\log(x_i) \\\\\n", " \\mbox{subject to} & \\sum_{i=1}^n x_i = 1 \\\\\n", " & Fx \\succeq g,\n", " \\end{array}$$\n", "where the variable is $x \\in \\mathbf{{\\mbox{R}}}^{n}$. \n", "\n", "This problem can be formulated in CVXPY using the `entr` atom.\n", "\n", "## Generate problem data" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "import cvxpy as cp\n", "import numpy as np\n", "\n", "# Make random input repeatable. \n", "np.random.seed(0) \n", "\n", "# Matrix size parameters.\n", "n = 20\n", "m = 10\n", "p = 5\n", "\n", "# Generate random problem data.\n", "tmp = np.random.rand(n)\n", "A = np.random.randn(m, n)\n", "b = A.dot(tmp)\n", "F = np.random.randn(p, n)\n", "g = F.dot(tmp) + np.random.rand(p)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Formulate and solve problem" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n", "ECOS 2.0.7 - (C) embotech GmbH, Zurich Switzerland, 2012-15. Web: www.embotech.com/ECOS\n", "\n", "It pcost dcost gap pres dres k/t mu step sigma IR | BT\n", " 0 +0.000e+00 -1.183e+01 +7e+01 1e+00 4e-01 1e+00 1e+00 --- --- 0 0 - | - - \n", " 1 -1.045e+01 -1.419e+01 +2e+01 2e-01 1e-01 4e-01 3e-01 0.7833 5e-02 1 1 1 | 2 1\n", " 2 -5.864e+00 -6.905e+00 +4e+00 7e-02 3e-02 1e-01 5e-02 0.7833 5e-02 1 1 1 | 2 1\n", " 3 -5.590e+00 -6.018e+00 +1e+00 3e-02 1e-02 5e-02 2e-02 0.6266 5e-02 1 1 1 | 2 2\n", " 4 -5.525e+00 -5.621e+00 +3e-01 7e-03 3e-03 1e-02 5e-03 0.7818 1e-02 1 1 1 | 1 1\n", " 5 -5.490e+00 -5.530e+00 +1e-01 3e-03 1e-03 5e-03 2e-03 0.6266 5e-02 1 1 1 | 2 2\n", " 6 -5.484e+00 -5.493e+00 +3e-02 7e-04 3e-04 1e-03 4e-04 0.7833 1e-02 1 1 1 | 1 1\n", " 7 -5.482e+00 -5.485e+00 +1e-02 3e-04 1e-04 4e-04 2e-04 0.6266 5e-02 2 1 1 | 2 2\n", " 8 -5.481e+00 -5.482e+00 +2e-03 6e-05 3e-05 1e-04 4e-05 0.7833 1e-02 1 1 1 | 1 1\n", " 9 -5.481e+00 -5.481e+00 +1e-03 3e-05 1e-05 4e-05 2e-05 0.6266 5e-02 1 1 1 | 2 2\n", "10 -5.481e+00 -5.481e+00 +2e-04 6e-06 3e-06 9e-06 3e-06 0.7833 1e-02 2 1 1 | 1 1\n", "11 -5.481e+00 -5.481e+00 +9e-05 2e-06 1e-06 4e-06 1e-06 0.6266 5e-02 1 0 0 | 2 2\n", "12 -5.481e+00 -5.481e+00 +2e-05 5e-07 2e-07 8e-07 3e-07 0.7833 1e-02 1 0 0 | 1 1\n", "13 -5.481e+00 -5.481e+00 +8e-06 2e-07 1e-07 3e-07 1e-07 0.6266 5e-02 2 0 0 | 2 2\n", "14 -5.481e+00 -5.481e+00 +2e-06 5e-08 2e-08 7e-08 3e-08 0.7833 1e-02 1 0 0 | 1 1\n", "15 -5.481e+00 -5.481e+00 +7e-07 2e-08 9e-09 3e-08 1e-08 0.6266 5e-02 0 0 0 | 2 2\n", "16 -5.481e+00 -5.481e+00 +2e-07 4e-09 2e-09 7e-09 3e-09 0.7833 9e-03 0 0 0 | 1 1\n", "17 -5.481e+00 -5.481e+00 +6e-08 2e-09 8e-10 3e-09 1e-09 0.6266 5e-02 1 0 0 | 2 2\n", "18 -5.481e+00 -5.481e+00 +1e-08 4e-10 2e-10 6e-10 2e-10 0.7833 9e-03 1 0 0 | 1 1\n", "\n", "OPTIMAL (within feastol=4.0e-10, reltol=2.7e-09, abstol=1.5e-08).\n", "Runtime: 0.003436 seconds.\n", "\n", "\n", "The optimal value is: 5.480901488005442\n", "\n", "The optimal solution is:\n", "[0.43483319 0.66111715 0.49201039 0.3603062 0.3841663 0.30283659\n", " 0.4173023 0.79107794 0.76667303 0.38292364 1.2479328 0.50416987\n", " 0.68053833 0.67163957 0.13877258 0.5248668 0.08418897 0.56927148\n", " 0.50000247 0.78291311]\n" ] } ], "source": [ "# Entropy maximization.\n", "x = cp.Variable(shape=n)\n", "obj = cp.Maximize(cp.sum(cp.entr(x)))\n", "constraints = [A*x == b,\n", " F*x <= g ]\n", "prob = cp.Problem(obj, constraints)\n", "prob.solve(solver=cp.ECOS, verbose=True)\n", "\n", "# Print result.\n", "print(\"\\nThe optimal value is:\", prob.value)\n", "print('\\nThe optimal solution is:')\n", "print(x.value)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.1" } }, "nbformat": 4, "nbformat_minor": 1 }
gpl-3.0
agmarrugo/sensors-actuators
notebooks/Ex_2_3.ipynb
1
31939
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# The transfer function\n", "\n", "__Analytic form of transfer function__. In certain cases the transfer function is available as an analytic expression. One common transfer function used for resistance temperature sensors (to be discussed in __Chapter 3__) is the Callendar– Van Duzen equation. It gives the resistance of the sensor at a temperature T as\n", "\n", " \n", "$$R(T)=R_{0}(1+AT+BT^2+C(T-100)T^3) \\enspace,$$\n", "\n", "where the constants A, B, and C are determined by direct measurement of resistance for the specific material used in the sensor and $R_0$ is the temperature of the sensor at 0 ºC. Typical temperatures used for calibration are the oxygen point (-182.962 ºC; the equilibrium between liquid oxygen and its vapor), the triple point of water (0.01 ºC; the point of equilibrium temperature between ice, liquid water, and water vapor), the steam point (100 ºC; the equilibrium point between water and vapor), the zinc point (419.58 ºC; the equilibrium point between solid and liquid zinc), the silver point (961.93 ºC), and the gold point (1064.43 ºC), as well as others. Consider a platinum resistance sensor with a nominal resistance of 25 $\\Omega $ at 0 C. To calibrate the sensor its resistance is measured at the oxygen point as 6.2 $\\Omega $, at the steam point as 35.6 $\\Omega $, and at the zinc point as 66.1 $\\Omega $. Calculate the coefficients A, B, and C and plot the transfer function between -200 ºC and 600 ºC.\n", " \n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Solution ###\n", "\n", "In order to obtain the sensor calibration, several measurements at different temperatures where taken:\n", "\n", "1. 6.2 $\\Omega $ at a temperature of -182.962 ºC (oxygen point).\n", "\n", "2. 35.6 $\\Omega $ at a temperature of 100 ºC (steam point).\n", "\n", "3. 66.1 $\\Omega $ at a temperature of 419.58 ºC (zinc point)\n", "\n", "Let's plot the points," ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "import matplotlib.pyplot as plt\n", "import numpy as np\n", "from math import log, exp\n", "%matplotlib inline\n", "from scipy.interpolate import InterpolatedUnivariateSpline\n", "\n", "\n", "T_exp = np.array([-182.962,100,419.58]);# Celcius\n", "R_exp = np.array([6.2 ,35.6,66.1])# Ohm\n", "plt.plot(T_exp,R_exp,'*');\n", "plt.ylabel('Resistance of the sensor [Ohm]')\n", "plt.xlabel('Temperature [C]')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Reordering the Callendar-Van Duzen equation we obtain the following\n", "\n", "$$ AT+BT^2+C(T-100)T^3 =\\frac{R(T)}{R_0}-1 \\enspace,$$\n", "\n", "which we can write in matrix form as $Mx=p$, where\n", "\n", "$$\\begin{bmatrix} T_1 & T_1^2 & (T_1-100)T_1^3 \\\\ T_2 & T_2^2 & (T_2-100)T_2^3 \\\\ T_3 & T_3^2 & (T_3-100)T_3^3\\end{bmatrix} \\begin{bmatrix} A\\\\ B \\\\ C\\end{bmatrix} = \\begin{bmatrix} \\frac{R(T_1)}{R_0}-1 \\\\ \\frac{R(T_2)}{R_0}-1 \\\\ \\frac{R(T_3)}{R_0}-1\\end{bmatrix} \\enspace.$$\n", "\n", "Because $M$ is square we can solve by computing $M^{-1}$ directly.\n", "\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "M\n", "[[-1.830e+02 3.348e+04 1.733e+09]\n", " [ 1.000e+02 1.000e+04 0.000e+00]\n", " [ 4.196e+02 1.760e+05 2.361e+10]]\n", "\n", "\n", "p\n", "[[-0.752]\n", " [ 0.424]\n", " [ 1.644]]\n", "\n", "\n", "x\n", "[[ 4.160e-03]\n", " [ 8.031e-07]\n", " [-1.028e-11]]\n" ] } ], "source": [ "R0=25;\n", "M=np.array([[T_exp[0],(T_exp[0])**2,(T_exp[0]-100)*(T_exp[0])**3],[T_exp[1],(T_exp[1])**2,(T_exp[1]-100)*(T_exp[1])**3],[T_exp[2],(T_exp[2])**2,(T_exp[2]-100)*(T_exp[2])**3]]);\n", "p=np.array([[(R_exp[0]/R0)-1],[(R_exp[1]/R0)-1],[(R_exp[2]/R0)-1]]);\n", "x = np.linalg.solve(M,p) #solve linear equations system\n", "\n", "np.set_printoptions(precision=3)\n", "\n", "print('M')\n", "print(M)\n", "print('\\n')\n", "print('p')\n", "print(p)\n", "print('\\n')\n", "print('x')\n", "print(x)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We have found the coeffiecients $A$, $B$, and $C$ necessary to describe the sensor's transfer function. Now we plot it from -200 C a 600 C." ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "A=x[0];B=x[1];C=x[2];\n", "T_range= np.arange(start = -200, stop = 601, step = 1);\n", "R_funT= R0*(1+A[0]*T_range+B[0]*(T_range)**2+C[0]*(T_range-100)*(T_range)**3);\n", "plt.plot(T_range,R_funT,T_exp[0],R_exp[0],'ro',T_exp[1],R_exp[1],'ro',T_exp[2],R_exp[2],'ro');\n", "plt.ylabel('Sensor resistance [Ohm]')\n", "plt.xlabel('Temperature [C]')\n", "plt.show()\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "We see the fit is accurate. Note that our approach is also valid if we have more experimental points, in which case the system of equations $Mx=p$ is solved in the [Least-Squares sense.](http://math.mit.edu/~gs/linearalgebra/ila0403.pdf)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "> This page was written in the [IPython Jupyter Notebook](https://jupyter.org/). To download the notebook click on this option at the top menu or get it from the [github repo](https://github.com/agmarrugo/sensors-actuators)." ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.8.5" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
dougbrose/data-science
ch17_fig7.ipynb
1
32680
{ "cells": [ { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Ch17 Figure7" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>gender</th>\n", " <th>test taker</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>male</td>\n", " <td>Y</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>female</td>\n", " <td>Y</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2</td>\n", " <td>male</td>\n", " <td>N</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>3</td>\n", " <td>female</td>\n", " <td>Y</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>4</td>\n", " <td>female</td>\n", " <td>N</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id gender test taker\n", "0 0 male Y\n", "1 1 female Y\n", "2 2 male N\n", "3 3 female Y\n", "4 4 female N" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# I once worked for an organization that was trying to figure out why more men than women were participating in their medical studies. They got a report from the labs that men were 60% more likely to participate in a medical study. The data science team was tasked with trying to figure out why this was the case.\n", "\n", "data = []\n", "\n", "for i in range(5000):\n", " \n", " if rd.random() <= .4:\n", " gender = 'male'\n", " else:\n", " gender = 'female'\n", " \n", " if gender == 'male':\n", " if rd.random() <= .8:\n", " tested = 'Y'\n", " else:\n", " tested = 'N'\n", " else:\n", " if rd.random () <= .3:\n", " tested = 'Y'\n", " else:\n", " tested = 'N'\n", " data.append([i, gender, tested])\n", "\n", "df = pd.DataFrame(data, columns=['id', 'gender', 'test taker'])\n", "# df.to_csv('csv_output/ch17_fig6.csv', index=False)\n", "df = pd.read_csv('csv_output/ch17_fig7.csv')\n", "df.head()" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAjkAAAEXCAYAAABPpVW2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nxhhjjDmk/wJ6MfKNeOa2+gAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0xbca9be0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "df = pd.read_csv('csv_output/ch17_fig7.csv')\n", "\n", "%matplotlib inline\n", "sns.set_style(\"white\")\n", "cm = sns.color_palette('Blues', 2)\n", "\n", "f, ax = plt.subplots(1,2, figsize=(8,4))\n", "ax1 = ax[1]\n", "ax = ax[0]\n", "\n", "dgb = df.groupby(['gender', 'test taker']).id.count().reset_index().sort_values('id', ascending=False)\n", "# print(dgb[(dgb['took test'] == 'Y') & (dgb['gender']=='male')].id.values / dgb[(dgb['took test'] == 'Y') & (dgb['gender']=='female')].id.values)\n", "ax.bar(left= np.arange(2), height=[dgb[dgb['gender']=='male'].id.sum(), dgb[dgb['gender']=='female'].id.sum()], color=cm[0]);\n", "ax.bar(left= np.arange(2), height=[dgb[(dgb['gender']=='male') & (dgb['test taker']=='Y')].id.sum(), dgb[(dgb['gender']=='female') & (dgb['test taker']=='Y')].id.sum()], color=cm[1]);\n", "\n", "ax.legend(['non-test taker', 'test taker'], loc='upper left')\n", "ax.set_title('male and female \\n (test taker versus non-test takers)')\n", "ax.set_xticks(np.arange(2)+.5);\n", "ax.set_xticklabels(['male', 'female'])\n", "ax.set_ylabel('total count')\n", "\n", "ax1.bar(left=np.arange(2), height=[dgb[(dgb['gender']=='male') & (dgb['test taker']=='Y')].id.sum(), 0], color=cm[0])\n", "ax1.bar(left=np.arange(2), height=[dgb[(dgb['gender']=='female') & (dgb['test taker']=='Y')].id.sum(), 0], color=cm[1])\n", "ax1.bar(left=np.arange(2), height=[0, dgb[(dgb['gender']=='female') & (dgb['test taker']=='N')].id.sum()], color=cm[1])\n", "ax1.bar(left=np.arange(2), height=[0, dgb[(dgb['gender']=='male') & (dgb['test taker']=='N')].id.sum()], color=cm[0])\n", "\n", "ax1.set_xticks(np.arange(2)+.5);\n", "ax1.set_xticklabels(['test-taker', 'non-test taker'])\n", "ax1.set_title('test taker versus non-test takers \\n (male and female)');\n", "ax1.legend(['male', 'female'], loc='upper left')\n", "\n", "f.tight_layout()\n", "f.savefig('svg_output/ch17_fig7.svg', format='svg')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can pivot the table two ways, on the left is by male and female, about 80% of male are test takers versus only 30% of female are test takers. On the right is by test takers and non-test takers, 60% of test takers are male versus only 15% of non-test takers are male." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
nukui-s/TripletEmbedding
workspace/FB40k.ipynb
1
674560
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from knowledge import FBManager\n", "from tripletembed.model import TripletEmbedding\n", "import numpy as np\n", "import pandas as pd\n", "\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fbm = FBManager(\"data/FB15k/freebase_mtr100_mte100-train.txt\", \"data/FB15k/freebase_mtr100_mte100-test.txt\")" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "s_train, o_train, p_train = fbm.get_train_data()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "n_entity = fbm.n_inst\n", "n_relation = fbm.n_prop" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [], "source": [ "model = TripletEmbedding(n_entity, n_relation, 30, 15, [30], sigma=10e-6, learning_rate=10e-3)" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "ename": "TypeError", "evalue": "fit() missing 1 required positional argument: 'labels'", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-9-650079e5212a>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[0;32m----> 1\u001b[0;31m \u001b[0mmodel\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mfit\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0ms_train\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mo_train\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mp_train\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;31mTypeError\u001b[0m: fit() missing 1 required positional argument: 'labels'" ] } ], "source": [ "model.fit(s_train, o_train, p_train)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "s_train_negative = []\n", "o_train_negative = []\n", "p_train_negtive = []\n", "n_negative = 5\n", "for s, o, p in zip(s_train, o_train, p_train):\n", " s_train_negative += [s] * n_negative\n", " o_train_negative += [o] * n_negative\n", " nn = 0\n", " while nn < n_negative:\n", " p_n = np.random.randint(0, n_relation)\n", " if p_n != p:\n", " nn += 1\n", " p_train_negtive.append(p_n)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "s_train_pn = np.append(s_train, s_train_negative)" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "o_train_pn = np.append(o_train, o_train_negative)\n", "p_train_pn = np.append(p_train, p_train_negtive)" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [], "source": [ "label_train = np.array([1] * s_train.size + [-1] * len(s_train_negative))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "-----------------------------------\n", "The 1th epoch\n", "-----------------------------------\n", "The 1th loop: cost = 504.518310546875\n", "The 2th loop: cost = 512.5032958984375\n", "The 3th loop: cost = 470.38311767578125\n", "The 4th loop: cost = 429.51934814453125\n", "The 5th loop: cost = 399.04278564453125\n", "The 6th loop: cost = 399.1201171875\n", "The 7th loop: cost = 379.96417236328125\n", "The 8th loop: cost = 361.59674072265625\n", "The 9th loop: cost = 346.20184326171875\n", "The 10th loop: cost = 330.44744873046875\n", "The 11th loop: cost = 292.53521728515625\n", "The 12th loop: cost = 263.1124267578125\n", "The 13th loop: cost = 239.34449768066406\n", "The 14th loop: cost = 235.19032287597656\n", "The 15th loop: cost = 227.84298706054688\n", "The 16th loop: cost = 215.45706176757812\n", "The 17th loop: cost = 196.17251586914062\n", "The 18th loop: cost = 188.94265747070312\n", "The 19th loop: cost = 170.55369567871094\n", "The 20th loop: cost = 151.47779846191406\n", "The 21th loop: cost = 149.2867431640625\n", "The 22th loop: cost = 135.72515869140625\n", "The 23th loop: cost = 130.00238037109375\n", "The 24th loop: cost = 119.0959701538086\n", "The 25th loop: cost = 108.8670654296875\n", "The 26th loop: cost = 105.76089477539062\n", "The 27th loop: cost = 98.104736328125\n", "The 28th loop: cost = 86.80149841308594\n", "The 29th loop: cost = 85.65464782714844\n", "The 30th loop: cost = 81.37913513183594\n", "The 31th loop: cost = 75.26498413085938\n", "The 32th loop: cost = 73.86626434326172\n", "The 33th loop: cost = 76.01923370361328\n", "The 34th loop: cost = 67.87803649902344\n", "The 35th loop: cost = 73.10264587402344\n", "The 36th loop: cost = 64.417724609375\n", "The 37th loop: cost = 65.26161193847656\n", "The 38th loop: cost = 69.29402923583984\n", "The 39th loop: cost = 63.77220153808594\n", "The 40th loop: cost = 59.62183380126953\n", "The 41th loop: cost = 52.886390686035156\n", "The 42th loop: cost = 64.72412109375\n", "The 43th loop: cost = 58.579708099365234\n", "The 44th loop: cost = 71.71578979492188\n", "The 45th loop: cost = 53.90542984008789\n", "The 46th loop: cost = 53.74812316894531\n", "The 47th loop: cost = 58.96876525878906\n", "The 48th loop: cost = 58.57863998413086\n", "The 49th loop: cost = 68.13856506347656\n", "The 50th loop: cost = 72.68238830566406\n", "The 51th loop: cost = 62.60625076293945\n", "The 52th loop: cost = 59.09061813354492\n", "The 53th loop: cost = 41.403812408447266\n", "The 54th loop: cost = 60.544212341308594\n", "The 55th loop: cost = 60.153011322021484\n", "The 56th loop: cost = 62.318450927734375\n", "The 57th loop: cost = 55.151065826416016\n", "The 58th loop: cost = 48.05994415283203\n", "The 59th loop: cost = 61.2239990234375\n", "The 60th loop: cost = 68.91248321533203\n", "The 61th loop: cost = 80.27778625488281\n", "The 62th loop: cost = 61.01100158691406\n", "The 63th loop: cost = 56.48251724243164\n", "The 64th loop: cost = 60.390804290771484\n", "The 65th loop: cost = 52.880592346191406\n", "The 66th loop: cost = 54.648014068603516\n", "The 67th loop: cost = 56.99512481689453\n", "The 68th loop: cost = 47.738182067871094\n", "The 69th loop: cost = 62.325950622558594\n", "The 70th loop: cost = 55.238983154296875\n", "The 71th loop: cost = 51.42212677001953\n", "The 72th loop: cost = 49.61557388305664\n", "The 73th loop: cost = 51.041961669921875\n", "The 74th loop: cost = 60.839744567871094\n", "The 75th loop: cost = 61.245487213134766\n", "The 76th loop: cost = 52.55101013183594\n", "The 77th loop: cost = 56.35783004760742\n", "The 78th loop: cost = 61.34859848022461\n", "The 79th loop: cost = 61.23749923706055\n", "The 80th loop: cost = 64.23701477050781\n", "The 81th loop: cost = 58.835205078125\n", "The 82th loop: cost = 48.7919807434082\n", "The 83th loop: cost = 62.66324996948242\n", "The 84th loop: cost = 57.90226745605469\n", "The 85th loop: cost = 76.69105529785156\n", "The 86th loop: cost = 56.16305923461914\n", "The 87th loop: cost = 55.74243927001953\n", "The 88th loop: cost = 53.386024475097656\n", "The 89th loop: cost = 55.71824264526367\n", "The 90th loop: cost = 60.439910888671875\n", "The 91th loop: cost = 47.928470611572266\n", "The 92th loop: cost = 60.600948333740234\n", "The 93th loop: cost = 58.54194641113281\n", "The 94th loop: cost = 49.653236389160156\n", "The 95th loop: cost = 60.330543518066406\n", "The 96th loop: cost = 56.212318420410156\n", "The 97th loop: cost = 64.994873046875\n", "The 98th loop: cost = 56.49315643310547\n", "The 99th loop: cost = 56.77306365966797\n", "The 100th loop: cost = 60.954586029052734\n", "The 101th loop: cost = 54.805843353271484\n", "The 102th loop: cost = 60.639652252197266\n", "The 103th loop: cost = 64.96250915527344\n", "The 104th loop: cost = 41.04366683959961\n", "The 105th loop: cost = 63.18170166015625\n", "The 106th loop: cost = 58.05141830444336\n", "The 107th loop: cost = 55.40951919555664\n", "The 108th loop: cost = 63.591285705566406\n", "The 109th loop: cost = 62.63954162597656\n", "The 110th loop: cost = 52.49071502685547\n", "The 111th loop: cost = 69.59734344482422\n", "The 112th loop: cost = 52.25860595703125\n", "The 113th loop: cost = 43.73462677001953\n", "The 114th loop: cost = 64.02922058105469\n", "The 115th loop: cost = 54.85686492919922\n", "The 116th loop: cost = 61.55963134765625\n", "The 117th loop: cost = 51.61452102661133\n", "The 118th loop: cost = 54.027732849121094\n", "The 119th loop: cost = 50.54658508300781\n", "The 120th loop: cost = 71.96353149414062\n", "The 121th loop: cost = 63.14869689941406\n", "The 122th loop: cost = 64.88845825195312\n", "The 123th loop: cost = 69.59849548339844\n", "The 124th loop: cost = 62.51258850097656\n", "The 125th loop: cost = 69.74482727050781\n", "The 126th loop: cost = 63.50651931762695\n", "The 127th loop: cost = 51.120994567871094\n", "The 128th loop: cost = 55.375274658203125\n", "The 129th loop: cost = 56.27374267578125\n", "The 130th loop: cost = 59.30000305175781\n", "The 131th loop: cost = 54.486480712890625\n", "The 132th loop: cost = 65.95797729492188\n", "The 133th loop: cost = 56.59408950805664\n", "The 134th loop: cost = 66.48857116699219\n", "The 135th loop: cost = 49.54538345336914\n", "The 136th loop: cost = 64.45541381835938\n", "The 137th loop: cost = 55.927764892578125\n", "The 138th loop: cost = 61.72763442993164\n", "The 139th loop: cost = 65.52244567871094\n", "The 140th loop: cost = 68.9594955444336\n", "The 141th loop: cost = 62.207786560058594\n", "The 142th loop: cost = 56.87116241455078\n", "The 143th loop: cost = 53.185302734375\n", "The 144th loop: cost = 55.799827575683594\n", "The 145th loop: cost = 61.88337326049805\n", "The 146th loop: cost = 57.76947784423828\n", "The 147th loop: cost = 56.63722229003906\n", "The 148th loop: cost = 56.025543212890625\n", "The 149th loop: cost = 46.5152587890625\n", "The 150th loop: cost = 66.38294982910156\n", "The 151th loop: cost = 50.78179168701172\n", "The 152th loop: cost = 66.07234954833984\n", "The 153th loop: cost = 66.81523132324219\n", "The 154th loop: cost = 52.40244674682617\n", "The 155th loop: cost = 59.965694427490234\n", "The 156th loop: cost = 58.33021545410156\n", "The 157th loop: cost = 60.124786376953125\n", "The 158th loop: cost = 71.0118408203125\n", "The 159th loop: cost = 68.70465087890625\n", "The 160th loop: cost = 54.64329147338867\n", "The 161th loop: cost = 47.46503448486328\n", "The 162th loop: cost = 56.8016357421875\n", "The 163th loop: cost = 74.61666107177734\n", "The 164th loop: cost = 53.05900573730469\n", "The 165th loop: cost = 51.4404296875\n", "The 166th loop: cost = 43.441322326660156\n", "The 167th loop: cost = 74.22590637207031\n", "The 168th loop: cost = 60.40146255493164\n", "The 169th loop: cost = 66.98688507080078\n", "The 170th loop: cost = 65.01145935058594\n", "The 171th loop: cost = 63.669525146484375\n", "The 172th loop: cost = 46.90760803222656\n", "The 173th loop: cost = 66.03075408935547\n", "The 174th loop: cost = 62.53619384765625\n", "The 175th loop: cost = 62.61521911621094\n", "The 176th loop: cost = 55.008460998535156\n", "The 177th loop: cost = 53.284461975097656\n", "The 178th loop: cost = 60.45365905761719\n", "The 179th loop: cost = 49.17298889160156\n", "The 180th loop: cost = 62.80970764160156\n", "The 181th loop: cost = 53.69514465332031\n", "The 182th loop: cost = 58.50763702392578\n", "The 183th loop: cost = 54.24440383911133\n", "The 184th loop: cost = 58.369956970214844\n", "The 185th loop: cost = 63.4810905456543\n", "The 186th loop: cost = 42.858062744140625\n", "The 187th loop: cost = 59.9437141418457\n", "The 188th loop: cost = 65.43756103515625\n", "The 189th loop: cost = 51.30718231201172\n", "The 190th loop: cost = 65.73023223876953\n", "The 191th loop: cost = 58.860904693603516\n", "The 192th loop: cost = 64.50897216796875\n", "The 193th loop: cost = 56.61001205444336\n", "The 194th loop: cost = 61.334495544433594\n", "The 195th loop: cost = 72.34967041015625\n", "The 196th loop: cost = 62.494625091552734\n", "The 197th loop: cost = 73.3383560180664\n", "The 198th loop: cost = 64.64531707763672\n", "The 199th loop: cost = 55.58013153076172\n", "The 200th loop: cost = 57.183067321777344\n", "The 201th loop: cost = 73.1240463256836\n", "The 202th loop: cost = 53.789974212646484\n", "The 203th loop: cost = 50.70671844482422\n", "The 204th loop: cost = 63.60955047607422\n", "The 205th loop: cost = 50.10216522216797\n", "The 206th loop: cost = 59.71902084350586\n", "The 207th loop: cost = 61.32907485961914\n", "The 208th loop: cost = 65.83685302734375\n", "The 209th loop: cost = 62.41783905029297\n", "The 210th loop: cost = 45.002479553222656\n", "The 211th loop: cost = 52.55767822265625\n", "The 212th loop: cost = 69.43832397460938\n", "The 213th loop: cost = 67.85517883300781\n", "The 214th loop: cost = 50.1734733581543\n", "The 215th loop: cost = 61.89696502685547\n", "The 216th loop: cost = 64.19698333740234\n", "The 217th loop: cost = 81.766357421875\n", "The 218th loop: cost = 53.024505615234375\n", "The 219th loop: cost = 59.650665283203125\n", "The 220th loop: cost = 60.22945785522461\n", "The 221th loop: cost = 55.752479553222656\n", "The 222th loop: cost = 54.064979553222656\n", "The 223th loop: cost = 69.17664337158203\n", "The 224th loop: cost = 65.38150024414062\n", "The 225th loop: cost = 52.41304397583008\n", "The 226th loop: cost = 45.638763427734375\n", "The 227th loop: cost = 51.951393127441406\n", "The 228th loop: cost = 49.19563293457031\n", "The 229th loop: cost = 49.35859680175781\n", "The 230th loop: cost = 58.35985565185547\n", "The 231th loop: cost = 60.47540283203125\n", "The 232th loop: cost = 58.1234016418457\n", "The 233th loop: cost = 58.846099853515625\n", "The 234th loop: cost = 68.73612213134766\n", "The 235th loop: cost = 60.34048843383789\n", "The 236th loop: cost = 63.240386962890625\n", "The 237th loop: cost = 64.62705993652344\n", "The 238th loop: cost = 59.183937072753906\n", "The 239th loop: cost = 54.7056770324707\n", "The 240th loop: cost = 50.8890495300293\n", "The 241th loop: cost = 61.95606231689453\n", "The 242th loop: cost = 73.02836608886719\n", "The 243th loop: cost = 57.38334655761719\n", "The 244th loop: cost = 63.90660095214844\n", "The 245th loop: cost = 52.20075988769531\n", "The 246th loop: cost = 52.77891159057617\n", "The 247th loop: cost = 52.32957458496094\n", "The 248th loop: cost = 58.113746643066406\n", "The 249th loop: cost = 58.65835952758789\n", "The 250th loop: cost = 60.83181381225586\n", "The 251th loop: cost = 48.445579528808594\n", "The 252th loop: cost = 65.2576904296875\n", "The 253th loop: cost = 60.700538635253906\n", "The 254th loop: cost = 64.58747100830078\n", "The 255th loop: cost = 47.112770080566406\n", "The 256th loop: cost = 57.13096618652344\n", "The 257th loop: cost = 55.112213134765625\n", "The 258th loop: cost = 50.946598052978516\n", "The 259th loop: cost = 52.57554626464844\n", "The 260th loop: cost = 38.77876281738281\n", "The 261th loop: cost = 56.707000732421875\n", "The 262th loop: cost = 58.71125793457031\n", "The 263th loop: cost = 51.460052490234375\n", "The 264th loop: cost = 53.10332489013672\n", "The 265th loop: cost = 65.37247467041016\n", "The 266th loop: cost = 52.024566650390625\n", "The 267th loop: cost = 55.146697998046875\n", "The 268th loop: cost = 72.01290893554688\n", "The 269th loop: cost = 60.41651153564453\n", "The 270th loop: cost = 51.11931610107422\n", "The 271th loop: cost = 69.84495544433594\n", "The 272th loop: cost = 79.4796142578125\n", "The 273th loop: cost = 70.91451263427734\n", "The 274th loop: cost = 47.42708969116211\n", "The 275th loop: cost = 57.718666076660156\n", "The 276th loop: cost = 55.419578552246094\n", "The 277th loop: cost = 56.22295379638672\n", "The 278th loop: cost = 46.01203536987305\n", "The 279th loop: cost = 51.634010314941406\n", "The 280th loop: cost = 71.2332763671875\n", "The 281th loop: cost = 56.9830322265625\n", "The 282th loop: cost = 60.007972717285156\n", "The 283th loop: cost = 56.203399658203125\n", "The 284th loop: cost = 67.49736022949219\n", "The 285th loop: cost = 57.86808395385742\n", "The 286th loop: cost = 58.611656188964844\n", "The 287th loop: cost = 47.498687744140625\n", "The 288th loop: cost = 59.76153564453125\n", "The 289th loop: cost = 63.114131927490234\n", "The 290th loop: cost = 65.88532257080078\n", "The 291th loop: cost = 56.496978759765625\n", "The 292th loop: cost = 73.53567504882812\n", "The 293th loop: cost = 63.26496124267578\n", "The 294th loop: cost = 75.81116485595703\n", "The 295th loop: cost = 59.62079620361328\n", "The 296th loop: cost = 63.02192687988281\n", "The 297th loop: cost = 63.74041748046875\n", "The 298th loop: cost = 53.398155212402344\n", "The 299th loop: cost = 57.54885482788086\n", "The 300th loop: cost = 79.3299331665039\n", "The 301th loop: cost = 52.14875793457031\n", "The 302th loop: cost = 56.937828063964844\n", "The 303th loop: cost = 49.46385192871094\n", "The 304th loop: cost = 57.621116638183594\n", "The 305th loop: cost = 53.18559646606445\n", "The 306th loop: cost = 63.219451904296875\n", "The 307th loop: cost = 58.36524200439453\n", "The 308th loop: cost = 66.24996948242188\n", "The 309th loop: cost = 66.86483764648438\n", "The 310th loop: cost = 64.42019653320312\n", "The 311th loop: cost = 56.318992614746094\n", "The 312th loop: cost = 60.409217834472656\n", "The 313th loop: cost = 41.883453369140625\n", "The 314th loop: cost = 54.28166961669922\n", "The 315th loop: cost = 61.33435821533203\n", "The 316th loop: cost = 56.30052947998047\n", "The 317th loop: cost = 68.86705017089844\n", "The 318th loop: cost = 61.00946807861328\n", "The 319th loop: cost = 62.60044860839844\n", "The 320th loop: cost = 56.9019889831543\n", "The 321th loop: cost = 68.91487884521484\n", "The 322th loop: cost = 66.39886474609375\n", "The 323th loop: cost = 71.94099426269531\n", "The 324th loop: cost = 60.78113555908203\n", "The 325th loop: cost = 84.19796752929688\n", "The 326th loop: cost = 56.651832580566406\n", "The 327th loop: cost = 51.57605743408203\n", "The 328th loop: cost = 59.427032470703125\n", "The 329th loop: cost = 61.68263244628906\n", "The 330th loop: cost = 64.54528045654297\n", "The 331th loop: cost = 55.15398406982422\n", "The 332th loop: cost = 62.501800537109375\n", "The 333th loop: cost = 70.41109466552734\n", "The 334th loop: cost = 52.672332763671875\n", "The 335th loop: cost = 44.40882873535156\n", "The 336th loop: cost = 53.98280334472656\n", "The 337th loop: cost = 62.327171325683594\n", "The 338th loop: cost = 58.57856369018555\n", "The 339th loop: cost = 58.67051315307617\n", "The 340th loop: cost = 49.004371643066406\n", "The 341th loop: cost = 56.28368377685547\n", "The 342th loop: cost = 54.04119873046875\n", "The 343th loop: cost = 54.74010467529297\n", "The 344th loop: cost = 51.65306854248047\n", "The 345th loop: cost = 70.55154418945312\n", "The 346th loop: cost = 53.091278076171875\n", "The 347th loop: cost = 62.30476379394531\n", "The 348th loop: cost = 56.800559997558594\n", "The 349th loop: cost = 72.47523498535156\n", "The 350th loop: cost = 52.22042465209961\n", "The 351th loop: cost = 52.860633850097656\n", "The 352th loop: cost = 67.42330932617188\n", "The 353th loop: cost = 60.117591857910156\n", "The 354th loop: cost = 62.50587844848633\n", "The 355th loop: cost = 62.7447509765625\n", "The 356th loop: cost = 57.48484802246094\n", "The 357th loop: cost = 67.18836975097656\n", "The 358th loop: cost = 45.176788330078125\n", "The 359th loop: cost = 63.59034729003906\n", "The 360th loop: cost = 72.98168182373047\n", "The 361th loop: cost = 50.336692810058594\n", "The 362th loop: cost = 59.82227325439453\n", "The 363th loop: cost = 52.53252410888672\n", "The 364th loop: cost = 62.481727600097656\n", "The 365th loop: cost = 72.44904327392578\n", "The 366th loop: cost = 48.20222854614258\n", "The 367th loop: cost = 76.11503601074219\n", "The 368th loop: cost = 58.48685836791992\n", "The 369th loop: cost = 56.952213287353516\n", "The 370th loop: cost = 60.675575256347656\n", "The 371th loop: cost = 50.61027526855469\n", "The 372th loop: cost = 51.048301696777344\n", "The 373th loop: cost = 64.39750671386719\n", "The 374th loop: cost = 61.342384338378906\n", "The 375th loop: cost = 49.462791442871094\n", "The 376th loop: cost = 54.925228118896484\n", "The 377th loop: cost = 53.37159729003906\n", "The 378th loop: cost = 70.70702362060547\n", "The 379th loop: cost = 69.30181884765625\n", "The 380th loop: cost = 72.95569610595703\n", "The 381th loop: cost = 62.847660064697266\n", "The 382th loop: cost = 41.937950134277344\n", "The 383th loop: cost = 61.9610595703125\n", "The 384th loop: cost = 67.49378967285156\n", "The 385th loop: cost = 51.21946716308594\n", "The 386th loop: cost = 66.99583435058594\n", "The 387th loop: cost = 56.33025360107422\n", "The 388th loop: cost = 54.27286148071289\n", "The 389th loop: cost = 59.96839141845703\n", "The 390th loop: cost = 64.24610137939453\n", "The 391th loop: cost = 60.47202682495117\n", "The 392th loop: cost = 53.694366455078125\n", "The 393th loop: cost = 48.444190979003906\n", "The 394th loop: cost = 51.31563949584961\n", "The 395th loop: cost = 52.52301025390625\n", "The 396th loop: cost = 49.179847717285156\n", "The 397th loop: cost = 60.20166778564453\n", "The 398th loop: cost = 55.87204360961914\n", "The 399th loop: cost = 53.739341735839844\n", "The 400th loop: cost = 51.51846694946289\n", "The 401th loop: cost = 58.99949645996094\n", "The 402th loop: cost = 65.6956787109375\n", "The 403th loop: cost = 56.236663818359375\n", "The 404th loop: cost = 61.408607482910156\n", "The 405th loop: cost = 64.99950408935547\n", "The 406th loop: cost = 51.39939880371094\n", "The 407th loop: cost = 51.66535949707031\n", "The 408th loop: cost = 57.044525146484375\n", "The 409th loop: cost = 65.37239837646484\n", "The 410th loop: cost = 65.73298645019531\n", "The 411th loop: cost = 70.53868865966797\n", "The 412th loop: cost = 59.567325592041016\n", "The 413th loop: cost = 50.74287033081055\n", "The 414th loop: cost = 52.38886260986328\n", "The 415th loop: cost = 48.10936737060547\n", "The 416th loop: cost = 63.80977249145508\n", "The 417th loop: cost = 55.369041442871094\n", "The 418th loop: cost = 61.18834686279297\n", "The 419th loop: cost = 61.45041275024414\n", "The 420th loop: cost = 62.102516174316406\n", "The 421th loop: cost = 64.35285186767578\n", "The 422th loop: cost = 54.57212829589844\n", "The 423th loop: cost = 59.85585021972656\n", "The 424th loop: cost = 60.87288284301758\n", "The 425th loop: cost = 64.96694946289062\n", "The 426th loop: cost = 79.42605590820312\n", "The 427th loop: cost = 65.56221008300781\n", "The 428th loop: cost = 67.82475280761719\n", "The 429th loop: cost = 61.9061279296875\n", "The 430th loop: cost = 63.901607513427734\n", "The 431th loop: cost = 53.7420768737793\n", "The 432th loop: cost = 56.78802490234375\n", "The 433th loop: cost = 69.89092254638672\n", "The 434th loop: cost = 57.62702178955078\n", "The 435th loop: cost = 51.04869079589844\n", "The 436th loop: cost = 56.82929229736328\n", "The 437th loop: cost = 61.78980255126953\n", "The 438th loop: cost = 69.14830017089844\n", "The 439th loop: cost = 78.70620727539062\n", "The 440th loop: cost = 69.90959167480469\n", "The 441th loop: cost = 57.648712158203125\n", "The 442th loop: cost = 57.787071228027344\n", "The 443th loop: cost = 53.140663146972656\n", "The 444th loop: cost = 64.56298828125\n", "The 445th loop: cost = 51.46482849121094\n", "The 446th loop: cost = 66.99726867675781\n", "The 447th loop: cost = 73.65345764160156\n", "The 448th loop: cost = 58.2562141418457\n", "The 449th loop: cost = 65.26129150390625\n", "The 450th loop: cost = 62.07122039794922\n", "The 451th loop: cost = 52.15208435058594\n", "The 452th loop: cost = 53.203739166259766\n", "The 453th loop: cost = 63.45155715942383\n", "The 454th loop: cost = 65.78656005859375\n", "The 455th loop: cost = 57.80524444580078\n", "The 456th loop: cost = 68.7646484375\n", "The 457th loop: cost = 55.653778076171875\n", "The 458th loop: cost = 67.73624420166016\n", "The 459th loop: cost = 51.20273971557617\n", "The 460th loop: cost = 79.47012329101562\n", "The 461th loop: cost = 67.248779296875\n", "The 462th loop: cost = 54.243141174316406\n", "The 463th loop: cost = 69.71170043945312\n", "The 464th loop: cost = 74.31404113769531\n", "The 465th loop: cost = 59.16432571411133\n", "The 466th loop: cost = 64.0733642578125\n", "The 467th loop: cost = 56.76310348510742\n", "The 468th loop: cost = 56.71773910522461\n", "The 469th loop: cost = 57.49497604370117\n", "The 470th loop: cost = 60.461612701416016\n", "The 471th loop: cost = 49.59332275390625\n", "The 472th loop: cost = 66.24231719970703\n", "The 473th loop: cost = 57.99989318847656\n", "The 474th loop: cost = 55.8396110534668\n", "The 475th loop: cost = 59.182743072509766\n", "The 476th loop: cost = 69.91580963134766\n", "The 477th loop: cost = 60.09026336669922\n", "The 478th loop: cost = 53.76929473876953\n", "The 479th loop: cost = 59.99827194213867\n", "The 480th loop: cost = 74.02774810791016\n", "The 481th loop: cost = 47.240440368652344\n", "The 482th loop: cost = 61.24058532714844\n", "The 483th loop: cost = 75.38238525390625\n", "The 484th loop: cost = 61.895530700683594\n", "The 485th loop: cost = 49.636817932128906\n", "The 486th loop: cost = 60.888370513916016\n", "The 487th loop: cost = 70.17607116699219\n", "The 488th loop: cost = 67.58502197265625\n", "The 489th loop: cost = 57.104087829589844\n", "The 490th loop: cost = 62.337825775146484\n", "The 491th loop: cost = 70.24437713623047\n", "The 492th loop: cost = 45.097381591796875\n", "The 493th loop: cost = 55.23890686035156\n", "The 494th loop: cost = 70.31510925292969\n", "The 495th loop: cost = 61.193050384521484\n", "The 496th loop: cost = 58.248287200927734\n", "The 497th loop: cost = 80.89998626708984\n", "The 498th loop: cost = 50.94919967651367\n", "The 499th loop: cost = 50.39392852783203\n", "The 500th loop: cost = 57.632930755615234\n", "The 501th loop: cost = 56.60594177246094\n", "The 502th loop: cost = 57.11473083496094\n", "The 503th loop: cost = 70.07777404785156\n", "The 504th loop: cost = 67.85508728027344\n", "The 505th loop: cost = 68.64115905761719\n", "The 506th loop: cost = 56.64015579223633\n", "The 507th loop: cost = 64.13667297363281\n", "The 508th loop: cost = 63.512115478515625\n", "The 509th loop: cost = 55.24945831298828\n", "The 510th loop: cost = 56.624847412109375\n", "The 511th loop: cost = 52.10301208496094\n", "The 512th loop: cost = 57.34687805175781\n", "The 513th loop: cost = 63.86498260498047\n", "The 514th loop: cost = 69.96769714355469\n", "The 515th loop: cost = 61.37192153930664\n", "The 516th loop: cost = 62.847023010253906\n", "The 517th loop: cost = 66.02042388916016\n", "The 518th loop: cost = 68.6531982421875\n", "The 519th loop: cost = 61.26521301269531\n", "The 520th loop: cost = 60.33008575439453\n", "The 521th loop: cost = 51.29029083251953\n", "The 522th loop: cost = 60.039939880371094\n", "The 523th loop: cost = 59.184783935546875\n", "The 524th loop: cost = 58.15022277832031\n", "The 525th loop: cost = 58.483375549316406\n", "The 526th loop: cost = 60.966121673583984\n", "The 527th loop: cost = 72.34638977050781\n", "The 528th loop: cost = 60.39653015136719\n", "The 529th loop: cost = 68.69203186035156\n", "The 530th loop: cost = 61.15922927856445\n", "The 531th loop: cost = 70.59251403808594\n", "The 532th loop: cost = 65.35353088378906\n", "The 533th loop: cost = 56.540225982666016\n", "The 534th loop: cost = 59.055747985839844\n", "The 535th loop: cost = 59.1749267578125\n", "The 536th loop: cost = 54.085205078125\n", "The 537th loop: cost = 63.40549850463867\n", "The 538th loop: cost = 42.748291015625\n", "The 539th loop: cost = 58.585777282714844\n", "The 540th loop: cost = 50.61857223510742\n", "The 541th loop: cost = 71.06787872314453\n", "The 542th loop: cost = 62.978946685791016\n", "The 543th loop: cost = 74.17735290527344\n", "The 544th loop: cost = 56.25099182128906\n", "The 545th loop: cost = 59.522926330566406\n", "The 546th loop: cost = 62.45022201538086\n", "The 547th loop: cost = 58.42803192138672\n", "The 548th loop: cost = 72.1705322265625\n", "The 549th loop: cost = 44.12153625488281\n", "The 550th loop: cost = 68.760498046875\n", "The 551th loop: cost = 54.0662841796875\n", "The 552th loop: cost = 80.45494079589844\n", "The 553th loop: cost = 58.75825500488281\n", "The 554th loop: cost = 54.352447509765625\n", "The 555th loop: cost = 60.642005920410156\n", "The 556th loop: cost = 64.08287048339844\n", "The 557th loop: cost = 60.579898834228516\n", "The 558th loop: cost = 65.767822265625\n", "The 559th loop: cost = 53.41667175292969\n", "The 560th loop: cost = 65.25418090820312\n", "The 561th loop: cost = 80.45996856689453\n", "The 562th loop: cost = 61.74468994140625\n", "The 563th loop: cost = 56.25495147705078\n", "The 564th loop: cost = 51.27354431152344\n", "The 565th loop: cost = 71.54791259765625\n", "The 566th loop: cost = 52.218231201171875\n", "The 567th loop: cost = 67.7701187133789\n", "The 568th loop: cost = 58.72058868408203\n", "The 569th loop: cost = 57.52311706542969\n", "The 570th loop: cost = 50.801368713378906\n", "The 571th loop: cost = 59.65142822265625\n", "The 572th loop: cost = 62.30064392089844\n", "The 573th loop: cost = 62.51397705078125\n", "The 574th loop: cost = 68.09825897216797\n", "The 575th loop: cost = 77.41152954101562\n", "The 576th loop: cost = 47.0838623046875\n", "The 577th loop: cost = 51.62647247314453\n", "The 578th loop: cost = 58.8077278137207\n", "The 579th loop: cost = 69.68424224853516\n", "The 580th loop: cost = 56.07255554199219\n", "The 581th loop: cost = 49.02943801879883\n", "The 582th loop: cost = 60.594627380371094\n", "The 583th loop: cost = 56.7382926940918\n", "The 584th loop: cost = 76.00526428222656\n", "The 585th loop: cost = 60.4931526184082\n", "The 586th loop: cost = 73.86618041992188\n", "The 587th loop: cost = 62.68733215332031\n", "The 588th loop: cost = 71.23324584960938\n", "The 589th loop: cost = 69.49091339111328\n", "The 590th loop: cost = 58.35586929321289\n", "The 591th loop: cost = 59.25462341308594\n", "The 592th loop: cost = 56.268028259277344\n", "The 593th loop: cost = 66.7418441772461\n", "The 594th loop: cost = 56.07438278198242\n", "The 595th loop: cost = 66.64259338378906\n", "The 596th loop: cost = 71.79057312011719\n", "The 597th loop: cost = 57.91887283325195\n", "The 598th loop: cost = 58.218475341796875\n", "The 599th loop: cost = 69.68529510498047\n", "The 600th loop: cost = 60.03227996826172\n", "The 601th loop: cost = 65.75436401367188\n", "The 602th loop: cost = 54.062076568603516\n", "The 603th loop: cost = 69.48331451416016\n", "The 604th loop: cost = 59.94526290893555\n", "The 605th loop: cost = 55.194908142089844\n", "The 606th loop: cost = 53.00829315185547\n", "The 607th loop: cost = 65.32778930664062\n", "The 608th loop: cost = 57.99774932861328\n", "The 609th loop: cost = 51.34892654418945\n", "The 610th loop: cost = 66.9803466796875\n", "The 611th loop: cost = 67.1240005493164\n", "The 612th loop: cost = 63.84356689453125\n", "The 613th loop: cost = 66.71812438964844\n", "The 614th loop: cost = 55.672279357910156\n", "The 615th loop: cost = 55.00539779663086\n", "The 616th loop: cost = 51.232574462890625\n", "The 617th loop: cost = 54.306819915771484\n", "The 618th loop: cost = 50.473487854003906\n", "The 619th loop: cost = 64.15399169921875\n", "The 620th loop: cost = 58.174583435058594\n", "The 621th loop: cost = 64.03218841552734\n", "The 622th loop: cost = 66.32664489746094\n", "The 623th loop: cost = 63.723114013671875\n", "The 624th loop: cost = 60.903907775878906\n", "The 625th loop: cost = 60.85920715332031\n", "The 626th loop: cost = 55.818119049072266\n", "The 627th loop: cost = 66.95893859863281\n", "The 628th loop: cost = 38.20664978027344\n", "The 629th loop: cost = 71.58421325683594\n", "The 630th loop: cost = 48.27686309814453\n", "The 631th loop: cost = 69.1531982421875\n", "The 632th loop: cost = 58.666446685791016\n", "The 633th loop: cost = 65.63478088378906\n", "The 634th loop: cost = 56.98198318481445\n", "The 635th loop: cost = 64.3348388671875\n", "The 636th loop: cost = 63.461856842041016\n", "The 637th loop: cost = 43.935821533203125\n", "The 638th loop: cost = 69.95521545410156\n", "The 639th loop: cost = 68.69161224365234\n", "The 640th loop: cost = 60.268890380859375\n", "The 641th loop: cost = 61.413490295410156\n", "The 642th loop: cost = 65.15187072753906\n", "The 643th loop: cost = 53.44505310058594\n", "The 644th loop: cost = 62.41278839111328\n", "The 645th loop: cost = 46.294532775878906\n", "The 646th loop: cost = 67.42387390136719\n", "The 647th loop: cost = 61.07854461669922\n", "The 648th loop: cost = 73.98352813720703\n", "The 649th loop: cost = 65.99217224121094\n", "The 650th loop: cost = 59.94572830200195\n", "The 651th loop: cost = 62.613006591796875\n", "The 652th loop: cost = 61.46123504638672\n", "The 653th loop: cost = 67.50709533691406\n", "The 654th loop: cost = 49.087589263916016\n", "The 655th loop: cost = 61.872352600097656\n", "The 656th loop: cost = 64.57543182373047\n", "The 657th loop: cost = 57.32863235473633\n", "The 658th loop: cost = 62.63363265991211\n", "The 659th loop: cost = 46.70751190185547\n", "The 660th loop: cost = 76.9337158203125\n", "The 661th loop: cost = 59.239009857177734\n", "The 662th loop: cost = 53.61960983276367\n", "The 663th loop: cost = 50.59546661376953\n", "The 664th loop: cost = 50.6583251953125\n", "The 665th loop: cost = 48.126304626464844\n", "The 666th loop: cost = 71.30963134765625\n", "The 667th loop: cost = 58.226383209228516\n", "The 668th loop: cost = 61.32634735107422\n", "The 669th loop: cost = 53.244049072265625\n", "The 670th loop: cost = 46.89533996582031\n", "The 671th loop: cost = 67.13848114013672\n", "The 672th loop: cost = 45.29656219482422\n", "The 673th loop: cost = 51.92171096801758\n", "The 674th loop: cost = 70.42264556884766\n", "The 675th loop: cost = 69.201171875\n", "The 676th loop: cost = 65.133056640625\n", "The 677th loop: cost = 41.463478088378906\n", "The 678th loop: cost = 54.47877502441406\n", "The 679th loop: cost = 60.22745132446289\n", "The 680th loop: cost = 63.39464569091797\n", "The 681th loop: cost = 71.66073608398438\n", "The 682th loop: cost = 63.49876403808594\n", "The 683th loop: cost = 63.885986328125\n", "The 684th loop: cost = 53.97930908203125\n", "The 685th loop: cost = 53.71277618408203\n", "The 686th loop: cost = 58.6013069152832\n", "The 687th loop: cost = 41.68779373168945\n", "The 688th loop: cost = 49.010746002197266\n", "The 689th loop: cost = 60.974769592285156\n", "The 690th loop: cost = 72.07582092285156\n", "The 691th loop: cost = 65.27897644042969\n", "The 692th loop: cost = 64.99346923828125\n", "The 693th loop: cost = 59.63922882080078\n", "The 694th loop: cost = 59.39740753173828\n", "The 695th loop: cost = 68.08775329589844\n", "The 696th loop: cost = 55.31932830810547\n", "The 697th loop: cost = 61.07698059082031\n", "The 698th loop: cost = 46.134422302246094\n", "The 699th loop: cost = 64.85006713867188\n", "The 700th loop: cost = 57.90285873413086\n", "The 701th loop: cost = 66.18937683105469\n", "The 702th loop: cost = 72.18919372558594\n", "The 703th loop: cost = 59.234825134277344\n", "The 704th loop: cost = 47.85526657104492\n", "The 705th loop: cost = 52.019317626953125\n", "The 706th loop: cost = 54.92610168457031\n", "The 707th loop: cost = 60.27098083496094\n", "The 708th loop: cost = 58.87619400024414\n", "The 709th loop: cost = 45.42478942871094\n", "The 710th loop: cost = 58.34151077270508\n", "The 711th loop: cost = 55.48933792114258\n", "The 712th loop: cost = 71.05677795410156\n", "The 713th loop: cost = 59.74193572998047\n", "The 714th loop: cost = 37.54643249511719\n", "The 715th loop: cost = 80.42985534667969\n", "The 716th loop: cost = 57.14447021484375\n", "The 717th loop: cost = 67.66773223876953\n", "The 718th loop: cost = 68.91903686523438\n", "The 719th loop: cost = 65.44161987304688\n", "The 720th loop: cost = 68.22990417480469\n", "The 721th loop: cost = 64.72222900390625\n", "The 722th loop: cost = 57.422183990478516\n", "The 723th loop: cost = 66.78669738769531\n", "The 724th loop: cost = 65.4714126586914\n", "The 725th loop: cost = 68.05103302001953\n", "The 726th loop: cost = 56.37992477416992\n", "The 727th loop: cost = 57.16956329345703\n", "The 728th loop: cost = 75.71006774902344\n", "The 729th loop: cost = 62.112545013427734\n", "The 730th loop: cost = 67.58999633789062\n", "The 731th loop: cost = 63.30324935913086\n", "The 732th loop: cost = 61.19719314575195\n", "The 733th loop: cost = 58.23362731933594\n", "The 734th loop: cost = 63.64529800415039\n", "The 735th loop: cost = 65.17549133300781\n", "The 736th loop: cost = 63.595184326171875\n", "The 737th loop: cost = 49.758644104003906\n", "The 738th loop: cost = 50.80361557006836\n", "The 739th loop: cost = 68.29106140136719\n", "The 740th loop: cost = 60.763607025146484\n", "The 741th loop: cost = 68.22676086425781\n", "The 742th loop: cost = 61.309688568115234\n", "The 743th loop: cost = 62.917362213134766\n", "The 744th loop: cost = 75.09770965576172\n", "The 745th loop: cost = 61.024314880371094\n", "The 746th loop: cost = 54.196990966796875\n", "The 747th loop: cost = 61.83252716064453\n", "The 748th loop: cost = 66.11692810058594\n", "The 749th loop: cost = 62.017818450927734\n", "The 750th loop: cost = 56.23231506347656\n", "The 751th loop: cost = 62.06393051147461\n", "The 752th loop: cost = 49.205806732177734\n", "The 753th loop: cost = 53.65357971191406\n", "The 754th loop: cost = 64.93054962158203\n", "The 755th loop: cost = 61.22738265991211\n", "The 756th loop: cost = 67.45997619628906\n", "The 757th loop: cost = 64.15496063232422\n", "The 758th loop: cost = 68.32644653320312\n", "The 759th loop: cost = 60.04298400878906\n", "The 760th loop: cost = 62.0593376159668\n", "The 761th loop: cost = 56.68632507324219\n", "The 762th loop: cost = 58.930908203125\n", "The 763th loop: cost = 54.44331359863281\n", "The 764th loop: cost = 61.146785736083984\n", "The 765th loop: cost = 57.17908477783203\n", "The 766th loop: cost = 53.15829849243164\n", "The 767th loop: cost = 51.718204498291016\n", "The 768th loop: cost = 63.34617614746094\n", "The 769th loop: cost = 66.93527221679688\n", "The 770th loop: cost = 58.1405029296875\n", "The 771th loop: cost = 64.19816589355469\n", "The 772th loop: cost = 54.4716796875\n", "The 773th loop: cost = 57.41063690185547\n", "The 774th loop: cost = 62.47785186767578\n", "The 775th loop: cost = 53.74163818359375\n", "The 776th loop: cost = 68.90060424804688\n", "The 777th loop: cost = 61.4940299987793\n", "The 778th loop: cost = 60.39570236206055\n", "The 779th loop: cost = 50.22677230834961\n", "The 780th loop: cost = 61.65438461303711\n", "The 781th loop: cost = 54.52874755859375\n", "The 782th loop: cost = 59.485389709472656\n", "The 783th loop: cost = 66.88592529296875\n", "The 784th loop: cost = 67.03627014160156\n", "The 785th loop: cost = 54.66975402832031\n", "The 786th loop: cost = 61.661346435546875\n", "The 787th loop: cost = 75.10149383544922\n", "The 788th loop: cost = 69.43092346191406\n", "The 789th loop: cost = 51.339149475097656\n", "The 790th loop: cost = 61.96920394897461\n", "The 791th loop: cost = 51.087974548339844\n", "The 792th loop: cost = 61.53076171875\n", "The 793th loop: cost = 65.4311294555664\n", "The 794th loop: cost = 56.23487091064453\n", "The 795th loop: cost = 60.406494140625\n", "The 796th loop: cost = 55.39744567871094\n", "The 797th loop: cost = 50.36665725708008\n", "The 798th loop: cost = 67.6755142211914\n", "The 799th loop: cost = 64.37461853027344\n", "The 800th loop: cost = 62.26978302001953\n", "The 801th loop: cost = 53.94294357299805\n", "The 802th loop: cost = 64.11386108398438\n", "The 803th loop: cost = 50.923641204833984\n", "The 804th loop: cost = 47.649009704589844\n", "The 805th loop: cost = 64.34355163574219\n", "The 806th loop: cost = 66.38040924072266\n", "The 807th loop: cost = 62.37858963012695\n", "The 808th loop: cost = 49.105247497558594\n", "The 809th loop: cost = 45.98721694946289\n", "The 810th loop: cost = 63.36442184448242\n", "The 811th loop: cost = 59.92629623413086\n", "The 812th loop: cost = 83.91084289550781\n", "The 813th loop: cost = 65.12483215332031\n", "The 814th loop: cost = 69.02963256835938\n", "The 815th loop: cost = 50.91722106933594\n", "The 816th loop: cost = 66.24784851074219\n", "The 817th loop: cost = 73.09918212890625\n", "The 818th loop: cost = 64.25627136230469\n", "The 819th loop: cost = 65.4522933959961\n", "The 820th loop: cost = 63.73858642578125\n", "The 821th loop: cost = 65.91897583007812\n", "The 822th loop: cost = 61.23744201660156\n", "The 823th loop: cost = 50.933692932128906\n", "The 824th loop: cost = 60.705108642578125\n", "The 825th loop: cost = 68.72078704833984\n", "The 826th loop: cost = 58.554588317871094\n", "The 827th loop: cost = 49.912174224853516\n", "The 828th loop: cost = 44.60301208496094\n", "The 829th loop: cost = 56.841552734375\n", "The 830th loop: cost = 51.98286819458008\n", "The 831th loop: cost = 55.92287826538086\n", "The 832th loop: cost = 64.57353210449219\n", "The 833th loop: cost = 69.2176513671875\n", "The 834th loop: cost = 70.87045288085938\n", "The 835th loop: cost = 56.65779495239258\n", "The 836th loop: cost = 67.15110778808594\n", "The 837th loop: cost = 57.070404052734375\n", "The 838th loop: cost = 75.57210540771484\n", "The 839th loop: cost = 55.62517166137695\n", "The 840th loop: cost = 56.249359130859375\n", "The 841th loop: cost = 52.69063186645508\n", "The 842th loop: cost = 48.82676696777344\n", "The 843th loop: cost = 49.72417449951172\n", "The 844th loop: cost = 63.58631896972656\n", "The 845th loop: cost = 56.05778884887695\n", "The 846th loop: cost = 62.1140251159668\n", "The 847th loop: cost = 69.35237121582031\n", "The 848th loop: cost = 47.67665100097656\n", "The 849th loop: cost = 57.0883903503418\n", "The 850th loop: cost = 48.27513885498047\n", "The 851th loop: cost = 65.086181640625\n", "The 852th loop: cost = 61.36898422241211\n", "The 853th loop: cost = 71.80052947998047\n", "The 854th loop: cost = 63.02532958984375\n", "The 855th loop: cost = 73.88853454589844\n", "The 856th loop: cost = 47.680904388427734\n", "The 857th loop: cost = 62.33522033691406\n", "The 858th loop: cost = 69.010986328125\n", "The 859th loop: cost = 56.182655334472656\n", "The 860th loop: cost = 55.85148620605469\n", "The 861th loop: cost = 54.8725700378418\n", "The 862th loop: cost = 68.279052734375\n", "The 863th loop: cost = 66.4348373413086\n", "The 864th loop: cost = 56.9456787109375\n", "The 865th loop: cost = 59.1224365234375\n", "The 866th loop: cost = 69.16487121582031\n", "The 867th loop: cost = 54.2742919921875\n", "The 868th loop: cost = 70.26422119140625\n", "The 869th loop: cost = 53.97895431518555\n", "The 870th loop: cost = 64.64531707763672\n", "The 871th loop: cost = 63.29986572265625\n", "The 872th loop: cost = 56.98324203491211\n", "The 873th loop: cost = 68.33441162109375\n", "The 874th loop: cost = 54.708839416503906\n", "The 875th loop: cost = 58.45317840576172\n", "The 876th loop: cost = 62.20846939086914\n", "The 877th loop: cost = 59.191627502441406\n", "The 878th loop: cost = 56.92621612548828\n", "The 879th loop: cost = 59.84974670410156\n", "The 880th loop: cost = 70.20066833496094\n", "The 881th loop: cost = 65.56111907958984\n", "The 882th loop: cost = 64.94681549072266\n", "The 883th loop: cost = 77.34417724609375\n", "The 884th loop: cost = 57.733646392822266\n", "The 885th loop: cost = 58.76427459716797\n", "The 886th loop: cost = 49.93281173706055\n", "The 887th loop: cost = 49.478248596191406\n", "The 888th loop: cost = 46.49585723876953\n", "The 889th loop: cost = 48.68468475341797\n", "The 890th loop: cost = 56.58705139160156\n", "The 891th loop: cost = 70.24996948242188\n", "The 892th loop: cost = 55.87091064453125\n", "The 893th loop: cost = 59.62804412841797\n", "The 894th loop: cost = 69.14401245117188\n", "The 895th loop: cost = 53.957916259765625\n", "The 896th loop: cost = 63.89292526245117\n", "The 897th loop: cost = 61.09254837036133\n", "The 898th loop: cost = 56.0994758605957\n", "The 899th loop: cost = 71.83291625976562\n", "The 900th loop: cost = 61.2396240234375\n", "The 901th loop: cost = 68.42977905273438\n", "The 902th loop: cost = 52.64563751220703\n", "The 903th loop: cost = 56.49386215209961\n", "The 904th loop: cost = 57.34581756591797\n", "The 905th loop: cost = 63.30569076538086\n", "The 906th loop: cost = 62.596622467041016\n", "The 907th loop: cost = 59.814327239990234\n", "The 908th loop: cost = 75.24529266357422\n", "The 909th loop: cost = 68.0927505493164\n", "The 910th loop: cost = 48.11941146850586\n", "The 911th loop: cost = 57.07241439819336\n", "The 912th loop: cost = 62.239479064941406\n", "The 913th loop: cost = 59.561309814453125\n", "The 914th loop: cost = 49.362892150878906\n", "The 915th loop: cost = 62.81575393676758\n", "The 916th loop: cost = 50.42255401611328\n", "The 917th loop: cost = 61.126182556152344\n", "The 918th loop: cost = 56.42289733886719\n", "The 919th loop: cost = 68.28976440429688\n", "The 920th loop: cost = 62.858306884765625\n", "The 921th loop: cost = 78.13157653808594\n", "The 922th loop: cost = 71.02197265625\n", "The 923th loop: cost = 69.19700622558594\n", "The 924th loop: cost = 63.77534484863281\n", "The 925th loop: cost = 63.01936721801758\n", "The 926th loop: cost = 58.603370666503906\n", "The 927th loop: cost = 63.34837341308594\n", "The 928th loop: cost = 60.11640548706055\n", "The 929th loop: cost = 59.91712951660156\n", "The 930th loop: cost = 57.25080871582031\n", "The 931th loop: cost = 67.50154113769531\n", "The 932th loop: cost = 64.50100708007812\n", "The 933th loop: cost = 43.21034622192383\n", "The 934th loop: cost = 53.940574645996094\n", "The 935th loop: cost = 58.334205627441406\n", "The 936th loop: cost = 57.553348541259766\n", "The 937th loop: cost = 59.115631103515625\n", "The 938th loop: cost = 58.896812438964844\n", "The 939th loop: cost = 46.563995361328125\n", "The 940th loop: cost = 61.96669006347656\n", "The 941th loop: cost = 55.14076232910156\n", "The 942th loop: cost = 46.44012451171875\n", "The 943th loop: cost = 66.70188903808594\n", "The 944th loop: cost = 81.39678955078125\n", "The 945th loop: cost = 55.811737060546875\n", "The 946th loop: cost = 67.44404602050781\n", "The 947th loop: cost = 54.424072265625\n", "The 948th loop: cost = 60.43465805053711\n", "The 949th loop: cost = 50.793678283691406\n", "The 950th loop: cost = 61.299259185791016\n", "The 951th loop: cost = 57.87677764892578\n", "The 952th loop: cost = 65.32917785644531\n", "The 953th loop: cost = 72.41001892089844\n", "The 954th loop: cost = 54.71955871582031\n", "The 955th loop: cost = 59.4691162109375\n", "The 956th loop: cost = 60.67284393310547\n", "The 957th loop: cost = 52.91923904418945\n", "The 958th loop: cost = 58.12800598144531\n", "The 959th loop: cost = 57.872432708740234\n", "The 960th loop: cost = 63.551422119140625\n", "The 961th loop: cost = 62.6978645324707\n", "The 962th loop: cost = 60.784629821777344\n", "The 963th loop: cost = 57.44264221191406\n", "The 964th loop: cost = 71.31773376464844\n", "The 965th loop: cost = 56.04027557373047\n", "The 966th loop: cost = 55.48683547973633\n", "The 967th loop: cost = 56.5162239074707\n", "The 968th loop: cost = 55.73860168457031\n", "The 969th loop: cost = 61.803871154785156\n", "The 970th loop: cost = 55.829872131347656\n", "The 971th loop: cost = 54.08140563964844\n", "The 972th loop: cost = 59.373138427734375\n", "The 973th loop: cost = 63.93317413330078\n", "The 974th loop: cost = 64.42060852050781\n", "The 975th loop: cost = 47.82915115356445\n", "The 976th loop: cost = 50.5689582824707\n", "The 977th loop: cost = 57.651248931884766\n", "The 978th loop: cost = 66.16445922851562\n", "The 979th loop: cost = 74.29376220703125\n", "The 980th loop: cost = 61.98996353149414\n", "The 981th loop: cost = 62.01898956298828\n", "The 982th loop: cost = 57.71089172363281\n", "The 983th loop: cost = 51.97475051879883\n", "The 984th loop: cost = 60.671546936035156\n", "The 985th loop: cost = 59.50635528564453\n", "The 986th loop: cost = 63.471580505371094\n", "The 987th loop: cost = 62.408409118652344\n", "The 988th loop: cost = 69.78659057617188\n", "The 989th loop: cost = 67.35987854003906\n", "The 990th loop: cost = 54.530181884765625\n", "The 991th loop: cost = 59.639217376708984\n", "The 992th loop: cost = 52.804603576660156\n", "The 993th loop: cost = 62.968082427978516\n", "The 994th loop: cost = 55.95489501953125\n", "The 995th loop: cost = 59.95271301269531\n", "The 996th loop: cost = 45.920772552490234\n", "The 997th loop: cost = 55.171730041503906\n", "The 998th loop: cost = 44.48847198486328\n", "The 999th loop: cost = 68.05784606933594\n", "The 1000th loop: cost = 70.53245544433594\n", "The 1001th loop: cost = 59.67778778076172\n", "The 1002th loop: cost = 41.53125762939453\n", "The 1003th loop: cost = 80.38250732421875\n", "The 1004th loop: cost = 59.51887512207031\n", "The 1005th loop: cost = 64.48574829101562\n", "The 1006th loop: cost = 59.80024719238281\n", "The 1007th loop: cost = 65.88877868652344\n", "The 1008th loop: cost = 58.31904983520508\n", "The 1009th loop: cost = 53.951454162597656\n", "The 1010th loop: cost = 66.2021484375\n", "The 1011th loop: cost = 64.30992126464844\n", "The 1012th loop: cost = 47.41436004638672\n", "The 1013th loop: cost = 52.14464569091797\n", "The 1014th loop: cost = 51.500152587890625\n", "The 1015th loop: cost = 58.41123580932617\n", "The 1016th loop: cost = 56.946685791015625\n", "The 1017th loop: cost = 51.76750183105469\n", "The 1018th loop: cost = 56.20977783203125\n", "The 1019th loop: cost = 64.29962158203125\n", "The 1020th loop: cost = 57.19969177246094\n", "The 1021th loop: cost = 55.269615173339844\n", "The 1022th loop: cost = 59.3597412109375\n", "The 1023th loop: cost = 62.07836151123047\n", "The 1024th loop: cost = 61.340911865234375\n", "The 1025th loop: cost = 56.00966262817383\n", "The 1026th loop: cost = 71.41490173339844\n", "The 1027th loop: cost = 55.13294982910156\n", "The 1028th loop: cost = 64.23201751708984\n", "The 1029th loop: cost = 61.42510223388672\n", "The 1030th loop: cost = 60.153228759765625\n", "The 1031th loop: cost = 68.85577392578125\n", "The 1032th loop: cost = 60.25579833984375\n", "The 1033th loop: cost = 66.29611206054688\n", "The 1034th loop: cost = 49.054786682128906\n", "The 1035th loop: cost = 64.96907043457031\n", "The 1036th loop: cost = 57.16764831542969\n", "The 1037th loop: cost = 54.67646789550781\n", "The 1038th loop: cost = 55.975257873535156\n", "The 1039th loop: cost = 54.5573844909668\n", "The 1040th loop: cost = 46.528812408447266\n", "The 1041th loop: cost = 64.84136199951172\n", "The 1042th loop: cost = 62.420562744140625\n", "The 1043th loop: cost = 52.21882629394531\n", "The 1044th loop: cost = 54.90477752685547\n", "The 1045th loop: cost = 50.376976013183594\n", "The 1046th loop: cost = 45.48408508300781\n", "The 1047th loop: cost = 64.57859802246094\n", "The 1048th loop: cost = 49.56245040893555\n", "The 1049th loop: cost = 53.323143005371094\n", "The 1050th loop: cost = 72.79967498779297\n", "The 1051th loop: cost = 68.30572509765625\n", "The 1052th loop: cost = 53.90464782714844\n", "The 1053th loop: cost = 67.35489654541016\n", "The 1054th loop: cost = 54.641273498535156\n", "The 1055th loop: cost = 54.7531852722168\n", "The 1056th loop: cost = 46.877052307128906\n", "The 1057th loop: cost = 59.982269287109375\n", "The 1058th loop: cost = 69.17561340332031\n", "The 1059th loop: cost = 48.926170349121094\n", "The 1060th loop: cost = 55.89366912841797\n", "The 1061th loop: cost = 63.23236083984375\n", "The 1062th loop: cost = 55.48900604248047\n", "The 1063th loop: cost = 50.88222885131836\n", "The 1064th loop: cost = 60.6281623840332\n", "The 1065th loop: cost = 51.06094741821289\n", "The 1066th loop: cost = 58.6422119140625\n", "The 1067th loop: cost = 53.18262481689453\n", "The 1068th loop: cost = 52.866493225097656\n", "The 1069th loop: cost = 56.6112174987793\n", "The 1070th loop: cost = 63.996803283691406\n", "The 1071th loop: cost = 58.010581970214844\n", "The 1072th loop: cost = 54.52090072631836\n", "The 1073th loop: cost = 58.788597106933594\n", "The 1074th loop: cost = 57.24165725708008\n", "The 1075th loop: cost = 60.228511810302734\n", "The 1076th loop: cost = 59.18363952636719\n", "The 1077th loop: cost = 54.81862258911133\n", "The 1078th loop: cost = 52.981895446777344\n", "The 1079th loop: cost = 63.330623626708984\n", "The 1080th loop: cost = 46.858909606933594\n", "The 1081th loop: cost = 52.657958984375\n", "The 1082th loop: cost = 48.493980407714844\n", "The 1083th loop: cost = 52.307674407958984\n", "The 1084th loop: cost = 54.15790557861328\n", "The 1085th loop: cost = 63.087196350097656\n", "The 1086th loop: cost = 47.58373260498047\n", "The 1087th loop: cost = 56.04606246948242\n", "The 1088th loop: cost = 58.244544982910156\n", "The 1089th loop: cost = 61.104286193847656\n", "The 1090th loop: cost = 52.307228088378906\n", "The 1091th loop: cost = 47.57084655761719\n", "The 1092th loop: cost = 44.70101547241211\n", "The 1093th loop: cost = 72.42650604248047\n", "The 1094th loop: cost = 61.34455108642578\n", "The 1095th loop: cost = 53.71638107299805\n", "The 1096th loop: cost = 49.781700134277344\n", "The 1097th loop: cost = 56.59100341796875\n", "The 1098th loop: cost = 64.35298156738281\n", "The 1099th loop: cost = 53.23152160644531\n", "The 1100th loop: cost = 58.24668502807617\n", "The 1101th loop: cost = 65.7840576171875\n", "The 1102th loop: cost = 55.613494873046875\n", "The 1103th loop: cost = 59.13389587402344\n", "The 1104th loop: cost = 64.89373779296875\n", "The 1105th loop: cost = 64.3617935180664\n", "The 1106th loop: cost = 61.44481658935547\n", "The 1107th loop: cost = 55.60468292236328\n", "The 1108th loop: cost = 56.41590881347656\n", "The 1109th loop: cost = 64.43582153320312\n", "The 1110th loop: cost = 61.731689453125\n", "The 1111th loop: cost = 67.06341552734375\n", "The 1112th loop: cost = 61.27488708496094\n", "The 1113th loop: cost = 67.5822982788086\n", "The 1114th loop: cost = 74.3511734008789\n", "The 1115th loop: cost = 59.96082305908203\n", "The 1116th loop: cost = 61.45637893676758\n", "The 1117th loop: cost = 50.507568359375\n", "The 1118th loop: cost = 59.265586853027344\n", "The 1119th loop: cost = 56.627349853515625\n", "The 1120th loop: cost = 51.59701919555664\n", "The 1121th loop: cost = 51.946659088134766\n", "The 1122th loop: cost = 73.88554382324219\n", "The 1123th loop: cost = 66.05226135253906\n", "The 1124th loop: cost = 60.45265197753906\n", "The 1125th loop: cost = 63.66703414916992\n", "The 1126th loop: cost = 69.83381652832031\n", "The 1127th loop: cost = 64.09909057617188\n", "The 1128th loop: cost = 58.9312629699707\n", "The 1129th loop: cost = 45.14511489868164\n", "The 1130th loop: cost = 61.806427001953125\n", "The 1131th loop: cost = 58.89716720581055\n", "The 1132th loop: cost = 54.416717529296875\n", "The 1133th loop: cost = 57.39431381225586\n", "The 1134th loop: cost = 63.43439483642578\n", "The 1135th loop: cost = 65.17219543457031\n", "The 1136th loop: cost = 47.8333740234375\n", "The 1137th loop: cost = 50.33228302001953\n", "The 1138th loop: cost = 58.0689582824707\n", "The 1139th loop: cost = 63.05192565917969\n", "The 1140th loop: cost = 57.14886474609375\n", "The 1141th loop: cost = 58.65302276611328\n", "The 1142th loop: cost = 47.629730224609375\n", "The 1143th loop: cost = 52.84025955200195\n", "The 1144th loop: cost = 47.20423126220703\n", "The 1145th loop: cost = 50.15015411376953\n", "The 1146th loop: cost = 62.42198181152344\n", "The 1147th loop: cost = 67.754150390625\n", "The 1148th loop: cost = 66.35328674316406\n", "The 1149th loop: cost = 51.05760192871094\n", "The 1150th loop: cost = 68.10780334472656\n", "The 1151th loop: cost = 61.56123352050781\n", "The 1152th loop: cost = 72.18379211425781\n", "The 1153th loop: cost = 72.21971893310547\n", "The 1154th loop: cost = 56.29073715209961\n", "The 1155th loop: cost = 53.62086868286133\n", "The 1156th loop: cost = 64.1050796508789\n", "The 1157th loop: cost = 67.460205078125\n", "The 1158th loop: cost = 59.40196990966797\n", "The 1159th loop: cost = 60.5482292175293\n", "The 1160th loop: cost = 54.58235168457031\n", "The 1161th loop: cost = 59.66780090332031\n", "The 1162th loop: cost = 58.746429443359375\n", "The 1163th loop: cost = 58.84193801879883\n", "The 1164th loop: cost = 47.395751953125\n", "The 1165th loop: cost = 48.715797424316406\n", "The 1166th loop: cost = 59.3375244140625\n", "The 1167th loop: cost = 51.96466827392578\n", "The 1168th loop: cost = 64.51947021484375\n", "The 1169th loop: cost = 56.02638626098633\n", "The 1170th loop: cost = 66.23075866699219\n", "The 1171th loop: cost = 50.2327880859375\n", "The 1172th loop: cost = 49.460357666015625\n", "The 1173th loop: cost = 53.02739334106445\n", "The 1174th loop: cost = 53.324462890625\n", "The 1175th loop: cost = 57.073089599609375\n", "The 1176th loop: cost = 49.78734588623047\n", "The 1177th loop: cost = 66.96429443359375\n", "The 1178th loop: cost = 56.694862365722656\n", "The 1179th loop: cost = 54.639652252197266\n", "The 1180th loop: cost = 61.199363708496094\n", "The 1181th loop: cost = 59.84676742553711\n", "The 1182th loop: cost = 62.92343521118164\n", "The 1183th loop: cost = 58.72932052612305\n", "The 1184th loop: cost = 70.95773315429688\n", "The 1185th loop: cost = 66.60601043701172\n", "The 1186th loop: cost = 54.448768615722656\n", "The 1187th loop: cost = 53.23824691772461\n", "The 1188th loop: cost = 61.988197326660156\n", "The 1189th loop: cost = 58.30550765991211\n", "The 1190th loop: cost = 51.21533203125\n", "The 1191th loop: cost = 56.292396545410156\n", "The 1192th loop: cost = 49.71620178222656\n", "The 1193th loop: cost = 52.355491638183594\n", "The 1194th loop: cost = 46.947784423828125\n", "The 1195th loop: cost = 52.53321075439453\n", "The 1196th loop: cost = 73.19686889648438\n", "The 1197th loop: cost = 71.52253723144531\n", "The 1198th loop: cost = 54.83235549926758\n", "The 1199th loop: cost = 57.74955368041992\n", "The 1200th loop: cost = 55.75181579589844\n", "The 1201th loop: cost = 51.431053161621094\n", "The 1202th loop: cost = 75.84233856201172\n", "The 1203th loop: cost = 55.535160064697266\n", "The 1204th loop: cost = 67.41946411132812\n", "The 1205th loop: cost = 69.81982421875\n", "The 1206th loop: cost = 57.88508987426758\n", "The 1207th loop: cost = 66.83551025390625\n", "The 1208th loop: cost = 58.47534942626953\n", "The 1209th loop: cost = 58.89982604980469\n", "The 1210th loop: cost = 58.67546844482422\n", "The 1211th loop: cost = 52.89727783203125\n", "The 1212th loop: cost = 49.29059600830078\n", "The 1213th loop: cost = 55.09043502807617\n", "The 1214th loop: cost = 57.484004974365234\n", "The 1215th loop: cost = 70.06522369384766\n", "The 1216th loop: cost = 45.73365020751953\n", "The 1217th loop: cost = 55.763023376464844\n", "The 1218th loop: cost = 57.771507263183594\n", "The 1219th loop: cost = 55.61885070800781\n", "The 1220th loop: cost = 63.12248992919922\n", "The 1221th loop: cost = 61.78954315185547\n", "The 1222th loop: cost = 73.94276428222656\n", "The 1223th loop: cost = 50.972843170166016\n", "The 1224th loop: cost = 59.03339767456055\n", "The 1225th loop: cost = 66.9010009765625\n", "The 1226th loop: cost = 53.70118713378906\n", "The 1227th loop: cost = 59.24808883666992\n", "The 1228th loop: cost = 58.99201583862305\n", "The 1229th loop: cost = 60.06867980957031\n", "The 1230th loop: cost = 59.233673095703125\n", "The 1231th loop: cost = 55.139404296875\n", "The 1232th loop: cost = 67.18162536621094\n", "The 1233th loop: cost = 72.26152038574219\n", "The 1234th loop: cost = 65.99895477294922\n", "The 1235th loop: cost = 65.2813720703125\n", "The 1236th loop: cost = 62.87017822265625\n", "The 1237th loop: cost = 53.61428451538086\n", "The 1238th loop: cost = 49.53129577636719\n", "The 1239th loop: cost = 60.681766510009766\n", "The 1240th loop: cost = 57.870025634765625\n", "The 1241th loop: cost = 53.6787109375\n", "The 1242th loop: cost = 60.191314697265625\n", "The 1243th loop: cost = 56.159515380859375\n", "The 1244th loop: cost = 60.42697525024414\n", "The 1245th loop: cost = 55.065757751464844\n", "The 1246th loop: cost = 57.51548767089844\n", "The 1247th loop: cost = 60.396053314208984\n", "The 1248th loop: cost = 70.68004608154297\n", "The 1249th loop: cost = 56.66409683227539\n", "The 1250th loop: cost = 57.52223205566406\n", "The 1251th loop: cost = 66.0789794921875\n", "The 1252th loop: cost = 56.270103454589844\n", "The 1253th loop: cost = 59.73916244506836\n", "The 1254th loop: cost = 55.63677978515625\n", "The 1255th loop: cost = 49.50762939453125\n", "The 1256th loop: cost = 60.7760124206543\n", "The 1257th loop: cost = 58.336402893066406\n", "The 1258th loop: cost = 45.993247985839844\n", "The 1259th loop: cost = 58.99393081665039\n", "The 1260th loop: cost = 55.16814422607422\n", "The 1261th loop: cost = 53.17484664916992\n", "The 1262th loop: cost = 54.61152648925781\n", "The 1263th loop: cost = 58.77251052856445\n", "The 1264th loop: cost = 61.34413528442383\n", "The 1265th loop: cost = 68.38687896728516\n", "The 1266th loop: cost = 50.16913604736328\n", "The 1267th loop: cost = 68.032958984375\n", "The 1268th loop: cost = 60.61595916748047\n", "The 1269th loop: cost = 50.76340866088867\n", "The 1270th loop: cost = 59.644866943359375\n", "The 1271th loop: cost = 55.30363464355469\n", "The 1272th loop: cost = 51.44886779785156\n", "The 1273th loop: cost = 67.85736846923828\n", "The 1274th loop: cost = 59.95703887939453\n", "The 1275th loop: cost = 60.87386703491211\n", "The 1276th loop: cost = 51.377479553222656\n", "The 1277th loop: cost = 56.300689697265625\n", "The 1278th loop: cost = 82.71623229980469\n", "The 1279th loop: cost = 61.341453552246094\n", "The 1280th loop: cost = 65.09407806396484\n", "The 1281th loop: cost = 59.300262451171875\n", "The 1282th loop: cost = 65.1250991821289\n", "The 1283th loop: cost = 58.298641204833984\n", "The 1284th loop: cost = 58.52513885498047\n", "The 1285th loop: cost = 58.705841064453125\n", "The 1286th loop: cost = 64.31663513183594\n", "The 1287th loop: cost = 52.55366134643555\n", "The 1288th loop: cost = 59.364418029785156\n", "The 1289th loop: cost = 61.73701095581055\n", "The 1290th loop: cost = 56.755027770996094\n", "The 1291th loop: cost = 69.00615692138672\n", "The 1292th loop: cost = 58.194786071777344\n", "The 1293th loop: cost = 52.297454833984375\n", "The 1294th loop: cost = 45.43070602416992\n", "The 1295th loop: cost = 57.46755599975586\n", "The 1296th loop: cost = 65.44454956054688\n", "The 1297th loop: cost = 52.62928771972656\n", "The 1298th loop: cost = 56.29328918457031\n", "The 1299th loop: cost = 50.72528839111328\n", "The 1300th loop: cost = 54.601593017578125\n", "The 1301th loop: cost = 65.57902526855469\n", "The 1302th loop: cost = 49.087249755859375\n", "The 1303th loop: cost = 52.76103210449219\n", "The 1304th loop: cost = 64.74253845214844\n", "The 1305th loop: cost = 67.65219116210938\n", "The 1306th loop: cost = 61.50743103027344\n", "The 1307th loop: cost = 57.443565368652344\n", "The 1308th loop: cost = 67.93089294433594\n", "The 1309th loop: cost = 58.53643798828125\n", "The 1310th loop: cost = 56.73991775512695\n", "The 1311th loop: cost = 52.859405517578125\n", "The 1312th loop: cost = 72.4078140258789\n", "The 1313th loop: cost = 63.793827056884766\n", "The 1314th loop: cost = 50.791011810302734\n", "The 1315th loop: cost = 62.994293212890625\n", "The 1316th loop: cost = 62.7293815612793\n", "The 1317th loop: cost = 60.491737365722656\n", "The 1318th loop: cost = 63.26020050048828\n", "The 1319th loop: cost = 61.69902038574219\n", "The 1320th loop: cost = 54.03439712524414\n", "The 1321th loop: cost = 61.09184265136719\n", "The 1322th loop: cost = 60.1639404296875\n", "The 1323th loop: cost = 52.06697463989258\n", "The 1324th loop: cost = 49.03740310668945\n", "The 1325th loop: cost = 60.5168571472168\n", "The 1326th loop: cost = 46.492034912109375\n", "The 1327th loop: cost = 76.89872741699219\n", "The 1328th loop: cost = 63.7972412109375\n", "The 1329th loop: cost = 58.768104553222656\n", "The 1330th loop: cost = 66.18115234375\n", "The 1331th loop: cost = 52.38014602661133\n", "The 1332th loop: cost = 56.63323211669922\n", "The 1333th loop: cost = 58.80302810668945\n", "The 1334th loop: cost = 53.37629699707031\n", "The 1335th loop: cost = 56.030757904052734\n", "The 1336th loop: cost = 53.74563217163086\n", "The 1337th loop: cost = 67.46761322021484\n", "The 1338th loop: cost = 56.197479248046875\n", "The 1339th loop: cost = 59.951873779296875\n", "The 1340th loop: cost = 54.11863708496094\n", "The 1341th loop: cost = 52.602989196777344\n", "The 1342th loop: cost = 58.402099609375\n", "The 1343th loop: cost = 48.377445220947266\n", "The 1344th loop: cost = 61.439735412597656\n", "The 1345th loop: cost = 47.5366096496582\n", "The 1346th loop: cost = 47.631202697753906\n", "The 1347th loop: cost = 49.054283142089844\n", "The 1348th loop: cost = 58.67985153198242\n", "The 1349th loop: cost = 47.623374938964844\n", "The 1350th loop: cost = 68.31398010253906\n", "The 1351th loop: cost = 64.96099853515625\n", "The 1352th loop: cost = 50.18110656738281\n", "The 1353th loop: cost = 59.754459381103516\n", "The 1354th loop: cost = 54.50922393798828\n", "The 1355th loop: cost = 50.51131057739258\n", "The 1356th loop: cost = 67.74066162109375\n", "The 1357th loop: cost = 63.84165573120117\n", "The 1358th loop: cost = 66.14535522460938\n", "The 1359th loop: cost = 59.27650451660156\n", "The 1360th loop: cost = 61.43416213989258\n", "The 1361th loop: cost = 56.596927642822266\n", "The 1362th loop: cost = 48.32181167602539\n", "The 1363th loop: cost = 59.810733795166016\n", "The 1364th loop: cost = 52.85003662109375\n", "The 1365th loop: cost = 62.40825271606445\n", "The 1366th loop: cost = 54.89218521118164\n", "The 1367th loop: cost = 57.972389221191406\n", "The 1368th loop: cost = 59.272216796875\n", "The 1369th loop: cost = 64.24421691894531\n", "The 1370th loop: cost = 58.273868560791016\n", "The 1371th loop: cost = 58.018550872802734\n", "The 1372th loop: cost = 56.22516632080078\n", "The 1373th loop: cost = 64.75650787353516\n", "The 1374th loop: cost = 60.80619812011719\n", "The 1375th loop: cost = 58.6468505859375\n", "The 1376th loop: cost = 64.54669189453125\n", "The 1377th loop: cost = 57.58282470703125\n", "The 1378th loop: cost = 71.39202117919922\n", "The 1379th loop: cost = 67.11467742919922\n", "The 1380th loop: cost = 46.959877014160156\n", "The 1381th loop: cost = 60.715667724609375\n", "The 1382th loop: cost = 56.131656646728516\n", "The 1383th loop: cost = 64.98792266845703\n", "The 1384th loop: cost = 58.1580810546875\n", "The 1385th loop: cost = 54.90192794799805\n", "The 1386th loop: cost = 51.549583435058594\n", "The 1387th loop: cost = 69.15231323242188\n", "The 1388th loop: cost = 60.316925048828125\n", "The 1389th loop: cost = 51.549766540527344\n", "The 1390th loop: cost = 50.76136779785156\n", "The 1391th loop: cost = 54.44950866699219\n", "The 1392th loop: cost = 78.60768127441406\n", "The 1393th loop: cost = 57.507606506347656\n", "The 1394th loop: cost = 62.24787902832031\n", "The 1395th loop: cost = 61.579376220703125\n", "The 1396th loop: cost = 56.79821014404297\n", "The 1397th loop: cost = 72.32394409179688\n", "The 1398th loop: cost = 60.7939338684082\n", "The 1399th loop: cost = 56.915916442871094\n", "The 1400th loop: cost = 62.77470397949219\n", "The 1401th loop: cost = 54.42594909667969\n", "The 1402th loop: cost = 53.791194915771484\n", "The 1403th loop: cost = 54.054603576660156\n", "The 1404th loop: cost = 53.662353515625\n", "The 1405th loop: cost = 56.830322265625\n", "The 1406th loop: cost = 54.71159362792969\n", "The 1407th loop: cost = 59.94721221923828\n", "The 1408th loop: cost = 60.887657165527344\n", "The 1409th loop: cost = 79.93499755859375\n", "The 1410th loop: cost = 59.23561096191406\n", "The 1411th loop: cost = 64.35012817382812\n", "The 1412th loop: cost = 55.48113250732422\n", "The 1413th loop: cost = 51.103370666503906\n", "The 1414th loop: cost = 56.2242546081543\n", "The 1415th loop: cost = 55.066802978515625\n", "The 1416th loop: cost = 59.65393829345703\n", "The 1417th loop: cost = 44.632625579833984\n", "The 1418th loop: cost = 64.91221618652344\n", "The 1419th loop: cost = 46.67633819580078\n", "The 1420th loop: cost = 59.385162353515625\n", "The 1421th loop: cost = 48.71098327636719\n", "The 1422th loop: cost = 53.891197204589844\n", "The 1423th loop: cost = 61.569828033447266\n", "The 1424th loop: cost = 58.202903747558594\n", "The 1425th loop: cost = 61.52233123779297\n", "The 1426th loop: cost = 53.2332878112793\n", "The 1427th loop: cost = 51.4688720703125\n", "The 1428th loop: cost = 55.38529586791992\n", "The 1429th loop: cost = 51.708091735839844\n", "The 1430th loop: cost = 54.795753479003906\n", "The 1431th loop: cost = 55.29362487792969\n", "The 1432th loop: cost = 54.58142852783203\n", "The 1433th loop: cost = 57.69198989868164\n", "The 1434th loop: cost = 66.2611083984375\n", "The 1435th loop: cost = 57.144493103027344\n", "The 1436th loop: cost = 61.435386657714844\n", "The 1437th loop: cost = 50.9417724609375\n", "The 1438th loop: cost = 58.308746337890625\n", "The 1439th loop: cost = 56.11656188964844\n", "The 1440th loop: cost = 54.31501770019531\n", "The 1441th loop: cost = 44.20619583129883\n", "The 1442th loop: cost = 49.93584442138672\n", "The 1443th loop: cost = 59.772762298583984\n", "The 1444th loop: cost = 56.99492645263672\n", "The 1445th loop: cost = 47.55059051513672\n", "The 1446th loop: cost = 62.67384719848633\n", "The 1447th loop: cost = 57.656471252441406\n", "The 1448th loop: cost = 55.42152786254883\n", "The 1449th loop: cost = 56.43583679199219\n", "The 1450th loop: cost = 51.73816680908203\n", "The 1451th loop: cost = 61.72105026245117\n", "The 1452th loop: cost = 64.53897094726562\n", "The 1453th loop: cost = 74.06769561767578\n", "The 1454th loop: cost = 62.158836364746094\n", "The 1455th loop: cost = 54.11064147949219\n", "The 1456th loop: cost = 56.42951965332031\n", "The 1457th loop: cost = 61.39631652832031\n", "The 1458th loop: cost = 51.07374572753906\n", "The 1459th loop: cost = 55.800472259521484\n", "The 1460th loop: cost = 64.28298950195312\n", "The 1461th loop: cost = 69.75177764892578\n", "The 1462th loop: cost = 50.44721984863281\n", "The 1463th loop: cost = 65.69099426269531\n", "The 1464th loop: cost = 51.537498474121094\n", "The 1465th loop: cost = 54.992515563964844\n", "The 1466th loop: cost = 63.780128479003906\n", "The 1467th loop: cost = 64.36068725585938\n", "The 1468th loop: cost = 57.097557067871094\n", "The 1469th loop: cost = 50.543479919433594\n", "The 1470th loop: cost = 71.23480987548828\n", "The 1471th loop: cost = 54.68382263183594\n", "The 1472th loop: cost = 60.5316047668457\n", "The 1473th loop: cost = 47.053260803222656\n", "The 1474th loop: cost = 67.38846588134766\n", "The 1475th loop: cost = 58.344017028808594\n", "The 1476th loop: cost = 58.90248107910156\n", "The 1477th loop: cost = 61.7861213684082\n", "The 1478th loop: cost = 47.48060989379883\n", "The 1479th loop: cost = 51.67660903930664\n", "The 1480th loop: cost = 70.39181518554688\n", "The 1481th loop: cost = 50.54363250732422\n", "The 1482th loop: cost = 65.19490051269531\n", "The 1483th loop: cost = 48.981239318847656\n", "The 1484th loop: cost = 56.97077941894531\n", "The 1485th loop: cost = 57.86662292480469\n", "The 1486th loop: cost = 52.16526412963867\n", "The 1487th loop: cost = 62.1218147277832\n", "The 1488th loop: cost = 49.973655700683594\n", "The 1489th loop: cost = 50.25248718261719\n", "The 1490th loop: cost = 56.6966552734375\n", "The 1491th loop: cost = 71.94023895263672\n", "The 1492th loop: cost = 44.32207489013672\n", "The 1493th loop: cost = 60.350738525390625\n", "The 1494th loop: cost = 58.814884185791016\n", "The 1495th loop: cost = 57.44318771362305\n", "The 1496th loop: cost = 54.207061767578125\n", "The 1497th loop: cost = 58.22136688232422\n", "The 1498th loop: cost = 63.71519088745117\n", "The 1499th loop: cost = 57.97937774658203\n", "The 1500th loop: cost = 52.61752700805664\n", "The 1501th loop: cost = 60.653404235839844\n", "The 1502th loop: cost = 55.90187454223633\n", "The 1503th loop: cost = 48.88345718383789\n", "The 1504th loop: cost = 62.512508392333984\n", "The 1505th loop: cost = 56.75198745727539\n", "The 1506th loop: cost = 79.58645629882812\n", "The 1507th loop: cost = 49.81819152832031\n", "The 1508th loop: cost = 53.212860107421875\n", "The 1509th loop: cost = 58.943538665771484\n", "The 1510th loop: cost = 59.5760498046875\n", "The 1511th loop: cost = 53.865936279296875\n", "The 1512th loop: cost = 51.975257873535156\n", "The 1513th loop: cost = 55.80708694458008\n", "The 1514th loop: cost = 51.215415954589844\n", "The 1515th loop: cost = 60.5804328918457\n", "The 1516th loop: cost = 55.11835479736328\n", "The 1517th loop: cost = 62.64133834838867\n", "The 1518th loop: cost = 56.48487091064453\n", "The 1519th loop: cost = 56.90604019165039\n", "The 1520th loop: cost = 47.38726806640625\n", "The 1521th loop: cost = 63.93125534057617\n", "The 1522th loop: cost = 61.41979217529297\n", "The 1523th loop: cost = 60.4498291015625\n", "The 1524th loop: cost = 60.25480651855469\n", "The 1525th loop: cost = 56.73627471923828\n", "The 1526th loop: cost = 57.4033203125\n", "The 1527th loop: cost = 53.315460205078125\n", "The 1528th loop: cost = 55.61452865600586\n", "The 1529th loop: cost = 60.372676849365234\n", "The 1530th loop: cost = 55.70330810546875\n", "The 1531th loop: cost = 57.941650390625\n", "The 1532th loop: cost = 45.792259216308594\n", "The 1533th loop: cost = 57.07474136352539\n", "The 1534th loop: cost = 63.88867950439453\n", "The 1535th loop: cost = 64.44949340820312\n", "The 1536th loop: cost = 50.96879196166992\n", "The 1537th loop: cost = 54.15962600708008\n", "The 1538th loop: cost = 58.885704040527344\n", "The 1539th loop: cost = 62.85259246826172\n", "The 1540th loop: cost = 66.70594024658203\n", "The 1541th loop: cost = 63.04331970214844\n", "The 1542th loop: cost = 76.8386001586914\n", "The 1543th loop: cost = 57.21723937988281\n", "The 1544th loop: cost = 60.84443283081055\n", "The 1545th loop: cost = 65.41686248779297\n", "The 1546th loop: cost = 56.54060363769531\n", "The 1547th loop: cost = 56.23175048828125\n", "The 1548th loop: cost = 54.823402404785156\n", "The 1549th loop: cost = 63.74346160888672\n", "The 1550th loop: cost = 49.74784851074219\n", "The 1551th loop: cost = 53.15992736816406\n", "The 1552th loop: cost = 61.070438385009766\n", "The 1553th loop: cost = 43.06879806518555\n", "The 1554th loop: cost = 45.43415832519531\n", "The 1555th loop: cost = 41.47693634033203\n", "The 1556th loop: cost = 76.21083068847656\n", "The 1557th loop: cost = 44.25404357910156\n", "The 1558th loop: cost = 63.65453338623047\n", "The 1559th loop: cost = 49.100093841552734\n", "The 1560th loop: cost = 62.542144775390625\n", "The 1561th loop: cost = 55.125274658203125\n", "The 1562th loop: cost = 58.7459831237793\n", "The 1563th loop: cost = 55.240440368652344\n", "The 1564th loop: cost = 61.85707092285156\n", "The 1565th loop: cost = 53.159690856933594\n", "The 1566th loop: cost = 58.90488052368164\n", "The 1567th loop: cost = 57.91590118408203\n", "The 1568th loop: cost = 53.448204040527344\n", "The 1569th loop: cost = 54.87403106689453\n", "The 1570th loop: cost = 57.68522644042969\n", "The 1571th loop: cost = 51.6839599609375\n", "The 1572th loop: cost = 60.50337219238281\n", "The 1573th loop: cost = 60.31679916381836\n", "The 1574th loop: cost = 64.51397705078125\n", "The 1575th loop: cost = 47.33094024658203\n", "The 1576th loop: cost = 43.916770935058594\n", "The 1577th loop: cost = 56.77259063720703\n", "The 1578th loop: cost = 53.720703125\n", "The 1579th loop: cost = 64.17499542236328\n", "The 1580th loop: cost = 57.519004821777344\n", "The 1581th loop: cost = 56.684165954589844\n", "The 1582th loop: cost = 64.38050842285156\n", "The 1583th loop: cost = 44.68255615234375\n", "The 1584th loop: cost = 54.29717254638672\n", "The 1585th loop: cost = 53.66984939575195\n", "The 1586th loop: cost = 58.55752944946289\n", "The 1587th loop: cost = 65.13185119628906\n", "The 1588th loop: cost = 60.96660614013672\n", "The 1589th loop: cost = 59.25159454345703\n", "The 1590th loop: cost = 58.41014862060547\n", "The 1591th loop: cost = 55.73649215698242\n", "The 1592th loop: cost = 64.94819641113281\n", "The 1593th loop: cost = 66.60013580322266\n", "The 1594th loop: cost = 53.090675354003906\n", "The 1595th loop: cost = 56.98577880859375\n", "The 1596th loop: cost = 66.85862731933594\n", "The 1597th loop: cost = 59.63820266723633\n", "The 1598th loop: cost = 57.380577087402344\n", "The 1599th loop: cost = 59.00050354003906\n", "The 1600th loop: cost = 47.87547302246094\n", "The 1601th loop: cost = 50.343353271484375\n", "The 1602th loop: cost = 60.07731246948242\n", "The 1603th loop: cost = 57.898311614990234\n", "The 1604th loop: cost = 44.077877044677734\n", "The 1605th loop: cost = 66.57064819335938\n", "The 1606th loop: cost = 62.85400390625\n", "The 1607th loop: cost = 53.63539123535156\n", "The 1608th loop: cost = 50.8233642578125\n", "The 1609th loop: cost = 63.34130859375\n", "The 1610th loop: cost = 64.18116760253906\n", "The 1611th loop: cost = 55.635894775390625\n", "The 1612th loop: cost = 57.3298225402832\n", "The 1613th loop: cost = 64.41798400878906\n", "The 1614th loop: cost = 48.125396728515625\n", "The 1615th loop: cost = 52.895790100097656\n", "The 1616th loop: cost = 58.37322998046875\n", "The 1617th loop: cost = 54.746795654296875\n", "The 1618th loop: cost = 49.110843658447266\n", "The 1619th loop: cost = 58.988922119140625\n", "The 1620th loop: cost = 60.995506286621094\n", "The 1621th loop: cost = 57.613162994384766\n", "The 1622th loop: cost = 51.79254913330078\n", "The 1623th loop: cost = 53.94593811035156\n", "The 1624th loop: cost = 61.842552185058594\n", "The 1625th loop: cost = 58.43215560913086\n", "The 1626th loop: cost = 52.39208221435547\n", "The 1627th loop: cost = 54.874229431152344\n", "The 1628th loop: cost = 52.3167610168457\n", "The 1629th loop: cost = 65.05073547363281\n", "The 1630th loop: cost = 53.53423309326172\n", "The 1631th loop: cost = 49.710487365722656\n", "The 1632th loop: cost = 67.05326843261719\n", "The 1633th loop: cost = 61.84723663330078\n", "The 1634th loop: cost = 53.98448181152344\n", "The 1635th loop: cost = 54.19169616699219\n", "The 1636th loop: cost = 63.82378387451172\n", "The 1637th loop: cost = 60.02036666870117\n", "The 1638th loop: cost = 48.6473388671875\n", "The 1639th loop: cost = 69.31503295898438\n", "The 1640th loop: cost = 58.41022491455078\n", "The 1641th loop: cost = 49.88030242919922\n", "The 1642th loop: cost = 61.65850067138672\n", "The 1643th loop: cost = 47.057552337646484\n", "The 1644th loop: cost = 57.858577728271484\n", "The 1645th loop: cost = 63.943084716796875\n", "The 1646th loop: cost = 42.53137969970703\n", "The 1647th loop: cost = 59.64506149291992\n", "The 1648th loop: cost = 53.657352447509766\n", "The 1649th loop: cost = 58.409786224365234\n", "The 1650th loop: cost = 60.271759033203125\n", "The 1651th loop: cost = 52.373260498046875\n", "The 1652th loop: cost = 51.277496337890625\n", "The 1653th loop: cost = 48.5341682434082\n", "The 1654th loop: cost = 53.62181091308594\n", "The 1655th loop: cost = 68.00353240966797\n", "The 1656th loop: cost = 59.70591735839844\n", "The 1657th loop: cost = 47.733116149902344\n", "The 1658th loop: cost = 51.11882019042969\n", "The 1659th loop: cost = 49.95332717895508\n", "The 1660th loop: cost = 61.77572250366211\n", "The 1661th loop: cost = 59.69068145751953\n", "The 1662th loop: cost = 60.44753646850586\n", "The 1663th loop: cost = 48.99018859863281\n", "The 1664th loop: cost = 50.70428466796875\n", "The 1665th loop: cost = 57.15825271606445\n", "The 1666th loop: cost = 48.86840057373047\n", "The 1667th loop: cost = 53.72289276123047\n", "The 1668th loop: cost = 62.14615249633789\n", "The 1669th loop: cost = 67.91070556640625\n", "The 1670th loop: cost = 69.32131958007812\n", "The 1671th loop: cost = 55.941444396972656\n", "The 1672th loop: cost = 61.46852111816406\n", "The 1673th loop: cost = 57.797000885009766\n", "The 1674th loop: cost = 59.14766311645508\n", "The 1675th loop: cost = 61.019920349121094\n", "The 1676th loop: cost = 55.680301666259766\n", "The 1677th loop: cost = 65.36056518554688\n", "The 1678th loop: cost = 53.30707550048828\n", "The 1679th loop: cost = 57.09080505371094\n", "The 1680th loop: cost = 45.61821746826172\n", "The 1681th loop: cost = 53.45210647583008\n", "The 1682th loop: cost = 51.306400299072266\n", "The 1683th loop: cost = 53.77891159057617\n", "The 1684th loop: cost = 52.7633056640625\n", "The 1685th loop: cost = 52.33252716064453\n", "The 1686th loop: cost = 54.47411346435547\n", "The 1687th loop: cost = 48.20399856567383\n", "The 1688th loop: cost = 55.308677673339844\n", "The 1689th loop: cost = 50.09598922729492\n", "The 1690th loop: cost = 51.08728790283203\n", "The 1691th loop: cost = 61.09849166870117\n", "The 1692th loop: cost = 62.174072265625\n", "The 1693th loop: cost = 59.89643478393555\n", "The 1694th loop: cost = 57.05656433105469\n", "The 1695th loop: cost = 60.69178771972656\n", "The 1696th loop: cost = 50.06062316894531\n", "The 1697th loop: cost = 55.144100189208984\n", "The 1698th loop: cost = 48.10580062866211\n", "The 1699th loop: cost = 55.52605438232422\n", "The 1700th loop: cost = 52.31432342529297\n", "The 1701th loop: cost = 52.11412811279297\n", "The 1702th loop: cost = 46.82514190673828\n", "The 1703th loop: cost = 44.267478942871094\n", "The 1704th loop: cost = 57.87457275390625\n", "The 1705th loop: cost = 59.0587043762207\n", "The 1706th loop: cost = 68.04035949707031\n", "The 1707th loop: cost = 63.421180725097656\n", "The 1708th loop: cost = 51.952693939208984\n", "The 1709th loop: cost = 51.114418029785156\n", "The 1710th loop: cost = 49.44706726074219\n", "The 1711th loop: cost = 62.75971984863281\n", "The 1712th loop: cost = 64.11054229736328\n", "The 1713th loop: cost = 64.57308197021484\n", "The 1714th loop: cost = 54.092857360839844\n", "The 1715th loop: cost = 57.985633850097656\n", "The 1716th loop: cost = 58.73372268676758\n", "The 1717th loop: cost = 54.29640197753906\n", "The 1718th loop: cost = 55.15073776245117\n", "The 1719th loop: cost = 56.014591217041016\n", "The 1720th loop: cost = 63.660484313964844\n", "The 1721th loop: cost = 54.964691162109375\n", "The 1722th loop: cost = 53.99402618408203\n", "The 1723th loop: cost = 55.84427261352539\n", "The 1724th loop: cost = 47.173160552978516\n", "The 1725th loop: cost = 53.39208221435547\n", "The 1726th loop: cost = 61.58571243286133\n", "The 1727th loop: cost = 49.46929168701172\n", "The 1728th loop: cost = 45.38502883911133\n", "The 1729th loop: cost = 44.82139587402344\n", "The 1730th loop: cost = 50.617759704589844\n", "The 1731th loop: cost = 42.398658752441406\n", "The 1732th loop: cost = 68.58200073242188\n", "The 1733th loop: cost = 47.03360366821289\n", "The 1734th loop: cost = 56.4303092956543\n", "The 1735th loop: cost = 51.9884033203125\n", "The 1736th loop: cost = 49.83910369873047\n", "The 1737th loop: cost = 50.66413879394531\n", "The 1738th loop: cost = 46.71482849121094\n", "The 1739th loop: cost = 56.58324432373047\n", "The 1740th loop: cost = 57.11488723754883\n", "The 1741th loop: cost = 50.79617691040039\n", "The 1742th loop: cost = 56.17917251586914\n", "The 1743th loop: cost = 53.56201171875\n", "The 1744th loop: cost = 45.27582550048828\n", "The 1745th loop: cost = 54.204185485839844\n", "The 1746th loop: cost = 63.79689025878906\n", "The 1747th loop: cost = 53.006324768066406\n", "The 1748th loop: cost = 50.20155334472656\n", "The 1749th loop: cost = 69.0411605834961\n", "The 1750th loop: cost = 51.50462341308594\n", "The 1751th loop: cost = 57.959190368652344\n", "The 1752th loop: cost = 56.81563949584961\n", "The 1753th loop: cost = 68.63549041748047\n", "The 1754th loop: cost = 53.441429138183594\n", "The 1755th loop: cost = 67.6128158569336\n", "The 1756th loop: cost = 52.61298370361328\n", "The 1757th loop: cost = 58.06978225708008\n", "The 1758th loop: cost = 58.044525146484375\n", "The 1759th loop: cost = 55.801204681396484\n", "The 1760th loop: cost = 50.163818359375\n", "The 1761th loop: cost = 48.82716369628906\n", "The 1762th loop: cost = 49.99400329589844\n", "The 1763th loop: cost = 53.82721710205078\n", "The 1764th loop: cost = 64.62135314941406\n", "The 1765th loop: cost = 47.33829879760742\n", "The 1766th loop: cost = 59.77949905395508\n", "The 1767th loop: cost = 59.95301055908203\n", "The 1768th loop: cost = 55.266151428222656\n", "The 1769th loop: cost = 57.459617614746094\n", "The 1770th loop: cost = 52.54075241088867\n", "The 1771th loop: cost = 55.377899169921875\n", "The 1772th loop: cost = 51.230308532714844\n", "The 1773th loop: cost = 56.28548812866211\n", "The 1774th loop: cost = 52.194427490234375\n", "The 1775th loop: cost = 62.88113021850586\n", "The 1776th loop: cost = 55.911346435546875\n", "The 1777th loop: cost = 44.844505310058594\n", "The 1778th loop: cost = 53.49354553222656\n", "The 1779th loop: cost = 48.31525421142578\n", "The 1780th loop: cost = 58.470458984375\n", "The 1781th loop: cost = 60.4601936340332\n", "The 1782th loop: cost = 56.880043029785156\n", "The 1783th loop: cost = 43.12306213378906\n", "The 1784th loop: cost = 63.860801696777344\n", "The 1785th loop: cost = 49.316070556640625\n", "The 1786th loop: cost = 54.611854553222656\n", "The 1787th loop: cost = 48.862342834472656\n", "The 1788th loop: cost = 57.002540588378906\n", "The 1789th loop: cost = 59.04440689086914\n", "The 1790th loop: cost = 55.607791900634766\n", "The 1791th loop: cost = 64.10972595214844\n", "The 1792th loop: cost = 58.477596282958984\n", "The 1793th loop: cost = 55.600772857666016\n", "The 1794th loop: cost = 58.84467697143555\n", "The 1795th loop: cost = 60.144771575927734\n", "The 1796th loop: cost = 57.23030471801758\n", "The 1797th loop: cost = 42.54633331298828\n", "The 1798th loop: cost = 55.538761138916016\n", "The 1799th loop: cost = 70.3809585571289\n", "The 1800th loop: cost = 49.10356903076172\n", "The 1801th loop: cost = 46.34538269042969\n", "The 1802th loop: cost = 47.29194641113281\n", "The 1803th loop: cost = 65.27954864501953\n", "The 1804th loop: cost = 56.9766960144043\n", "The 1805th loop: cost = 43.43717956542969\n", "The 1806th loop: cost = 52.71540451049805\n", "The 1807th loop: cost = 60.82569122314453\n", "The 1808th loop: cost = 61.87969207763672\n", "The 1809th loop: cost = 59.42439651489258\n", "The 1810th loop: cost = 45.200294494628906\n", "The 1811th loop: cost = 49.19115447998047\n", "The 1812th loop: cost = 51.78327178955078\n", "The 1813th loop: cost = 52.09596252441406\n", "The 1814th loop: cost = 58.50654602050781\n", "The 1815th loop: cost = 64.24798583984375\n", "The 1816th loop: cost = 51.95899200439453\n", "The 1817th loop: cost = 57.727745056152344\n", "The 1818th loop: cost = 58.185054779052734\n", "The 1819th loop: cost = 57.677703857421875\n", "The 1820th loop: cost = 60.396846771240234\n", "The 1821th loop: cost = 53.51512908935547\n", "The 1822th loop: cost = 53.5514030456543\n", "The 1823th loop: cost = 51.525726318359375\n", "The 1824th loop: cost = 55.493194580078125\n", "The 1825th loop: cost = 57.818809509277344\n", "The 1826th loop: cost = 47.960533142089844\n", "The 1827th loop: cost = 57.28740692138672\n", "The 1828th loop: cost = 53.494781494140625\n", "The 1829th loop: cost = 54.35435485839844\n", "The 1830th loop: cost = 52.74903869628906\n", "The 1831th loop: cost = 48.80363845825195\n", "The 1832th loop: cost = 76.62091827392578\n", "The 1833th loop: cost = 44.15645980834961\n", "The 1834th loop: cost = 50.87416458129883\n", "The 1835th loop: cost = 60.56359100341797\n", "The 1836th loop: cost = 58.51089096069336\n", "The 1837th loop: cost = 46.053009033203125\n", "The 1838th loop: cost = 47.89598846435547\n", "The 1839th loop: cost = 61.07366180419922\n", "The 1840th loop: cost = 54.15507125854492\n", "The 1841th loop: cost = 55.41721725463867\n", "The 1842th loop: cost = 46.919715881347656\n", "The 1843th loop: cost = 48.43112564086914\n", "The 1844th loop: cost = 46.48086166381836\n", "The 1845th loop: cost = 57.49370574951172\n", "The 1846th loop: cost = 47.39439392089844\n", "The 1847th loop: cost = 48.882286071777344\n", "The 1848th loop: cost = 62.95085525512695\n", "The 1849th loop: cost = 44.34961700439453\n", "The 1850th loop: cost = 45.940147399902344\n", "The 1851th loop: cost = 44.88158416748047\n", "The 1852th loop: cost = 47.33454132080078\n", "The 1853th loop: cost = 52.015785217285156\n", "The 1854th loop: cost = 56.26224136352539\n", "The 1855th loop: cost = 55.56420135498047\n", "The 1856th loop: cost = 47.490455627441406\n", "The 1857th loop: cost = 64.26866149902344\n", "The 1858th loop: cost = 49.106903076171875\n", "The 1859th loop: cost = 52.009010314941406\n", "The 1860th loop: cost = 64.59088134765625\n", "The 1861th loop: cost = 49.09376525878906\n", "The 1862th loop: cost = 51.17938232421875\n", "The 1863th loop: cost = 52.88988494873047\n", "The 1864th loop: cost = 56.19795608520508\n", "The 1865th loop: cost = 63.662322998046875\n", "The 1866th loop: cost = 55.24715805053711\n", "The 1867th loop: cost = 59.510066986083984\n", "The 1868th loop: cost = 49.11750030517578\n", "The 1869th loop: cost = 53.292320251464844\n", "The 1870th loop: cost = 57.23411560058594\n", "The 1871th loop: cost = 55.194557189941406\n", "The 1872th loop: cost = 54.55879592895508\n", "The 1873th loop: cost = 50.012237548828125\n", "The 1874th loop: cost = 62.753177642822266\n", "The 1875th loop: cost = 52.10976791381836\n", "The 1876th loop: cost = 58.56367492675781\n", "The 1877th loop: cost = 49.246742248535156\n", "The 1878th loop: cost = 52.50680923461914\n", "The 1879th loop: cost = 50.72336959838867\n", "The 1880th loop: cost = 56.592933654785156\n", "The 1881th loop: cost = 60.639312744140625\n", "The 1882th loop: cost = 57.974212646484375\n", "The 1883th loop: cost = 55.4471321105957\n", "The 1884th loop: cost = 57.85612487792969\n", "The 1885th loop: cost = 56.14948272705078\n", "The 1886th loop: cost = 59.19966125488281\n", "The 1887th loop: cost = 53.34126663208008\n", "The 1888th loop: cost = 57.108131408691406\n", "The 1889th loop: cost = 56.814170837402344\n", "The 1890th loop: cost = 61.3914680480957\n", "The 1891th loop: cost = 46.93743133544922\n", "The 1892th loop: cost = 46.358619689941406\n", "The 1893th loop: cost = 46.0323486328125\n", "The 1894th loop: cost = 50.210548400878906\n", "The 1895th loop: cost = 67.43817901611328\n", "The 1896th loop: cost = 47.70733642578125\n", "The 1897th loop: cost = 74.93755340576172\n", "The 1898th loop: cost = 53.38593673706055\n", "The 1899th loop: cost = 51.00464630126953\n", "The 1900th loop: cost = 41.59368896484375\n", "The 1901th loop: cost = 70.6417236328125\n", "The 1902th loop: cost = 56.36894226074219\n", "The 1903th loop: cost = 60.54115295410156\n", "The 1904th loop: cost = 60.99485778808594\n", "The 1905th loop: cost = 59.47438430786133\n", "The 1906th loop: cost = 57.735652923583984\n", "The 1907th loop: cost = 58.02519226074219\n", "The 1908th loop: cost = 57.90898895263672\n", "The 1909th loop: cost = 56.395774841308594\n", "The 1910th loop: cost = 48.653926849365234\n", "The 1911th loop: cost = 63.47568130493164\n", "The 1912th loop: cost = 55.808250427246094\n", "The 1913th loop: cost = 54.14191818237305\n", "The 1914th loop: cost = 50.98035430908203\n", "The 1915th loop: cost = 50.3975830078125\n", "The 1916th loop: cost = 52.00437545776367\n", "The 1917th loop: cost = 52.15613555908203\n", "The 1918th loop: cost = 46.45165252685547\n", "The 1919th loop: cost = 76.65850067138672\n", "The 1920th loop: cost = 44.89659881591797\n", "The 1921th loop: cost = 52.789405822753906\n", "The 1922th loop: cost = 56.016475677490234\n", "The 1923th loop: cost = 64.66213989257812\n", "The 1924th loop: cost = 52.88436508178711\n", "The 1925th loop: cost = 47.85742950439453\n", "The 1926th loop: cost = 51.96209716796875\n", "The 1927th loop: cost = 62.2182731628418\n", "The 1928th loop: cost = 46.979820251464844\n", "The 1929th loop: cost = 67.42015075683594\n", "The 1930th loop: cost = 41.66670227050781\n", "The 1931th loop: cost = 57.80272674560547\n", "The 1932th loop: cost = 51.71770477294922\n", "The 1933th loop: cost = 62.16358184814453\n", "The 1934th loop: cost = 58.38933563232422\n", "The 1935th loop: cost = 65.3524169921875\n", "The 1936th loop: cost = 54.39496994018555\n", "The 1937th loop: cost = 51.10840606689453\n", "The 1938th loop: cost = 47.039306640625\n", "The 1939th loop: cost = 65.06465148925781\n", "The 1940th loop: cost = 46.417686462402344\n", "The 1941th loop: cost = 51.27204513549805\n", "The 1942th loop: cost = 45.89261245727539\n", "The 1943th loop: cost = 57.886173248291016\n", "The 1944th loop: cost = 58.832435607910156\n", "The 1945th loop: cost = 41.66614532470703\n", "The 1946th loop: cost = 54.382850646972656\n", "The 1947th loop: cost = 58.16175842285156\n", "The 1948th loop: cost = 44.494903564453125\n", "The 1949th loop: cost = 65.24504089355469\n", "The 1950th loop: cost = 59.594764709472656\n", "The 1951th loop: cost = 55.49223709106445\n", "The 1952th loop: cost = 57.79345703125\n", "The 1953th loop: cost = 55.61428451538086\n", "The 1954th loop: cost = 54.71671676635742\n", "The 1955th loop: cost = 50.394229888916016\n", "The 1956th loop: cost = 43.236454010009766\n", "The 1957th loop: cost = 55.080196380615234\n", "The 1958th loop: cost = 50.318992614746094\n", "The 1959th loop: cost = 39.18497085571289\n", "The 1960th loop: cost = 70.32398986816406\n", "The 1961th loop: cost = 60.770347595214844\n", "The 1962th loop: cost = 41.92522048950195\n", "The 1963th loop: cost = 53.654300689697266\n", "The 1964th loop: cost = 53.943851470947266\n", "The 1965th loop: cost = 68.16947937011719\n", "The 1966th loop: cost = 64.74409484863281\n", "The 1967th loop: cost = 45.406982421875\n", "The 1968th loop: cost = 51.945716857910156\n", "The 1969th loop: cost = 58.28767013549805\n", "The 1970th loop: cost = 55.07075119018555\n", "The 1971th loop: cost = 53.07346725463867\n", "The 1972th loop: cost = 57.605167388916016\n", "The 1973th loop: cost = 52.77693176269531\n", "The 1974th loop: cost = 60.52864074707031\n", "The 1975th loop: cost = 64.56497192382812\n", "The 1976th loop: cost = 49.186973571777344\n", "The 1977th loop: cost = 43.71672439575195\n", "The 1978th loop: cost = 52.13330078125\n", "The 1979th loop: cost = 67.0129623413086\n", "The 1980th loop: cost = 50.71509552001953\n", "The 1981th loop: cost = 64.41697692871094\n", "The 1982th loop: cost = 51.59259033203125\n", "The 1983th loop: cost = 41.374000549316406\n", "The 1984th loop: cost = 52.88572692871094\n", "The 1985th loop: cost = 65.81563568115234\n", "The 1986th loop: cost = 54.32568359375\n", "The 1987th loop: cost = 46.917381286621094\n", "The 1988th loop: cost = 55.66033172607422\n", "The 1989th loop: cost = 54.25821304321289\n", "The 1990th loop: cost = 63.63724899291992\n", "The 1991th loop: cost = 48.1624755859375\n", "The 1992th loop: cost = 55.439788818359375\n", "The 1993th loop: cost = 57.6021614074707\n", "The 1994th loop: cost = 56.22185516357422\n", "The 1995th loop: cost = 42.329193115234375\n", "The 1996th loop: cost = 50.07520294189453\n", "The 1997th loop: cost = 50.39137268066406\n", "The 1998th loop: cost = 54.90657043457031\n", "The 1999th loop: cost = 59.67256164550781\n", "The 2000th loop: cost = 56.2178955078125\n", "The 2001th loop: cost = 49.62093734741211\n", "The 2002th loop: cost = 59.094696044921875\n", "The 2003th loop: cost = 50.49163818359375\n", "The 2004th loop: cost = 46.30514907836914\n", "The 2005th loop: cost = 54.767974853515625\n", "The 2006th loop: cost = 57.273372650146484\n", "The 2007th loop: cost = 48.925453186035156\n", "The 2008th loop: cost = 55.433631896972656\n", "The 2009th loop: cost = 53.13875961303711\n", "The 2010th loop: cost = 53.41530227661133\n", "The 2011th loop: cost = 51.54645538330078\n", "The 2012th loop: cost = 50.97624206542969\n", "The 2013th loop: cost = 55.57267379760742\n", "The 2014th loop: cost = 46.89054489135742\n", "The 2015th loop: cost = 50.516727447509766\n", "The 2016th loop: cost = 54.045265197753906\n", "The 2017th loop: cost = 61.022361755371094\n", "The 2018th loop: cost = 63.957096099853516\n", "The 2019th loop: cost = 57.7100944519043\n", "The 2020th loop: cost = 55.35779571533203\n", "The 2021th loop: cost = 55.829856872558594\n", "The 2022th loop: cost = 57.504173278808594\n", "The 2023th loop: cost = 53.32611083984375\n", "The 2024th loop: cost = 56.7174072265625\n", "The 2025th loop: cost = 51.21491241455078\n", "The 2026th loop: cost = 49.15035629272461\n", "The 2027th loop: cost = 57.264381408691406\n", "The 2028th loop: cost = 61.188987731933594\n", "The 2029th loop: cost = 53.85018539428711\n", "The 2030th loop: cost = 40.671966552734375\n", "The 2031th loop: cost = 62.84314727783203\n", "The 2032th loop: cost = 42.102569580078125\n", "The 2033th loop: cost = 51.58503341674805\n", "The 2034th loop: cost = 57.71136474609375\n", "The 2035th loop: cost = 49.82799530029297\n", "The 2036th loop: cost = 47.92631530761719\n", "The 2037th loop: cost = 51.134769439697266\n", "The 2038th loop: cost = 49.98298645019531\n", "The 2039th loop: cost = 51.90728759765625\n", "The 2040th loop: cost = 48.193824768066406\n", "The 2041th loop: cost = 54.85517120361328\n", "The 2042th loop: cost = 51.27006912231445\n", "The 2043th loop: cost = 53.11994552612305\n", "The 2044th loop: cost = 55.85995101928711\n", "The 2045th loop: cost = 49.37566375732422\n", "The 2046th loop: cost = 53.63661193847656\n", "The 2047th loop: cost = 65.11638641357422\n", "The 2048th loop: cost = 45.83867645263672\n", "The 2049th loop: cost = 42.70574188232422\n", "The 2050th loop: cost = 65.03953552246094\n", "The 2051th loop: cost = 55.18622589111328\n", "The 2052th loop: cost = 52.17554473876953\n", "The 2053th loop: cost = 50.69389343261719\n", "The 2054th loop: cost = 60.5383415222168\n", "The 2055th loop: cost = 53.89773178100586\n", "The 2056th loop: cost = 43.63706970214844\n", "The 2057th loop: cost = 64.62715148925781\n", "The 2058th loop: cost = 57.23080825805664\n", "The 2059th loop: cost = 53.21739959716797\n", "The 2060th loop: cost = 48.799102783203125\n", "The 2061th loop: cost = 56.263885498046875\n", "The 2062th loop: cost = 61.22966003417969\n", "The 2063th loop: cost = 52.968875885009766\n", "The 2064th loop: cost = 51.07183074951172\n", "The 2065th loop: cost = 47.523223876953125\n", "The 2066th loop: cost = 55.06897735595703\n", "The 2067th loop: cost = 63.12869644165039\n", "The 2068th loop: cost = 56.44576644897461\n", "The 2069th loop: cost = 55.6440315246582\n", "The 2070th loop: cost = 53.680702209472656\n", "The 2071th loop: cost = 49.033775329589844\n", "The 2072th loop: cost = 62.142303466796875\n", "The 2073th loop: cost = 48.348182678222656\n", "The 2074th loop: cost = 53.76264953613281\n", "The 2075th loop: cost = 47.25768280029297\n", "The 2076th loop: cost = 47.059566497802734\n", "The 2077th loop: cost = 51.280860900878906\n", "The 2078th loop: cost = 51.68586349487305\n", "The 2079th loop: cost = 46.06945037841797\n", "The 2080th loop: cost = 51.02423095703125\n", "The 2081th loop: cost = 53.887569427490234\n", "The 2082th loop: cost = 50.09455108642578\n", "The 2083th loop: cost = 55.2316780090332\n", "The 2084th loop: cost = 57.167415618896484\n", "The 2085th loop: cost = 64.69729614257812\n", "The 2086th loop: cost = 59.99671173095703\n", "The 2087th loop: cost = 51.2313346862793\n", "The 2088th loop: cost = 49.97233963012695\n", "The 2089th loop: cost = 51.866477966308594\n", "The 2090th loop: cost = 49.965431213378906\n", "The 2091th loop: cost = 58.74833679199219\n", "The 2092th loop: cost = 53.52206039428711\n", "The 2093th loop: cost = 57.13204574584961\n", "The 2094th loop: cost = 48.03303146362305\n", "The 2095th loop: cost = 51.82728576660156\n", "The 2096th loop: cost = 50.353118896484375\n", "The 2097th loop: cost = 58.80474853515625\n", "The 2098th loop: cost = 53.63988494873047\n", "The 2099th loop: cost = 52.24030303955078\n", "The 2100th loop: cost = 55.8070068359375\n", "The 2101th loop: cost = 56.05864715576172\n", "The 2102th loop: cost = 52.14449691772461\n", "The 2103th loop: cost = 54.32188415527344\n", "The 2104th loop: cost = 58.71619415283203\n", "The 2105th loop: cost = 51.16835021972656\n", "The 2106th loop: cost = 47.83587646484375\n", "The 2107th loop: cost = 53.16212463378906\n", "The 2108th loop: cost = 53.18550109863281\n", "The 2109th loop: cost = 48.02357482910156\n", "The 2110th loop: cost = 54.35554504394531\n", "The 2111th loop: cost = 49.2984619140625\n", "The 2112th loop: cost = 60.65274429321289\n", "The 2113th loop: cost = 70.98274993896484\n", "The 2114th loop: cost = 50.82770538330078\n", "The 2115th loop: cost = 60.92864990234375\n", "The 2116th loop: cost = 51.56816101074219\n", "The 2117th loop: cost = 55.156036376953125\n", "The 2118th loop: cost = 43.689247131347656\n", "The 2119th loop: cost = 53.43077087402344\n", "The 2120th loop: cost = 46.89241027832031\n", "The 2121th loop: cost = 62.61559295654297\n", "The 2122th loop: cost = 62.508277893066406\n", "The 2123th loop: cost = 62.819129943847656\n", "The 2124th loop: cost = 50.01279067993164\n", "The 2125th loop: cost = 44.575828552246094\n", "The 2126th loop: cost = 45.43897247314453\n", "The 2127th loop: cost = 53.10143280029297\n", "The 2128th loop: cost = 58.11267852783203\n", "The 2129th loop: cost = 57.46727752685547\n", "The 2130th loop: cost = 50.13752365112305\n", "The 2131th loop: cost = 48.14674377441406\n", "The 2132th loop: cost = 63.03715515136719\n", "The 2133th loop: cost = 53.17890167236328\n", "The 2134th loop: cost = 60.02687072753906\n", "The 2135th loop: cost = 46.91223907470703\n", "The 2136th loop: cost = 53.05670928955078\n", "The 2137th loop: cost = 43.57481384277344\n", "The 2138th loop: cost = 61.18003463745117\n", "The 2139th loop: cost = 45.07634735107422\n", "The 2140th loop: cost = 56.66107940673828\n", "The 2141th loop: cost = 50.56919860839844\n", "The 2142th loop: cost = 48.180030822753906\n", "The 2143th loop: cost = 56.75924301147461\n", "The 2144th loop: cost = 55.21041488647461\n", "The 2145th loop: cost = 56.56419372558594\n", "The 2146th loop: cost = 57.318538665771484\n", "The 2147th loop: cost = 54.69820022583008\n", "The 2148th loop: cost = 56.06182098388672\n", "The 2149th loop: cost = 53.1285400390625\n", "The 2150th loop: cost = 54.547637939453125\n", "The 2151th loop: cost = 59.09128952026367\n", "The 2152th loop: cost = 55.48813247680664\n", "The 2153th loop: cost = 49.16951370239258\n", "The 2154th loop: cost = 64.46414947509766\n", "The 2155th loop: cost = 49.824554443359375\n", "The 2156th loop: cost = 51.54893493652344\n", "The 2157th loop: cost = 61.21821594238281\n", "The 2158th loop: cost = 54.71160125732422\n", "The 2159th loop: cost = 48.87261962890625\n", "The 2160th loop: cost = 47.91426467895508\n", "The 2161th loop: cost = 44.25946044921875\n", "The 2162th loop: cost = 50.882049560546875\n", "The 2163th loop: cost = 54.43519592285156\n", "The 2164th loop: cost = 50.02214431762695\n", "The 2165th loop: cost = 61.23338317871094\n", "The 2166th loop: cost = 48.481361389160156\n", "The 2167th loop: cost = 49.258872985839844\n", "The 2168th loop: cost = 45.517295837402344\n", "The 2169th loop: cost = 45.090797424316406\n", "The 2170th loop: cost = 58.52570724487305\n", "The 2171th loop: cost = 53.72911071777344\n", "The 2172th loop: cost = 53.76045227050781\n", "The 2173th loop: cost = 49.33511734008789\n", "The 2174th loop: cost = 58.103363037109375\n", "The 2175th loop: cost = 54.38521194458008\n", "The 2176th loop: cost = 50.82122802734375\n", "The 2177th loop: cost = 49.99778366088867\n", "The 2178th loop: cost = 51.691627502441406\n", "The 2179th loop: cost = 51.19710922241211\n", "The 2180th loop: cost = 64.2650146484375\n", "The 2181th loop: cost = 56.37187194824219\n", "The 2182th loop: cost = 55.33357620239258\n", "The 2183th loop: cost = 54.524330139160156\n", "The 2184th loop: cost = 59.128135681152344\n", "The 2185th loop: cost = 54.99882507324219\n", "The 2186th loop: cost = 49.80889892578125\n", "The 2187th loop: cost = 54.398460388183594\n", "The 2188th loop: cost = 41.30247497558594\n", "The 2189th loop: cost = 63.63471221923828\n", "The 2190th loop: cost = 60.38459014892578\n", "The 2191th loop: cost = 55.193748474121094\n", "The 2192th loop: cost = 54.537322998046875\n", "The 2193th loop: cost = 48.17455291748047\n", "The 2194th loop: cost = 61.31787872314453\n", "The 2195th loop: cost = 51.74888610839844\n", "The 2196th loop: cost = 60.955501556396484\n", "The 2197th loop: cost = 64.62614440917969\n", "The 2198th loop: cost = 50.02184295654297\n", "The 2199th loop: cost = 57.213897705078125\n", "The 2200th loop: cost = 63.03199005126953\n", "The 2201th loop: cost = 57.5344352722168\n", "The 2202th loop: cost = 57.331844329833984\n", "The 2203th loop: cost = 51.602012634277344\n", "The 2204th loop: cost = 55.03424072265625\n", "The 2205th loop: cost = 46.43027114868164\n", "The 2206th loop: cost = 45.86895751953125\n", "The 2207th loop: cost = 40.46982192993164\n", "The 2208th loop: cost = 58.408729553222656\n", "The 2209th loop: cost = 58.90611267089844\n", "The 2210th loop: cost = 49.64299011230469\n", "The 2211th loop: cost = 64.58076477050781\n", "The 2212th loop: cost = 61.92765426635742\n", "The 2213th loop: cost = 51.901702880859375\n", "The 2214th loop: cost = 52.560848236083984\n", "The 2215th loop: cost = 51.85475540161133\n", "The 2216th loop: cost = 57.52526092529297\n", "The 2217th loop: cost = 51.24650573730469\n", "The 2218th loop: cost = 55.817718505859375\n", "The 2219th loop: cost = 56.61030197143555\n", "The 2220th loop: cost = 56.681915283203125\n", "The 2221th loop: cost = 57.66897201538086\n", "The 2222th loop: cost = 53.15432357788086\n", "The 2223th loop: cost = 50.51331329345703\n", "The 2224th loop: cost = 47.13663864135742\n", "The 2225th loop: cost = 41.51751708984375\n", "The 2226th loop: cost = 55.92213439941406\n", "The 2227th loop: cost = 58.53765869140625\n", "The 2228th loop: cost = 56.13743591308594\n", "The 2229th loop: cost = 59.851829528808594\n", "The 2230th loop: cost = 47.848323822021484\n", "The 2231th loop: cost = 55.645015716552734\n", "The 2232th loop: cost = 45.57284927368164\n", "The 2233th loop: cost = 48.56062316894531\n", "The 2234th loop: cost = 43.93560028076172\n", "The 2235th loop: cost = 58.778846740722656\n", "The 2236th loop: cost = 55.56269454956055\n", "The 2237th loop: cost = 49.85785675048828\n", "The 2238th loop: cost = 46.867244720458984\n", "The 2239th loop: cost = 46.18125534057617\n", "The 2240th loop: cost = 51.69740295410156\n", "The 2241th loop: cost = 50.37306213378906\n", "The 2242th loop: cost = 43.58066940307617\n", "The 2243th loop: cost = 42.02259826660156\n", "The 2244th loop: cost = 65.17080688476562\n", "The 2245th loop: cost = 58.5555305480957\n", "The 2246th loop: cost = 58.3878288269043\n", "The 2247th loop: cost = 51.262481689453125\n", "The 2248th loop: cost = 53.106109619140625\n", "The 2249th loop: cost = 63.213531494140625\n", "The 2250th loop: cost = 51.732452392578125\n", "The 2251th loop: cost = 58.973167419433594\n", "The 2252th loop: cost = 50.14705276489258\n", "The 2253th loop: cost = 49.40318298339844\n", "The 2254th loop: cost = 53.99805450439453\n", "The 2255th loop: cost = 57.4857292175293\n", "The 2256th loop: cost = 48.83412170410156\n", "The 2257th loop: cost = 62.5098762512207\n", "The 2258th loop: cost = 51.16631317138672\n", "The 2259th loop: cost = 49.19298553466797\n", "The 2260th loop: cost = 53.6617546081543\n", "The 2261th loop: cost = 56.16725158691406\n", "The 2262th loop: cost = 56.45608139038086\n", "The 2263th loop: cost = 50.052764892578125\n", "The 2264th loop: cost = 51.17552185058594\n", "The 2265th loop: cost = 55.35508728027344\n", "The 2266th loop: cost = 56.11249542236328\n", "The 2267th loop: cost = 54.26136779785156\n", "The 2268th loop: cost = 47.88042449951172\n", "The 2269th loop: cost = 54.90258026123047\n", "The 2270th loop: cost = 47.12511444091797\n", "The 2271th loop: cost = 55.3790397644043\n", "The 2272th loop: cost = 52.631378173828125\n", "The 2273th loop: cost = 45.1117057800293\n", "The 2274th loop: cost = 53.323795318603516\n", "The 2275th loop: cost = 55.57180404663086\n", "The 2276th loop: cost = 57.01128387451172\n", "The 2277th loop: cost = 50.5407600402832\n", "The 2278th loop: cost = 43.34141540527344\n", "The 2279th loop: cost = 42.26457214355469\n", "The 2280th loop: cost = 56.190818786621094\n", "The 2281th loop: cost = 46.52385711669922\n", "The 2282th loop: cost = 65.27499389648438\n", "The 2283th loop: cost = 54.027835845947266\n", "The 2284th loop: cost = 46.04600143432617\n", "The 2285th loop: cost = 69.79804229736328\n", "The 2286th loop: cost = 49.70874786376953\n", "The 2287th loop: cost = 45.346954345703125\n", "The 2288th loop: cost = 47.299888610839844\n", "The 2289th loop: cost = 46.30223846435547\n", "The 2290th loop: cost = 58.045528411865234\n", "The 2291th loop: cost = 48.669898986816406\n", "The 2292th loop: cost = 57.26182556152344\n", "The 2293th loop: cost = 61.147579193115234\n", "The 2294th loop: cost = 44.69189453125\n", "The 2295th loop: cost = 45.79692077636719\n", "The 2296th loop: cost = 48.624759674072266\n", "The 2297th loop: cost = 56.603233337402344\n", "The 2298th loop: cost = 53.61925506591797\n", "The 2299th loop: cost = 50.1165657043457\n", "The 2300th loop: cost = 46.542083740234375\n", "The 2301th loop: cost = 52.26652526855469\n", "The 2302th loop: cost = 64.3239517211914\n", "The 2303th loop: cost = 44.80473327636719\n", "The 2304th loop: cost = 47.529972076416016\n", "The 2305th loop: cost = 46.105472564697266\n", "The 2306th loop: cost = 46.97843551635742\n", "The 2307th loop: cost = 53.99650573730469\n", "The 2308th loop: cost = 57.094940185546875\n", "The 2309th loop: cost = 55.84064483642578\n", "The 2310th loop: cost = 42.35581970214844\n", "The 2311th loop: cost = 52.03585433959961\n", "The 2312th loop: cost = 52.09193801879883\n", "The 2313th loop: cost = 60.32351303100586\n", "The 2314th loop: cost = 47.170475006103516\n", "The 2315th loop: cost = 52.705718994140625\n", "The 2316th loop: cost = 55.829124450683594\n", "The 2317th loop: cost = 46.59239196777344\n", "The 2318th loop: cost = 56.94963836669922\n", "The 2319th loop: cost = 50.178855895996094\n", "The 2320th loop: cost = 55.497093200683594\n", "The 2321th loop: cost = 43.55125045776367\n", "The 2322th loop: cost = 54.139404296875\n", "The 2323th loop: cost = 39.09194564819336\n", "The 2324th loop: cost = 51.64493942260742\n", "The 2325th loop: cost = 60.08344268798828\n", "The 2326th loop: cost = 53.13429260253906\n", "The 2327th loop: cost = 59.452430725097656\n", "The 2328th loop: cost = 55.29216003417969\n", "The 2329th loop: cost = 51.33622360229492\n", "The 2330th loop: cost = 53.10560989379883\n", "The 2331th loop: cost = 45.425262451171875\n", "The 2332th loop: cost = 58.38372802734375\n", "The 2333th loop: cost = 53.31882858276367\n", "The 2334th loop: cost = 51.2237548828125\n", "The 2335th loop: cost = 52.67854690551758\n", "The 2336th loop: cost = 51.35799026489258\n", "The 2337th loop: cost = 47.448486328125\n", "The 2338th loop: cost = 50.8632926940918\n", "The 2339th loop: cost = 46.50160217285156\n", "The 2340th loop: cost = 47.58340072631836\n", "The 2341th loop: cost = 48.80467987060547\n", "The 2342th loop: cost = 61.856300354003906\n", "The 2343th loop: cost = 53.31229782104492\n", "The 2344th loop: cost = 57.474308013916016\n", "The 2345th loop: cost = 43.650054931640625\n", "The 2346th loop: cost = 52.19432067871094\n", "The 2347th loop: cost = 49.914581298828125\n", "The 2348th loop: cost = 53.255409240722656\n", "The 2349th loop: cost = 47.82825469970703\n", "The 2350th loop: cost = 59.21173095703125\n", "The 2351th loop: cost = 55.182579040527344\n", "The 2352th loop: cost = 43.83850860595703\n", "The 2353th loop: cost = 57.06837844848633\n", "The 2354th loop: cost = 49.353240966796875\n", "The 2355th loop: cost = 51.635311126708984\n", "The 2356th loop: cost = 52.26972198486328\n", "The 2357th loop: cost = 47.27070999145508\n", "The 2358th loop: cost = 60.04815673828125\n", "The 2359th loop: cost = 56.76176834106445\n", "The 2360th loop: cost = 60.5906867980957\n", "The 2361th loop: cost = 48.929229736328125\n", "The 2362th loop: cost = 47.044944763183594\n", "The 2363th loop: cost = 51.71086883544922\n", "The 2364th loop: cost = 47.671104431152344\n", "The 2365th loop: cost = 45.298095703125\n", "The 2366th loop: cost = 45.297245025634766\n", "The 2367th loop: cost = 45.44432067871094\n", "The 2368th loop: cost = 52.248802185058594\n", "The 2369th loop: cost = 51.76841735839844\n", "The 2370th loop: cost = 44.59697723388672\n", "The 2371th loop: cost = 44.95130920410156\n", "The 2372th loop: cost = 47.78706359863281\n", "The 2373th loop: cost = 61.29535675048828\n", "The 2374th loop: cost = 62.23660659790039\n", "The 2375th loop: cost = 57.755706787109375\n", "The 2376th loop: cost = 48.211856842041016\n", "The 2377th loop: cost = 50.72148895263672\n", "The 2378th loop: cost = 52.96442794799805\n", "The 2379th loop: cost = 54.11327362060547\n", "The 2380th loop: cost = 49.01959991455078\n", "The 2381th loop: cost = 56.219512939453125\n", "The 2382th loop: cost = 53.77157974243164\n", "The 2383th loop: cost = 54.33566665649414\n", "The 2384th loop: cost = 52.427093505859375\n", "The 2385th loop: cost = 55.922550201416016\n", "The 2386th loop: cost = 49.8551139831543\n", "The 2387th loop: cost = 56.782249450683594\n", "The 2388th loop: cost = 51.16984939575195\n", "The 2389th loop: cost = 66.39656829833984\n", "The 2390th loop: cost = 47.79338836669922\n", "The 2391th loop: cost = 50.48663330078125\n", "The 2392th loop: cost = 49.61628723144531\n", "The 2393th loop: cost = 43.09464645385742\n", "The 2394th loop: cost = 53.363075256347656\n", "The 2395th loop: cost = 51.0375862121582\n", "The 2396th loop: cost = 56.59838104248047\n", "The 2397th loop: cost = 45.1237907409668\n", "The 2398th loop: cost = 39.825469970703125\n", "The 2399th loop: cost = 59.16919708251953\n", "The 2400th loop: cost = 66.28045654296875\n", "The 2401th loop: cost = 47.36286163330078\n", "The 2402th loop: cost = 50.085445404052734\n", "The 2403th loop: cost = 56.45562744140625\n", "The 2404th loop: cost = 53.928531646728516\n", "The 2405th loop: cost = 49.47734451293945\n", "The 2406th loop: cost = 40.748409271240234\n", "The 2407th loop: cost = 57.853485107421875\n", "The 2408th loop: cost = 42.63290786743164\n", "The 2409th loop: cost = 48.10783004760742\n", "The 2410th loop: cost = 58.128509521484375\n", "The 2411th loop: cost = 59.30113220214844\n", "The 2412th loop: cost = 46.59374237060547\n", "The 2413th loop: cost = 46.26472854614258\n", "The 2414th loop: cost = 60.872230529785156\n", "The 2415th loop: cost = 59.5211181640625\n", "The 2416th loop: cost = 44.672393798828125\n", "The 2417th loop: cost = 62.00739288330078\n", "The 2418th loop: cost = 51.0684814453125\n", "The 2419th loop: cost = 52.80176544189453\n", "The 2420th loop: cost = 46.818695068359375\n", "The 2421th loop: cost = 53.03568649291992\n", "The 2422th loop: cost = 50.62220764160156\n", "The 2423th loop: cost = 44.31690216064453\n", "The 2424th loop: cost = 50.13534164428711\n", "The 2425th loop: cost = 55.698753356933594\n", "The 2426th loop: cost = 45.53683090209961\n", "The 2427th loop: cost = 67.2379150390625\n", "The 2428th loop: cost = 50.9796257019043\n", "The 2429th loop: cost = 50.66800308227539\n", "The 2430th loop: cost = 57.85115051269531\n", "The 2431th loop: cost = 46.851314544677734\n", "The 2432th loop: cost = 50.32035827636719\n", "The 2433th loop: cost = 54.939510345458984\n", "The 2434th loop: cost = 45.48198699951172\n", "The 2435th loop: cost = 51.286224365234375\n", "The 2436th loop: cost = 44.53241729736328\n", "The 2437th loop: cost = 52.71562194824219\n", "The 2438th loop: cost = 49.56354904174805\n", "The 2439th loop: cost = 57.41097640991211\n", "The 2440th loop: cost = 56.38499450683594\n", "The 2441th loop: cost = 54.067047119140625\n", "The 2442th loop: cost = 51.92763137817383\n", "The 2443th loop: cost = 58.9908447265625\n", "The 2444th loop: cost = 63.75748825073242\n", "The 2445th loop: cost = 56.552154541015625\n", "The 2446th loop: cost = 55.552669525146484\n", "The 2447th loop: cost = 49.57417297363281\n", "The 2448th loop: cost = 55.06937026977539\n", "The 2449th loop: cost = 51.26466369628906\n", "The 2450th loop: cost = 58.52777099609375\n", "The 2451th loop: cost = 45.42150115966797\n", "The 2452th loop: cost = 58.70610809326172\n", "The 2453th loop: cost = 54.93401336669922\n", "The 2454th loop: cost = 56.16674041748047\n", "The 2455th loop: cost = 51.34490966796875\n", "The 2456th loop: cost = 58.3126335144043\n", "The 2457th loop: cost = 42.080421447753906\n", "The 2458th loop: cost = 55.707183837890625\n", "The 2459th loop: cost = 51.90776824951172\n", "The 2460th loop: cost = 51.66162872314453\n", "The 2461th loop: cost = 54.57059097290039\n", "The 2462th loop: cost = 45.216148376464844\n", "The 2463th loop: cost = 52.887367248535156\n", "The 2464th loop: cost = 59.91909408569336\n", "The 2465th loop: cost = 48.61357879638672\n", "The 2466th loop: cost = 59.50715637207031\n", "The 2467th loop: cost = 53.87969207763672\n", "The 2468th loop: cost = 48.89688491821289\n", "The 2469th loop: cost = 48.01838302612305\n", "The 2470th loop: cost = 53.5849723815918\n", "The 2471th loop: cost = 45.569671630859375\n", "The 2472th loop: cost = 46.109840393066406\n", "The 2473th loop: cost = 50.398006439208984\n", "The 2474th loop: cost = 51.79369354248047\n", "The 2475th loop: cost = 43.351444244384766\n", "The 2476th loop: cost = 58.98101043701172\n", "The 2477th loop: cost = 48.79833221435547\n", "The 2478th loop: cost = 52.145591735839844\n", "The 2479th loop: cost = 42.478271484375\n", "The 2480th loop: cost = 52.828208923339844\n", "The 2481th loop: cost = 56.29944610595703\n", "The 2482th loop: cost = 50.27090072631836\n", "The 2483th loop: cost = 50.10340881347656\n", "The 2484th loop: cost = 47.608604431152344\n", "The 2485th loop: cost = 61.39678192138672\n", "The 2486th loop: cost = 47.57999038696289\n", "The 2487th loop: cost = 57.61677551269531\n", "The 2488th loop: cost = 40.96601104736328\n", "The 2489th loop: cost = 51.99279022216797\n", "The 2490th loop: cost = 62.65547561645508\n", "The 2491th loop: cost = 49.187232971191406\n", "The 2492th loop: cost = 47.20922088623047\n", "The 2493th loop: cost = 41.590179443359375\n", "The 2494th loop: cost = 48.96763229370117\n", "The 2495th loop: cost = 48.0009765625\n", "The 2496th loop: cost = 62.880455017089844\n", "The 2497th loop: cost = 54.0234489440918\n", "The 2498th loop: cost = 42.87586212158203\n", "The 2499th loop: cost = 58.7552375793457\n", "The 2500th loop: cost = 43.58380126953125\n", "The 2501th loop: cost = 52.829856872558594\n", "The 2502th loop: cost = 46.04452896118164\n", "The 2503th loop: cost = 52.987850189208984\n", "The 2504th loop: cost = 54.96894836425781\n", "The 2505th loop: cost = 46.91758346557617\n", "The 2506th loop: cost = 52.214752197265625\n", "The 2507th loop: cost = 40.159400939941406\n", "The 2508th loop: cost = 66.85011291503906\n", "The 2509th loop: cost = 58.93684387207031\n", "The 2510th loop: cost = 49.74578094482422\n", "The 2511th loop: cost = 41.47126007080078\n", "The 2512th loop: cost = 59.97161865234375\n", "The 2513th loop: cost = 52.168766021728516\n", "The 2514th loop: cost = 57.39688491821289\n", "The 2515th loop: cost = 66.47313690185547\n", "The 2516th loop: cost = 48.999149322509766\n", "The 2517th loop: cost = 49.367488861083984\n", "The 2518th loop: cost = 43.30513000488281\n", "The 2519th loop: cost = 60.129913330078125\n", "The 2520th loop: cost = 64.90951538085938\n", "The 2521th loop: cost = 50.262516021728516\n", "The 2522th loop: cost = 61.83650207519531\n", "The 2523th loop: cost = 48.321895599365234\n", "The 2524th loop: cost = 58.644134521484375\n", "The 2525th loop: cost = 49.87861633300781\n", "The 2526th loop: cost = 63.35945129394531\n", "The 2527th loop: cost = 55.772377014160156\n", "The 2528th loop: cost = 52.2266845703125\n", "The 2529th loop: cost = 46.97581481933594\n", "The 2530th loop: cost = 54.03605651855469\n", "The 2531th loop: cost = 46.22995376586914\n", "The 2532th loop: cost = 52.432228088378906\n", "The 2533th loop: cost = 42.916343688964844\n", "The 2534th loop: cost = 50.75596237182617\n", "The 2535th loop: cost = 43.69963455200195\n", "The 2536th loop: cost = 55.668479919433594\n", "The 2537th loop: cost = 50.889930725097656\n", "The 2538th loop: cost = 60.22903823852539\n", "The 2539th loop: cost = 67.98612976074219\n", "The 2540th loop: cost = 63.48709487915039\n", "The 2541th loop: cost = 50.014461517333984\n", "The 2542th loop: cost = 48.23137283325195\n", "The 2543th loop: cost = 55.32974624633789\n", "The 2544th loop: cost = 58.65581512451172\n", "The 2545th loop: cost = 51.61863708496094\n", "The 2546th loop: cost = 55.29236602783203\n", "The 2547th loop: cost = 58.140071868896484\n", "The 2548th loop: cost = 46.318824768066406\n", "The 2549th loop: cost = 54.78413772583008\n", "The 2550th loop: cost = 47.287574768066406\n", "The 2551th loop: cost = 47.960609436035156\n", "The 2552th loop: cost = 40.33696746826172\n", "The 2553th loop: cost = 45.533935546875\n", "The 2554th loop: cost = 57.65814208984375\n", "The 2555th loop: cost = 48.12371063232422\n", "The 2556th loop: cost = 53.2332763671875\n", "The 2557th loop: cost = 46.53581237792969\n", "The 2558th loop: cost = 52.44306945800781\n", "The 2559th loop: cost = 52.452056884765625\n", "The 2560th loop: cost = 50.0827751159668\n", "The 2561th loop: cost = 49.72051239013672\n", "The 2562th loop: cost = 49.842742919921875\n", "The 2563th loop: cost = 49.79722595214844\n", "The 2564th loop: cost = 49.174705505371094\n", "The 2565th loop: cost = 58.322418212890625\n", "The 2566th loop: cost = 44.81184387207031\n", "The 2567th loop: cost = 61.326751708984375\n", "The 2568th loop: cost = 50.47442626953125\n", "The 2569th loop: cost = 48.70722961425781\n", "The 2570th loop: cost = 50.16288375854492\n", "The 2571th loop: cost = 42.59492492675781\n", "The 2572th loop: cost = 42.24079895019531\n", "The 2573th loop: cost = 42.17191696166992\n", "The 2574th loop: cost = 64.0232162475586\n", "The 2575th loop: cost = 59.078285217285156\n", "The 2576th loop: cost = 53.64908981323242\n", "The 2577th loop: cost = 59.59667205810547\n", "The 2578th loop: cost = 61.12403106689453\n", "The 2579th loop: cost = 48.30061340332031\n", "The 2580th loop: cost = 55.318763732910156\n", "The 2581th loop: cost = 52.511260986328125\n", "The 2582th loop: cost = 49.43711853027344\n", "The 2583th loop: cost = 53.05647277832031\n", "The 2584th loop: cost = 64.00468444824219\n", "The 2585th loop: cost = 55.82054901123047\n", "The 2586th loop: cost = 59.063255310058594\n", "The 2587th loop: cost = 47.6025390625\n", "The 2588th loop: cost = 47.51498031616211\n", "The 2589th loop: cost = 55.531341552734375\n", "The 2590th loop: cost = 53.175445556640625\n", "The 2591th loop: cost = 41.53797912597656\n", "The 2592th loop: cost = 50.370269775390625\n", "The 2593th loop: cost = 45.421409606933594\n", "The 2594th loop: cost = 50.43669128417969\n", "The 2595th loop: cost = 46.59333038330078\n", "The 2596th loop: cost = 48.74013137817383\n", "The 2597th loop: cost = 49.117279052734375\n", "The 2598th loop: cost = 46.682228088378906\n", "The 2599th loop: cost = 55.26294708251953\n", "The 2600th loop: cost = 53.642547607421875\n", "The 2601th loop: cost = 54.13035583496094\n", "The 2602th loop: cost = 48.34016418457031\n", "The 2603th loop: cost = 42.43559265136719\n", "The 2604th loop: cost = 52.13014221191406\n", "The 2605th loop: cost = 60.173213958740234\n", "The 2606th loop: cost = 47.16707992553711\n", "The 2607th loop: cost = 44.666568756103516\n", "The 2608th loop: cost = 44.018592834472656\n", "The 2609th loop: cost = 47.015594482421875\n", "The 2610th loop: cost = 43.28300094604492\n", "The 2611th loop: cost = 48.35664367675781\n", "The 2612th loop: cost = 50.079002380371094\n", "The 2613th loop: cost = 56.09336853027344\n", "The 2614th loop: cost = 41.65161895751953\n", "The 2615th loop: cost = 42.997066497802734\n", "The 2616th loop: cost = 39.35974884033203\n", "The 2617th loop: cost = 45.16892623901367\n", "The 2618th loop: cost = 46.76333999633789\n", "The 2619th loop: cost = 44.02839279174805\n", "The 2620th loop: cost = 51.125526428222656\n", "The 2621th loop: cost = 53.24859619140625\n", "The 2622th loop: cost = 44.88471221923828\n", "The 2623th loop: cost = 44.77142333984375\n", "The 2624th loop: cost = 50.94084930419922\n", "The 2625th loop: cost = 53.38928985595703\n", "The 2626th loop: cost = 47.43224334716797\n", "The 2627th loop: cost = 50.05937194824219\n", "The 2628th loop: cost = 57.18454360961914\n", "The 2629th loop: cost = 44.885520935058594\n", "The 2630th loop: cost = 38.264957427978516\n", "The 2631th loop: cost = 54.27668762207031\n", "The 2632th loop: cost = 47.606117248535156\n", "The 2633th loop: cost = 52.56423568725586\n", "The 2634th loop: cost = 53.054466247558594\n", "The 2635th loop: cost = 53.65544891357422\n", "The 2636th loop: cost = 53.50968551635742\n", "The 2637th loop: cost = 48.52790069580078\n", "The 2638th loop: cost = 49.82355499267578\n", "The 2639th loop: cost = 51.06670379638672\n", "The 2640th loop: cost = 53.59563064575195\n", "The 2641th loop: cost = 45.58644485473633\n", "The 2642th loop: cost = 59.453792572021484\n", "The 2643th loop: cost = 54.3712043762207\n", "The 2644th loop: cost = 54.39537811279297\n", "The 2645th loop: cost = 54.51909637451172\n", "The 2646th loop: cost = 53.01679229736328\n", "The 2647th loop: cost = 61.945213317871094\n", "The 2648th loop: cost = 45.417015075683594\n", "The 2649th loop: cost = 41.9122314453125\n", "The 2650th loop: cost = 46.19527816772461\n", "The 2651th loop: cost = 44.544795989990234\n", "The 2652th loop: cost = 46.055694580078125\n", "The 2653th loop: cost = 56.424110412597656\n", "The 2654th loop: cost = 48.29051971435547\n", "The 2655th loop: cost = 43.25776290893555\n", "The 2656th loop: cost = 60.893043518066406\n", "The 2657th loop: cost = 50.74985885620117\n", "The 2658th loop: cost = 48.52660369873047\n", "The 2659th loop: cost = 52.40088653564453\n", "The 2660th loop: cost = 55.96470642089844\n", "The 2661th loop: cost = 38.008724212646484\n", "The 2662th loop: cost = 48.45707702636719\n", "The 2663th loop: cost = 50.1649169921875\n", "The 2664th loop: cost = 47.238258361816406\n", "The 2665th loop: cost = 48.73603057861328\n", "The 2666th loop: cost = 60.52764892578125\n", "The 2667th loop: cost = 43.73206329345703\n", "The 2668th loop: cost = 54.416603088378906\n", "The 2669th loop: cost = 46.87015914916992\n", "The 2670th loop: cost = 60.62983703613281\n", "The 2671th loop: cost = 50.54310607910156\n", "The 2672th loop: cost = 51.536773681640625\n", "The 2673th loop: cost = 51.783348083496094\n", "The 2674th loop: cost = 54.82974624633789\n", "The 2675th loop: cost = 46.126163482666016\n", "The 2676th loop: cost = 52.18769836425781\n", "The 2677th loop: cost = 44.59413146972656\n", "The 2678th loop: cost = 61.323970794677734\n", "The 2679th loop: cost = 56.944515228271484\n", "The 2680th loop: cost = 51.717185974121094\n", "The 2681th loop: cost = 48.933170318603516\n", "The 2682th loop: cost = 42.592777252197266\n", "The 2683th loop: cost = 46.31575012207031\n", "The 2684th loop: cost = 39.57162857055664\n", "The 2685th loop: cost = 58.46977996826172\n", "The 2686th loop: cost = 50.12593078613281\n", "The 2687th loop: cost = 48.201263427734375\n", "The 2688th loop: cost = 48.38972473144531\n", "The 2689th loop: cost = 58.4713134765625\n", "The 2690th loop: cost = 41.9002685546875\n", "The 2691th loop: cost = 46.80945587158203\n", "The 2692th loop: cost = 52.26212692260742\n", "The 2693th loop: cost = 62.42164611816406\n", "The 2694th loop: cost = 48.27485656738281\n", "The 2695th loop: cost = 61.85261535644531\n", "The 2696th loop: cost = 53.896270751953125\n", "The 2697th loop: cost = 51.435020446777344\n", "The 2698th loop: cost = 52.44590377807617\n", "The 2699th loop: cost = 58.74909210205078\n", "The 2700th loop: cost = 53.43108367919922\n", "The 2701th loop: cost = 47.867942810058594\n", "The 2702th loop: cost = 42.32060241699219\n", "The 2703th loop: cost = 44.44004821777344\n", "The 2704th loop: cost = 54.15597915649414\n", "The 2705th loop: cost = 59.737579345703125\n", "The 2706th loop: cost = 56.951080322265625\n", "The 2707th loop: cost = 54.05659484863281\n", "The 2708th loop: cost = 55.15805435180664\n", "The 2709th loop: cost = 53.781734466552734\n", "The 2710th loop: cost = 56.378299713134766\n", "The 2711th loop: cost = 48.13111114501953\n", "The 2712th loop: cost = 44.56134796142578\n", "The 2713th loop: cost = 45.56475830078125\n", "The 2714th loop: cost = 49.69314193725586\n", "The 2715th loop: cost = 48.98115539550781\n", "The 2716th loop: cost = 44.647552490234375\n", "The 2717th loop: cost = 51.758460998535156\n", "The 2718th loop: cost = 50.0134162902832\n", "The 2719th loop: cost = 58.08733367919922\n", "The 2720th loop: cost = 41.77537536621094\n", "The 2721th loop: cost = 54.51630401611328\n", "The 2722th loop: cost = 52.08448028564453\n", "The 2723th loop: cost = 48.15452194213867\n", "The 2724th loop: cost = 46.56655502319336\n", "The 2725th loop: cost = 54.439842224121094\n", "The 2726th loop: cost = 48.521026611328125\n", "The 2727th loop: cost = 46.30241394042969\n", "The 2728th loop: cost = 54.054649353027344\n", "The 2729th loop: cost = 48.536285400390625\n", "The 2730th loop: cost = 60.703102111816406\n", "The 2731th loop: cost = 54.81679916381836\n", "The 2732th loop: cost = 44.19395065307617\n", "The 2733th loop: cost = 53.62510681152344\n", "The 2734th loop: cost = 47.38996124267578\n", "The 2735th loop: cost = 53.43330383300781\n", "The 2736th loop: cost = 49.34831619262695\n", "The 2737th loop: cost = 45.114219665527344\n", "The 2738th loop: cost = 59.125633239746094\n", "The 2739th loop: cost = 64.8551025390625\n", "The 2740th loop: cost = 62.7860221862793\n", "The 2741th loop: cost = 52.52580261230469\n", "The 2742th loop: cost = 57.56610107421875\n", "The 2743th loop: cost = 57.39507293701172\n", "The 2744th loop: cost = 51.396690368652344\n", "The 2745th loop: cost = 43.37242889404297\n", "The 2746th loop: cost = 54.03105926513672\n", "The 2747th loop: cost = 48.921775817871094\n", "The 2748th loop: cost = 56.18563461303711\n", "The 2749th loop: cost = 45.71205139160156\n", "The 2750th loop: cost = 45.60023498535156\n", "The 2751th loop: cost = 51.62158966064453\n", "The 2752th loop: cost = 49.330787658691406\n", "The 2753th loop: cost = 53.498924255371094\n", "The 2754th loop: cost = 44.41364288330078\n", "The 2755th loop: cost = 62.200889587402344\n", "The 2756th loop: cost = 48.07353973388672\n", "The 2757th loop: cost = 56.589988708496094\n", "The 2758th loop: cost = 48.4913215637207\n", "The 2759th loop: cost = 52.661285400390625\n", "The 2760th loop: cost = 52.96292495727539\n", "The 2761th loop: cost = 52.94313430786133\n", "The 2762th loop: cost = 48.941139221191406\n", "The 2763th loop: cost = 50.79646301269531\n", "The 2764th loop: cost = 45.42768859863281\n", "The 2765th loop: cost = 52.74911117553711\n", "The 2766th loop: cost = 43.05857849121094\n", "The 2767th loop: cost = 42.92791748046875\n", "The 2768th loop: cost = 54.090118408203125\n", "The 2769th loop: cost = 48.20545196533203\n", "The 2770th loop: cost = 48.630577087402344\n", "The 2771th loop: cost = 54.52170944213867\n", "The 2772th loop: cost = 48.55290222167969\n", "The 2773th loop: cost = 51.79914855957031\n", "The 2774th loop: cost = 42.54932403564453\n", "The 2775th loop: cost = 58.245018005371094\n", "The 2776th loop: cost = 49.842933654785156\n", "The 2777th loop: cost = 49.25808334350586\n", "The 2778th loop: cost = 48.90382385253906\n", "The 2779th loop: cost = 41.36375427246094\n", "The 2780th loop: cost = 42.56529998779297\n", "The 2781th loop: cost = 42.77570724487305\n", "The 2782th loop: cost = 58.1096076965332\n", "The 2783th loop: cost = 47.560508728027344\n", "The 2784th loop: cost = 51.6557502746582\n", "The 2785th loop: cost = 49.615413665771484\n", "The 2786th loop: cost = 49.66898727416992\n", "The 2787th loop: cost = 51.805816650390625\n", "The 2788th loop: cost = 47.58331298828125\n", "The 2789th loop: cost = 52.63319778442383\n", "The 2790th loop: cost = 48.37234115600586\n", "The 2791th loop: cost = 60.104984283447266\n", "The 2792th loop: cost = 53.25611877441406\n", "The 2793th loop: cost = 47.91374588012695\n", "The 2794th loop: cost = 45.81282424926758\n", "The 2795th loop: cost = 52.93242645263672\n", "The 2796th loop: cost = 52.51076889038086\n", "The 2797th loop: cost = 46.89215850830078\n", "The 2798th loop: cost = 49.445228576660156\n", "The 2799th loop: cost = 52.22047424316406\n", "The 2800th loop: cost = 43.349853515625\n", "The 2801th loop: cost = 51.69853973388672\n", "The 2802th loop: cost = 49.896175384521484\n", "The 2803th loop: cost = 45.02661895751953\n", "The 2804th loop: cost = 59.177452087402344\n", "The 2805th loop: cost = 49.15748596191406\n", "The 2806th loop: cost = 58.71573257446289\n", "The 2807th loop: cost = 50.37876510620117\n", "The 2808th loop: cost = 35.9644775390625\n", "The 2809th loop: cost = 52.63432312011719\n", "The 2810th loop: cost = 62.090232849121094\n", "The 2811th loop: cost = 53.86566162109375\n", "The 2812th loop: cost = 54.79875946044922\n", "The 2813th loop: cost = 46.83986282348633\n", "The 2814th loop: cost = 53.28684997558594\n", "The 2815th loop: cost = 52.87471008300781\n", "The 2816th loop: cost = 47.093421936035156\n", "The 2817th loop: cost = 55.53510284423828\n", "The 2818th loop: cost = 45.030792236328125\n", "The 2819th loop: cost = 45.18682861328125\n", "The 2820th loop: cost = 52.342376708984375\n", "The 2821th loop: cost = 51.214813232421875\n", "The 2822th loop: cost = 51.71424865722656\n", "The 2823th loop: cost = 59.321468353271484\n", "The 2824th loop: cost = 45.687110900878906\n", "The 2825th loop: cost = 53.6738395690918\n", "The 2826th loop: cost = 46.83686065673828\n", "The 2827th loop: cost = 37.89657211303711\n", "The 2828th loop: cost = 51.05742645263672\n", "The 2829th loop: cost = 49.387245178222656\n", "The 2830th loop: cost = 50.31462478637695\n", "The 2831th loop: cost = 49.728641510009766\n", "The 2832th loop: cost = 46.86601257324219\n", "The 2833th loop: cost = 48.027076721191406\n", "The 2834th loop: cost = 51.25175857543945\n", "The 2835th loop: cost = 51.808319091796875\n", "The 2836th loop: cost = 38.96088409423828\n", "The 2837th loop: cost = 42.975154876708984\n", "The 2838th loop: cost = 52.15675354003906\n", "The 2839th loop: cost = 51.63067626953125\n", "The 2840th loop: cost = 46.28119659423828\n", "The 2841th loop: cost = 65.96466827392578\n", "The 2842th loop: cost = 51.249412536621094\n", "The 2843th loop: cost = 47.80740737915039\n", "The 2844th loop: cost = 55.039886474609375\n", "The 2845th loop: cost = 49.65032196044922\n", "The 2846th loop: cost = 50.1472053527832\n", "The 2847th loop: cost = 45.217262268066406\n", "The 2848th loop: cost = 46.191864013671875\n", "The 2849th loop: cost = 50.233455657958984\n", "The 2850th loop: cost = 56.782249450683594\n", "The 2851th loop: cost = 44.85295104980469\n", "The 2852th loop: cost = 57.185447692871094\n", "The 2853th loop: cost = 54.20368957519531\n", "The 2854th loop: cost = 47.45524597167969\n", "The 2855th loop: cost = 55.733154296875\n", "The 2856th loop: cost = 56.22783660888672\n", "The 2857th loop: cost = 39.60712432861328\n", "The 2858th loop: cost = 39.38422393798828\n", "The 2859th loop: cost = 61.93208312988281\n", "The 2860th loop: cost = 45.15374755859375\n", "The 2861th loop: cost = 50.3454475402832\n", "The 2862th loop: cost = 53.27217102050781\n", "The 2863th loop: cost = 46.377471923828125\n", "The 2864th loop: cost = 36.868473052978516\n", "The 2865th loop: cost = 39.975067138671875\n", "The 2866th loop: cost = 53.31927490234375\n", "The 2867th loop: cost = 47.249855041503906\n", "The 2868th loop: cost = 43.195030212402344\n", "The 2869th loop: cost = 61.47844314575195\n", "The 2870th loop: cost = 47.278358459472656\n", "The 2871th loop: cost = 56.11615753173828\n", "The 2872th loop: cost = 50.76284408569336\n", "The 2873th loop: cost = 43.97164535522461\n", "The 2874th loop: cost = 47.0560188293457\n", "The 2875th loop: cost = 45.89286422729492\n", "The 2876th loop: cost = 47.922019958496094\n", "The 2877th loop: cost = 52.31205749511719\n", "The 2878th loop: cost = 59.80327606201172\n", "The 2879th loop: cost = 47.146671295166016\n", "The 2880th loop: cost = 45.19062042236328\n", "The 2881th loop: cost = 42.87554168701172\n", "The 2882th loop: cost = 58.406524658203125\n", "The 2883th loop: cost = 52.44450378417969\n", "The 2884th loop: cost = 57.0537109375\n", "The 2885th loop: cost = 41.96985626220703\n", "The 2886th loop: cost = 50.913822174072266\n", "The 2887th loop: cost = 52.80543518066406\n", "The 2888th loop: cost = 61.4124641418457\n", "The 2889th loop: cost = 47.94685363769531\n", "The 2890th loop: cost = 44.78770446777344\n", "The 2891th loop: cost = 45.68878936767578\n", "The 2892th loop: cost = 47.66144943237305\n", "The 2893th loop: cost = 54.374969482421875\n", "The 2894th loop: cost = 54.98772430419922\n", "The 2895th loop: cost = 50.11575698852539\n", "The 2896th loop: cost = 46.73239517211914\n", "The 2897th loop: cost = 52.57171630859375\n", "The 2898th loop: cost = 54.3577880859375\n", "The 2899th loop: cost = 54.99632263183594\n", "The 2900th loop: cost = 56.515987396240234\n", "The 2901th loop: cost = 60.863609313964844\n", "The 2902th loop: cost = 53.715606689453125\n", "The 2903th loop: cost = 48.95781707763672\n", "The 2904th loop: cost = 48.42386245727539\n", "The 2905th loop: cost = 53.326499938964844\n", "The 2906th loop: cost = 50.72425079345703\n", "The 2907th loop: cost = 53.77305221557617\n", "The 2908th loop: cost = 56.26641082763672\n", "The 2909th loop: cost = 52.08214569091797\n", "The 2910th loop: cost = 54.67578125\n", "The 2911th loop: cost = 51.58668518066406\n", "The 2912th loop: cost = 59.45020294189453\n", "The 2913th loop: cost = 55.569610595703125\n", "The 2914th loop: cost = 50.38850021362305\n", "The 2915th loop: cost = 40.8402099609375\n", "The 2916th loop: cost = 41.9521484375\n", "The 2917th loop: cost = 49.58430480957031\n", "The 2918th loop: cost = 50.71370315551758\n", "The 2919th loop: cost = 58.006263732910156\n", "The 2920th loop: cost = 55.40044021606445\n", "The 2921th loop: cost = 53.31666564941406\n", "The 2922th loop: cost = 55.386070251464844\n", "The 2923th loop: cost = 50.59410095214844\n", "The 2924th loop: cost = 58.357906341552734\n", "The 2925th loop: cost = 60.16554260253906\n", "The 2926th loop: cost = 43.06847381591797\n", "The 2927th loop: cost = 52.67764663696289\n", "The 2928th loop: cost = 47.69072341918945\n", "The 2929th loop: cost = 46.53782272338867\n", "The 2930th loop: cost = 63.158203125\n", "The 2931th loop: cost = 57.15822219848633\n", "The 2932th loop: cost = 47.589683532714844\n", "The 2933th loop: cost = 52.4828987121582\n", "The 2934th loop: cost = 46.987060546875\n", "The 2935th loop: cost = 41.276161193847656\n", "The 2936th loop: cost = 34.12978744506836\n", "The 2937th loop: cost = 59.454715728759766\n", "The 2938th loop: cost = 62.29176712036133\n", "The 2939th loop: cost = 52.373443603515625\n", "The 2940th loop: cost = 52.55731201171875\n", "The 2941th loop: cost = 63.579833984375\n", "The 2942th loop: cost = 57.31675720214844\n", "The 2943th loop: cost = 48.38904571533203\n", "The 2944th loop: cost = 49.451175689697266\n", "The 2945th loop: cost = 53.35637664794922\n", "The 2946th loop: cost = 46.647613525390625\n", "The 2947th loop: cost = 59.373802185058594\n", "The 2948th loop: cost = 50.52743911743164\n", "The 2949th loop: cost = 45.445552825927734\n", "The 2950th loop: cost = 46.39883804321289\n", "The 2951th loop: cost = 50.240699768066406\n", "The 2952th loop: cost = 56.386199951171875\n", "The 2953th loop: cost = 50.7602653503418\n", "The 2954th loop: cost = 54.85805892944336\n", "The 2955th loop: cost = 55.71398162841797\n", "The 2956th loop: cost = 55.41442108154297\n", "The 2957th loop: cost = 45.690887451171875\n", "The 2958th loop: cost = 52.83223342895508\n", "The 2959th loop: cost = 47.784034729003906\n", "The 2960th loop: cost = 47.69139862060547\n", "The 2961th loop: cost = 36.82933807373047\n", "The 2962th loop: cost = 49.19670867919922\n", "The 2963th loop: cost = 57.23799133300781\n", "The 2964th loop: cost = 45.464500427246094\n", "The 2965th loop: cost = 43.798866271972656\n", "The 2966th loop: cost = 61.20518112182617\n", "The 2967th loop: cost = 39.34381866455078\n", "The 2968th loop: cost = 36.98426818847656\n", "The 2969th loop: cost = 46.86773681640625\n", "The 2970th loop: cost = 51.183284759521484\n", "The 2971th loop: cost = 51.77382278442383\n", "The 2972th loop: cost = 45.672119140625\n", "The 2973th loop: cost = 58.526580810546875\n", "The 2974th loop: cost = 38.19220733642578\n", "The 2975th loop: cost = 47.07544708251953\n", "The 2976th loop: cost = 51.351722717285156\n", "The 2977th loop: cost = 51.52521514892578\n", "The 2978th loop: cost = 57.079307556152344\n", "The 2979th loop: cost = 55.64630889892578\n", "The 2980th loop: cost = 48.16766357421875\n", "The 2981th loop: cost = 45.441524505615234\n", "The 2982th loop: cost = 46.96162414550781\n", "The 2983th loop: cost = 48.00688171386719\n", "The 2984th loop: cost = 52.470314025878906\n", "The 2985th loop: cost = 51.33928680419922\n", "The 2986th loop: cost = 50.6358757019043\n", "The 2987th loop: cost = 50.48640441894531\n", "The 2988th loop: cost = 45.09580993652344\n", "The 2989th loop: cost = 66.72195434570312\n", "The 2990th loop: cost = 49.02667999267578\n", "The 2991th loop: cost = 53.923622131347656\n", "The 2992th loop: cost = 42.67240905761719\n", "The 2993th loop: cost = 56.07337951660156\n", "The 2994th loop: cost = 49.36119842529297\n", "The 2995th loop: cost = 55.95043182373047\n", "The 2996th loop: cost = 45.831787109375\n", "The 2997th loop: cost = 47.32185363769531\n", "The 2998th loop: cost = 49.469642639160156\n", "The 2999th loop: cost = 56.98445510864258\n", "The 3000th loop: cost = 50.0916862487793\n", "The 3001th loop: cost = 51.34638214111328\n", "The 3002th loop: cost = 66.03399658203125\n", "The 3003th loop: cost = 52.856475830078125\n", "The 3004th loop: cost = 48.199562072753906\n", "The 3005th loop: cost = 52.73527526855469\n", "The 3006th loop: cost = 40.68440246582031\n", "The 3007th loop: cost = 50.772335052490234\n", "The 3008th loop: cost = 53.725826263427734\n", "The 3009th loop: cost = 43.769432067871094\n", "The 3010th loop: cost = 48.672767639160156\n", "The 3011th loop: cost = 41.1827278137207\n", "The 3012th loop: cost = 49.254581451416016\n", "The 3013th loop: cost = 50.46428298950195\n", "The 3014th loop: cost = 47.43035125732422\n", "The 3015th loop: cost = 51.19276428222656\n", "The 3016th loop: cost = 46.21952819824219\n", "The 3017th loop: cost = 52.60553741455078\n", "The 3018th loop: cost = 40.38288879394531\n", "The 3019th loop: cost = 59.69771194458008\n", "The 3020th loop: cost = 55.01719665527344\n", "The 3021th loop: cost = 47.98735809326172\n", "The 3022th loop: cost = 44.05006790161133\n", "The 3023th loop: cost = 52.74965286254883\n", "The 3024th loop: cost = 53.03553771972656\n", "The 3025th loop: cost = 49.71513748168945\n", "The 3026th loop: cost = 50.013519287109375\n", "The 3027th loop: cost = 40.9918327331543\n", "The 3028th loop: cost = 53.33926010131836\n", "The 3029th loop: cost = 47.24393081665039\n", "The 3030th loop: cost = 48.69601821899414\n", "The 3031th loop: cost = 48.04924011230469\n", "The 3032th loop: cost = 55.743072509765625\n", "The 3033th loop: cost = 56.17277145385742\n", "The 3034th loop: cost = 47.50230026245117\n", "The 3035th loop: cost = 61.12919616699219\n", "The 3036th loop: cost = 39.0037841796875\n", "The 3037th loop: cost = 48.64410400390625\n", "The 3038th loop: cost = 51.33994674682617\n", "The 3039th loop: cost = 43.97734832763672\n", "The 3040th loop: cost = 50.17404556274414\n", "The 3041th loop: cost = 48.70457458496094\n", "The 3042th loop: cost = 53.08940887451172\n", "The 3043th loop: cost = 53.149192810058594\n", "The 3044th loop: cost = 52.079410552978516\n", "The 3045th loop: cost = 38.25590515136719\n", "The 3046th loop: cost = 45.314369201660156\n", "The 3047th loop: cost = 42.36894226074219\n", "The 3048th loop: cost = 45.82362365722656\n", "The 3049th loop: cost = 50.68061828613281\n", "The 3050th loop: cost = 49.03349304199219\n", "The 3051th loop: cost = 52.890567779541016\n", "The 3052th loop: cost = 50.46367645263672\n", "The 3053th loop: cost = 48.09539031982422\n", "The 3054th loop: cost = 57.029239654541016\n", "The 3055th loop: cost = 55.69211196899414\n", "The 3056th loop: cost = 56.60753631591797\n", "The 3057th loop: cost = 49.31609344482422\n", "The 3058th loop: cost = 47.90137481689453\n", "The 3059th loop: cost = 49.35138702392578\n", "The 3060th loop: cost = 51.140220642089844\n", "The 3061th loop: cost = 47.878868103027344\n", "The 3062th loop: cost = 43.00343322753906\n", "The 3063th loop: cost = 51.74458312988281\n", "The 3064th loop: cost = 54.06242370605469\n", "The 3065th loop: cost = 50.35840606689453\n", "The 3066th loop: cost = 62.92392349243164\n", "The 3067th loop: cost = 50.823822021484375\n", "The 3068th loop: cost = 57.27970886230469\n", "The 3069th loop: cost = 41.06528091430664\n", "The 3070th loop: cost = 50.520835876464844\n", "The 3071th loop: cost = 53.02140808105469\n", "The 3072th loop: cost = 49.60833740234375\n", "The 3073th loop: cost = 54.1063117980957\n", "The 3074th loop: cost = 47.96100616455078\n", "The 3075th loop: cost = 46.191078186035156\n", "The 3076th loop: cost = 50.94141387939453\n", "The 3077th loop: cost = 50.35446548461914\n", "The 3078th loop: cost = 45.47357177734375\n", "The 3079th loop: cost = 51.444549560546875\n", "The 3080th loop: cost = 42.16449737548828\n", "The 3081th loop: cost = 52.28584289550781\n", "The 3082th loop: cost = 54.317787170410156\n", "The 3083th loop: cost = 53.63726806640625\n", "The 3084th loop: cost = 58.41228485107422\n", "The 3085th loop: cost = 46.58086395263672\n", "The 3086th loop: cost = 55.616947174072266\n", "The 3087th loop: cost = 48.753089904785156\n", "The 3088th loop: cost = 47.55803680419922\n", "The 3089th loop: cost = 46.74678039550781\n", "The 3090th loop: cost = 41.71559524536133\n", "The 3091th loop: cost = 59.96364974975586\n", "The 3092th loop: cost = 52.03009796142578\n", "The 3093th loop: cost = 38.14642333984375\n", "The 3094th loop: cost = 51.98906707763672\n", "The 3095th loop: cost = 43.821678161621094\n", "The 3096th loop: cost = 51.57575988769531\n", "The 3097th loop: cost = 48.450836181640625\n", "The 3098th loop: cost = 47.667816162109375\n", "The 3099th loop: cost = 47.639427185058594\n", "The 3100th loop: cost = 55.96437072753906\n", "The 3101th loop: cost = 55.41572189331055\n", "The 3102th loop: cost = 43.74335479736328\n", "The 3103th loop: cost = 55.58216857910156\n", "The 3104th loop: cost = 49.68738555908203\n", "The 3105th loop: cost = 41.40064239501953\n", "The 3106th loop: cost = 50.59970474243164\n", "The 3107th loop: cost = 49.555023193359375\n", "The 3108th loop: cost = 62.00863265991211\n", "The 3109th loop: cost = 53.79505157470703\n", "The 3110th loop: cost = 50.00541687011719\n", "The 3111th loop: cost = 50.12293243408203\n", "The 3112th loop: cost = 44.91941452026367\n", "The 3113th loop: cost = 51.26176452636719\n", "The 3114th loop: cost = 47.63323974609375\n", "The 3115th loop: cost = 55.746559143066406\n", "The 3116th loop: cost = 55.0023307800293\n", "The 3117th loop: cost = 51.53271484375\n", "The 3118th loop: cost = 51.43378448486328\n", "The 3119th loop: cost = 59.25534439086914\n", "The 3120th loop: cost = 53.82990264892578\n", "The 3121th loop: cost = 60.380062103271484\n", "The 3122th loop: cost = 54.097965240478516\n", "The 3123th loop: cost = 50.37931442260742\n", "The 3124th loop: cost = 58.70732879638672\n", "The 3125th loop: cost = 52.063228607177734\n", "The 3126th loop: cost = 49.17719268798828\n", "The 3127th loop: cost = 43.216880798339844\n", "The 3128th loop: cost = 60.442161560058594\n", "The 3129th loop: cost = 54.613365173339844\n", "The 3130th loop: cost = 63.77098083496094\n", "The 3131th loop: cost = 44.66085433959961\n", "The 3132th loop: cost = 47.37140655517578\n", "The 3133th loop: cost = 48.39073181152344\n", "The 3134th loop: cost = 44.459896087646484\n", "The 3135th loop: cost = 46.39575958251953\n", "The 3136th loop: cost = 57.706790924072266\n", "The 3137th loop: cost = 45.922508239746094\n", "The 3138th loop: cost = 52.03211212158203\n", "The 3139th loop: cost = 54.03972625732422\n", "The 3140th loop: cost = 45.006141662597656\n", "The 3141th loop: cost = 41.11918640136719\n", "The 3142th loop: cost = 54.956214904785156\n", "The 3143th loop: cost = 43.607513427734375\n", "The 3144th loop: cost = 47.617271423339844\n", "The 3145th loop: cost = 45.42044448852539\n", "The 3146th loop: cost = 47.33953857421875\n", "The 3147th loop: cost = 45.92177200317383\n", "The 3148th loop: cost = 42.5904426574707\n", "The 3149th loop: cost = 49.391605377197266\n", "The 3150th loop: cost = 49.1339225769043\n", "The 3151th loop: cost = 49.43865966796875\n", "The 3152th loop: cost = 55.798606872558594\n", "The 3153th loop: cost = 48.57688903808594\n", "The 3154th loop: cost = 55.04964828491211\n", "The 3155th loop: cost = 53.4598388671875\n", "The 3156th loop: cost = 53.050506591796875\n", "The 3157th loop: cost = 48.116943359375\n", "The 3158th loop: cost = 57.20448303222656\n", "The 3159th loop: cost = 47.56128692626953\n", "The 3160th loop: cost = 54.29677963256836\n", "The 3161th loop: cost = 44.57814025878906\n", "The 3162th loop: cost = 54.79899597167969\n", "The 3163th loop: cost = 43.520423889160156\n", "The 3164th loop: cost = 49.65719223022461\n", "The 3165th loop: cost = 48.02509307861328\n", "The 3166th loop: cost = 45.42400360107422\n", "The 3167th loop: cost = 50.77063751220703\n", "The 3168th loop: cost = 60.39044952392578\n", "The 3169th loop: cost = 49.738311767578125\n", "The 3170th loop: cost = 37.784854888916016\n", "The 3171th loop: cost = 54.039581298828125\n", "The 3172th loop: cost = 46.69355010986328\n", "The 3173th loop: cost = 50.077362060546875\n", "The 3174th loop: cost = 38.21845245361328\n", "The 3175th loop: cost = 44.03118133544922\n", "The 3176th loop: cost = 43.42930603027344\n", "The 3177th loop: cost = 44.53319549560547\n", "The 3178th loop: cost = 44.96940612792969\n", "The 3179th loop: cost = 52.905765533447266\n", "The 3180th loop: cost = 50.17002868652344\n", "The 3181th loop: cost = 50.867454528808594\n", "The 3182th loop: cost = 55.88288116455078\n", "The 3183th loop: cost = 52.29835510253906\n", "The 3184th loop: cost = 47.992713928222656\n", "The 3185th loop: cost = 50.05595779418945\n", "The 3186th loop: cost = 60.89759063720703\n", "The 3187th loop: cost = 46.517356872558594\n", "The 3188th loop: cost = 53.360145568847656\n", "The 3189th loop: cost = 43.69348907470703\n", "The 3190th loop: cost = 45.05675506591797\n", "The 3191th loop: cost = 51.1995735168457\n", "The 3192th loop: cost = 44.41779708862305\n", "The 3193th loop: cost = 52.02486801147461\n", "The 3194th loop: cost = 45.11551284790039\n", "The 3195th loop: cost = 43.20964050292969\n", "The 3196th loop: cost = 51.80181884765625\n", "The 3197th loop: cost = 43.37699890136719\n", "The 3198th loop: cost = 57.19273376464844\n", "The 3199th loop: cost = 57.0292854309082\n", "The 3200th loop: cost = 47.83355712890625\n", "The 3201th loop: cost = 45.91114044189453\n", "The 3202th loop: cost = 43.22719955444336\n", "The 3203th loop: cost = 52.630775451660156\n", "The 3204th loop: cost = 51.356651306152344\n", "The 3205th loop: cost = 55.27903747558594\n", "The 3206th loop: cost = 46.53688049316406\n", "The 3207th loop: cost = 41.506141662597656\n", "The 3208th loop: cost = 48.26888656616211\n", "The 3209th loop: cost = 53.23735809326172\n", "The 3210th loop: cost = 52.81664276123047\n", "The 3211th loop: cost = 46.615631103515625\n", "The 3212th loop: cost = 46.26458740234375\n", "The 3213th loop: cost = 46.87321472167969\n", "The 3214th loop: cost = 49.59454345703125\n", "The 3215th loop: cost = 51.76752471923828\n", "The 3216th loop: cost = 54.6826057434082\n", "The 3217th loop: cost = 59.996482849121094\n", "The 3218th loop: cost = 45.15801239013672\n", "The 3219th loop: cost = 56.11248016357422\n", "The 3220th loop: cost = 37.35758972167969\n", "The 3221th loop: cost = 44.20166778564453\n", "The 3222th loop: cost = 41.64291763305664\n", "The 3223th loop: cost = 55.537811279296875\n", "The 3224th loop: cost = 50.14438247680664\n", "The 3225th loop: cost = 53.436439514160156\n", "The 3226th loop: cost = 53.977943420410156\n", "The 3227th loop: cost = 55.34842300415039\n", "The 3228th loop: cost = 62.393714904785156\n", "The 3229th loop: cost = 48.004947662353516\n", "The 3230th loop: cost = 56.00807189941406\n", "The 3231th loop: cost = 51.9578971862793\n", "The 3232th loop: cost = 47.33446502685547\n", "The 3233th loop: cost = 51.2161979675293\n", "The 3234th loop: cost = 50.160499572753906\n", "The 3235th loop: cost = 46.61600875854492\n", "The 3236th loop: cost = 44.7192268371582\n", "The 3237th loop: cost = 42.67338562011719\n", "The 3238th loop: cost = 57.23735046386719\n", "The 3239th loop: cost = 54.10426330566406\n", "The 3240th loop: cost = 63.12434005737305\n", "The 3241th loop: cost = 59.966121673583984\n", "The 3242th loop: cost = 50.60863494873047\n", "The 3243th loop: cost = 52.56080627441406\n", "The 3244th loop: cost = 54.68388366699219\n", "The 3245th loop: cost = 51.85728454589844\n", "The 3246th loop: cost = 57.464168548583984\n", "The 3247th loop: cost = 54.519710540771484\n", "The 3248th loop: cost = 53.5190315246582\n", "The 3249th loop: cost = 44.610355377197266\n", "The 3250th loop: cost = 53.24116516113281\n", "The 3251th loop: cost = 45.57717514038086\n", "The 3252th loop: cost = 56.84496307373047\n", "The 3253th loop: cost = 50.28267288208008\n", "The 3254th loop: cost = 45.11023712158203\n", "The 3255th loop: cost = 42.71941375732422\n", "The 3256th loop: cost = 52.53681564331055\n", "The 3257th loop: cost = 52.744049072265625\n", "The 3258th loop: cost = 53.7340087890625\n", "The 3259th loop: cost = 58.44355773925781\n", "The 3260th loop: cost = 55.48588180541992\n", "The 3261th loop: cost = 61.59734344482422\n", "The 3262th loop: cost = 51.64811706542969\n", "The 3263th loop: cost = 48.51439666748047\n", "The 3264th loop: cost = 56.112335205078125\n", "The 3265th loop: cost = 58.54572677612305\n", "The 3266th loop: cost = 54.45185089111328\n", "The 3267th loop: cost = 64.30491638183594\n", "The 3268th loop: cost = 55.15790557861328\n", "The 3269th loop: cost = 49.13920211791992\n", "The 3270th loop: cost = 51.48859405517578\n", "The 3271th loop: cost = 45.155479431152344\n", "The 3272th loop: cost = 48.647735595703125\n", "The 3273th loop: cost = 44.870330810546875\n", "The 3274th loop: cost = 36.86540603637695\n", "The 3275th loop: cost = 58.372032165527344\n", "The 3276th loop: cost = 58.788551330566406\n", "The 3277th loop: cost = 56.79636001586914\n", "The 3278th loop: cost = 58.147178649902344\n", "The 3279th loop: cost = 56.653099060058594\n", "The 3280th loop: cost = 61.0630989074707\n", "The 3281th loop: cost = 46.82170867919922\n", "The 3282th loop: cost = 48.479888916015625\n", "The 3283th loop: cost = 41.77104568481445\n", "The 3284th loop: cost = 48.817466735839844\n", "The 3285th loop: cost = 56.78425598144531\n", "The 3286th loop: cost = 45.4925422668457\n", "The 3287th loop: cost = 56.39423751831055\n", "The 3288th loop: cost = 70.76728820800781\n", "The 3289th loop: cost = 50.37340545654297\n", "The 3290th loop: cost = 57.922271728515625\n", "The 3291th loop: cost = 52.610084533691406\n", "The 3292th loop: cost = 48.081912994384766\n", "The 3293th loop: cost = 41.171844482421875\n", "The 3294th loop: cost = 43.376922607421875\n", "The 3295th loop: cost = 59.28137969970703\n", "The 3296th loop: cost = 55.304115295410156\n", "The 3297th loop: cost = 52.07386016845703\n", "The 3298th loop: cost = 46.563758850097656\n", "The 3299th loop: cost = 41.77610397338867\n", "The 3300th loop: cost = 59.11713409423828\n", "The 3301th loop: cost = 43.959651947021484\n", "The 3302th loop: cost = 43.71677017211914\n", "The 3303th loop: cost = 57.01118087768555\n", "The 3304th loop: cost = 62.32305145263672\n", "The 3305th loop: cost = 47.709449768066406\n", "The 3306th loop: cost = 55.90122985839844\n", "The 3307th loop: cost = 38.47422409057617\n", "The 3308th loop: cost = 53.86032485961914\n", "The 3309th loop: cost = 44.53191375732422\n", "The 3310th loop: cost = 51.90967559814453\n", "The 3311th loop: cost = 42.14229202270508\n", "The 3312th loop: cost = 54.98695373535156\n", "The 3313th loop: cost = 41.877838134765625\n", "The 3314th loop: cost = 50.8816032409668\n", "The 3315th loop: cost = 44.82483673095703\n", "The 3316th loop: cost = 50.37700653076172\n", "The 3317th loop: cost = 43.1071662902832\n", "The 3318th loop: cost = 42.08513641357422\n", "The 3319th loop: cost = 58.001319885253906\n", "The 3320th loop: cost = 45.144405364990234\n", "The 3321th loop: cost = 52.57931900024414\n", "The 3322th loop: cost = 44.95177459716797\n", "The 3323th loop: cost = 42.05012512207031\n", "The 3324th loop: cost = 44.70834732055664\n", "The 3325th loop: cost = 42.378910064697266\n", "The 3326th loop: cost = 47.8101921081543\n", "The 3327th loop: cost = 47.26678466796875\n", "The 3328th loop: cost = 48.70137023925781\n", "The 3329th loop: cost = 55.22044372558594\n", "The 3330th loop: cost = 57.588775634765625\n", "The 3331th loop: cost = 55.48008346557617\n", "The 3332th loop: cost = 53.41720962524414\n", "The 3333th loop: cost = 47.23176193237305\n", "The 3334th loop: cost = 54.63618087768555\n", "The 3335th loop: cost = 37.488826751708984\n", "The 3336th loop: cost = 41.20045471191406\n", "The 3337th loop: cost = 49.480587005615234\n", "The 3338th loop: cost = 50.765445709228516\n", "The 3339th loop: cost = 53.95263671875\n", "The 3340th loop: cost = 48.47007751464844\n", "The 3341th loop: cost = 57.805389404296875\n", "The 3342th loop: cost = 51.286529541015625\n", "The 3343th loop: cost = 62.07814025878906\n", "The 3344th loop: cost = 51.238487243652344\n", "The 3345th loop: cost = 66.55912780761719\n", "The 3346th loop: cost = 41.812591552734375\n", "The 3347th loop: cost = 48.096614837646484\n", "The 3348th loop: cost = 49.41423034667969\n", "The 3349th loop: cost = 48.01547622680664\n", "The 3350th loop: cost = 55.2139778137207\n", "The 3351th loop: cost = 40.996307373046875\n", "The 3352th loop: cost = 51.53001403808594\n", "The 3353th loop: cost = 56.18745040893555\n", "The 3354th loop: cost = 40.08961868286133\n", "The 3355th loop: cost = 50.37138366699219\n", "The 3356th loop: cost = 54.369117736816406\n", "The 3357th loop: cost = 45.272544860839844\n", "The 3358th loop: cost = 57.523155212402344\n", "The 3359th loop: cost = 57.88334274291992\n", "The 3360th loop: cost = 58.57386016845703\n", "The 3361th loop: cost = 52.604373931884766\n", "The 3362th loop: cost = 50.737884521484375\n", "The 3363th loop: cost = 43.15377426147461\n", "The 3364th loop: cost = 48.06389617919922\n", "The 3365th loop: cost = 51.351829528808594\n", "The 3366th loop: cost = 53.409751892089844\n", "The 3367th loop: cost = 47.941917419433594\n", "The 3368th loop: cost = 51.102027893066406\n", "The 3369th loop: cost = 58.2489128112793\n", "The 3370th loop: cost = 45.34264373779297\n", "The 3371th loop: cost = 39.42722702026367\n", "The 3372th loop: cost = 43.88450622558594\n", "The 3373th loop: cost = 54.32815933227539\n", "The 3374th loop: cost = 43.566383361816406\n", "The 3375th loop: cost = 57.552391052246094\n", "The 3376th loop: cost = 47.907371520996094\n", "The 3377th loop: cost = 53.49560546875\n", "The 3378th loop: cost = 48.42079544067383\n", "The 3379th loop: cost = 54.34152603149414\n", "The 3380th loop: cost = 47.88314437866211\n", "The 3381th loop: cost = 37.843421936035156\n", "The 3382th loop: cost = 60.70864486694336\n", "The 3383th loop: cost = 48.366790771484375\n", "The 3384th loop: cost = 44.143699645996094\n", "The 3385th loop: cost = 50.817832946777344\n", "The 3386th loop: cost = 47.150108337402344\n", "The 3387th loop: cost = 50.718467712402344\n", "The 3388th loop: cost = 60.218841552734375\n", "The 3389th loop: cost = 51.009796142578125\n", "The 3390th loop: cost = 43.45378875732422\n", "The 3391th loop: cost = 64.75782775878906\n", "The 3392th loop: cost = 56.22511672973633\n", "The 3393th loop: cost = 48.416358947753906\n", "The 3394th loop: cost = 51.87066650390625\n", "The 3395th loop: cost = 49.87045669555664\n", "The 3396th loop: cost = 50.51711654663086\n", "The 3397th loop: cost = 55.75000762939453\n", "The 3398th loop: cost = 62.12396240234375\n", "The 3399th loop: cost = 51.361106872558594\n", "The 3400th loop: cost = 44.375858306884766\n", "The 3401th loop: cost = 57.905372619628906\n", "The 3402th loop: cost = 58.64469909667969\n", "The 3403th loop: cost = 52.41718292236328\n", "The 3404th loop: cost = 47.30419158935547\n", "The 3405th loop: cost = 50.899940490722656\n", "The 3406th loop: cost = 47.370601654052734\n", "The 3407th loop: cost = 63.48662185668945\n", "The 3408th loop: cost = 50.658172607421875\n", "The 3409th loop: cost = 52.36517333984375\n", "The 3410th loop: cost = 49.55264663696289\n", "The 3411th loop: cost = 44.74151611328125\n", "The 3412th loop: cost = 46.867469787597656\n", "The 3413th loop: cost = 50.89652633666992\n", "The 3414th loop: cost = 52.354248046875\n", "The 3415th loop: cost = 40.13407897949219\n", "The 3416th loop: cost = 39.352699279785156\n", "The 3417th loop: cost = 46.85384750366211\n", "The 3418th loop: cost = 53.86024475097656\n", "The 3419th loop: cost = 58.15632629394531\n", "The 3420th loop: cost = 48.88294982910156\n", "The 3421th loop: cost = 43.67326354980469\n", "The 3422th loop: cost = 48.66306686401367\n", "The 3423th loop: cost = 48.89735412597656\n", "The 3424th loop: cost = 44.188846588134766\n", "The 3425th loop: cost = 59.82680130004883\n", "The 3426th loop: cost = 42.98059844970703\n", "The 3427th loop: cost = 55.565582275390625\n", "The 3428th loop: cost = 46.99071502685547\n", "The 3429th loop: cost = 58.27290344238281\n", "The 3430th loop: cost = 55.226505279541016\n", "The 3431th loop: cost = 61.573272705078125\n", "The 3432th loop: cost = 46.73125457763672\n", "The 3433th loop: cost = 50.97932434082031\n", "The 3434th loop: cost = 46.70915222167969\n", "The 3435th loop: cost = 48.23650360107422\n", "The 3436th loop: cost = 58.497623443603516\n", "The 3437th loop: cost = 47.62127685546875\n", "The 3438th loop: cost = 53.767093658447266\n", "The 3439th loop: cost = 43.741065979003906\n", "The 3440th loop: cost = 60.217491149902344\n", "The 3441th loop: cost = 59.38299560546875\n", "The 3442th loop: cost = 41.875022888183594\n", "The 3443th loop: cost = 45.080108642578125\n", "The 3444th loop: cost = 42.979103088378906\n", "The 3445th loop: cost = 47.77265167236328\n", "The 3446th loop: cost = 46.275108337402344\n", "The 3447th loop: cost = 45.48303985595703\n", "The 3448th loop: cost = 42.046714782714844\n", "The 3449th loop: cost = 49.93601608276367\n", "The 3450th loop: cost = 46.62151336669922\n", "The 3451th loop: cost = 40.936378479003906\n", "The 3452th loop: cost = 43.61967086791992\n", "The 3453th loop: cost = 42.548091888427734\n", "The 3454th loop: cost = 55.36532974243164\n", "The 3455th loop: cost = 51.460975646972656\n", "The 3456th loop: cost = 57.23200225830078\n", "The 3457th loop: cost = 43.12047576904297\n", "The 3458th loop: cost = 58.51295471191406\n", "The 3459th loop: cost = 52.43749237060547\n", "The 3460th loop: cost = 45.78324508666992\n", "The 3461th loop: cost = 54.5705680847168\n", "The 3462th loop: cost = 50.156982421875\n", "The 3463th loop: cost = 54.12998962402344\n", "The 3464th loop: cost = 57.480987548828125\n", "The 3465th loop: cost = 47.9951171875\n", "The 3466th loop: cost = 41.7574462890625\n", "The 3467th loop: cost = 39.113372802734375\n", "The 3468th loop: cost = 45.62104034423828\n", "The 3469th loop: cost = 54.902748107910156\n", "The 3470th loop: cost = 49.23442459106445\n", "The 3471th loop: cost = 39.906742095947266\n", "The 3472th loop: cost = 38.96707534790039\n", "The 3473th loop: cost = 47.02415084838867\n", "The 3474th loop: cost = 51.934486389160156\n", "The 3475th loop: cost = 61.85744094848633\n", "The 3476th loop: cost = 35.86937713623047\n", "The 3477th loop: cost = 53.84012985229492\n", "The 3478th loop: cost = 51.6473388671875\n", "The 3479th loop: cost = 46.107261657714844\n", "The 3480th loop: cost = 55.067935943603516\n", "The 3481th loop: cost = 43.806556701660156\n", "The 3482th loop: cost = 48.704715728759766\n", "The 3483th loop: cost = 55.780296325683594\n", "The 3484th loop: cost = 55.68556594848633\n", "The 3485th loop: cost = 48.347320556640625\n", "The 3486th loop: cost = 48.96868896484375\n", "The 3487th loop: cost = 47.337303161621094\n", "The 3488th loop: cost = 55.29463577270508\n", "The 3489th loop: cost = 55.168975830078125\n", "The 3490th loop: cost = 43.29467010498047\n", "The 3491th loop: cost = 47.846004486083984\n", "The 3492th loop: cost = 53.19905090332031\n", "The 3493th loop: cost = 55.487953186035156\n", "The 3494th loop: cost = 53.188392639160156\n", "The 3495th loop: cost = 49.76963806152344\n", "The 3496th loop: cost = 65.9139175415039\n", "The 3497th loop: cost = 60.061012268066406\n", "The 3498th loop: cost = 47.525856018066406\n", "The 3499th loop: cost = 50.472049713134766\n", "The 3500th loop: cost = 43.13682556152344\n", "The 3501th loop: cost = 57.78126525878906\n", "The 3502th loop: cost = 54.51905059814453\n", "The 3503th loop: cost = 51.437591552734375\n", "The 3504th loop: cost = 50.46186065673828\n", "The 3505th loop: cost = 54.18742752075195\n", "The 3506th loop: cost = 41.790245056152344\n", "The 3507th loop: cost = 53.03693389892578\n", "The 3508th loop: cost = 44.29015350341797\n", "The 3509th loop: cost = 58.676326751708984\n", "The 3510th loop: cost = 45.9217643737793\n", "The 3511th loop: cost = 46.72755432128906\n", "The 3512th loop: cost = 48.53731155395508\n", "The 3513th loop: cost = 39.797088623046875\n", "The 3514th loop: cost = 45.20950698852539\n", "The 3515th loop: cost = 63.27479553222656\n", "The 3516th loop: cost = 45.531585693359375\n", "The 3517th loop: cost = 59.862369537353516\n", "The 3518th loop: cost = 48.1717529296875\n", "The 3519th loop: cost = 42.29103088378906\n", "The 3520th loop: cost = 47.44564437866211\n", "The 3521th loop: cost = 52.382972717285156\n", "The 3522th loop: cost = 47.772029876708984\n", "The 3523th loop: cost = 42.9255256652832\n", "The 3524th loop: cost = 50.28395080566406\n", "The 3525th loop: cost = 42.5392951965332\n", "The 3526th loop: cost = 52.88036346435547\n", "The 3527th loop: cost = 45.76384735107422\n", "The 3528th loop: cost = 46.59532165527344\n", "The 3529th loop: cost = 47.40834426879883\n", "The 3530th loop: cost = 45.7294921875\n", "The 3531th loop: cost = 49.90732192993164\n", "The 3532th loop: cost = 45.659324645996094\n", "The 3533th loop: cost = 46.84265899658203\n", "The 3534th loop: cost = 45.48460388183594\n", "The 3535th loop: cost = 59.12767791748047\n", "The 3536th loop: cost = 53.348724365234375\n", "The 3537th loop: cost = 33.024383544921875\n", "The 3538th loop: cost = 46.534915924072266\n", "The 3539th loop: cost = 39.96504211425781\n", "The 3540th loop: cost = 38.33820724487305\n", "The 3541th loop: cost = 47.07744598388672\n", "The 3542th loop: cost = 49.92059326171875\n", "The 3543th loop: cost = 40.16590881347656\n", "The 3544th loop: cost = 42.87652587890625\n", "The 3545th loop: cost = 52.06077575683594\n", "The 3546th loop: cost = 54.427635192871094\n", "The 3547th loop: cost = 45.83966827392578\n", "The 3548th loop: cost = 48.18955993652344\n", "The 3549th loop: cost = 53.42312240600586\n", "The 3550th loop: cost = 50.55925750732422\n", "The 3551th loop: cost = 47.92444610595703\n", "The 3552th loop: cost = 44.42974853515625\n", "The 3553th loop: cost = 46.11595153808594\n", "The 3554th loop: cost = 46.05527114868164\n", "The 3555th loop: cost = 42.81834411621094\n", "The 3556th loop: cost = 51.470420837402344\n", "The 3557th loop: cost = 56.03667449951172\n", "The 3558th loop: cost = 41.0345573425293\n", "The 3559th loop: cost = 48.02901840209961\n", "The 3560th loop: cost = 52.50893020629883\n", "The 3561th loop: cost = 50.94442367553711\n", "The 3562th loop: cost = 61.14765548706055\n", "The 3563th loop: cost = 52.08073425292969\n", "The 3564th loop: cost = 44.60455322265625\n", "The 3565th loop: cost = 53.84375762939453\n", "The 3566th loop: cost = 42.00965881347656\n", "The 3567th loop: cost = 51.89258575439453\n", "The 3568th loop: cost = 48.482749938964844\n", "The 3569th loop: cost = 41.73258972167969\n", "The 3570th loop: cost = 47.994049072265625\n", "The 3571th loop: cost = 58.04022216796875\n", "The 3572th loop: cost = 51.607521057128906\n", "The 3573th loop: cost = 46.284027099609375\n", "The 3574th loop: cost = 50.01028060913086\n", "The 3575th loop: cost = 42.197654724121094\n", "The 3576th loop: cost = 54.25917053222656\n", "The 3577th loop: cost = 54.664886474609375\n", "The 3578th loop: cost = 54.2891731262207\n", "The 3579th loop: cost = 54.09170150756836\n", "The 3580th loop: cost = 52.140872955322266\n", "The 3581th loop: cost = 57.85245132446289\n", "The 3582th loop: cost = 47.981605529785156\n", "The 3583th loop: cost = 46.87162780761719\n", "The 3584th loop: cost = 52.24491500854492\n", "The 3585th loop: cost = 49.06996154785156\n", "The 3586th loop: cost = 44.81043243408203\n", "The 3587th loop: cost = 50.7301025390625\n", "The 3588th loop: cost = 58.15452575683594\n", "The 3589th loop: cost = 45.604530334472656\n", "The 3590th loop: cost = 47.051429748535156\n", "The 3591th loop: cost = 46.855751037597656\n", "The 3592th loop: cost = 37.57460021972656\n", "The 3593th loop: cost = 42.808349609375\n", "The 3594th loop: cost = 41.28851318359375\n", "The 3595th loop: cost = 50.093170166015625\n", "The 3596th loop: cost = 47.66069030761719\n", "The 3597th loop: cost = 50.456111907958984\n", "The 3598th loop: cost = 63.862213134765625\n", "The 3599th loop: cost = 52.87956237792969\n", "The 3600th loop: cost = 37.52838134765625\n", "The 3601th loop: cost = 50.76597213745117\n", "The 3602th loop: cost = 48.430152893066406\n", "The 3603th loop: cost = 52.905189514160156\n", "The 3604th loop: cost = 52.33842086791992\n", "The 3605th loop: cost = 48.872230529785156\n", "The 3606th loop: cost = 59.23616027832031\n", "The 3607th loop: cost = 49.504798889160156\n", "The 3608th loop: cost = 47.712162017822266\n", "The 3609th loop: cost = 46.67778015136719\n", "The 3610th loop: cost = 42.392181396484375\n", "The 3611th loop: cost = 56.08607482910156\n", "The 3612th loop: cost = 51.076812744140625\n", "The 3613th loop: cost = 54.360206604003906\n", "The 3614th loop: cost = 58.962730407714844\n", "The 3615th loop: cost = 40.58375549316406\n", "The 3616th loop: cost = 42.91236114501953\n", "The 3617th loop: cost = 46.40928268432617\n", "The 3618th loop: cost = 38.42710494995117\n", "The 3619th loop: cost = 36.96196365356445\n", "The 3620th loop: cost = 43.34121322631836\n", "The 3621th loop: cost = 37.903053283691406\n", "The 3622th loop: cost = 61.10196304321289\n", "The 3623th loop: cost = 40.818782806396484\n", "The 3624th loop: cost = 51.29362869262695\n", "The 3625th loop: cost = 50.4942512512207\n", "The 3626th loop: cost = 56.01622772216797\n", "The 3627th loop: cost = 47.949607849121094\n", "The 3628th loop: cost = 46.17192077636719\n", "The 3629th loop: cost = 40.55694580078125\n", "The 3630th loop: cost = 49.67230224609375\n", "The 3631th loop: cost = 45.84898376464844\n", "The 3632th loop: cost = 46.572505950927734\n", "The 3633th loop: cost = 43.191429138183594\n", "The 3634th loop: cost = 50.731964111328125\n", "The 3635th loop: cost = 46.80438995361328\n", "The 3636th loop: cost = 42.696922302246094\n", "The 3637th loop: cost = 52.18453598022461\n", "The 3638th loop: cost = 49.8712158203125\n", "The 3639th loop: cost = 45.24565887451172\n", "The 3640th loop: cost = 60.842384338378906\n", "The 3641th loop: cost = 43.71345901489258\n", "The 3642th loop: cost = 59.03062438964844\n", "The 3643th loop: cost = 48.3057975769043\n", "The 3644th loop: cost = 53.55821990966797\n", "The 3645th loop: cost = 44.920326232910156\n", "The 3646th loop: cost = 53.959877014160156\n", "The 3647th loop: cost = 49.23955535888672\n", "The 3648th loop: cost = 40.41499328613281\n", "The 3649th loop: cost = 47.1783332824707\n", "The 3650th loop: cost = 45.43174743652344\n", "The 3651th loop: cost = 49.68023681640625\n", "The 3652th loop: cost = 47.960853576660156\n", "The 3653th loop: cost = 52.79557418823242\n", "The 3654th loop: cost = 56.844703674316406\n", "The 3655th loop: cost = 57.76471710205078\n", "The 3656th loop: cost = 54.66748809814453\n", "The 3657th loop: cost = 47.00666809082031\n", "The 3658th loop: cost = 49.53361511230469\n", "The 3659th loop: cost = 44.56458282470703\n", "The 3660th loop: cost = 43.17052459716797\n", "The 3661th loop: cost = 54.012451171875\n", "The 3662th loop: cost = 50.194950103759766\n", "The 3663th loop: cost = 42.75102615356445\n", "The 3664th loop: cost = 49.73904037475586\n", "The 3665th loop: cost = 46.249839782714844\n", "The 3666th loop: cost = 46.23643112182617\n", "The 3667th loop: cost = 54.947574615478516\n", "The 3668th loop: cost = 57.02306365966797\n", "The 3669th loop: cost = 50.312049865722656\n", "The 3670th loop: cost = 40.50829315185547\n", "The 3671th loop: cost = 37.4569206237793\n", "The 3672th loop: cost = 45.182769775390625\n", "The 3673th loop: cost = 51.14510726928711\n", "The 3674th loop: cost = 64.93373107910156\n", "The 3675th loop: cost = 48.238162994384766\n", "The 3676th loop: cost = 45.99475860595703\n", "The 3677th loop: cost = 43.05337142944336\n", "The 3678th loop: cost = 44.809722900390625\n", "The 3679th loop: cost = 44.76026153564453\n", "The 3680th loop: cost = 55.856502532958984\n", "The 3681th loop: cost = 39.88322448730469\n", "The 3682th loop: cost = 59.582130432128906\n", "The 3683th loop: cost = 54.6033935546875\n", "The 3684th loop: cost = 45.05305480957031\n", "The 3685th loop: cost = 45.47637176513672\n", "The 3686th loop: cost = 50.76178741455078\n", "The 3687th loop: cost = 45.363372802734375\n", "The 3688th loop: cost = 51.41471862792969\n", "The 3689th loop: cost = 53.12408447265625\n", "The 3690th loop: cost = 47.26293182373047\n", "The 3691th loop: cost = 45.25737762451172\n", "The 3692th loop: cost = 49.05943298339844\n", "The 3693th loop: cost = 49.628456115722656\n", "The 3694th loop: cost = 44.271026611328125\n", "The 3695th loop: cost = 33.36174011230469\n", "The 3696th loop: cost = 42.27499008178711\n", "The 3697th loop: cost = 47.531097412109375\n", "The 3698th loop: cost = 47.50172805786133\n", "The 3699th loop: cost = 58.852935791015625\n", "The 3700th loop: cost = 43.040252685546875\n", "The 3701th loop: cost = 46.45928192138672\n", "The 3702th loop: cost = 38.33152770996094\n", "The 3703th loop: cost = 46.698272705078125\n", "The 3704th loop: cost = 48.68525314331055\n", "The 3705th loop: cost = 47.272830963134766\n", "The 3706th loop: cost = 39.495994567871094\n", "The 3707th loop: cost = 51.39064407348633\n", "The 3708th loop: cost = 48.587833404541016\n", "The 3709th loop: cost = 50.83541488647461\n", "The 3710th loop: cost = 45.878543853759766\n", "The 3711th loop: cost = 63.545257568359375\n", "The 3712th loop: cost = 55.30635452270508\n", "The 3713th loop: cost = 45.42499923706055\n", "The 3714th loop: cost = 56.98162078857422\n", "The 3715th loop: cost = 50.206764221191406\n", "The 3716th loop: cost = 42.44166564941406\n", "The 3717th loop: cost = 49.878135681152344\n", "The 3718th loop: cost = 59.90306854248047\n", "The 3719th loop: cost = 52.16950225830078\n", "The 3720th loop: cost = 45.507266998291016\n", "The 3721th loop: cost = 48.95088577270508\n", "The 3722th loop: cost = 52.28167724609375\n", "The 3723th loop: cost = 42.92607879638672\n", "The 3724th loop: cost = 41.73131561279297\n", "The 3725th loop: cost = 47.74805450439453\n", "The 3726th loop: cost = 37.386322021484375\n", "The 3727th loop: cost = 42.26564025878906\n", "The 3728th loop: cost = 55.63334655761719\n", "The 3729th loop: cost = 42.63579177856445\n", "The 3730th loop: cost = 41.253517150878906\n", "The 3731th loop: cost = 50.18122100830078\n", "The 3732th loop: cost = 56.931671142578125\n", "The 3733th loop: cost = 42.968475341796875\n", "The 3734th loop: cost = 42.71612548828125\n", "The 3735th loop: cost = 52.48347473144531\n", "The 3736th loop: cost = 54.04222106933594\n", "The 3737th loop: cost = 38.743431091308594\n", "The 3738th loop: cost = 56.73768615722656\n", "The 3739th loop: cost = 55.10509490966797\n", "The 3740th loop: cost = 50.40642166137695\n", "The 3741th loop: cost = 49.538330078125\n", "The 3742th loop: cost = 47.99580383300781\n", "The 3743th loop: cost = 44.95647430419922\n", "The 3744th loop: cost = 51.920188903808594\n", "The 3745th loop: cost = 60.347633361816406\n", "The 3746th loop: cost = 52.11982727050781\n", "The 3747th loop: cost = 46.48126983642578\n", "The 3748th loop: cost = 55.77565002441406\n", "The 3749th loop: cost = 43.45665740966797\n", "The 3750th loop: cost = 44.188507080078125\n", "The 3751th loop: cost = 50.10444641113281\n", "The 3752th loop: cost = 45.7296028137207\n", "The 3753th loop: cost = 47.47148132324219\n", "The 3754th loop: cost = 51.77503967285156\n", "The 3755th loop: cost = 59.55424880981445\n", "The 3756th loop: cost = 47.93344497680664\n", "The 3757th loop: cost = 48.70691680908203\n", "The 3758th loop: cost = 40.28178024291992\n", "The 3759th loop: cost = 41.94203186035156\n", "The 3760th loop: cost = 58.72554016113281\n", "The 3761th loop: cost = 47.93232727050781\n", "The 3762th loop: cost = 44.374332427978516\n", "The 3763th loop: cost = 53.01886749267578\n", "The 3764th loop: cost = 46.71348571777344\n", "The 3765th loop: cost = 36.1112174987793\n", "The 3766th loop: cost = 44.34369659423828\n", "The 3767th loop: cost = 53.17253112792969\n", "The 3768th loop: cost = 43.35524368286133\n", "The 3769th loop: cost = 51.02305603027344\n", "The 3770th loop: cost = 46.51836395263672\n", "The 3771th loop: cost = 55.100643157958984\n", "The 3772th loop: cost = 46.834991455078125\n", "The 3773th loop: cost = 43.935298919677734\n", "The 3774th loop: cost = 45.200862884521484\n", "The 3775th loop: cost = 49.985408782958984\n", "The 3776th loop: cost = 47.71312713623047\n", "The 3777th loop: cost = 62.39928436279297\n", "The 3778th loop: cost = 44.6835823059082\n", "The 3779th loop: cost = 43.33993911743164\n", "The 3780th loop: cost = 61.14793014526367\n", "The 3781th loop: cost = 47.756370544433594\n", "The 3782th loop: cost = 44.331451416015625\n", "The 3783th loop: cost = 46.752197265625\n", "The 3784th loop: cost = 43.16193389892578\n", "The 3785th loop: cost = 49.25838088989258\n", "The 3786th loop: cost = 46.23969268798828\n", "The 3787th loop: cost = 60.67558288574219\n", "The 3788th loop: cost = 52.123931884765625\n", "The 3789th loop: cost = 42.592708587646484\n", "The 3790th loop: cost = 52.73128890991211\n", "The 3791th loop: cost = 53.401668548583984\n", "The 3792th loop: cost = 44.854469299316406\n", "The 3793th loop: cost = 53.62730407714844\n", "The 3794th loop: cost = 50.80287551879883\n", "The 3795th loop: cost = 53.19789123535156\n", "The 3796th loop: cost = 45.753334045410156\n", "The 3797th loop: cost = 41.679443359375\n", "The 3798th loop: cost = 43.5303840637207\n", "The 3799th loop: cost = 61.55203628540039\n", "The 3800th loop: cost = 55.97209930419922\n", "The 3801th loop: cost = 53.264617919921875\n", "The 3802th loop: cost = 37.55659103393555\n", "The 3803th loop: cost = 56.42864990234375\n", "The 3804th loop: cost = 52.31835174560547\n", "The 3805th loop: cost = 45.33110809326172\n", "The 3806th loop: cost = 54.708229064941406\n", "The 3807th loop: cost = 41.173404693603516\n", "The 3808th loop: cost = 32.96639633178711\n", "The 3809th loop: cost = 50.892948150634766\n", "The 3810th loop: cost = 56.748043060302734\n", "The 3811th loop: cost = 48.305503845214844\n", "The 3812th loop: cost = 41.1761360168457\n", "The 3813th loop: cost = 45.7436408996582\n", "The 3814th loop: cost = 47.67610168457031\n", "The 3815th loop: cost = 39.501686096191406\n", "The 3816th loop: cost = 40.9229736328125\n", "The 3817th loop: cost = 57.6221809387207\n", "The 3818th loop: cost = 47.319801330566406\n", "The 3819th loop: cost = 52.23528289794922\n", "The 3820th loop: cost = 52.38660430908203\n", "The 3821th loop: cost = 46.00575256347656\n", "The 3822th loop: cost = 44.577415466308594\n", "The 3823th loop: cost = 46.05149841308594\n", "The 3824th loop: cost = 50.23808288574219\n", "The 3825th loop: cost = 48.82343673706055\n", "The 3826th loop: cost = 54.74041748046875\n", "The 3827th loop: cost = 43.46742248535156\n", "The 3828th loop: cost = 47.61642837524414\n", "The 3829th loop: cost = 49.2840690612793\n", "The 3830th loop: cost = 41.73421096801758\n", "The 3831th loop: cost = 52.436859130859375\n", "The 3832th loop: cost = 61.7120475769043\n", "The 3833th loop: cost = 47.888694763183594\n", "The 3834th loop: cost = 50.61432647705078\n", "The 3835th loop: cost = 53.202125549316406\n", "The 3836th loop: cost = 50.50483703613281\n", "The 3837th loop: cost = 41.296592712402344\n", "The 3838th loop: cost = 43.13301467895508\n", "The 3839th loop: cost = 45.05510330200195\n", "The 3840th loop: cost = 46.296958923339844\n", "The 3841th loop: cost = 49.997962951660156\n", "The 3842th loop: cost = 52.561771392822266\n", "The 3843th loop: cost = 43.85481643676758\n", "The 3844th loop: cost = 34.86890411376953\n", "The 3845th loop: cost = 47.67115020751953\n", "The 3846th loop: cost = 45.478431701660156\n", "The 3847th loop: cost = 53.139408111572266\n", "The 3848th loop: cost = 48.20597839355469\n", "The 3849th loop: cost = 52.714019775390625\n", "The 3850th loop: cost = 35.31290817260742\n", "The 3851th loop: cost = 53.7707633972168\n", "The 3852th loop: cost = 51.761924743652344\n", "The 3853th loop: cost = 42.89595413208008\n", "The 3854th loop: cost = 56.7931022644043\n", "The 3855th loop: cost = 46.134498596191406\n", "The 3856th loop: cost = 45.9578857421875\n", "The 3857th loop: cost = 38.160491943359375\n", "The 3858th loop: cost = 49.99559783935547\n", "The 3859th loop: cost = 61.45451354980469\n", "The 3860th loop: cost = 47.55279541015625\n", "The 3861th loop: cost = 39.566688537597656\n", "The 3862th loop: cost = 50.41791534423828\n", "The 3863th loop: cost = 47.704986572265625\n", "The 3864th loop: cost = 42.94392395019531\n", "The 3865th loop: cost = 52.95021057128906\n", "The 3866th loop: cost = 53.43975830078125\n", "The 3867th loop: cost = 53.25749206542969\n", "The 3868th loop: cost = 42.35250473022461\n", "The 3869th loop: cost = 44.881996154785156\n", "The 3870th loop: cost = 44.294456481933594\n", "The 3871th loop: cost = 43.547733306884766\n", "The 3872th loop: cost = 57.307960510253906\n", "The 3873th loop: cost = 43.89840316772461\n", "The 3874th loop: cost = 43.64781951904297\n", "The 3875th loop: cost = 58.164794921875\n", "The 3876th loop: cost = 47.76824188232422\n", "The 3877th loop: cost = 48.76953887939453\n", "The 3878th loop: cost = 42.35006332397461\n", "The 3879th loop: cost = 46.81977844238281\n", "The 3880th loop: cost = 43.459747314453125\n", "The 3881th loop: cost = 51.517845153808594\n", "The 3882th loop: cost = 52.078460693359375\n", "The 3883th loop: cost = 41.025367736816406\n", "The 3884th loop: cost = 46.8993034362793\n", "The 3885th loop: cost = 56.41221618652344\n", "The 3886th loop: cost = 46.35094451904297\n", "The 3887th loop: cost = 56.42109298706055\n", "The 3888th loop: cost = 44.65168762207031\n", "The 3889th loop: cost = 48.20927047729492\n", "The 3890th loop: cost = 46.93071746826172\n", "The 3891th loop: cost = 55.374114990234375\n", "The 3892th loop: cost = 48.55162811279297\n", "The 3893th loop: cost = 47.00352096557617\n", "The 3894th loop: cost = 46.05162048339844\n", "The 3895th loop: cost = 62.299930572509766\n", "The 3896th loop: cost = 54.017478942871094\n", "The 3897th loop: cost = 43.12659454345703\n", "The 3898th loop: cost = 41.53266143798828\n", "The 3899th loop: cost = 43.84458923339844\n", "The 3900th loop: cost = 39.36437225341797\n", "The 3901th loop: cost = 53.05107498168945\n", "The 3902th loop: cost = 53.87010192871094\n", "The 3903th loop: cost = 52.44725036621094\n", "The 3904th loop: cost = 59.127593994140625\n", "The 3905th loop: cost = 43.75090026855469\n", "The 3906th loop: cost = 43.784095764160156\n", "The 3907th loop: cost = 53.52406311035156\n", "The 3908th loop: cost = 42.96937561035156\n", "The 3909th loop: cost = 38.74726104736328\n", "The 3910th loop: cost = 57.05088806152344\n", "The 3911th loop: cost = 49.20491409301758\n", "The 3912th loop: cost = 38.85182189941406\n", "The 3913th loop: cost = 46.14826965332031\n", "The 3914th loop: cost = 48.80303955078125\n", "The 3915th loop: cost = 47.44806671142578\n", "The 3916th loop: cost = 51.74232482910156\n", "The 3917th loop: cost = 49.95478820800781\n", "The 3918th loop: cost = 50.52381134033203\n", "The 3919th loop: cost = 51.991729736328125\n", "The 3920th loop: cost = 50.52893829345703\n", "The 3921th loop: cost = 42.6383056640625\n", "The 3922th loop: cost = 51.69273376464844\n", "The 3923th loop: cost = 48.40318298339844\n", "The 3924th loop: cost = 47.524898529052734\n", "The 3925th loop: cost = 45.36632537841797\n", "The 3926th loop: cost = 38.951622009277344\n", "The 3927th loop: cost = 46.52332305908203\n", "The 3928th loop: cost = 32.23997497558594\n", "The 3929th loop: cost = 43.34291076660156\n", "The 3930th loop: cost = 53.459144592285156\n", "The 3931th loop: cost = 45.10075759887695\n", "The 3932th loop: cost = 54.8266716003418\n", "The 3933th loop: cost = 40.07353210449219\n", "The 3934th loop: cost = 41.23168182373047\n", "The 3935th loop: cost = 51.54762649536133\n", "The 3936th loop: cost = 49.208839416503906\n", "The 3937th loop: cost = 42.764644622802734\n", "The 3938th loop: cost = 52.54903793334961\n", "The 3939th loop: cost = 51.444915771484375\n", "The 3940th loop: cost = 49.930877685546875\n", "The 3941th loop: cost = 52.2008056640625\n", "The 3942th loop: cost = 49.83771514892578\n", "The 3943th loop: cost = 48.05284118652344\n", "The 3944th loop: cost = 58.48625946044922\n", "The 3945th loop: cost = 48.9180908203125\n", "The 3946th loop: cost = 50.119415283203125\n", "The 3947th loop: cost = 45.92903137207031\n", "The 3948th loop: cost = 59.02109146118164\n", "The 3949th loop: cost = 40.090370178222656\n", "The 3950th loop: cost = 45.440425872802734\n", "The 3951th loop: cost = 43.18916320800781\n", "The 3952th loop: cost = 61.037200927734375\n", "The 3953th loop: cost = 49.46283721923828\n", "The 3954th loop: cost = 39.59418869018555\n", "The 3955th loop: cost = 54.86309051513672\n", "The 3956th loop: cost = 50.52033996582031\n", "The 3957th loop: cost = 54.94425964355469\n", "The 3958th loop: cost = 49.863433837890625\n", "The 3959th loop: cost = 41.64147186279297\n", "The 3960th loop: cost = 41.072608947753906\n", "The 3961th loop: cost = 49.482383728027344\n", "The 3962th loop: cost = 48.077362060546875\n", "The 3963th loop: cost = 51.819671630859375\n", "The 3964th loop: cost = 40.184471130371094\n", "The 3965th loop: cost = 50.587493896484375\n", "The 3966th loop: cost = 42.8381233215332\n", "The 3967th loop: cost = 50.79261016845703\n", "The 3968th loop: cost = 45.08041000366211\n", "The 3969th loop: cost = 47.70255661010742\n", "The 3970th loop: cost = 52.46844482421875\n", "The 3971th loop: cost = 39.447837829589844\n", "The 3972th loop: cost = 50.500511169433594\n", "The 3973th loop: cost = 59.746334075927734\n", "The 3974th loop: cost = 55.366371154785156\n", "The 3975th loop: cost = 45.97066116333008\n", "The 3976th loop: cost = 45.58805847167969\n", "The 3977th loop: cost = 41.467105865478516\n", "The 3978th loop: cost = 52.67786407470703\n", "The 3979th loop: cost = 41.13465881347656\n", "The 3980th loop: cost = 53.879188537597656\n", "The 3981th loop: cost = 39.43491744995117\n", "The 3982th loop: cost = 45.302581787109375\n", "The 3983th loop: cost = 57.00524139404297\n", "The 3984th loop: cost = 51.78046798706055\n", "The 3985th loop: cost = 43.92894744873047\n", "The 3986th loop: cost = 42.097999572753906\n", "The 3987th loop: cost = 55.2961540222168\n", "The 3988th loop: cost = 55.12576675415039\n", "The 3989th loop: cost = 42.26624298095703\n", "The 3990th loop: cost = 43.55729675292969\n", "The 3991th loop: cost = 41.54557800292969\n", "The 3992th loop: cost = 52.98008346557617\n", "The 3993th loop: cost = 42.2180061340332\n", "The 3994th loop: cost = 54.75154113769531\n", "The 3995th loop: cost = 51.348846435546875\n", "The 3996th loop: cost = 59.33512878417969\n", "The 3997th loop: cost = 43.38048553466797\n", "The 3998th loop: cost = 43.83048629760742\n", "The 3999th loop: cost = 40.05133819580078\n", "The 4000th loop: cost = 41.968082427978516\n", "The 4001th loop: cost = 50.44276809692383\n", "The 4002th loop: cost = 44.00468444824219\n", "The 4003th loop: cost = 42.02623748779297\n", "The 4004th loop: cost = 41.08024215698242\n", "The 4005th loop: cost = 46.6769905090332\n", "The 4006th loop: cost = 69.96501159667969\n", "The 4007th loop: cost = 54.96234130859375\n", "The 4008th loop: cost = 40.03091812133789\n", "The 4009th loop: cost = 53.33007049560547\n", "The 4010th loop: cost = 52.42475128173828\n", "The 4011th loop: cost = 52.725677490234375\n", "The 4012th loop: cost = 43.517921447753906\n", "The 4013th loop: cost = 43.60923767089844\n", "The 4014th loop: cost = 52.793697357177734\n", "The 4015th loop: cost = 52.09947204589844\n", "The 4016th loop: cost = 44.48811340332031\n", "The 4017th loop: cost = 54.277557373046875\n", "The 4018th loop: cost = 44.453369140625\n", "The 4019th loop: cost = 44.61579132080078\n", "The 4020th loop: cost = 41.05357360839844\n", "The 4021th loop: cost = 42.06836700439453\n", "The 4022th loop: cost = 41.614723205566406\n", "The 4023th loop: cost = 50.51628494262695\n", "The 4024th loop: cost = 52.45246887207031\n", "The 4025th loop: cost = 49.529754638671875\n", "The 4026th loop: cost = 43.57319259643555\n", "The 4027th loop: cost = 42.32048797607422\n", "The 4028th loop: cost = 39.59707260131836\n", "The 4029th loop: cost = 51.918853759765625\n", "The 4030th loop: cost = 55.49592208862305\n", "The 4031th loop: cost = 37.94575500488281\n", "The 4032th loop: cost = 38.76872253417969\n", "The 4033th loop: cost = 53.48979187011719\n", "The 4034th loop: cost = 51.30536651611328\n", "The 4035th loop: cost = 46.75011444091797\n", "The 4036th loop: cost = 48.311790466308594\n", "The 4037th loop: cost = 48.855224609375\n", "The 4038th loop: cost = 60.745262145996094\n", "The 4039th loop: cost = 48.39645767211914\n", "The 4040th loop: cost = 52.65205764770508\n", "The 4041th loop: cost = 49.50721740722656\n", "The 4042th loop: cost = 47.5147819519043\n", "The 4043th loop: cost = 47.97598648071289\n", "The 4044th loop: cost = 49.68816375732422\n", "The 4045th loop: cost = 49.39323043823242\n", "The 4046th loop: cost = 59.5901985168457\n", "The 4047th loop: cost = 46.13543701171875\n", "The 4048th loop: cost = 51.243804931640625\n", "The 4049th loop: cost = 36.52527618408203\n", "The 4050th loop: cost = 51.05998229980469\n", "The 4051th loop: cost = 44.976436614990234\n", "The 4052th loop: cost = 37.87052917480469\n", "The 4053th loop: cost = 41.381507873535156\n", "The 4054th loop: cost = 46.86598205566406\n", "The 4055th loop: cost = 47.7609748840332\n", "The 4056th loop: cost = 39.28034973144531\n", "The 4057th loop: cost = 50.99982833862305\n", "The 4058th loop: cost = 59.446624755859375\n", "The 4059th loop: cost = 46.478843688964844\n", "The 4060th loop: cost = 55.507225036621094\n", "The 4061th loop: cost = 49.486732482910156\n", "The 4062th loop: cost = 48.011932373046875\n", "The 4063th loop: cost = 47.94419479370117\n", "The 4064th loop: cost = 43.0557861328125\n", "The 4065th loop: cost = 47.57978057861328\n", "The 4066th loop: cost = 59.1981201171875\n", "The 4067th loop: cost = 43.49162292480469\n", "The 4068th loop: cost = 48.78651809692383\n", "The 4069th loop: cost = 46.775360107421875\n", "The 4070th loop: cost = 45.824623107910156\n", "The 4071th loop: cost = 39.58507537841797\n", "The 4072th loop: cost = 39.476375579833984\n", "The 4073th loop: cost = 50.7384033203125\n", "The 4074th loop: cost = 39.0484733581543\n", "The 4075th loop: cost = 53.236167907714844\n", "The 4076th loop: cost = 52.7337760925293\n", "The 4077th loop: cost = 37.11150360107422\n", "The 4078th loop: cost = 57.252418518066406\n", "The 4079th loop: cost = 44.83427429199219\n", "The 4080th loop: cost = 45.421791076660156\n", "The 4081th loop: cost = 39.36056900024414\n", "The 4082th loop: cost = 51.810733795166016\n", "The 4083th loop: cost = 48.778297424316406\n", "The 4084th loop: cost = 37.03839111328125\n", "The 4085th loop: cost = 51.893341064453125\n", "The 4086th loop: cost = 53.01342010498047\n", "The 4087th loop: cost = 42.154964447021484\n", "The 4088th loop: cost = 52.40847396850586\n", "The 4089th loop: cost = 46.569541931152344\n", "The 4090th loop: cost = 47.68156433105469\n", "The 4091th loop: cost = 53.27996063232422\n", "The 4092th loop: cost = 43.152774810791016\n", "The 4093th loop: cost = 41.36091613769531\n", "The 4094th loop: cost = 61.3553466796875\n", "The 4095th loop: cost = 37.230491638183594\n", "The 4096th loop: cost = 53.01704025268555\n", "The 4097th loop: cost = 59.49018859863281\n", "The 4098th loop: cost = 54.206390380859375\n", "The 4099th loop: cost = 42.42259216308594\n", "The 4100th loop: cost = 44.19610595703125\n", "The 4101th loop: cost = 60.270206451416016\n", "The 4102th loop: cost = 50.088897705078125\n", "The 4103th loop: cost = 44.836849212646484\n", "The 4104th loop: cost = 51.167945861816406\n", "The 4105th loop: cost = 43.191688537597656\n", "The 4106th loop: cost = 40.47882843017578\n", "The 4107th loop: cost = 48.20033264160156\n", "The 4108th loop: cost = 47.974647521972656\n", "The 4109th loop: cost = 47.148468017578125\n", "The 4110th loop: cost = 47.06731414794922\n", "The 4111th loop: cost = 47.1048469543457\n", "The 4112th loop: cost = 49.79490661621094\n", "The 4113th loop: cost = 47.27491760253906\n", "The 4114th loop: cost = 43.34513854980469\n", "The 4115th loop: cost = 43.470306396484375\n", "The 4116th loop: cost = 53.54524612426758\n", "The 4117th loop: cost = 52.133018493652344\n", "The 4118th loop: cost = 46.8753547668457\n", "The 4119th loop: cost = 53.362937927246094\n", "The 4120th loop: cost = 42.448760986328125\n", "The 4121th loop: cost = 48.12036895751953\n", "The 4122th loop: cost = 56.48876953125\n", "The 4123th loop: cost = 50.917930603027344\n", "The 4124th loop: cost = 54.05793762207031\n", "The 4125th loop: cost = 50.17816925048828\n", "The 4126th loop: cost = 49.45000076293945\n", "The 4127th loop: cost = 42.776893615722656\n", "The 4128th loop: cost = 42.24982833862305\n", "The 4129th loop: cost = 51.909080505371094\n", "The 4130th loop: cost = 49.46425247192383\n", "The 4131th loop: cost = 51.66465759277344\n", "The 4132th loop: cost = 41.67664337158203\n", "The 4133th loop: cost = 41.87261199951172\n", "The 4134th loop: cost = 47.022247314453125\n", "The 4135th loop: cost = 41.99585723876953\n", "The 4136th loop: cost = 48.59679412841797\n", "The 4137th loop: cost = 42.7242431640625\n", "The 4138th loop: cost = 53.62774658203125\n", "The 4139th loop: cost = 37.55037307739258\n", "The 4140th loop: cost = 47.872222900390625\n", "The 4141th loop: cost = 52.391544342041016\n", "The 4142th loop: cost = 49.428924560546875\n", "The 4143th loop: cost = 53.15147399902344\n", "The 4144th loop: cost = 49.85433578491211\n", "The 4145th loop: cost = 56.961917877197266\n", "The 4146th loop: cost = 48.90357208251953\n", "The 4147th loop: cost = 43.87744903564453\n", "The 4148th loop: cost = 52.95349884033203\n", "The 4149th loop: cost = 50.58320236206055\n", "The 4150th loop: cost = 44.300758361816406\n", "The 4151th loop: cost = 41.58527374267578\n", "The 4152th loop: cost = 47.324947357177734\n", "The 4153th loop: cost = 32.919795989990234\n", "The 4154th loop: cost = 50.283775329589844\n", "The 4155th loop: cost = 34.368682861328125\n", "The 4156th loop: cost = 42.29573059082031\n", "The 4157th loop: cost = 59.326316833496094\n", "The 4158th loop: cost = 52.48833465576172\n", "The 4159th loop: cost = 44.292728424072266\n", "The 4160th loop: cost = 48.104042053222656\n", "The 4161th loop: cost = 45.23516845703125\n", "The 4162th loop: cost = 44.55188751220703\n", "The 4163th loop: cost = 50.66282272338867\n", "The 4164th loop: cost = 44.55034255981445\n", "The 4165th loop: cost = 51.440547943115234\n", "The 4166th loop: cost = 49.8052864074707\n", "The 4167th loop: cost = 45.871341705322266\n", "The 4168th loop: cost = 56.14640808105469\n", "The 4169th loop: cost = 57.347381591796875\n", "The 4170th loop: cost = 52.78651809692383\n", "The 4171th loop: cost = 46.22560119628906\n", "The 4172th loop: cost = 51.56937026977539\n", "The 4173th loop: cost = 43.973854064941406\n", "The 4174th loop: cost = 42.802616119384766\n", "The 4175th loop: cost = 41.598968505859375\n", "The 4176th loop: cost = 55.34624099731445\n", "The 4177th loop: cost = 51.615028381347656\n", "The 4178th loop: cost = 47.9598503112793\n", "The 4179th loop: cost = 44.875694274902344\n", "The 4180th loop: cost = 51.938846588134766\n", "The 4181th loop: cost = 48.816383361816406\n", "The 4182th loop: cost = 41.52824401855469\n", "The 4183th loop: cost = 45.42414093017578\n", "The 4184th loop: cost = 54.118309020996094\n", "The 4185th loop: cost = 50.03761291503906\n", "The 4186th loop: cost = 44.25941467285156\n", "The 4187th loop: cost = 52.33428955078125\n", "The 4188th loop: cost = 58.13974380493164\n", "The 4189th loop: cost = 57.432640075683594\n", "The 4190th loop: cost = 48.26816940307617\n", "The 4191th loop: cost = 44.981231689453125\n", "The 4192th loop: cost = 49.35734558105469\n", "The 4193th loop: cost = 46.302818298339844\n", "The 4194th loop: cost = 51.586219787597656\n", "The 4195th loop: cost = 55.351287841796875\n", "The 4196th loop: cost = 50.072509765625\n", "The 4197th loop: cost = 42.14808654785156\n", "The 4198th loop: cost = 53.16722106933594\n", "The 4199th loop: cost = 46.77063751220703\n", "The 4200th loop: cost = 52.83332061767578\n", "The 4201th loop: cost = 58.59624481201172\n", "The 4202th loop: cost = 49.939208984375\n", "The 4203th loop: cost = 41.65351104736328\n", "The 4204th loop: cost = 43.98472595214844\n", "The 4205th loop: cost = 46.13750457763672\n", "The 4206th loop: cost = 42.67106628417969\n", "The 4207th loop: cost = 50.734012603759766\n", "The 4208th loop: cost = 41.83415985107422\n", "The 4209th loop: cost = 49.55067443847656\n", "The 4210th loop: cost = 36.872352600097656\n", "The 4211th loop: cost = 39.95784378051758\n", "The 4212th loop: cost = 43.08671188354492\n", "The 4213th loop: cost = 52.65648651123047\n", "The 4214th loop: cost = 36.37156295776367\n", "The 4215th loop: cost = 42.070709228515625\n", "The 4216th loop: cost = 42.374908447265625\n", "The 4217th loop: cost = 40.606407165527344\n", "The 4218th loop: cost = 61.59342956542969\n", "The 4219th loop: cost = 53.921451568603516\n", "The 4220th loop: cost = 52.43480682373047\n", "The 4221th loop: cost = 51.48487091064453\n", "The 4222th loop: cost = 53.07904815673828\n", "The 4223th loop: cost = 49.594627380371094\n", "The 4224th loop: cost = 53.091854095458984\n", "The 4225th loop: cost = 55.72605895996094\n", "The 4226th loop: cost = 46.49513626098633\n", "The 4227th loop: cost = 50.44542694091797\n", "The 4228th loop: cost = 48.94355010986328\n", "The 4229th loop: cost = 56.2342529296875\n", "The 4230th loop: cost = 50.5931282043457\n", "The 4231th loop: cost = 44.8928337097168\n", "The 4232th loop: cost = 48.757347106933594\n", "The 4233th loop: cost = 44.38988494873047\n", "The 4234th loop: cost = 45.1068229675293\n", "The 4235th loop: cost = 51.15031051635742\n", "The 4236th loop: cost = 45.324012756347656\n", "The 4237th loop: cost = 46.43888854980469\n", "The 4238th loop: cost = 48.278717041015625\n", "The 4239th loop: cost = 45.677425384521484\n", "The 4240th loop: cost = 45.357337951660156\n", "The 4241th loop: cost = 47.784908294677734\n", "The 4242th loop: cost = 32.88184356689453\n", "The 4243th loop: cost = 46.099815368652344\n", "The 4244th loop: cost = 56.18476104736328\n", "The 4245th loop: cost = 54.322906494140625\n", "The 4246th loop: cost = 49.67052459716797\n", "The 4247th loop: cost = 57.703086853027344\n", "The 4248th loop: cost = 48.99341583251953\n", "The 4249th loop: cost = 49.325538635253906\n", "The 4250th loop: cost = 47.75847244262695\n", "The 4251th loop: cost = 38.52102279663086\n", "The 4252th loop: cost = 46.050132751464844\n", "The 4253th loop: cost = 42.95429992675781\n", "The 4254th loop: cost = 47.62199401855469\n", "The 4255th loop: cost = 47.977378845214844\n", "The 4256th loop: cost = 48.98625946044922\n", "The 4257th loop: cost = 40.5628776550293\n", "The 4258th loop: cost = 49.67282485961914\n", "The 4259th loop: cost = 45.57465362548828\n", "The 4260th loop: cost = 52.77988815307617\n", "The 4261th loop: cost = 44.19142532348633\n", "The 4262th loop: cost = 53.26973342895508\n", "The 4263th loop: cost = 49.73651123046875\n", "The 4264th loop: cost = 50.525150299072266\n", "The 4265th loop: cost = 43.24646759033203\n", "The 4266th loop: cost = 46.529747009277344\n", "The 4267th loop: cost = 42.190269470214844\n", "The 4268th loop: cost = 43.81099319458008\n", "The 4269th loop: cost = 46.67700958251953\n", "The 4270th loop: cost = 48.767791748046875\n", "The 4271th loop: cost = 37.54259490966797\n", "The 4272th loop: cost = 47.32701110839844\n", "The 4273th loop: cost = 55.017154693603516\n", "The 4274th loop: cost = 44.516502380371094\n", "The 4275th loop: cost = 63.12831115722656\n", "The 4276th loop: cost = 54.30084228515625\n", "The 4277th loop: cost = 44.53317642211914\n", "The 4278th loop: cost = 47.962493896484375\n", "The 4279th loop: cost = 46.091888427734375\n", "The 4280th loop: cost = 41.458106994628906\n", "The 4281th loop: cost = 40.3160514831543\n", "The 4282th loop: cost = 49.73706817626953\n", "The 4283th loop: cost = 65.9955825805664\n", "The 4284th loop: cost = 56.193519592285156\n", "The 4285th loop: cost = 56.64064025878906\n", "The 4286th loop: cost = 47.181129455566406\n", "The 4287th loop: cost = 41.429290771484375\n", "The 4288th loop: cost = 50.29355239868164\n", "The 4289th loop: cost = 45.88547134399414\n", "The 4290th loop: cost = 51.85946273803711\n", "The 4291th loop: cost = 47.070213317871094\n", "The 4292th loop: cost = 56.750579833984375\n", "The 4293th loop: cost = 46.92131042480469\n", "The 4294th loop: cost = 54.18195724487305\n", "The 4295th loop: cost = 52.794593811035156\n", "The 4296th loop: cost = 46.37309265136719\n", "The 4297th loop: cost = 52.1085205078125\n", "The 4298th loop: cost = 41.419715881347656\n", "The 4299th loop: cost = 39.29612731933594\n", "The 4300th loop: cost = 47.03254318237305\n", "The 4301th loop: cost = 45.52141571044922\n", "The 4302th loop: cost = 43.97077178955078\n", "The 4303th loop: cost = 49.85307312011719\n", "The 4304th loop: cost = 40.45587158203125\n", "The 4305th loop: cost = 57.33150100708008\n", "The 4306th loop: cost = 36.571022033691406\n", "The 4307th loop: cost = 37.770042419433594\n", "The 4308th loop: cost = 44.25114822387695\n", "The 4309th loop: cost = 47.06488037109375\n", "The 4310th loop: cost = 46.98331832885742\n", "The 4311th loop: cost = 45.42060089111328\n", "The 4312th loop: cost = 54.57556915283203\n", "The 4313th loop: cost = 58.498023986816406\n", "The 4314th loop: cost = 63.685821533203125\n", "The 4315th loop: cost = 53.165557861328125\n", "The 4316th loop: cost = 45.21028137207031\n", "The 4317th loop: cost = 51.484764099121094\n", "The 4318th loop: cost = 46.44929504394531\n", "The 4319th loop: cost = 49.679344177246094\n", "The 4320th loop: cost = 55.314788818359375\n", "The 4321th loop: cost = 40.596622467041016\n", "The 4322th loop: cost = 56.35601043701172\n", "The 4323th loop: cost = 54.665340423583984\n", "The 4324th loop: cost = 45.50910186767578\n", "The 4325th loop: cost = 45.07823181152344\n", "The 4326th loop: cost = 36.29434585571289\n", "The 4327th loop: cost = 38.835731506347656\n", "The 4328th loop: cost = 41.26344299316406\n", "The 4329th loop: cost = 42.015541076660156\n", "The 4330th loop: cost = 55.97246551513672\n", "The 4331th loop: cost = 40.837989807128906\n", "The 4332th loop: cost = 55.63050079345703\n", "The 4333th loop: cost = 40.404273986816406\n", "The 4334th loop: cost = 59.8038330078125\n", "The 4335th loop: cost = 46.000244140625\n", "The 4336th loop: cost = 48.027557373046875\n", "The 4337th loop: cost = 56.293663024902344\n", "The 4338th loop: cost = 54.48624801635742\n", "The 4339th loop: cost = 47.90227508544922\n", "The 4340th loop: cost = 48.606842041015625\n", "The 4341th loop: cost = 48.437294006347656\n", "The 4342th loop: cost = 57.138771057128906\n", "The 4343th loop: cost = 45.75033950805664\n", "The 4344th loop: cost = 38.184715270996094\n", "The 4345th loop: cost = 48.0926628112793\n", "The 4346th loop: cost = 49.21549987792969\n", "The 4347th loop: cost = 55.19970703125\n", "The 4348th loop: cost = 51.834938049316406\n", "The 4349th loop: cost = 53.55425262451172\n", "The 4350th loop: cost = 41.17520523071289\n", "The 4351th loop: cost = 44.900978088378906\n", "The 4352th loop: cost = 42.30011749267578\n", "The 4353th loop: cost = 49.83671951293945\n", "The 4354th loop: cost = 49.75497055053711\n", "The 4355th loop: cost = 64.3648681640625\n", "The 4356th loop: cost = 53.43232727050781\n", "The 4357th loop: cost = 53.30681610107422\n", "The 4358th loop: cost = 46.582489013671875\n", "The 4359th loop: cost = 37.2421875\n", "The 4360th loop: cost = 49.679283142089844\n", "The 4361th loop: cost = 46.9058723449707\n", "The 4362th loop: cost = 47.66870880126953\n", "The 4363th loop: cost = 50.8956413269043\n", "The 4364th loop: cost = 47.37743377685547\n", "The 4365th loop: cost = 44.553688049316406\n", "The 4366th loop: cost = 44.41075134277344\n", "The 4367th loop: cost = 56.63252258300781\n", "The 4368th loop: cost = 46.01463317871094\n", "The 4369th loop: cost = 45.469905853271484\n", "The 4370th loop: cost = 48.747406005859375\n", "The 4371th loop: cost = 43.47062683105469\n", "The 4372th loop: cost = 52.70032501220703\n", "The 4373th loop: cost = 49.04872131347656\n", "The 4374th loop: cost = 51.622039794921875\n", "The 4375th loop: cost = 64.48794555664062\n", "The 4376th loop: cost = 48.888221740722656\n", "The 4377th loop: cost = 42.38007736206055\n", "The 4378th loop: cost = 50.72312545776367\n", "The 4379th loop: cost = 46.47276306152344\n", "The 4380th loop: cost = 50.41037368774414\n", "The 4381th loop: cost = 53.68080520629883\n", "The 4382th loop: cost = 46.49358367919922\n", "The 4383th loop: cost = 48.4259147644043\n", "The 4384th loop: cost = 45.746395111083984\n", "The 4385th loop: cost = 54.35661315917969\n", "The 4386th loop: cost = 60.48649215698242\n", "The 4387th loop: cost = 45.29955291748047\n", "The 4388th loop: cost = 35.049339294433594\n", "The 4389th loop: cost = 48.219947814941406\n", "The 4390th loop: cost = 50.522705078125\n", "The 4391th loop: cost = 56.05635070800781\n", "The 4392th loop: cost = 50.35972595214844\n", "The 4393th loop: cost = 43.1805305480957\n", "The 4394th loop: cost = 46.897979736328125\n", "The 4395th loop: cost = 46.300140380859375\n", "The 4396th loop: cost = 50.084136962890625\n", "The 4397th loop: cost = 42.31501770019531\n", "The 4398th loop: cost = 52.83451843261719\n", "The 4399th loop: cost = 53.54548645019531\n", "The 4400th loop: cost = 52.00872039794922\n", "The 4401th loop: cost = 48.36222839355469\n", "The 4402th loop: cost = 56.66929244995117\n", "The 4403th loop: cost = 53.94194030761719\n", "The 4404th loop: cost = 41.81682586669922\n", "The 4405th loop: cost = 58.4802131652832\n", "The 4406th loop: cost = 57.604000091552734\n", "The 4407th loop: cost = 56.534000396728516\n", "The 4408th loop: cost = 46.104408264160156\n", "The 4409th loop: cost = 47.06561279296875\n", "The 4410th loop: cost = 51.272613525390625\n", "The 4411th loop: cost = 43.086639404296875\n", "The 4412th loop: cost = 47.997615814208984\n", "The 4413th loop: cost = 51.925357818603516\n", "The 4414th loop: cost = 48.17854309082031\n", "The 4415th loop: cost = 42.01490020751953\n", "The 4416th loop: cost = 52.087013244628906\n", "The 4417th loop: cost = 54.34125900268555\n", "The 4418th loop: cost = 56.076385498046875\n", "The 4419th loop: cost = 41.56028747558594\n", "The 4420th loop: cost = 44.50016784667969\n", "The 4421th loop: cost = 45.28067398071289\n", "The 4422th loop: cost = 52.438297271728516\n", "The 4423th loop: cost = 38.64105987548828\n", "The 4424th loop: cost = 41.782569885253906\n", "The 4425th loop: cost = 52.67388153076172\n", "The 4426th loop: cost = 43.09938430786133\n", "The 4427th loop: cost = 54.56435012817383\n", "The 4428th loop: cost = 35.97589111328125\n", "The 4429th loop: cost = 51.415855407714844\n", "The 4430th loop: cost = 47.277992248535156\n", "The 4431th loop: cost = 46.332366943359375\n", "The 4432th loop: cost = 47.965232849121094\n", "The 4433th loop: cost = 53.04538345336914\n", "The 4434th loop: cost = 47.92680358886719\n", "The 4435th loop: cost = 45.23736572265625\n", "The 4436th loop: cost = 54.12152862548828\n", "The 4437th loop: cost = 52.82966232299805\n", "The 4438th loop: cost = 38.05194854736328\n", "The 4439th loop: cost = 46.97394943237305\n", "The 4440th loop: cost = 46.62842559814453\n", "The 4441th loop: cost = 48.095176696777344\n", "The 4442th loop: cost = 55.631004333496094\n", "The 4443th loop: cost = 52.292877197265625\n", "The 4444th loop: cost = 40.354736328125\n", "The 4445th loop: cost = 50.1463508605957\n", "The 4446th loop: cost = 52.23234558105469\n", "The 4447th loop: cost = 47.559906005859375\n", "The 4448th loop: cost = 57.306156158447266\n", "The 4449th loop: cost = 49.11823654174805\n", "The 4450th loop: cost = 39.67274856567383\n", "The 4451th loop: cost = 39.50352478027344\n", "The 4452th loop: cost = 56.231380462646484\n", "The 4453th loop: cost = 46.956398010253906\n", "The 4454th loop: cost = 44.402137756347656\n", "The 4455th loop: cost = 48.268245697021484\n", "The 4456th loop: cost = 45.39562225341797\n", "The 4457th loop: cost = 54.738182067871094\n", "The 4458th loop: cost = 44.56182861328125\n", "The 4459th loop: cost = 47.80194854736328\n", "The 4460th loop: cost = 51.8414306640625\n", "The 4461th loop: cost = 53.42582321166992\n", "The 4462th loop: cost = 39.23182678222656\n", "The 4463th loop: cost = 47.839698791503906\n", "The 4464th loop: cost = 54.35049057006836\n", "The 4465th loop: cost = 44.56993103027344\n", "The 4466th loop: cost = 50.40477752685547\n", "The 4467th loop: cost = 45.36564636230469\n", "The 4468th loop: cost = 46.80513000488281\n", "The 4469th loop: cost = 48.40690231323242\n", "The 4470th loop: cost = 42.655967712402344\n", "The 4471th loop: cost = 42.72035598754883\n", "The 4472th loop: cost = 59.288002014160156\n", "The 4473th loop: cost = 38.88114547729492\n", "The 4474th loop: cost = 50.458740234375\n", "The 4475th loop: cost = 55.73036575317383\n", "The 4476th loop: cost = 47.367645263671875\n", "The 4477th loop: cost = 49.54433059692383\n", "The 4478th loop: cost = 50.73689651489258\n", "The 4479th loop: cost = 46.125755310058594\n", "The 4480th loop: cost = 39.07023239135742\n", "The 4481th loop: cost = 48.87983322143555\n", "The 4482th loop: cost = 44.486534118652344\n", "The 4483th loop: cost = 46.2724494934082\n", "The 4484th loop: cost = 46.445579528808594\n", "The 4485th loop: cost = 38.806297302246094\n", "The 4486th loop: cost = 65.5495834350586\n", "The 4487th loop: cost = 43.214168548583984\n", "The 4488th loop: cost = 48.1043701171875\n", "The 4489th loop: cost = 45.29426574707031\n", "The 4490th loop: cost = 48.361412048339844\n", "The 4491th loop: cost = 44.29829406738281\n", "The 4492th loop: cost = 46.160560607910156\n", "The 4493th loop: cost = 40.91765594482422\n", "The 4494th loop: cost = 65.7828598022461\n", "The 4495th loop: cost = 44.017112731933594\n", "The 4496th loop: cost = 39.248653411865234\n", "The 4497th loop: cost = 46.990360260009766\n", "The 4498th loop: cost = 45.509857177734375\n", "The 4499th loop: cost = 39.75066375732422\n", "The 4500th loop: cost = 46.69670104980469\n", "The 4501th loop: cost = 48.536888122558594\n", "The 4502th loop: cost = 52.034751892089844\n", "The 4503th loop: cost = 46.020851135253906\n", "The 4504th loop: cost = 34.62016296386719\n", "The 4505th loop: cost = 54.610633850097656\n", "The 4506th loop: cost = 55.88967514038086\n", "The 4507th loop: cost = 42.60384750366211\n", "The 4508th loop: cost = 41.512535095214844\n", "The 4509th loop: cost = 59.874637603759766\n", "The 4510th loop: cost = 52.990543365478516\n", "The 4511th loop: cost = 47.93214416503906\n", "The 4512th loop: cost = 50.787315368652344\n", "The 4513th loop: cost = 59.40790939331055\n", "The 4514th loop: cost = 44.964054107666016\n", "The 4515th loop: cost = 43.774681091308594\n", "The 4516th loop: cost = 51.08281707763672\n", "The 4517th loop: cost = 51.57533645629883\n", "The 4518th loop: cost = 45.04580307006836\n", "The 4519th loop: cost = 44.705360412597656\n", "The 4520th loop: cost = 44.79821014404297\n", "The 4521th loop: cost = 56.69365310668945\n", "The 4522th loop: cost = 40.81841278076172\n", "The 4523th loop: cost = 45.96452331542969\n", "The 4524th loop: cost = 51.92137908935547\n", "The 4525th loop: cost = 46.17927551269531\n", "The 4526th loop: cost = 43.8001823425293\n", "The 4527th loop: cost = 63.435455322265625\n", "The 4528th loop: cost = 51.84739685058594\n", "The 4529th loop: cost = 43.14957809448242\n", "The 4530th loop: cost = 50.282745361328125\n", "The 4531th loop: cost = 52.09648513793945\n", "The 4532th loop: cost = 40.12934875488281\n", "The 4533th loop: cost = 50.951210021972656\n", "The 4534th loop: cost = 47.150394439697266\n", "The 4535th loop: cost = 43.123329162597656\n", "The 4536th loop: cost = 48.41688537597656\n", "The 4537th loop: cost = 50.09303283691406\n", "The 4538th loop: cost = 50.395477294921875\n", "The 4539th loop: cost = 49.92253112792969\n", "The 4540th loop: cost = 57.30266571044922\n", "The 4541th loop: cost = 42.18809127807617\n", "The 4542th loop: cost = 48.712890625\n", "The 4543th loop: cost = 48.01167297363281\n", "The 4544th loop: cost = 48.623619079589844\n", "The 4545th loop: cost = 43.3914794921875\n", "The 4546th loop: cost = 49.853389739990234\n", "The 4547th loop: cost = 42.808258056640625\n", "The 4548th loop: cost = 48.826751708984375\n", "The 4549th loop: cost = 49.232032775878906\n", "The 4550th loop: cost = 59.93559646606445\n", "The 4551th loop: cost = 47.90401077270508\n", "The 4552th loop: cost = 47.812625885009766\n", "The 4553th loop: cost = 49.947322845458984\n", "The 4554th loop: cost = 55.26899337768555\n", "The 4555th loop: cost = 48.88225555419922\n", "The 4556th loop: cost = 48.55452346801758\n", "The 4557th loop: cost = 51.338199615478516\n", "The 4558th loop: cost = 42.419193267822266\n", "The 4559th loop: cost = 47.11973190307617\n", "The 4560th loop: cost = 39.60258102416992\n", "The 4561th loop: cost = 42.66294479370117\n", "The 4562th loop: cost = 65.65451049804688\n", "The 4563th loop: cost = 50.6027717590332\n", "The 4564th loop: cost = 46.80426788330078\n", "The 4565th loop: cost = 52.655967712402344\n", "The 4566th loop: cost = 47.035301208496094\n", "The 4567th loop: cost = 42.867610931396484\n", "The 4568th loop: cost = 43.08872985839844\n", "The 4569th loop: cost = 44.84394073486328\n", "The 4570th loop: cost = 42.285675048828125\n", "The 4571th loop: cost = 46.06901931762695\n", "The 4572th loop: cost = 46.362831115722656\n", "The 4573th loop: cost = 51.1326904296875\n", "The 4574th loop: cost = 45.017494201660156\n", "The 4575th loop: cost = 47.058780670166016\n", "The 4576th loop: cost = 52.816463470458984\n", "The 4577th loop: cost = 53.52302932739258\n", "The 4578th loop: cost = 46.68951416015625\n", "The 4579th loop: cost = 45.729469299316406\n", "The 4580th loop: cost = 47.350990295410156\n", "The 4581th loop: cost = 46.253273010253906\n", "The 4582th loop: cost = 43.682716369628906\n", "The 4583th loop: cost = 55.4222412109375\n", "The 4584th loop: cost = 44.4329833984375\n", "The 4585th loop: cost = 58.670616149902344\n", "The 4586th loop: cost = 48.69729995727539\n", "The 4587th loop: cost = 43.51099395751953\n", "The 4588th loop: cost = 45.239830017089844\n", "The 4589th loop: cost = 43.69470977783203\n", "The 4590th loop: cost = 34.67621612548828\n", "The 4591th loop: cost = 48.1053466796875\n", "The 4592th loop: cost = 41.490234375\n", "The 4593th loop: cost = 40.14373779296875\n", "The 4594th loop: cost = 50.425148010253906\n", "The 4595th loop: cost = 47.46916961669922\n", "The 4596th loop: cost = 46.572715759277344\n", "The 4597th loop: cost = 46.26852035522461\n", "The 4598th loop: cost = 48.890872955322266\n", "The 4599th loop: cost = 52.74934005737305\n", "The 4600th loop: cost = 54.4864501953125\n", "The 4601th loop: cost = 41.44099426269531\n", "The 4602th loop: cost = 48.232723236083984\n", "The 4603th loop: cost = 50.34724807739258\n", "The 4604th loop: cost = 52.246177673339844\n", "The 4605th loop: cost = 50.31759262084961\n", "The 4606th loop: cost = 44.081153869628906\n", "The 4607th loop: cost = 53.406105041503906\n", "The 4608th loop: cost = 50.12080001831055\n", "The 4609th loop: cost = 56.379066467285156\n", "The 4610th loop: cost = 48.56279373168945\n", "The 4611th loop: cost = 52.406890869140625\n", "The 4612th loop: cost = 50.118324279785156\n", "The 4613th loop: cost = 45.446983337402344\n", "The 4614th loop: cost = 50.65497589111328\n", "The 4615th loop: cost = 42.93837356567383\n", "The 4616th loop: cost = 38.08648681640625\n", "The 4617th loop: cost = 50.86779022216797\n", "The 4618th loop: cost = 44.76711654663086\n", "The 4619th loop: cost = 44.94533157348633\n", "The 4620th loop: cost = 46.468650817871094\n", "The 4621th loop: cost = 42.21646499633789\n", "The 4622th loop: cost = 33.086971282958984\n", "The 4623th loop: cost = 42.50264358520508\n", "The 4624th loop: cost = 37.159019470214844\n", "The 4625th loop: cost = 39.64329528808594\n", "The 4626th loop: cost = 42.532318115234375\n", "The 4627th loop: cost = 57.20936584472656\n", "The 4628th loop: cost = 35.06656265258789\n", "The 4629th loop: cost = 38.87754821777344\n", "The 4630th loop: cost = 47.126075744628906\n", "The 4631th loop: cost = 43.04431915283203\n", "The 4632th loop: cost = 50.53315734863281\n", "The 4633th loop: cost = 53.93090057373047\n", "The 4634th loop: cost = 51.3030891418457\n", "The 4635th loop: cost = 50.4621696472168\n", "The 4636th loop: cost = 52.37809371948242\n", "The 4637th loop: cost = 48.29601287841797\n", "The 4638th loop: cost = 55.294677734375\n", "The 4639th loop: cost = 45.94390869140625\n", "The 4640th loop: cost = 47.60224151611328\n", "The 4641th loop: cost = 45.17848205566406\n", "The 4642th loop: cost = 47.67784118652344\n", "The 4643th loop: cost = 45.7356071472168\n", "The 4644th loop: cost = 43.07542419433594\n", "The 4645th loop: cost = 43.22712707519531\n", "The 4646th loop: cost = 41.07223892211914\n", "The 4647th loop: cost = 53.73668670654297\n", "The 4648th loop: cost = 57.37683868408203\n", "The 4649th loop: cost = 66.20674133300781\n", "The 4650th loop: cost = 47.30968475341797\n", "The 4651th loop: cost = 39.5102424621582\n", "The 4652th loop: cost = 44.16469955444336\n", "The 4653th loop: cost = 43.51813507080078\n", "The 4654th loop: cost = 55.30187225341797\n", "The 4655th loop: cost = 44.17253494262695\n", "The 4656th loop: cost = 41.72272872924805\n", "The 4657th loop: cost = 44.35551452636719\n", "The 4658th loop: cost = 49.407859802246094\n", "The 4659th loop: cost = 44.30393981933594\n", "The 4660th loop: cost = 58.107810974121094\n", "The 4661th loop: cost = 41.56352996826172\n", "The 4662th loop: cost = 43.94786834716797\n", "The 4663th loop: cost = 45.353538513183594\n", "The 4664th loop: cost = 43.34719467163086\n", "The 4665th loop: cost = 48.32670974731445\n", "The 4666th loop: cost = 40.60182189941406\n", "The 4667th loop: cost = 52.34902572631836\n", "The 4668th loop: cost = 44.66876983642578\n", "The 4669th loop: cost = 58.707550048828125\n", "The 4670th loop: cost = 48.382965087890625\n", "The 4671th loop: cost = 43.87453842163086\n", "The 4672th loop: cost = 50.92560577392578\n", "The 4673th loop: cost = 39.42250061035156\n", "The 4674th loop: cost = 50.98920440673828\n", "The 4675th loop: cost = 46.54144287109375\n", "The 4676th loop: cost = 50.44340515136719\n", "The 4677th loop: cost = 48.55405044555664\n", "The 4678th loop: cost = 41.28324890136719\n", "The 4679th loop: cost = 46.89230728149414\n", "The 4680th loop: cost = 46.072479248046875\n", "The 4681th loop: cost = 36.64739227294922\n", "The 4682th loop: cost = 46.186676025390625\n", "The 4683th loop: cost = 52.543434143066406\n", "The 4684th loop: cost = 46.01964569091797\n", "The 4685th loop: cost = 46.99586486816406\n", "The 4686th loop: cost = 49.94099807739258\n", "The 4687th loop: cost = 48.706390380859375\n", "The 4688th loop: cost = 48.62706756591797\n", "The 4689th loop: cost = 43.615447998046875\n", "The 4690th loop: cost = 47.129173278808594\n", "The 4691th loop: cost = 46.406497955322266\n", "The 4692th loop: cost = 50.650333404541016\n", "The 4693th loop: cost = 47.97076416015625\n", "The 4694th loop: cost = 50.48809814453125\n", "The 4695th loop: cost = 47.64931869506836\n", "The 4696th loop: cost = 41.8236083984375\n", "The 4697th loop: cost = 47.7386589050293\n", "The 4698th loop: cost = 37.954925537109375\n", "The 4699th loop: cost = 40.72895050048828\n", "The 4700th loop: cost = 44.18731689453125\n", "The 4701th loop: cost = 52.59156036376953\n", "The 4702th loop: cost = 56.33702850341797\n", "The 4703th loop: cost = 50.37396240234375\n", "The 4704th loop: cost = 54.23390197753906\n", "The 4705th loop: cost = 44.09740447998047\n", "The 4706th loop: cost = 47.88755798339844\n", "The 4707th loop: cost = 40.12218475341797\n", "The 4708th loop: cost = 46.359642028808594\n", "The 4709th loop: cost = 45.84163284301758\n", "The 4710th loop: cost = 42.40126037597656\n", "The 4711th loop: cost = 55.728271484375\n", "The 4712th loop: cost = 41.13802719116211\n", "The 4713th loop: cost = 39.193824768066406\n", "The 4714th loop: cost = 41.7060432434082\n", "The 4715th loop: cost = 50.72172927856445\n", "The 4716th loop: cost = 49.946678161621094\n", "The 4717th loop: cost = 48.78337478637695\n", "The 4718th loop: cost = 45.31224060058594\n", "The 4719th loop: cost = 50.76585006713867\n", "The 4720th loop: cost = 47.54003143310547\n", "The 4721th loop: cost = 42.405250549316406\n", "The 4722th loop: cost = 53.7098388671875\n", "The 4723th loop: cost = 46.3593864440918\n", "The 4724th loop: cost = 40.370262145996094\n", "The 4725th loop: cost = 55.41947937011719\n", "The 4726th loop: cost = 39.72125244140625\n", "The 4727th loop: cost = 45.82949447631836\n", "The 4728th loop: cost = 49.765926361083984\n", "The 4729th loop: cost = 55.94779968261719\n", "The 4730th loop: cost = 55.259490966796875\n", "The 4731th loop: cost = 38.02467346191406\n", "The 4732th loop: cost = 43.94590759277344\n", "The 4733th loop: cost = 57.42711639404297\n", "The 4734th loop: cost = 41.72547912597656\n", "The 4735th loop: cost = 43.81529998779297\n", "The 4736th loop: cost = 48.1971549987793\n", "The 4737th loop: cost = 45.061309814453125\n", "The 4738th loop: cost = 41.96364974975586\n", "The 4739th loop: cost = 48.7471923828125\n", "The 4740th loop: cost = 42.86931610107422\n", "The 4741th loop: cost = 46.30429458618164\n", "The 4742th loop: cost = 43.64823913574219\n", "The 4743th loop: cost = 53.818321228027344\n", "The 4744th loop: cost = 55.39847946166992\n", "The 4745th loop: cost = 44.20380401611328\n", "The 4746th loop: cost = 48.92693328857422\n", "The 4747th loop: cost = 51.91170120239258\n", "The 4748th loop: cost = 44.93971633911133\n", "The 4749th loop: cost = 47.25617218017578\n", "The 4750th loop: cost = 56.91219711303711\n", "The 4751th loop: cost = 43.67816925048828\n", "The 4752th loop: cost = 44.780479431152344\n", "The 4753th loop: cost = 49.13523864746094\n", "The 4754th loop: cost = 55.13812255859375\n", "The 4755th loop: cost = 49.2341194152832\n", "The 4756th loop: cost = 51.87038040161133\n", "The 4757th loop: cost = 42.74400329589844\n", "The 4758th loop: cost = 41.563385009765625\n", "The 4759th loop: cost = 43.097412109375\n", "The 4760th loop: cost = 39.735877990722656\n", "The 4761th loop: cost = 48.44062042236328\n", "The 4762th loop: cost = 42.82002258300781\n", "The 4763th loop: cost = 50.14408874511719\n", "The 4764th loop: cost = 36.94987487792969\n", "The 4765th loop: cost = 50.586734771728516\n", "The 4766th loop: cost = 55.319114685058594\n", "The 4767th loop: cost = 45.25667190551758\n", "The 4768th loop: cost = 46.288543701171875\n", "The 4769th loop: cost = 38.978519439697266\n", "The 4770th loop: cost = 52.924652099609375\n", "The 4771th loop: cost = 51.08464050292969\n", "The 4772th loop: cost = 49.11030578613281\n", "The 4773th loop: cost = 42.359352111816406\n", "The 4774th loop: cost = 47.64796829223633\n", "The 4775th loop: cost = 52.58430480957031\n", "The 4776th loop: cost = 51.93551254272461\n", "The 4777th loop: cost = 57.783294677734375\n", "The 4778th loop: cost = 46.78425598144531\n", "The 4779th loop: cost = 40.95849609375\n", "The 4780th loop: cost = 40.66999816894531\n", "The 4781th loop: cost = 43.55881118774414\n", "The 4782th loop: cost = 39.447540283203125\n", "The 4783th loop: cost = 50.029747009277344\n", "The 4784th loop: cost = 49.6943359375\n", "The 4785th loop: cost = 51.54969024658203\n", "The 4786th loop: cost = 40.641456604003906\n", "The 4787th loop: cost = 37.11767578125\n", "The 4788th loop: cost = 45.39918518066406\n", "The 4789th loop: cost = 57.687232971191406\n", "The 4790th loop: cost = 50.12356948852539\n", "The 4791th loop: cost = 45.10509490966797\n", "The 4792th loop: cost = 57.36919021606445\n", "The 4793th loop: cost = 47.29688262939453\n", "The 4794th loop: cost = 41.99190902709961\n", "The 4795th loop: cost = 50.55712127685547\n", "The 4796th loop: cost = 43.72578811645508\n", "The 4797th loop: cost = 47.625457763671875\n", "The 4798th loop: cost = 51.880977630615234\n", "The 4799th loop: cost = 51.67304611206055\n", "The 4800th loop: cost = 48.08283233642578\n", "The 4801th loop: cost = 49.391563415527344\n", "The 4802th loop: cost = 42.24091339111328\n", "The 4803th loop: cost = 41.10736846923828\n", "The 4804th loop: cost = 44.02102279663086\n", "The 4805th loop: cost = 32.38468933105469\n", "The 4806th loop: cost = 48.297264099121094\n", "The 4807th loop: cost = 51.87995529174805\n", "The 4808th loop: cost = 51.040184020996094\n", "The 4809th loop: cost = 59.0783576965332\n", "The 4810th loop: cost = 38.809242248535156\n", "The 4811th loop: cost = 49.55756378173828\n", "The 4812th loop: cost = 49.815486907958984\n", "The 4813th loop: cost = 42.90500259399414\n", "The 4814th loop: cost = 49.269065856933594\n", "The 4815th loop: cost = 56.25100326538086\n", "The 4816th loop: cost = 42.75484085083008\n", "The 4817th loop: cost = 45.514732360839844\n", "The 4818th loop: cost = 55.3516960144043\n", "The 4819th loop: cost = 54.09439468383789\n", "The 4820th loop: cost = 49.453102111816406\n", "The 4821th loop: cost = 43.63262176513672\n", "The 4822th loop: cost = 48.73588562011719\n", "The 4823th loop: cost = 61.001895904541016\n", "The 4824th loop: cost = 48.022918701171875\n", "The 4825th loop: cost = 53.79574203491211\n", "The 4826th loop: cost = 49.86244583129883\n", "The 4827th loop: cost = 47.15739440917969\n", "The 4828th loop: cost = 42.97453689575195\n", "The 4829th loop: cost = 41.434486389160156\n", "The 4830th loop: cost = 49.48176193237305\n", "The 4831th loop: cost = 49.05101013183594\n", "The 4832th loop: cost = 41.19972229003906\n", "The 4833th loop: cost = 50.133445739746094\n", "The 4834th loop: cost = 58.06934356689453\n", "The 4835th loop: cost = 51.142459869384766\n", "The 4836th loop: cost = 52.23367691040039\n", "The 4837th loop: cost = 47.889766693115234\n", "The 4838th loop: cost = 45.92435073852539\n", "The 4839th loop: cost = 45.520896911621094\n", "The 4840th loop: cost = 54.595699310302734\n", "The 4841th loop: cost = 50.156036376953125\n", "The 4842th loop: cost = 56.051971435546875\n", "The 4843th loop: cost = 50.02510070800781\n", "The 4844th loop: cost = 48.805511474609375\n", "The 4845th loop: cost = 49.945919036865234\n", "The 4846th loop: cost = 48.55299758911133\n", "The 4847th loop: cost = 42.22689437866211\n", "The 4848th loop: cost = 50.47807693481445\n", "The 4849th loop: cost = 49.68973159790039\n", "The 4850th loop: cost = 45.33992004394531\n", "The 4851th loop: cost = 40.743492126464844\n", "The 4852th loop: cost = 57.42185592651367\n", "The 4853th loop: cost = 51.02147674560547\n", "The 4854th loop: cost = 58.403106689453125\n", "The 4855th loop: cost = 49.12320327758789\n", "The 4856th loop: cost = 43.121673583984375\n", "The 4857th loop: cost = 48.666419982910156\n", "The 4858th loop: cost = 58.743438720703125\n", "The 4859th loop: cost = 55.01066207885742\n", "The 4860th loop: cost = 47.83669662475586\n", "The 4861th loop: cost = 46.48860168457031\n", "The 4862th loop: cost = 43.445289611816406\n", "The 4863th loop: cost = 44.85797119140625\n", "The 4864th loop: cost = 47.27449417114258\n", "The 4865th loop: cost = 49.107147216796875\n", "The 4866th loop: cost = 37.71526336669922\n", "The 4867th loop: cost = 47.05139923095703\n", "The 4868th loop: cost = 54.57699203491211\n", "The 4869th loop: cost = 50.45466995239258\n", "The 4870th loop: cost = 42.09138107299805\n", "The 4871th loop: cost = 41.555137634277344\n", "The 4872th loop: cost = 51.86962127685547\n", "The 4873th loop: cost = 48.36371994018555\n", "The 4874th loop: cost = 44.72040939331055\n", "The 4875th loop: cost = 43.28794860839844\n", "The 4876th loop: cost = 47.77690887451172\n", "The 4877th loop: cost = 47.907630920410156\n", "The 4878th loop: cost = 51.52068328857422\n", "The 4879th loop: cost = 57.42008972167969\n", "The 4880th loop: cost = 44.41614532470703\n", "The 4881th loop: cost = 41.21377944946289\n", "The 4882th loop: cost = 45.372291564941406\n", "The 4883th loop: cost = 49.05760955810547\n", "The 4884th loop: cost = 37.11820602416992\n", "The 4885th loop: cost = 59.471221923828125\n", "The 4886th loop: cost = 39.807525634765625\n", "The 4887th loop: cost = 44.777587890625\n", "The 4888th loop: cost = 44.631500244140625\n", "The 4889th loop: cost = 45.992774963378906\n", "The 4890th loop: cost = 47.76179885864258\n", "The 4891th loop: cost = 42.39552307128906\n", "The 4892th loop: cost = 49.97216033935547\n", "The 4893th loop: cost = 46.12200164794922\n", "The 4894th loop: cost = 45.945213317871094\n", "The 4895th loop: cost = 47.06891632080078\n", "The 4896th loop: cost = 53.54365539550781\n", "The 4897th loop: cost = 46.488433837890625\n", "The 4898th loop: cost = 40.065792083740234\n", "The 4899th loop: cost = 42.9622802734375\n", "The 4900th loop: cost = 55.183738708496094\n", "The 4901th loop: cost = 55.76049041748047\n", "The 4902th loop: cost = 50.763999938964844\n", "The 4903th loop: cost = 46.132755279541016\n", "The 4904th loop: cost = 35.686439514160156\n", "The 4905th loop: cost = 48.18235778808594\n", "The 4906th loop: cost = 49.53296661376953\n", "The 4907th loop: cost = 46.70639419555664\n", "The 4908th loop: cost = 54.67738723754883\n", "The 4909th loop: cost = 41.79747009277344\n", "The 4910th loop: cost = 49.87825012207031\n", "The 4911th loop: cost = 46.949195861816406\n", "The 4912th loop: cost = 40.29497528076172\n", "The 4913th loop: cost = 59.826873779296875\n", "The 4914th loop: cost = 36.23440170288086\n", "The 4915th loop: cost = 49.25731658935547\n", "The 4916th loop: cost = 59.51399230957031\n", "The 4917th loop: cost = 39.280364990234375\n", "The 4918th loop: cost = 40.83282470703125\n", "The 4919th loop: cost = 45.21562194824219\n", "The 4920th loop: cost = 47.715087890625\n", "The 4921th loop: cost = 42.948883056640625\n", "The 4922th loop: cost = 46.761749267578125\n", "The 4923th loop: cost = 47.71894073486328\n", "The 4924th loop: cost = 49.830841064453125\n", "The 4925th loop: cost = 39.472938537597656\n", "The 4926th loop: cost = 51.534080505371094\n", "The 4927th loop: cost = 38.821781158447266\n", "The 4928th loop: cost = 43.33172607421875\n", "The 4929th loop: cost = 42.82963562011719\n", "The 4930th loop: cost = 48.58056640625\n", "The 4931th loop: cost = 43.397422790527344\n", "The 4932th loop: cost = 36.192901611328125\n", "The 4933th loop: cost = 52.362571716308594\n", "The 4934th loop: cost = 47.33103942871094\n", "The 4935th loop: cost = 40.61561584472656\n", "The 4936th loop: cost = 60.888206481933594\n", "The 4937th loop: cost = 46.555240631103516\n", "The 4938th loop: cost = 45.488441467285156\n", "The 4939th loop: cost = 46.85002517700195\n", "The 4940th loop: cost = 43.12915802001953\n", "The 4941th loop: cost = 48.603153228759766\n", "The 4942th loop: cost = 50.920372009277344\n", "The 4943th loop: cost = 54.94486618041992\n", "The 4944th loop: cost = 51.4913215637207\n", "The 4945th loop: cost = 52.358543395996094\n", "The 4946th loop: cost = 42.17137908935547\n", "The 4947th loop: cost = 49.80690383911133\n", "The 4948th loop: cost = 48.77360916137695\n", "The 4949th loop: cost = 41.414546966552734\n", "The 4950th loop: cost = 47.8679313659668\n", "The 4951th loop: cost = 41.484764099121094\n", "The 4952th loop: cost = 49.31798553466797\n", "The 4953th loop: cost = 48.21434020996094\n", "The 4954th loop: cost = 41.459373474121094\n", "The 4955th loop: cost = 50.18634796142578\n", "The 4956th loop: cost = 53.527259826660156\n", "The 4957th loop: cost = 42.02438735961914\n", "The 4958th loop: cost = 52.227516174316406\n", "The 4959th loop: cost = 37.433448791503906\n", "The 4960th loop: cost = 47.93475341796875\n", "The 4961th loop: cost = 41.71209716796875\n", "The 4962th loop: cost = 58.39419937133789\n", "The 4963th loop: cost = 48.03580856323242\n", "The 4964th loop: cost = 51.4493408203125\n", "The 4965th loop: cost = 46.85498809814453\n", "The 4966th loop: cost = 47.13404846191406\n", "The 4967th loop: cost = 50.54920959472656\n", "The 4968th loop: cost = 40.4135627746582\n", "The 4969th loop: cost = 40.07521057128906\n", "The 4970th loop: cost = 45.158931732177734\n", "The 4971th loop: cost = 44.24326705932617\n", "The 4972th loop: cost = 42.97209167480469\n", "The 4973th loop: cost = 50.37409210205078\n", "The 4974th loop: cost = 56.540252685546875\n", "The 4975th loop: cost = 41.822105407714844\n", "The 4976th loop: cost = 45.64039993286133\n", "The 4977th loop: cost = 54.385963439941406\n", "The 4978th loop: cost = 43.902137756347656\n", "The 4979th loop: cost = 44.61693572998047\n", "The 4980th loop: cost = 48.01423645019531\n", "The 4981th loop: cost = 43.358402252197266\n", "The 4982th loop: cost = 45.94751739501953\n", "The 4983th loop: cost = 40.556854248046875\n", "The 4984th loop: cost = 46.609954833984375\n", "The 4985th loop: cost = 49.40568161010742\n", "The 4986th loop: cost = 46.765785217285156\n", "The 4987th loop: cost = 45.57978820800781\n", "The 4988th loop: cost = 39.76844787597656\n", "The 4989th loop: cost = 48.029991149902344\n", "The 4990th loop: cost = 45.780494689941406\n", "The 4991th loop: cost = 43.7115478515625\n", "The 4992th loop: cost = 53.699703216552734\n", "The 4993th loop: cost = 38.98609924316406\n", "The 4994th loop: cost = 41.44050598144531\n", "The 4995th loop: cost = 49.20771026611328\n", "The 4996th loop: cost = 47.62738800048828\n", "The 4997th loop: cost = 46.70026779174805\n", "The 4998th loop: cost = 41.949668884277344\n", "The 4999th loop: cost = 45.05567932128906\n", "The 5000th loop: cost = 39.71369171142578\n", "The 5001th loop: cost = 42.046939849853516\n", "The 5002th loop: cost = 48.32026672363281\n", "The 5003th loop: cost = 43.707489013671875\n", "The 5004th loop: cost = 42.030189514160156\n", "The 5005th loop: cost = 45.8485107421875\n", "The 5006th loop: cost = 49.92353439331055\n", "The 5007th loop: cost = 38.01750183105469\n", "The 5008th loop: cost = 45.92045974731445\n", "The 5009th loop: cost = 46.191829681396484\n", "The 5010th loop: cost = 46.50180435180664\n", "The 5011th loop: cost = 45.67585754394531\n", "The 5012th loop: cost = 43.35761260986328\n", "The 5013th loop: cost = 45.07402801513672\n", "The 5014th loop: cost = 48.92655944824219\n", "The 5015th loop: cost = 41.91413116455078\n", "The 5016th loop: cost = 38.80615234375\n", "The 5017th loop: cost = 50.34058380126953\n", "The 5018th loop: cost = 45.587371826171875\n", "The 5019th loop: cost = 46.875030517578125\n", "The 5020th loop: cost = 43.751651763916016\n", "The 5021th loop: cost = 53.004859924316406\n", "The 5022th loop: cost = 43.417449951171875\n", "The 5023th loop: cost = 50.63523864746094\n", "The 5024th loop: cost = 54.130977630615234\n", "The 5025th loop: cost = 51.61008071899414\n", "The 5026th loop: cost = 42.76653289794922\n", "The 5027th loop: cost = 55.86669921875\n", "The 5028th loop: cost = 49.24414825439453\n", "The 5029th loop: cost = 55.65864562988281\n", "The 5030th loop: cost = 45.88195037841797\n", "The 5031th loop: cost = 45.04615020751953\n", "The 5032th loop: cost = 45.68492126464844\n", "The 5033th loop: cost = 47.211830139160156\n", "The 5034th loop: cost = 54.18519592285156\n", "The 5035th loop: cost = 52.881919860839844\n", "The 5036th loop: cost = 49.164588928222656\n", "The 5037th loop: cost = 40.54400634765625\n", "The 5038th loop: cost = 35.889198303222656\n", "The 5039th loop: cost = 48.79957580566406\n", "The 5040th loop: cost = 41.11888122558594\n", "The 5041th loop: cost = 46.63042068481445\n", "The 5042th loop: cost = 45.94602966308594\n", "The 5043th loop: cost = 54.6975212097168\n", "The 5044th loop: cost = 48.596473693847656\n", "The 5045th loop: cost = 49.37196731567383\n", "The 5046th loop: cost = 54.44541931152344\n", "The 5047th loop: cost = 50.379066467285156\n", "The 5048th loop: cost = 47.82917785644531\n", "The 5049th loop: cost = 51.0028076171875\n", "The 5050th loop: cost = 46.604679107666016\n", "The 5051th loop: cost = 51.89985656738281\n", "The 5052th loop: cost = 47.270721435546875\n", "The 5053th loop: cost = 45.99658203125\n", "The 5054th loop: cost = 45.32848358154297\n", "The 5055th loop: cost = 49.10710144042969\n", "The 5056th loop: cost = 46.19611358642578\n", "The 5057th loop: cost = 41.63154983520508\n", "The 5058th loop: cost = 44.8470344543457\n", "The 5059th loop: cost = 50.80103302001953\n", "The 5060th loop: cost = 44.16945266723633\n", "The 5061th loop: cost = 49.498966217041016\n", "The 5062th loop: cost = 47.29302978515625\n", "The 5063th loop: cost = 50.726890563964844\n", "The 5064th loop: cost = 52.12548828125\n", "The 5065th loop: cost = 43.42627716064453\n", "The 5066th loop: cost = 48.91075897216797\n", "The 5067th loop: cost = 42.77716064453125\n", "The 5068th loop: cost = 45.3997802734375\n", "The 5069th loop: cost = 52.72370147705078\n", "The 5070th loop: cost = 50.36186981201172\n", "The 5071th loop: cost = 46.74848556518555\n", "The 5072th loop: cost = 49.00170135498047\n", "The 5073th loop: cost = 55.288551330566406\n", "The 5074th loop: cost = 49.247188568115234\n", "The 5075th loop: cost = 53.413822174072266\n", "The 5076th loop: cost = 41.095314025878906\n", "The 5077th loop: cost = 54.784202575683594\n", "The 5078th loop: cost = 51.40977096557617\n", "The 5079th loop: cost = 44.96320343017578\n", "The 5080th loop: cost = 41.860801696777344\n", "The 5081th loop: cost = 44.253021240234375\n", "The 5082th loop: cost = 37.38432312011719\n", "The 5083th loop: cost = 48.39081573486328\n", "The 5084th loop: cost = 41.64019775390625\n", "The 5085th loop: cost = 49.48305130004883\n", "The 5086th loop: cost = 48.898956298828125\n", "The 5087th loop: cost = 47.239410400390625\n", "The 5088th loop: cost = 40.42583465576172\n", "The 5089th loop: cost = 35.62216567993164\n", "The 5090th loop: cost = 49.23174285888672\n", "The 5091th loop: cost = 53.91961669921875\n", "The 5092th loop: cost = 47.92527770996094\n", "The 5093th loop: cost = 45.05722427368164\n", "The 5094th loop: cost = 48.677791595458984\n", "The 5095th loop: cost = 43.52128982543945\n", "The 5096th loop: cost = 36.85607147216797\n", "The 5097th loop: cost = 43.63111877441406\n", "The 5098th loop: cost = 50.55625915527344\n", "The 5099th loop: cost = 51.22129821777344\n", "The 5100th loop: cost = 43.02452850341797\n", "The 5101th loop: cost = 52.081119537353516\n", "The 5102th loop: cost = 44.751956939697266\n", "The 5103th loop: cost = 46.05158233642578\n", "The 5104th loop: cost = 43.72306442260742\n", "The 5105th loop: cost = 41.408782958984375\n", "The 5106th loop: cost = 43.25267791748047\n", "The 5107th loop: cost = 54.79680633544922\n", "The 5108th loop: cost = 52.6917724609375\n", "The 5109th loop: cost = 33.502586364746094\n", "The 5110th loop: cost = 56.783538818359375\n", "The 5111th loop: cost = 48.10279846191406\n", "The 5112th loop: cost = 45.97328567504883\n", "The 5113th loop: cost = 46.065032958984375\n", "The 5114th loop: cost = 50.82847595214844\n", "The 5115th loop: cost = 48.581756591796875\n", "The 5116th loop: cost = 43.183265686035156\n", "The 5117th loop: cost = 41.45911407470703\n", "The 5118th loop: cost = 51.741485595703125\n", "The 5119th loop: cost = 51.17852783203125\n", "The 5120th loop: cost = 47.761962890625\n", "The 5121th loop: cost = 57.22309112548828\n", "The 5122th loop: cost = 48.099525451660156\n", "The 5123th loop: cost = 46.62679672241211\n", "The 5124th loop: cost = 35.346221923828125\n", "The 5125th loop: cost = 57.3285026550293\n", "The 5126th loop: cost = 36.27898025512695\n", "The 5127th loop: cost = 43.7105598449707\n", "The 5128th loop: cost = 47.49143981933594\n", "The 5129th loop: cost = 45.449344635009766\n", "The 5130th loop: cost = 43.075042724609375\n", "The 5131th loop: cost = 37.469444274902344\n", "The 5132th loop: cost = 48.46889114379883\n", "The 5133th loop: cost = 47.097354888916016\n", "The 5134th loop: cost = 48.58530807495117\n", "The 5135th loop: cost = 49.948062896728516\n", "The 5136th loop: cost = 44.80096435546875\n", "The 5137th loop: cost = 43.92464065551758\n", "The 5138th loop: cost = 52.39778518676758\n", "The 5139th loop: cost = 45.298973083496094\n", "The 5140th loop: cost = 44.69190216064453\n", "The 5141th loop: cost = 42.565101623535156\n", "The 5142th loop: cost = 47.70262145996094\n", "The 5143th loop: cost = 40.156005859375\n", "The 5144th loop: cost = 51.13350296020508\n", "The 5145th loop: cost = 38.23772048950195\n", "The 5146th loop: cost = 49.92279815673828\n", "The 5147th loop: cost = 43.35955047607422\n", "The 5148th loop: cost = 48.11145782470703\n", "The 5149th loop: cost = 40.77189636230469\n", "The 5150th loop: cost = 46.332427978515625\n", "The 5151th loop: cost = 47.5351448059082\n", "The 5152th loop: cost = 45.386260986328125\n", "The 5153th loop: cost = 50.326168060302734\n", "The 5154th loop: cost = 46.56977844238281\n", "The 5155th loop: cost = 40.51016616821289\n", "The 5156th loop: cost = 43.749752044677734\n", "The 5157th loop: cost = 46.5528678894043\n", "The 5158th loop: cost = 39.720542907714844\n", "The 5159th loop: cost = 49.470035552978516\n", "The 5160th loop: cost = 44.95505905151367\n", "The 5161th loop: cost = 45.32786178588867\n", "The 5162th loop: cost = 51.462799072265625\n", "The 5163th loop: cost = 49.64443588256836\n", "The 5164th loop: cost = 49.25708770751953\n", "The 5165th loop: cost = 42.37727355957031\n", "The 5166th loop: cost = 46.39888381958008\n", "The 5167th loop: cost = 48.722652435302734\n", "The 5168th loop: cost = 42.58915328979492\n", "The 5169th loop: cost = 58.56975173950195\n", "The 5170th loop: cost = 44.20234680175781\n", "The 5171th loop: cost = 58.19425964355469\n", "The 5172th loop: cost = 38.6002311706543\n", "The 5173th loop: cost = 55.74119186401367\n", "The 5174th loop: cost = 40.46166229248047\n", "The 5175th loop: cost = 44.08885192871094\n", "The 5176th loop: cost = 48.98896026611328\n", "The 5177th loop: cost = 41.116634368896484\n", "The 5178th loop: cost = 37.180171966552734\n", "The 5179th loop: cost = 47.301719665527344\n", "The 5180th loop: cost = 53.19102096557617\n", "The 5181th loop: cost = 41.6044921875\n", "The 5182th loop: cost = 43.2005615234375\n", "The 5183th loop: cost = 47.14619445800781\n", "The 5184th loop: cost = 47.89702606201172\n", "The 5185th loop: cost = 41.37002944946289\n", "The 5186th loop: cost = 44.878440856933594\n", "The 5187th loop: cost = 42.712562561035156\n", "The 5188th loop: cost = 50.05498504638672\n", "The 5189th loop: cost = 57.61601257324219\n", "The 5190th loop: cost = 44.59710693359375\n", "The 5191th loop: cost = 44.54391098022461\n", "The 5192th loop: cost = 47.15351104736328\n", "The 5193th loop: cost = 38.49114227294922\n", "The 5194th loop: cost = 46.762821197509766\n", "The 5195th loop: cost = 45.93586349487305\n", "The 5196th loop: cost = 47.32878112792969\n", "The 5197th loop: cost = 56.56458282470703\n", "The 5198th loop: cost = 48.33841323852539\n", "The 5199th loop: cost = 50.334564208984375\n", "The 5200th loop: cost = 42.30205535888672\n", "The 5201th loop: cost = 47.1253776550293\n", "The 5202th loop: cost = 50.37891387939453\n", "The 5203th loop: cost = 48.703857421875\n", "The 5204th loop: cost = 62.91215515136719\n", "The 5205th loop: cost = 47.34025573730469\n", "The 5206th loop: cost = 47.70586013793945\n", "The 5207th loop: cost = 45.311248779296875\n", "The 5208th loop: cost = 47.454742431640625\n", "The 5209th loop: cost = 41.312828063964844\n", "The 5210th loop: cost = 45.40144729614258\n", "The 5211th loop: cost = 42.482261657714844\n", "The 5212th loop: cost = 48.26935958862305\n", "The 5213th loop: cost = 39.25893020629883\n", "The 5214th loop: cost = 42.56159973144531\n", "The 5215th loop: cost = 46.76263427734375\n", "The 5216th loop: cost = 49.74855041503906\n", "The 5217th loop: cost = 37.35204315185547\n", "The 5218th loop: cost = 57.567970275878906\n", "The 5219th loop: cost = 52.23060607910156\n", "The 5220th loop: cost = 41.620670318603516\n", "The 5221th loop: cost = 46.86180114746094\n", "The 5222th loop: cost = 48.882659912109375\n", "The 5223th loop: cost = 52.27613067626953\n", "The 5224th loop: cost = 47.017574310302734\n", "The 5225th loop: cost = 46.54148864746094\n", "The 5226th loop: cost = 50.73128128051758\n", "The 5227th loop: cost = 39.75448226928711\n", "The 5228th loop: cost = 56.76829147338867\n", "The 5229th loop: cost = 35.69884490966797\n", "The 5230th loop: cost = 51.039756774902344\n", "The 5231th loop: cost = 42.9228630065918\n", "The 5232th loop: cost = 45.23168182373047\n", "The 5233th loop: cost = 46.034645080566406\n", "The 5234th loop: cost = 45.50672149658203\n", "The 5235th loop: cost = 49.15928649902344\n", "The 5236th loop: cost = 37.08768844604492\n", "The 5237th loop: cost = 33.27734375\n", "The 5238th loop: cost = 41.49591827392578\n", "The 5239th loop: cost = 42.67558288574219\n", "The 5240th loop: cost = 38.52338790893555\n", "The 5241th loop: cost = 37.34782791137695\n", "The 5242th loop: cost = 42.7788200378418\n", "The 5243th loop: cost = 45.395084381103516\n", "The 5244th loop: cost = 42.41879653930664\n", "The 5245th loop: cost = 42.02317810058594\n", "The 5246th loop: cost = 49.427730560302734\n", "The 5247th loop: cost = 49.64793395996094\n", "The 5248th loop: cost = 40.469017028808594\n", "The 5249th loop: cost = 44.8485107421875\n", "The 5250th loop: cost = 47.87525939941406\n", "The 5251th loop: cost = 52.171630859375\n", "The 5252th loop: cost = 42.694068908691406\n", "The 5253th loop: cost = 48.94178009033203\n", "The 5254th loop: cost = 42.80781555175781\n", "The 5255th loop: cost = 50.680908203125\n", "The 5256th loop: cost = 43.69441604614258\n", "The 5257th loop: cost = 56.22735595703125\n", "The 5258th loop: cost = 54.967933654785156\n", "The 5259th loop: cost = 42.6998176574707\n", "The 5260th loop: cost = 47.075157165527344\n", "The 5261th loop: cost = 46.98855209350586\n", "The 5262th loop: cost = 42.98875427246094\n", "The 5263th loop: cost = 46.17591094970703\n", "The 5264th loop: cost = 48.27547073364258\n", "The 5265th loop: cost = 54.483192443847656\n", "The 5266th loop: cost = 37.75005340576172\n", "The 5267th loop: cost = 35.61286163330078\n", "The 5268th loop: cost = 48.73646545410156\n", "The 5269th loop: cost = 42.771522521972656\n", "The 5270th loop: cost = 53.83287048339844\n", "The 5271th loop: cost = 53.72570037841797\n", "The 5272th loop: cost = 50.16743469238281\n", "The 5273th loop: cost = 36.407649993896484\n", "The 5274th loop: cost = 41.545188903808594\n", "The 5275th loop: cost = 46.99012756347656\n", "The 5276th loop: cost = 46.723228454589844\n", "The 5277th loop: cost = 55.083343505859375\n", "The 5278th loop: cost = 41.685646057128906\n", "The 5279th loop: cost = 49.23843765258789\n", "The 5280th loop: cost = 50.54657745361328\n", "The 5281th loop: cost = 40.122535705566406\n", "The 5282th loop: cost = 43.352752685546875\n", "The 5283th loop: cost = 56.3657341003418\n", "The 5284th loop: cost = 48.99568176269531\n", "The 5285th loop: cost = 39.492431640625\n", "The 5286th loop: cost = 48.84502410888672\n", "The 5287th loop: cost = 41.14898681640625\n", "The 5288th loop: cost = 55.857059478759766\n", "The 5289th loop: cost = 45.63741683959961\n", "The 5290th loop: cost = 43.53527069091797\n", "The 5291th loop: cost = 43.57917022705078\n", "The 5292th loop: cost = 35.422035217285156\n", "The 5293th loop: cost = 39.67681884765625\n", "The 5294th loop: cost = 51.21332550048828\n", "The 5295th loop: cost = 48.70081329345703\n", "The 5296th loop: cost = 39.629234313964844\n", "The 5297th loop: cost = 44.445960998535156\n", "The 5298th loop: cost = 37.199039459228516\n", "The 5299th loop: cost = 50.177371978759766\n", "The 5300th loop: cost = 44.227237701416016\n", "The 5301th loop: cost = 41.652366638183594\n", "The 5302th loop: cost = 52.23108673095703\n", "The 5303th loop: cost = 44.64207077026367\n", "The 5304th loop: cost = 45.36274719238281\n", "The 5305th loop: cost = 54.197608947753906\n", "The 5306th loop: cost = 40.767059326171875\n", "The 5307th loop: cost = 40.41217803955078\n", "The 5308th loop: cost = 46.877655029296875\n", "The 5309th loop: cost = 45.17959976196289\n", "The 5310th loop: cost = 44.13777542114258\n", "The 5311th loop: cost = 43.15827178955078\n", "The 5312th loop: cost = 42.314903259277344\n", "The 5313th loop: cost = 45.61181640625\n", "The 5314th loop: cost = 55.41531753540039\n", "The 5315th loop: cost = 36.6829833984375\n", "The 5316th loop: cost = 43.26409149169922\n", "The 5317th loop: cost = 32.73005676269531\n", "The 5318th loop: cost = 52.34067153930664\n", "The 5319th loop: cost = 42.94741439819336\n", "The 5320th loop: cost = 50.14472961425781\n", "The 5321th loop: cost = 40.39146423339844\n", "The 5322th loop: cost = 45.577842712402344\n", "The 5323th loop: cost = 46.84308624267578\n", "The 5324th loop: cost = 43.952423095703125\n", "The 5325th loop: cost = 38.18681335449219\n", "The 5326th loop: cost = 40.79551696777344\n", "The 5327th loop: cost = 50.425167083740234\n", "The 5328th loop: cost = 44.16465377807617\n", "The 5329th loop: cost = 48.23925018310547\n", "The 5330th loop: cost = 45.57244873046875\n", "The 5331th loop: cost = 46.52949523925781\n", "The 5332th loop: cost = 46.13130187988281\n", "The 5333th loop: cost = 43.15635681152344\n", "The 5334th loop: cost = 48.10850143432617\n", "The 5335th loop: cost = 45.735191345214844\n", "The 5336th loop: cost = 47.057464599609375\n", "The 5337th loop: cost = 44.428192138671875\n", "The 5338th loop: cost = 44.95692443847656\n", "The 5339th loop: cost = 45.168785095214844\n", "The 5340th loop: cost = 42.122798919677734\n", "The 5341th loop: cost = 48.84600067138672\n", "The 5342th loop: cost = 48.402164459228516\n", "The 5343th loop: cost = 42.17037582397461\n", "The 5344th loop: cost = 40.52819061279297\n", "The 5345th loop: cost = 39.47177505493164\n", "The 5346th loop: cost = 46.38981628417969\n", "The 5347th loop: cost = 48.66340255737305\n", "The 5348th loop: cost = 43.381587982177734\n", "The 5349th loop: cost = 46.128379821777344\n", "The 5350th loop: cost = 40.647705078125\n", "The 5351th loop: cost = 44.583953857421875\n", "The 5352th loop: cost = 50.46107482910156\n", "The 5353th loop: cost = 55.1177978515625\n", "The 5354th loop: cost = 45.159568786621094\n", "The 5355th loop: cost = 43.66532897949219\n", "The 5356th loop: cost = 42.958839416503906\n", "The 5357th loop: cost = 45.14439392089844\n", "The 5358th loop: cost = 39.803367614746094\n", "The 5359th loop: cost = 35.37885665893555\n", "The 5360th loop: cost = 47.18084716796875\n", "The 5361th loop: cost = 46.00663757324219\n", "The 5362th loop: cost = 45.4036979675293\n", "The 5363th loop: cost = 53.353599548339844\n", "The 5364th loop: cost = 41.51961898803711\n", "The 5365th loop: cost = 42.16825866699219\n", "The 5366th loop: cost = 43.92310333251953\n", "The 5367th loop: cost = 39.63614273071289\n", "The 5368th loop: cost = 46.010597229003906\n", "The 5369th loop: cost = 49.68274688720703\n", "The 5370th loop: cost = 41.700130462646484\n", "The 5371th loop: cost = 46.884342193603516\n", "The 5372th loop: cost = 45.87366485595703\n", "The 5373th loop: cost = 42.46100997924805\n", "The 5374th loop: cost = 52.45281982421875\n", "The 5375th loop: cost = 36.163265228271484\n", "The 5376th loop: cost = 42.5080451965332\n", "The 5377th loop: cost = 42.81608581542969\n", "The 5378th loop: cost = 35.18769836425781\n", "The 5379th loop: cost = 49.01805877685547\n", "The 5380th loop: cost = 46.918819427490234\n", "The 5381th loop: cost = 44.07828903198242\n", "The 5382th loop: cost = 40.81415939331055\n", "The 5383th loop: cost = 41.1815185546875\n", "The 5384th loop: cost = 43.25068664550781\n", "The 5385th loop: cost = 42.24783706665039\n", "The 5386th loop: cost = 39.867469787597656\n", "The 5387th loop: cost = 50.952919006347656\n", "The 5388th loop: cost = 49.04132080078125\n", "The 5389th loop: cost = 47.37498474121094\n", "The 5390th loop: cost = 48.78340530395508\n", "The 5391th loop: cost = 37.991661071777344\n", "The 5392th loop: cost = 49.91224670410156\n", "The 5393th loop: cost = 50.73394012451172\n", "The 5394th loop: cost = 41.57825469970703\n", "The 5395th loop: cost = 37.40755081176758\n", "The 5396th loop: cost = 48.915771484375\n", "The 5397th loop: cost = 48.896385192871094\n", "The 5398th loop: cost = 37.21215057373047\n", "The 5399th loop: cost = 42.861759185791016\n", "The 5400th loop: cost = 49.8808708190918\n", "The 5401th loop: cost = 50.686241149902344\n", "The 5402th loop: cost = 42.770931243896484\n", "The 5403th loop: cost = 41.22223663330078\n", "The 5404th loop: cost = 54.10096740722656\n", "The 5405th loop: cost = 35.031654357910156\n", "The 5406th loop: cost = 48.699100494384766\n", "The 5407th loop: cost = 41.09865188598633\n", "The 5408th loop: cost = 42.179656982421875\n", "The 5409th loop: cost = 39.45707702636719\n", "The 5410th loop: cost = 40.74853515625\n", "The 5411th loop: cost = 47.73078918457031\n", "The 5412th loop: cost = 42.23982238769531\n", "The 5413th loop: cost = 51.7719612121582\n", "The 5414th loop: cost = 38.44639205932617\n", "The 5415th loop: cost = 41.04701232910156\n", "The 5416th loop: cost = 43.11140060424805\n", "The 5417th loop: cost = 43.31444549560547\n", "The 5418th loop: cost = 42.80409240722656\n", "The 5419th loop: cost = 42.37099838256836\n", "The 5420th loop: cost = 44.23527526855469\n", "The 5421th loop: cost = 56.54925537109375\n", "The 5422th loop: cost = 42.07487487792969\n", "The 5423th loop: cost = 47.6015739440918\n", "The 5424th loop: cost = 55.66990280151367\n", "The 5425th loop: cost = 45.644996643066406\n", "The 5426th loop: cost = 37.74374771118164\n", "The 5427th loop: cost = 40.71821212768555\n", "The 5428th loop: cost = 45.98023986816406\n", "The 5429th loop: cost = 47.23450469970703\n", "The 5430th loop: cost = 50.78946304321289\n", "The 5431th loop: cost = 47.4673957824707\n", "The 5432th loop: cost = 41.26664352416992\n", "The 5433th loop: cost = 45.15669250488281\n", "The 5434th loop: cost = 39.643768310546875\n", "The 5435th loop: cost = 46.436729431152344\n", "The 5436th loop: cost = 42.66759490966797\n", "The 5437th loop: cost = 47.014808654785156\n", "The 5438th loop: cost = 43.00349426269531\n", "The 5439th loop: cost = 42.54669189453125\n", "The 5440th loop: cost = 40.689308166503906\n", "The 5441th loop: cost = 51.592369079589844\n", "The 5442th loop: cost = 41.86909484863281\n", "The 5443th loop: cost = 43.78636932373047\n", "The 5444th loop: cost = 42.440185546875\n", "The 5445th loop: cost = 43.79618835449219\n", "The 5446th loop: cost = 42.519195556640625\n", "The 5447th loop: cost = 46.275753021240234\n", "The 5448th loop: cost = 47.38549041748047\n", "The 5449th loop: cost = 39.91712188720703\n", "The 5450th loop: cost = 42.37372589111328\n", "The 5451th loop: cost = 35.16040802001953\n", "The 5452th loop: cost = 44.58794403076172\n", "The 5453th loop: cost = 41.0103759765625\n", "The 5454th loop: cost = 47.807613372802734\n", "The 5455th loop: cost = 48.882301330566406\n", "The 5456th loop: cost = 45.73075866699219\n", "The 5457th loop: cost = 41.611053466796875\n", "The 5458th loop: cost = 48.51403045654297\n", "The 5459th loop: cost = 45.936378479003906\n", "The 5460th loop: cost = 47.54866027832031\n", "The 5461th loop: cost = 46.43043899536133\n", "The 5462th loop: cost = 42.37034606933594\n", "The 5463th loop: cost = 39.14421463012695\n", "The 5464th loop: cost = 45.16960144042969\n", "The 5465th loop: cost = 37.92919921875\n", "The 5466th loop: cost = 47.99245834350586\n", "The 5467th loop: cost = 41.47917938232422\n", "The 5468th loop: cost = 42.23088073730469\n", "The 5469th loop: cost = 43.55908203125\n", "The 5470th loop: cost = 46.42192840576172\n", "The 5471th loop: cost = 45.361759185791016\n", "The 5472th loop: cost = 55.30084991455078\n", "The 5473th loop: cost = 53.058509826660156\n", "The 5474th loop: cost = 52.97647476196289\n", "The 5475th loop: cost = 43.06436538696289\n", "The 5476th loop: cost = 51.66156005859375\n", "The 5477th loop: cost = 55.624820709228516\n", "The 5478th loop: cost = 48.784820556640625\n", "The 5479th loop: cost = 47.744667053222656\n", "The 5480th loop: cost = 48.18285369873047\n", "The 5481th loop: cost = 48.033721923828125\n", "The 5482th loop: cost = 47.63853073120117\n", "The 5483th loop: cost = 51.05320358276367\n", "The 5484th loop: cost = 52.33818817138672\n", "The 5485th loop: cost = 40.94383239746094\n", "The 5486th loop: cost = 40.84645080566406\n", "The 5487th loop: cost = 44.234954833984375\n", "The 5488th loop: cost = 49.98322677612305\n", "The 5489th loop: cost = 39.49749755859375\n", "The 5490th loop: cost = 41.28895568847656\n", "The 5491th loop: cost = 45.689998626708984\n", "The 5492th loop: cost = 38.362754821777344\n", "The 5493th loop: cost = 58.31199645996094\n", "The 5494th loop: cost = 41.07572937011719\n", "The 5495th loop: cost = 49.877723693847656\n", "The 5496th loop: cost = 45.966678619384766\n", "The 5497th loop: cost = 45.3102912902832\n", "The 5498th loop: cost = 48.239479064941406\n", "The 5499th loop: cost = 51.3912239074707\n", "The 5500th loop: cost = 43.35466766357422\n", "The 5501th loop: cost = 50.19109344482422\n", "The 5502th loop: cost = 46.361419677734375\n", "The 5503th loop: cost = 57.01896667480469\n", "The 5504th loop: cost = 38.51226806640625\n", "The 5505th loop: cost = 45.84312438964844\n", "The 5506th loop: cost = 47.758453369140625\n", "The 5507th loop: cost = 48.17359924316406\n", "The 5508th loop: cost = 49.39751052856445\n", "The 5509th loop: cost = 36.842079162597656\n", "The 5510th loop: cost = 50.50395965576172\n", "The 5511th loop: cost = 52.592933654785156\n", "The 5512th loop: cost = 42.0877685546875\n", "The 5513th loop: cost = 56.45018768310547\n", "The 5514th loop: cost = 47.21390151977539\n", "The 5515th loop: cost = 32.054779052734375\n", "The 5516th loop: cost = 39.56024932861328\n", "The 5517th loop: cost = 45.47184371948242\n", "The 5518th loop: cost = 43.117679595947266\n", "The 5519th loop: cost = 46.09217834472656\n", "The 5520th loop: cost = 49.29120635986328\n", "The 5521th loop: cost = 40.714263916015625\n", "The 5522th loop: cost = 37.88359069824219\n", "The 5523th loop: cost = 50.87010192871094\n", "The 5524th loop: cost = 39.48954391479492\n", "The 5525th loop: cost = 47.89570236206055\n", "The 5526th loop: cost = 43.28600311279297\n", "The 5527th loop: cost = 46.83983612060547\n", "The 5528th loop: cost = 42.70317459106445\n", "The 5529th loop: cost = 49.9835205078125\n", "The 5530th loop: cost = 45.3040885925293\n", "The 5531th loop: cost = 40.75736999511719\n", "The 5532th loop: cost = 50.857215881347656\n", "The 5533th loop: cost = 42.60172653198242\n", "The 5534th loop: cost = 47.57270431518555\n", "The 5535th loop: cost = 39.23044967651367\n", "The 5536th loop: cost = 44.734596252441406\n", "The 5537th loop: cost = 49.108306884765625\n", "The 5538th loop: cost = 47.98789978027344\n", "The 5539th loop: cost = 42.00095748901367\n", "The 5540th loop: cost = 42.487937927246094\n", "The 5541th loop: cost = 44.369075775146484\n", "The 5542th loop: cost = 42.2970085144043\n", "The 5543th loop: cost = 37.66093826293945\n", "The 5544th loop: cost = 37.99312210083008\n", "The 5545th loop: cost = 51.372467041015625\n", "The 5546th loop: cost = 39.67589569091797\n", "The 5547th loop: cost = 47.711021423339844\n", "The 5548th loop: cost = 44.13432693481445\n", "The 5549th loop: cost = 39.406089782714844\n", "The 5550th loop: cost = 53.407135009765625\n", "The 5551th loop: cost = 36.881134033203125\n", "The 5552th loop: cost = 52.332427978515625\n", "The 5553th loop: cost = 45.515079498291016\n", "The 5554th loop: cost = 40.0087890625\n", "The 5555th loop: cost = 40.67654800415039\n", "The 5556th loop: cost = 48.47801971435547\n", "The 5557th loop: cost = 42.118133544921875\n", "The 5558th loop: cost = 50.802894592285156\n", "The 5559th loop: cost = 46.64255142211914\n", "The 5560th loop: cost = 48.209598541259766\n", "The 5561th loop: cost = 41.23723602294922\n", "The 5562th loop: cost = 48.677513122558594\n", "The 5563th loop: cost = 52.94673538208008\n", "The 5564th loop: cost = 49.66541290283203\n", "The 5565th loop: cost = 32.565242767333984\n", "The 5566th loop: cost = 51.22206115722656\n", "The 5567th loop: cost = 38.940887451171875\n", "The 5568th loop: cost = 44.8391227722168\n", "The 5569th loop: cost = 57.82197189331055\n", "The 5570th loop: cost = 45.445152282714844\n", "The 5571th loop: cost = 48.10655975341797\n", "The 5572th loop: cost = 46.19287872314453\n", "The 5573th loop: cost = 39.65402603149414\n", "The 5574th loop: cost = 43.012542724609375\n", "The 5575th loop: cost = 40.259765625\n", "The 5576th loop: cost = 43.47913360595703\n", "The 5577th loop: cost = 42.426475524902344\n", "The 5578th loop: cost = 50.422569274902344\n", "The 5579th loop: cost = 39.00151824951172\n", "The 5580th loop: cost = 40.565372467041016\n", "The 5581th loop: cost = 43.30026626586914\n", "The 5582th loop: cost = 38.275482177734375\n", "The 5583th loop: cost = 36.68763732910156\n", "The 5584th loop: cost = 49.86958694458008\n", "The 5585th loop: cost = 38.0698127746582\n", "The 5586th loop: cost = 45.86742401123047\n", "The 5587th loop: cost = 42.12778854370117\n", "The 5588th loop: cost = 45.83511734008789\n", "The 5589th loop: cost = 45.536163330078125\n", "The 5590th loop: cost = 35.96733856201172\n", "The 5591th loop: cost = 49.99184799194336\n", "The 5592th loop: cost = 47.40288543701172\n", "The 5593th loop: cost = 42.83259963989258\n", "The 5594th loop: cost = 41.188438415527344\n", "The 5595th loop: cost = 45.271690368652344\n", "The 5596th loop: cost = 51.79205322265625\n", "The 5597th loop: cost = 43.14570999145508\n", "The 5598th loop: cost = 41.272159576416016\n", "The 5599th loop: cost = 37.193294525146484\n", "The 5600th loop: cost = 41.509613037109375\n", "The 5601th loop: cost = 47.13655090332031\n", "The 5602th loop: cost = 45.70811080932617\n", "The 5603th loop: cost = 48.506019592285156\n", "The 5604th loop: cost = 49.638031005859375\n", "The 5605th loop: cost = 44.981178283691406\n", "The 5606th loop: cost = 38.74440002441406\n", "The 5607th loop: cost = 47.48769760131836\n", "The 5608th loop: cost = 43.285701751708984\n", "The 5609th loop: cost = 49.73579406738281\n", "The 5610th loop: cost = 34.816917419433594\n", "The 5611th loop: cost = 46.27659606933594\n", "The 5612th loop: cost = 59.203887939453125\n", "The 5613th loop: cost = 44.01995849609375\n", "The 5614th loop: cost = 54.8360595703125\n", "The 5615th loop: cost = 49.97078323364258\n", "The 5616th loop: cost = 47.71214294433594\n", "The 5617th loop: cost = 43.996788024902344\n", "The 5618th loop: cost = 45.215187072753906\n", "The 5619th loop: cost = 50.74285125732422\n", "The 5620th loop: cost = 53.76465606689453\n", "The 5621th loop: cost = 33.2152099609375\n", "The 5622th loop: cost = 36.72100830078125\n", "The 5623th loop: cost = 44.64087677001953\n", "The 5624th loop: cost = 39.33296585083008\n", "The 5625th loop: cost = 48.44560241699219\n", "The 5626th loop: cost = 47.19971466064453\n", "The 5627th loop: cost = 50.078582763671875\n", "The 5628th loop: cost = 52.36955261230469\n", "The 5629th loop: cost = 36.30620193481445\n", "The 5630th loop: cost = 47.57917785644531\n", "The 5631th loop: cost = 49.562828063964844\n", "The 5632th loop: cost = 39.85276794433594\n", "The 5633th loop: cost = 51.24974060058594\n", "The 5634th loop: cost = 48.759220123291016\n", "The 5635th loop: cost = 44.06073760986328\n", "The 5636th loop: cost = 47.93594741821289\n", "The 5637th loop: cost = 48.87405014038086\n", "The 5638th loop: cost = 44.456504821777344\n", "The 5639th loop: cost = 43.20155334472656\n", "The 5640th loop: cost = 41.137657165527344\n", "The 5641th loop: cost = 38.55077362060547\n", "The 5642th loop: cost = 50.91877746582031\n", "The 5643th loop: cost = 47.97402572631836\n", "The 5644th loop: cost = 35.83142852783203\n", "The 5645th loop: cost = 38.5943603515625\n", "The 5646th loop: cost = 41.52211380004883\n", "The 5647th loop: cost = 42.03498840332031\n", "The 5648th loop: cost = 55.300052642822266\n", "The 5649th loop: cost = 37.97346115112305\n", "The 5650th loop: cost = 41.533714294433594\n", "The 5651th loop: cost = 51.967098236083984\n", "The 5652th loop: cost = 45.785247802734375\n", "The 5653th loop: cost = 39.78356170654297\n", "The 5654th loop: cost = 48.03939437866211\n", "The 5655th loop: cost = 40.08697509765625\n", "The 5656th loop: cost = 43.312278747558594\n", "The 5657th loop: cost = 54.0213623046875\n", "The 5658th loop: cost = 40.94281005859375\n", "The 5659th loop: cost = 42.094024658203125\n", "The 5660th loop: cost = 37.64629364013672\n", "The 5661th loop: cost = 50.48747634887695\n", "The 5662th loop: cost = 49.89933776855469\n", "The 5663th loop: cost = 48.258644104003906\n", "The 5664th loop: cost = 33.79412841796875\n", "The 5665th loop: cost = 49.10440444946289\n", "The 5666th loop: cost = 42.9425048828125\n", "The 5667th loop: cost = 55.845367431640625\n", "The 5668th loop: cost = 44.23292922973633\n", "The 5669th loop: cost = 39.98002624511719\n", "The 5670th loop: cost = 48.366294860839844\n", "The 5671th loop: cost = 47.11904525756836\n", "The 5672th loop: cost = 42.161964416503906\n", "The 5673th loop: cost = 51.722251892089844\n", "The 5674th loop: cost = 44.05128479003906\n", "The 5675th loop: cost = 42.44194793701172\n", "The 5676th loop: cost = 48.37090301513672\n", "The 5677th loop: cost = 43.69766616821289\n", "The 5678th loop: cost = 50.67522430419922\n", "The 5679th loop: cost = 37.116477966308594\n", "The 5680th loop: cost = 53.187904357910156\n", "The 5681th loop: cost = 43.58407211303711\n", "The 5682th loop: cost = 38.59556579589844\n", "The 5683th loop: cost = 47.949710845947266\n", "The 5684th loop: cost = 51.62053680419922\n", "The 5685th loop: cost = 44.0260009765625\n", "The 5686th loop: cost = 39.99651336669922\n", "The 5687th loop: cost = 36.51509094238281\n", "The 5688th loop: cost = 42.23310089111328\n", "The 5689th loop: cost = 42.90617370605469\n", "The 5690th loop: cost = 44.71711349487305\n", "The 5691th loop: cost = 41.88932418823242\n", "The 5692th loop: cost = 43.81291961669922\n", "The 5693th loop: cost = 42.61802291870117\n", "The 5694th loop: cost = 43.672645568847656\n", "The 5695th loop: cost = 41.76768493652344\n", "The 5696th loop: cost = 41.02153778076172\n", "The 5697th loop: cost = 43.2916145324707\n", "The 5698th loop: cost = 41.643043518066406\n", "The 5699th loop: cost = 39.250335693359375\n", "The 5700th loop: cost = 49.933345794677734\n", "The 5701th loop: cost = 48.02643585205078\n", "The 5702th loop: cost = 46.979393005371094\n", "The 5703th loop: cost = 50.937068939208984\n", "The 5704th loop: cost = 43.22053527832031\n", "The 5705th loop: cost = 47.515716552734375\n", "The 5706th loop: cost = 46.57213592529297\n", "The 5707th loop: cost = 45.80109405517578\n", "The 5708th loop: cost = 48.24909973144531\n", "The 5709th loop: cost = 46.66773986816406\n", "The 5710th loop: cost = 44.907997131347656\n", "The 5711th loop: cost = 46.724700927734375\n", "The 5712th loop: cost = 43.75741195678711\n", "The 5713th loop: cost = 45.86867141723633\n", "The 5714th loop: cost = 49.40627670288086\n", "The 5715th loop: cost = 39.75676727294922\n", "The 5716th loop: cost = 48.29933166503906\n", "The 5717th loop: cost = 39.45972442626953\n", "The 5718th loop: cost = 46.61614990234375\n", "The 5719th loop: cost = 53.69147491455078\n", "The 5720th loop: cost = 42.518394470214844\n", "The 5721th loop: cost = 46.71332931518555\n", "The 5722th loop: cost = 40.2723274230957\n", "The 5723th loop: cost = 39.56471633911133\n", "The 5724th loop: cost = 40.997276306152344\n", "The 5725th loop: cost = 40.21690368652344\n", "The 5726th loop: cost = 43.19969940185547\n", "The 5727th loop: cost = 48.1483268737793\n", "The 5728th loop: cost = 34.51124572753906\n", "The 5729th loop: cost = 39.70331954956055\n", "The 5730th loop: cost = 53.626976013183594\n", "The 5731th loop: cost = 37.87385177612305\n", "The 5732th loop: cost = 47.067073822021484\n", "The 5733th loop: cost = 44.29032897949219\n", "The 5734th loop: cost = 45.18004608154297\n", "The 5735th loop: cost = 43.31893539428711\n", "The 5736th loop: cost = 41.51245880126953\n", "The 5737th loop: cost = 55.937774658203125\n", "The 5738th loop: cost = 50.5451774597168\n", "The 5739th loop: cost = 41.888885498046875\n", "The 5740th loop: cost = 51.33137893676758\n", "The 5741th loop: cost = 45.99285125732422\n", "The 5742th loop: cost = 53.13029861450195\n", "The 5743th loop: cost = 43.15001678466797\n", "The 5744th loop: cost = 39.645782470703125\n", "The 5745th loop: cost = 38.54435348510742\n", "The 5746th loop: cost = 32.4527587890625\n", "The 5747th loop: cost = 46.505184173583984\n", "The 5748th loop: cost = 41.31650161743164\n", "The 5749th loop: cost = 47.67498016357422\n", "The 5750th loop: cost = 47.59477996826172\n", "The 5751th loop: cost = 41.020286560058594\n", "The 5752th loop: cost = 35.5737190246582\n", "The 5753th loop: cost = 53.54936218261719\n", "The 5754th loop: cost = 55.616153717041016\n", "The 5755th loop: cost = 50.10853576660156\n", "The 5756th loop: cost = 49.41319274902344\n", "The 5757th loop: cost = 44.934814453125\n", "The 5758th loop: cost = 48.76374435424805\n", "The 5759th loop: cost = 53.162574768066406\n", "The 5760th loop: cost = 44.981224060058594\n", "The 5761th loop: cost = 41.859092712402344\n", "The 5762th loop: cost = 41.96174621582031\n", "The 5763th loop: cost = 47.9078483581543\n", "The 5764th loop: cost = 34.68055725097656\n", "The 5765th loop: cost = 34.79847717285156\n", "The 5766th loop: cost = 42.08188247680664\n", "The 5767th loop: cost = 42.90496063232422\n", "The 5768th loop: cost = 41.42823791503906\n", "The 5769th loop: cost = 34.85060119628906\n", "The 5770th loop: cost = 40.55708694458008\n", "The 5771th loop: cost = 51.98540496826172\n", "The 5772th loop: cost = 43.81214904785156\n", "The 5773th loop: cost = 46.076698303222656\n", "The 5774th loop: cost = 41.029144287109375\n", "The 5775th loop: cost = 45.18074417114258\n", "The 5776th loop: cost = 48.96357345581055\n", "The 5777th loop: cost = 43.80414581298828\n", "The 5778th loop: cost = 42.762245178222656\n", "The 5779th loop: cost = 51.506343841552734\n", "The 5780th loop: cost = 38.51104736328125\n", "The 5781th loop: cost = 49.34288024902344\n", "The 5782th loop: cost = 40.056915283203125\n", "The 5783th loop: cost = 45.51866912841797\n", "The 5784th loop: cost = 33.89114761352539\n", "The 5785th loop: cost = 50.07756805419922\n", "The 5786th loop: cost = 42.90122604370117\n", "The 5787th loop: cost = 50.40312957763672\n", "The 5788th loop: cost = 38.968109130859375\n", "The 5789th loop: cost = 47.587608337402344\n", "The 5790th loop: cost = 40.37006759643555\n", "The 5791th loop: cost = 48.79559326171875\n", "The 5792th loop: cost = 48.14303207397461\n", "The 5793th loop: cost = 49.7586669921875\n", "The 5794th loop: cost = 38.042667388916016\n", "The 5795th loop: cost = 43.13154602050781\n", "The 5796th loop: cost = 38.075687408447266\n", "The 5797th loop: cost = 39.48249816894531\n", "The 5798th loop: cost = 44.18334197998047\n", "The 5799th loop: cost = 43.988258361816406\n", "The 5800th loop: cost = 43.716835021972656\n", "The 5801th loop: cost = 44.90346145629883\n", "The 5802th loop: cost = 49.905277252197266\n", "The 5803th loop: cost = 43.493194580078125\n", "The 5804th loop: cost = 51.833587646484375\n", "The 5805th loop: cost = 47.0029296875\n", "The 5806th loop: cost = 44.14653778076172\n", "The 5807th loop: cost = 44.26786804199219\n", "The 5808th loop: cost = 43.55055618286133\n", "The 5809th loop: cost = 45.65447998046875\n", "The 5810th loop: cost = 35.87397003173828\n", "The 5811th loop: cost = 50.63601303100586\n", "The 5812th loop: cost = 31.485397338867188\n", "The 5813th loop: cost = 44.09061050415039\n", "The 5814th loop: cost = 43.908023834228516\n", "The 5815th loop: cost = 47.642822265625\n", "The 5816th loop: cost = 40.45317459106445\n", "The 5817th loop: cost = 55.078346252441406\n", "The 5818th loop: cost = 49.323001861572266\n", "The 5819th loop: cost = 46.531944274902344\n", "The 5820th loop: cost = 47.36300277709961\n", "The 5821th loop: cost = 48.73274612426758\n", "The 5822th loop: cost = 40.61741638183594\n", "The 5823th loop: cost = 43.28480529785156\n", "The 5824th loop: cost = 43.243934631347656\n", "The 5825th loop: cost = 41.45326614379883\n", "The 5826th loop: cost = 42.0182991027832\n", "The 5827th loop: cost = 56.66718673706055\n", "The 5828th loop: cost = 40.451637268066406\n", "The 5829th loop: cost = 52.015499114990234\n", "The 5830th loop: cost = 39.817787170410156\n", "The 5831th loop: cost = 35.79192352294922\n", "The 5832th loop: cost = 39.65126419067383\n", "The 5833th loop: cost = 45.91111373901367\n", "The 5834th loop: cost = 44.91315841674805\n", "The 5835th loop: cost = 44.440147399902344\n", "The 5836th loop: cost = 62.103004455566406\n", "The 5837th loop: cost = 61.80565643310547\n", "The 5838th loop: cost = 46.833824157714844\n", "The 5839th loop: cost = 41.17682647705078\n", "The 5840th loop: cost = 43.5501594543457\n", "The 5841th loop: cost = 34.36729431152344\n", "The 5842th loop: cost = 44.963409423828125\n", "The 5843th loop: cost = 43.10099411010742\n", "The 5844th loop: cost = 46.44591522216797\n", "The 5845th loop: cost = 42.84555435180664\n", "The 5846th loop: cost = 53.9764518737793\n", "The 5847th loop: cost = 45.74806213378906\n", "The 5848th loop: cost = 47.66248321533203\n", "The 5849th loop: cost = 39.374488830566406\n", "The 5850th loop: cost = 52.769386291503906\n", "The 5851th loop: cost = 32.355751037597656\n", "The 5852th loop: cost = 41.07847595214844\n", "The 5853th loop: cost = 36.349334716796875\n", "The 5854th loop: cost = 46.92533493041992\n", "The 5855th loop: cost = 43.367286682128906\n", "The 5856th loop: cost = 52.41455841064453\n", "The 5857th loop: cost = 39.275909423828125\n", "The 5858th loop: cost = 40.18156433105469\n", "The 5859th loop: cost = 51.82362747192383\n", "The 5860th loop: cost = 50.7786750793457\n", "The 5861th loop: cost = 42.883567810058594\n", "The 5862th loop: cost = 40.793861389160156\n", "The 5863th loop: cost = 43.88751220703125\n", "The 5864th loop: cost = 40.095481872558594\n", "The 5865th loop: cost = 49.860023498535156\n", "The 5866th loop: cost = 44.58368682861328\n", "The 5867th loop: cost = 38.69439697265625\n", "The 5868th loop: cost = 48.50395584106445\n", "The 5869th loop: cost = 42.70868682861328\n", "The 5870th loop: cost = 49.10426712036133\n", "The 5871th loop: cost = 50.06584548950195\n", "The 5872th loop: cost = 41.63157653808594\n", "The 5873th loop: cost = 43.799163818359375\n", "The 5874th loop: cost = 36.43878936767578\n", "The 5875th loop: cost = 36.15854263305664\n", "The 5876th loop: cost = 61.3699836730957\n", "The 5877th loop: cost = 39.55074691772461\n", "The 5878th loop: cost = 50.29609680175781\n", "The 5879th loop: cost = 49.16019058227539\n", "The 5880th loop: cost = 47.574588775634766\n", "The 5881th loop: cost = 37.99900817871094\n", "The 5882th loop: cost = 41.783294677734375\n", "The 5883th loop: cost = 46.55583953857422\n", "The 5884th loop: cost = 39.931766510009766\n", "The 5885th loop: cost = 54.863521575927734\n", "The 5886th loop: cost = 41.691566467285156\n", "The 5887th loop: cost = 44.1487922668457\n", "The 5888th loop: cost = 42.19880676269531\n", "The 5889th loop: cost = 41.60693359375\n", "The 5890th loop: cost = 54.61897277832031\n", "The 5891th loop: cost = 41.26246643066406\n", "The 5892th loop: cost = 42.67567443847656\n", "The 5893th loop: cost = 46.71599578857422\n", "The 5894th loop: cost = 49.59611892700195\n", "The 5895th loop: cost = 41.82941436767578\n", "The 5896th loop: cost = 38.596824645996094\n", "The 5897th loop: cost = 38.09455108642578\n", "The 5898th loop: cost = 36.84562683105469\n", "The 5899th loop: cost = 38.96943664550781\n", "The 5900th loop: cost = 45.839874267578125\n", "The 5901th loop: cost = 42.776737213134766\n", "The 5902th loop: cost = 41.89082336425781\n", "The 5903th loop: cost = 39.69349670410156\n", "The 5904th loop: cost = 46.79362106323242\n", "The 5905th loop: cost = 44.69491958618164\n", "The 5906th loop: cost = 40.385704040527344\n", "The 5907th loop: cost = 44.7674560546875\n", "The 5908th loop: cost = 39.97992706298828\n", "The 5909th loop: cost = 47.07252502441406\n", "The 5910th loop: cost = 52.706031799316406\n", "The 5911th loop: cost = 48.375816345214844\n", "The 5912th loop: cost = 44.90009307861328\n", "The 5913th loop: cost = 46.40091323852539\n", "The 5914th loop: cost = 37.47954559326172\n", "The 5915th loop: cost = 51.58283233642578\n", "The 5916th loop: cost = 43.45061492919922\n", "The 5917th loop: cost = 49.233726501464844\n", "The 5918th loop: cost = 43.19512939453125\n", "The 5919th loop: cost = 49.64580154418945\n", "The 5920th loop: cost = 56.436275482177734\n", "The 5921th loop: cost = 43.6319580078125\n", "The 5922th loop: cost = 37.745819091796875\n", "The 5923th loop: cost = 42.8125\n", "The 5924th loop: cost = 49.60448455810547\n", "The 5925th loop: cost = 46.43888854980469\n", "The 5926th loop: cost = 42.38688278198242\n", "The 5927th loop: cost = 48.37168884277344\n", "The 5928th loop: cost = 39.636573791503906\n", "The 5929th loop: cost = 50.360321044921875\n", "The 5930th loop: cost = 46.40507125854492\n", "The 5931th loop: cost = 39.23506546020508\n", "The 5932th loop: cost = 44.238800048828125\n", "The 5933th loop: cost = 47.160587310791016\n", "The 5934th loop: cost = 41.92125701904297\n", "The 5935th loop: cost = 50.63140106201172\n", "The 5936th loop: cost = 48.14207458496094\n", "The 5937th loop: cost = 42.158447265625\n", "The 5938th loop: cost = 47.337913513183594\n", "The 5939th loop: cost = 43.763450622558594\n", "The 5940th loop: cost = 39.214195251464844\n", "The 5941th loop: cost = 37.738426208496094\n", "The 5942th loop: cost = 39.73817825317383\n", "The 5943th loop: cost = 46.732093811035156\n", "The 5944th loop: cost = 43.665245056152344\n", "The 5945th loop: cost = 42.115318298339844\n", "The 5946th loop: cost = 42.696006774902344\n", "The 5947th loop: cost = 46.071990966796875\n", "The 5948th loop: cost = 30.800785064697266\n", "The 5949th loop: cost = 52.7802619934082\n", "The 5950th loop: cost = 48.90653991699219\n", "The 5951th loop: cost = 52.970272064208984\n", "The 5952th loop: cost = 52.918251037597656\n", "The 5953th loop: cost = 43.57578659057617\n", "The 5954th loop: cost = 38.79045867919922\n", "The 5955th loop: cost = 42.739383697509766\n", "The 5956th loop: cost = 43.6226692199707\n", "The 5957th loop: cost = 50.96493911743164\n", "The 5958th loop: cost = 36.36143493652344\n", "The 5959th loop: cost = 41.60923767089844\n", "The 5960th loop: cost = 50.1515998840332\n", "The 5961th loop: cost = 48.61869812011719\n", "The 5962th loop: cost = 42.30045700073242\n", "The 5963th loop: cost = 44.22809600830078\n", "The 5964th loop: cost = 38.151878356933594\n", "The 5965th loop: cost = 42.64564895629883\n", "The 5966th loop: cost = 50.650291442871094\n", "The 5967th loop: cost = 39.73592758178711\n", "The 5968th loop: cost = 54.307823181152344\n", "The 5969th loop: cost = 36.49463653564453\n", "The 5970th loop: cost = 43.6417350769043\n", "The 5971th loop: cost = 45.93328094482422\n", "The 5972th loop: cost = 36.753700256347656\n", "The 5973th loop: cost = 42.428688049316406\n", "The 5974th loop: cost = 44.423404693603516\n", "The 5975th loop: cost = 40.390777587890625\n", "The 5976th loop: cost = 50.94938659667969\n", "The 5977th loop: cost = 43.79100036621094\n", "The 5978th loop: cost = 45.71916198730469\n", "The 5979th loop: cost = 54.41820526123047\n", "The 5980th loop: cost = 38.936485290527344\n", "The 5981th loop: cost = 46.663482666015625\n", "The 5982th loop: cost = 34.754722595214844\n", "The 5983th loop: cost = 40.52538299560547\n", "The 5984th loop: cost = 44.47447967529297\n", "The 5985th loop: cost = 34.90304946899414\n", "The 5986th loop: cost = 41.623077392578125\n", "The 5987th loop: cost = 44.57094955444336\n", "The 5988th loop: cost = 36.923458099365234\n", "The 5989th loop: cost = 51.154972076416016\n", "The 5990th loop: cost = 35.14850616455078\n", "The 5991th loop: cost = 43.767574310302734\n", "The 5992th loop: cost = 41.846473693847656\n", "The 5993th loop: cost = 41.833892822265625\n", "The 5994th loop: cost = 47.604042053222656\n", "The 5995th loop: cost = 39.35913848876953\n", "The 5996th loop: cost = 46.44019317626953\n", "The 5997th loop: cost = 40.961551666259766\n", "The 5998th loop: cost = 41.542259216308594\n", "The 5999th loop: cost = 41.068538665771484\n", "The 6000th loop: cost = 47.248023986816406\n", "The 6001th loop: cost = 49.83718490600586\n", "The 6002th loop: cost = 42.61852264404297\n", "The 6003th loop: cost = 43.75917053222656\n", "The 6004th loop: cost = 41.759239196777344\n", "The 6005th loop: cost = 49.64656066894531\n", "The 6006th loop: cost = 50.686134338378906\n", "The 6007th loop: cost = 46.79241943359375\n", "The 6008th loop: cost = 49.919822692871094\n", "The 6009th loop: cost = 36.70800018310547\n", "The 6010th loop: cost = 41.71832275390625\n", "The 6011th loop: cost = 44.46589660644531\n", "The 6012th loop: cost = 44.57442092895508\n", "The 6013th loop: cost = 44.45795440673828\n", "The 6014th loop: cost = 48.83154296875\n", "The 6015th loop: cost = 45.49391174316406\n", "The 6016th loop: cost = 40.514408111572266\n", "The 6017th loop: cost = 38.301910400390625\n", "The 6018th loop: cost = 51.54881286621094\n", "The 6019th loop: cost = 44.1379508972168\n", "The 6020th loop: cost = 50.060272216796875\n", "The 6021th loop: cost = 40.06248474121094\n", "The 6022th loop: cost = 48.412086486816406\n", "The 6023th loop: cost = 49.96452713012695\n", "The 6024th loop: cost = 48.19770050048828\n", "The 6025th loop: cost = 43.06367492675781\n", "The 6026th loop: cost = 45.59151077270508\n", "The 6027th loop: cost = 42.392311096191406\n", "The 6028th loop: cost = 47.52558898925781\n", "The 6029th loop: cost = 43.79976272583008\n", "The 6030th loop: cost = 46.705135345458984\n", "The 6031th loop: cost = 49.532386779785156\n", "The 6032th loop: cost = 43.54805374145508\n", "The 6033th loop: cost = 42.71797180175781\n", "The 6034th loop: cost = 42.256107330322266\n", "The 6035th loop: cost = 47.12105178833008\n", "The 6036th loop: cost = 44.951080322265625\n", "The 6037th loop: cost = 40.348426818847656\n", "The 6038th loop: cost = 55.693607330322266\n", "The 6039th loop: cost = 37.777915954589844\n", "The 6040th loop: cost = 40.16068649291992\n", "The 6041th loop: cost = 49.901939392089844\n", "The 6042th loop: cost = 43.40700149536133\n", "The 6043th loop: cost = 48.47584915161133\n", "The 6044th loop: cost = 58.348175048828125\n", "The 6045th loop: cost = 36.18183898925781\n", "The 6046th loop: cost = 43.73139190673828\n", "The 6047th loop: cost = 49.60369110107422\n", "The 6048th loop: cost = 52.84697723388672\n", "The 6049th loop: cost = 45.34737014770508\n", "The 6050th loop: cost = 42.456607818603516\n", "The 6051th loop: cost = 50.36851501464844\n", "The 6052th loop: cost = 40.06598663330078\n", "The 6053th loop: cost = 47.793006896972656\n", "The 6054th loop: cost = 41.8952522277832\n", "The 6055th loop: cost = 44.518402099609375\n", "The 6056th loop: cost = 47.46058654785156\n", "The 6057th loop: cost = 49.86854934692383\n", "The 6058th loop: cost = 41.13975524902344\n", "The 6059th loop: cost = 49.89592742919922\n", "The 6060th loop: cost = 43.24021911621094\n", "The 6061th loop: cost = 41.34261703491211\n", "The 6062th loop: cost = 31.54532814025879\n", "The 6063th loop: cost = 47.20388412475586\n", "The 6064th loop: cost = 45.952247619628906\n", "The 6065th loop: cost = 42.6158561706543\n", "The 6066th loop: cost = 49.90626525878906\n", "The 6067th loop: cost = 39.10507583618164\n", "The 6068th loop: cost = 53.542972564697266\n", "The 6069th loop: cost = 43.116546630859375\n", "The 6070th loop: cost = 43.54651641845703\n", "The 6071th loop: cost = 40.58884811401367\n", "The 6072th loop: cost = 44.70317459106445\n", "The 6073th loop: cost = 39.92150115966797\n", "The 6074th loop: cost = 34.385475158691406\n", "The 6075th loop: cost = 44.27655029296875\n", "The 6076th loop: cost = 39.70161437988281\n", "The 6077th loop: cost = 40.90909194946289\n", "The 6078th loop: cost = 51.526161193847656\n", "The 6079th loop: cost = 47.885093688964844\n", "The 6080th loop: cost = 42.66365051269531\n", "The 6081th loop: cost = 40.60110855102539\n", "The 6082th loop: cost = 52.41426086425781\n", "The 6083th loop: cost = 40.62797546386719\n", "The 6084th loop: cost = 42.586952209472656\n", "The 6085th loop: cost = 42.1600341796875\n", "The 6086th loop: cost = 48.93560791015625\n", "The 6087th loop: cost = 40.41187286376953\n", "The 6088th loop: cost = 48.99378204345703\n", "The 6089th loop: cost = 50.823638916015625\n", "The 6090th loop: cost = 36.61701202392578\n", "The 6091th loop: cost = 47.55714416503906\n", "The 6092th loop: cost = 45.97468185424805\n", "The 6093th loop: cost = 45.348506927490234\n", "The 6094th loop: cost = 43.20207595825195\n", "The 6095th loop: cost = 49.8455924987793\n", "The 6096th loop: cost = 39.97887420654297\n", "The 6097th loop: cost = 37.140769958496094\n", "The 6098th loop: cost = 45.00640106201172\n", "The 6099th loop: cost = 42.935821533203125\n", "The 6100th loop: cost = 46.729698181152344\n", "The 6101th loop: cost = 42.132530212402344\n", "The 6102th loop: cost = 38.22114181518555\n", "The 6103th loop: cost = 46.11997604370117\n", "The 6104th loop: cost = 38.946815490722656\n", "The 6105th loop: cost = 43.07421875\n", "The 6106th loop: cost = 43.33354568481445\n", "The 6107th loop: cost = 41.227081298828125\n", "The 6108th loop: cost = 48.026023864746094\n", "The 6109th loop: cost = 39.11021041870117\n", "The 6110th loop: cost = 41.40362548828125\n", "The 6111th loop: cost = 49.48042678833008\n", "The 6112th loop: cost = 44.55091094970703\n", "The 6113th loop: cost = 43.85665512084961\n", "The 6114th loop: cost = 37.044227600097656\n", "The 6115th loop: cost = 49.43741989135742\n", "The 6116th loop: cost = 48.652137756347656\n", "The 6117th loop: cost = 41.70077133178711\n", "The 6118th loop: cost = 51.9456787109375\n", "The 6119th loop: cost = 41.94704055786133\n", "The 6120th loop: cost = 42.079856872558594\n", "The 6121th loop: cost = 37.30082702636719\n", "The 6122th loop: cost = 40.518898010253906\n", "The 6123th loop: cost = 41.297584533691406\n", "The 6124th loop: cost = 47.80137634277344\n", "The 6125th loop: cost = 47.76155090332031\n", "The 6126th loop: cost = 45.64891815185547\n", "The 6127th loop: cost = 48.29499053955078\n", "The 6128th loop: cost = 46.53881072998047\n", "The 6129th loop: cost = 45.14757537841797\n", "The 6130th loop: cost = 41.78798294067383\n", "The 6131th loop: cost = 48.051658630371094\n", "The 6132th loop: cost = 49.81883239746094\n", "The 6133th loop: cost = 43.65370178222656\n", "The 6134th loop: cost = 41.509178161621094\n", "The 6135th loop: cost = 45.75236129760742\n", "The 6136th loop: cost = 44.565513610839844\n", "The 6137th loop: cost = 52.58116912841797\n", "The 6138th loop: cost = 41.282691955566406\n", "The 6139th loop: cost = 40.427276611328125\n", "The 6140th loop: cost = 45.582515716552734\n", "The 6141th loop: cost = 41.44233322143555\n", "The 6142th loop: cost = 44.78498840332031\n", "The 6143th loop: cost = 46.17588806152344\n", "The 6144th loop: cost = 43.60334777832031\n", "The 6145th loop: cost = 51.79833221435547\n", "The 6146th loop: cost = 43.939701080322266\n", "The 6147th loop: cost = 49.73112869262695\n", "The 6148th loop: cost = 51.701629638671875\n", "The 6149th loop: cost = 49.48438262939453\n", "The 6150th loop: cost = 50.12937927246094\n", "The 6151th loop: cost = 45.43718719482422\n", "The 6152th loop: cost = 44.25851821899414\n", "The 6153th loop: cost = 51.23870086669922\n", "The 6154th loop: cost = 38.653804779052734\n", "The 6155th loop: cost = 46.486026763916016\n", "The 6156th loop: cost = 37.65367889404297\n", "The 6157th loop: cost = 39.783878326416016\n", "The 6158th loop: cost = 47.52669143676758\n", "The 6159th loop: cost = 40.09087371826172\n", "The 6160th loop: cost = 48.521488189697266\n", "The 6161th loop: cost = 32.900115966796875\n", "The 6162th loop: cost = 28.019432067871094\n", "The 6163th loop: cost = 45.72026824951172\n", "The 6164th loop: cost = 54.6776123046875\n", "The 6165th loop: cost = 58.82146453857422\n", "The 6166th loop: cost = 36.733848571777344\n", "The 6167th loop: cost = 42.668792724609375\n", "The 6168th loop: cost = 46.448516845703125\n", "The 6169th loop: cost = 46.75169372558594\n", "The 6170th loop: cost = 40.04171371459961\n", "The 6171th loop: cost = 50.18948745727539\n", "The 6172th loop: cost = 47.90003967285156\n", "The 6173th loop: cost = 45.03080749511719\n", "The 6174th loop: cost = 45.99162292480469\n", "The 6175th loop: cost = 45.64262008666992\n", "The 6176th loop: cost = 45.43288040161133\n", "The 6177th loop: cost = 46.86388397216797\n", "The 6178th loop: cost = 37.52747344970703\n", "The 6179th loop: cost = 56.02748107910156\n", "The 6180th loop: cost = 42.245391845703125\n", "The 6181th loop: cost = 38.2398681640625\n", "The 6182th loop: cost = 51.27616882324219\n", "The 6183th loop: cost = 39.74895095825195\n", "The 6184th loop: cost = 39.547393798828125\n", "The 6185th loop: cost = 41.89866256713867\n", "The 6186th loop: cost = 43.02269744873047\n", "The 6187th loop: cost = 47.29330825805664\n", "The 6188th loop: cost = 39.38395309448242\n", "The 6189th loop: cost = 43.52808380126953\n", "The 6190th loop: cost = 39.67304611206055\n", "The 6191th loop: cost = 49.19176483154297\n", "The 6192th loop: cost = 44.33081817626953\n", "The 6193th loop: cost = 47.75897216796875\n", "The 6194th loop: cost = 39.58832931518555\n", "The 6195th loop: cost = 45.98081588745117\n", "The 6196th loop: cost = 50.41023254394531\n", "The 6197th loop: cost = 46.33714294433594\n", "The 6198th loop: cost = 39.386451721191406\n", "The 6199th loop: cost = 48.93007278442383\n", "The 6200th loop: cost = 45.740325927734375\n", "The 6201th loop: cost = 41.6925048828125\n", "The 6202th loop: cost = 52.2405891418457\n", "The 6203th loop: cost = 42.70318603515625\n", "The 6204th loop: cost = 52.426456451416016\n", "The 6205th loop: cost = 53.52143859863281\n", "The 6206th loop: cost = 44.53385925292969\n", "The 6207th loop: cost = 41.809993743896484\n", "The 6208th loop: cost = 43.44322967529297\n", "The 6209th loop: cost = 45.090633392333984\n", "The 6210th loop: cost = 47.12576675415039\n", "The 6211th loop: cost = 51.32766342163086\n", "The 6212th loop: cost = 37.96205139160156\n", "The 6213th loop: cost = 39.00470733642578\n", "The 6214th loop: cost = 46.75698471069336\n", "The 6215th loop: cost = 48.48902893066406\n", "The 6216th loop: cost = 41.167999267578125\n", "The 6217th loop: cost = 49.85154724121094\n", "The 6218th loop: cost = 47.05545425415039\n", "The 6219th loop: cost = 48.77126693725586\n", "The 6220th loop: cost = 43.12324142456055\n", "The 6221th loop: cost = 50.459720611572266\n", "The 6222th loop: cost = 49.0536003112793\n", "The 6223th loop: cost = 42.806758880615234\n", "The 6224th loop: cost = 42.205970764160156\n", "The 6225th loop: cost = 53.16084671020508\n", "The 6226th loop: cost = 46.4958381652832\n", "The 6227th loop: cost = 41.388641357421875\n", "The 6228th loop: cost = 50.112125396728516\n", "The 6229th loop: cost = 43.47074890136719\n", "The 6230th loop: cost = 46.085357666015625\n", "The 6231th loop: cost = 35.60235595703125\n", "The 6232th loop: cost = 41.92335510253906\n", "The 6233th loop: cost = 46.3231315612793\n", "The 6234th loop: cost = 47.58369445800781\n", "The 6235th loop: cost = 39.95532989501953\n", "The 6236th loop: cost = 52.03976058959961\n", "The 6237th loop: cost = 41.86590576171875\n", "The 6238th loop: cost = 40.392478942871094\n", "The 6239th loop: cost = 46.30967330932617\n", "The 6240th loop: cost = 46.485816955566406\n", "The 6241th loop: cost = 49.262237548828125\n", "The 6242th loop: cost = 47.39149475097656\n", "The 6243th loop: cost = 48.82309341430664\n", "The 6244th loop: cost = 54.627723693847656\n", "The 6245th loop: cost = 35.438316345214844\n", "The 6246th loop: cost = 44.130916595458984\n", "The 6247th loop: cost = 34.26947021484375\n", "The 6248th loop: cost = 48.31198501586914\n", "The 6249th loop: cost = 47.16095733642578\n", "The 6250th loop: cost = 46.53607177734375\n", "The 6251th loop: cost = 42.557167053222656\n", "The 6252th loop: cost = 46.772884368896484\n", "The 6253th loop: cost = 39.448883056640625\n", "The 6254th loop: cost = 42.76722717285156\n", "The 6255th loop: cost = 44.17033386230469\n", "The 6256th loop: cost = 39.90424346923828\n", "The 6257th loop: cost = 42.93998718261719\n", "The 6258th loop: cost = 49.66254425048828\n", "The 6259th loop: cost = 39.00731658935547\n", "The 6260th loop: cost = 51.75375747680664\n", "The 6261th loop: cost = 40.43093490600586\n", "The 6262th loop: cost = 47.55076599121094\n", "The 6263th loop: cost = 39.0770263671875\n", "The 6264th loop: cost = 37.11532211303711\n", "The 6265th loop: cost = 51.66304016113281\n", "The 6266th loop: cost = 39.512123107910156\n", "The 6267th loop: cost = 46.07975769042969\n", "The 6268th loop: cost = 44.89692687988281\n", "The 6269th loop: cost = 35.763092041015625\n", "The 6270th loop: cost = 53.386512756347656\n", "The 6271th loop: cost = 38.774620056152344\n", "The 6272th loop: cost = 42.98192596435547\n", "The 6273th loop: cost = 44.704429626464844\n", "The 6274th loop: cost = 44.88703918457031\n", "The 6275th loop: cost = 41.237876892089844\n", "The 6276th loop: cost = 40.55160903930664\n", "The 6277th loop: cost = 48.30622100830078\n", "The 6278th loop: cost = 34.55292510986328\n", "The 6279th loop: cost = 41.4642219543457\n", "The 6280th loop: cost = 46.61097717285156\n", "The 6281th loop: cost = 38.831478118896484\n", "The 6282th loop: cost = 43.51902770996094\n", "The 6283th loop: cost = 50.40384292602539\n", "The 6284th loop: cost = 53.212974548339844\n", "The 6285th loop: cost = 43.11854553222656\n", "The 6286th loop: cost = 40.11767578125\n", "The 6287th loop: cost = 37.17323303222656\n", "The 6288th loop: cost = 46.83808898925781\n", "The 6289th loop: cost = 44.378013610839844\n", "The 6290th loop: cost = 55.36417770385742\n", "The 6291th loop: cost = 53.15409851074219\n", "The 6292th loop: cost = 42.95696258544922\n", "The 6293th loop: cost = 43.854515075683594\n", "The 6294th loop: cost = 48.62519836425781\n", "The 6295th loop: cost = 43.93191909790039\n", "The 6296th loop: cost = 42.402099609375\n", "The 6297th loop: cost = 41.822532653808594\n", "The 6298th loop: cost = 39.60872268676758\n", "The 6299th loop: cost = 48.0740966796875\n", "The 6300th loop: cost = 36.95228576660156\n", "The 6301th loop: cost = 43.708946228027344\n", "The 6302th loop: cost = 39.12236785888672\n", "The 6303th loop: cost = 38.040977478027344\n", "The 6304th loop: cost = 50.42560577392578\n", "The 6305th loop: cost = 44.26250457763672\n", "The 6306th loop: cost = 40.61891555786133\n", "The 6307th loop: cost = 44.667762756347656\n", "The 6308th loop: cost = 38.177642822265625\n", "The 6309th loop: cost = 48.936580657958984\n", "The 6310th loop: cost = 44.05473327636719\n", "The 6311th loop: cost = 36.95161819458008\n", "The 6312th loop: cost = 38.346954345703125\n", "The 6313th loop: cost = 37.92412567138672\n", "The 6314th loop: cost = 41.27821731567383\n", "The 6315th loop: cost = 53.22533416748047\n", "The 6316th loop: cost = 43.99542236328125\n", "The 6317th loop: cost = 45.272483825683594\n", "The 6318th loop: cost = 45.52287673950195\n", "The 6319th loop: cost = 33.324859619140625\n", "The 6320th loop: cost = 52.543365478515625\n", "The 6321th loop: cost = 43.03853225708008\n", "The 6322th loop: cost = 45.85374069213867\n", "The 6323th loop: cost = 48.265533447265625\n", "The 6324th loop: cost = 39.03974151611328\n", "The 6325th loop: cost = 49.146217346191406\n", "The 6326th loop: cost = 37.60198211669922\n", "The 6327th loop: cost = 49.903175354003906\n", "The 6328th loop: cost = 43.94007873535156\n", "The 6329th loop: cost = 46.3441047668457\n", "The 6330th loop: cost = 49.2271842956543\n", "The 6331th loop: cost = 43.68699264526367\n", "The 6332th loop: cost = 48.0513801574707\n", "The 6333th loop: cost = 41.2769775390625\n", "The 6334th loop: cost = 37.254112243652344\n", "The 6335th loop: cost = 49.39855194091797\n", "The 6336th loop: cost = 41.999385833740234\n", "The 6337th loop: cost = 55.45747756958008\n", "The 6338th loop: cost = 34.1046142578125\n", "The 6339th loop: cost = 43.01152420043945\n", "The 6340th loop: cost = 44.65387725830078\n", "The 6341th loop: cost = 46.082637786865234\n", "The 6342th loop: cost = 40.18341827392578\n", "The 6343th loop: cost = 36.76844787597656\n", "The 6344th loop: cost = 45.35084533691406\n", "The 6345th loop: cost = 42.29182052612305\n", "The 6346th loop: cost = 42.27128982543945\n", "The 6347th loop: cost = 43.45719909667969\n", "The 6348th loop: cost = 29.803316116333008\n", "The 6349th loop: cost = 51.501792907714844\n", "The 6350th loop: cost = 38.883087158203125\n", "The 6351th loop: cost = 45.72272491455078\n", "The 6352th loop: cost = 40.465885162353516\n", "The 6353th loop: cost = 42.41734313964844\n", "The 6354th loop: cost = 34.318931579589844\n", "The 6355th loop: cost = 49.532691955566406\n", "The 6356th loop: cost = 47.74247741699219\n", "The 6357th loop: cost = 33.994224548339844\n", "The 6358th loop: cost = 53.33159255981445\n", "The 6359th loop: cost = 50.303749084472656\n", "The 6360th loop: cost = 49.867130279541016\n", "The 6361th loop: cost = 42.902671813964844\n", "The 6362th loop: cost = 54.634254455566406\n", "The 6363th loop: cost = 52.49060821533203\n", "The 6364th loop: cost = 48.37812042236328\n", "The 6365th loop: cost = 46.021705627441406\n", "The 6366th loop: cost = 45.214473724365234\n", "The 6367th loop: cost = 46.807212829589844\n", "The 6368th loop: cost = 45.99213409423828\n", "The 6369th loop: cost = 44.453060150146484\n", "The 6370th loop: cost = 50.22430419921875\n", "The 6371th loop: cost = 47.48609924316406\n", "The 6372th loop: cost = 35.406185150146484\n", "The 6373th loop: cost = 39.362449645996094\n", "The 6374th loop: cost = 35.92928695678711\n", "The 6375th loop: cost = 41.30729675292969\n", "The 6376th loop: cost = 49.56784439086914\n", "The 6377th loop: cost = 40.44770431518555\n", "The 6378th loop: cost = 44.704532623291016\n", "The 6379th loop: cost = 38.89654541015625\n", "The 6380th loop: cost = 45.280487060546875\n", "The 6381th loop: cost = 58.49178695678711\n", "The 6382th loop: cost = 54.66210174560547\n", "The 6383th loop: cost = 50.801666259765625\n", "The 6384th loop: cost = 43.202842712402344\n", "The 6385th loop: cost = 39.071617126464844\n", "The 6386th loop: cost = 44.9867057800293\n", "The 6387th loop: cost = 41.89946365356445\n", "The 6388th loop: cost = 45.953025817871094\n", "The 6389th loop: cost = 47.42072296142578\n", "The 6390th loop: cost = 40.95323181152344\n", "The 6391th loop: cost = 43.56515884399414\n", "The 6392th loop: cost = 42.93877029418945\n", "The 6393th loop: cost = 48.60015106201172\n", "The 6394th loop: cost = 40.91185760498047\n", "The 6395th loop: cost = 35.864990234375\n", "The 6396th loop: cost = 44.012481689453125\n", "The 6397th loop: cost = 49.8081169128418\n", "The 6398th loop: cost = 48.669769287109375\n", "The 6399th loop: cost = 48.22412109375\n", "The 6400th loop: cost = 42.931495666503906\n", "The 6401th loop: cost = 53.00231170654297\n", "The 6402th loop: cost = 39.596893310546875\n", "The 6403th loop: cost = 43.90205383300781\n", "The 6404th loop: cost = 46.53506088256836\n", "The 6405th loop: cost = 38.39437484741211\n", "The 6406th loop: cost = 39.99671173095703\n", "The 6407th loop: cost = 44.6468505859375\n", "The 6408th loop: cost = 44.69010925292969\n", "The 6409th loop: cost = 39.19422912597656\n", "The 6410th loop: cost = 45.75284957885742\n", "The 6411th loop: cost = 32.041046142578125\n", "The 6412th loop: cost = 40.18666076660156\n", "The 6413th loop: cost = 43.14806365966797\n", "The 6414th loop: cost = 39.08636474609375\n", "The 6415th loop: cost = 42.43473815917969\n", "The 6416th loop: cost = 45.60458755493164\n", "The 6417th loop: cost = 56.0485954284668\n", "The 6418th loop: cost = 42.187896728515625\n", "The 6419th loop: cost = 51.286651611328125\n", "The 6420th loop: cost = 52.69300842285156\n", "The 6421th loop: cost = 38.032554626464844\n", "The 6422th loop: cost = 42.22544479370117\n", "The 6423th loop: cost = 43.32563018798828\n", "The 6424th loop: cost = 44.71886444091797\n", "The 6425th loop: cost = 49.21796417236328\n", "The 6426th loop: cost = 39.326637268066406\n", "The 6427th loop: cost = 47.3306770324707\n", "The 6428th loop: cost = 47.1033821105957\n", "The 6429th loop: cost = 45.134483337402344\n", "The 6430th loop: cost = 40.935462951660156\n", "The 6431th loop: cost = 48.47474670410156\n", "The 6432th loop: cost = 45.079830169677734\n", "The 6433th loop: cost = 47.270416259765625\n", "The 6434th loop: cost = 34.133888244628906\n", "The 6435th loop: cost = 48.57746124267578\n", "The 6436th loop: cost = 38.7415657043457\n", "The 6437th loop: cost = 45.767112731933594\n", "The 6438th loop: cost = 42.056793212890625\n", "The 6439th loop: cost = 46.17136764526367\n", "The 6440th loop: cost = 48.332374572753906\n", "The 6441th loop: cost = 39.285335540771484\n", "The 6442th loop: cost = 46.376007080078125\n", "The 6443th loop: cost = 39.672080993652344\n", "The 6444th loop: cost = 42.08449935913086\n", "The 6445th loop: cost = 53.70536804199219\n", "The 6446th loop: cost = 37.200130462646484\n", "The 6447th loop: cost = 46.199771881103516\n", "The 6448th loop: cost = 44.25053405761719\n", "The 6449th loop: cost = 38.70596694946289\n", "The 6450th loop: cost = 50.162109375\n", "The 6451th loop: cost = 40.411277770996094\n", "The 6452th loop: cost = 37.24519348144531\n", "The 6453th loop: cost = 40.43575668334961\n", "The 6454th loop: cost = 43.28583908081055\n", "The 6455th loop: cost = 43.0438232421875\n", "The 6456th loop: cost = 40.751502990722656\n", "The 6457th loop: cost = 36.88872528076172\n", "The 6458th loop: cost = 45.163116455078125\n", "The 6459th loop: cost = 41.2898063659668\n", "The 6460th loop: cost = 48.23662185668945\n", "The 6461th loop: cost = 40.87245559692383\n", "The 6462th loop: cost = 55.877655029296875\n", "The 6463th loop: cost = 40.027645111083984\n", "The 6464th loop: cost = 45.871826171875\n", "The 6465th loop: cost = 43.20071792602539\n", "The 6466th loop: cost = 53.86151123046875\n", "The 6467th loop: cost = 38.682159423828125\n", "The 6468th loop: cost = 37.016300201416016\n", "The 6469th loop: cost = 44.11470031738281\n", "The 6470th loop: cost = 42.44459915161133\n", "The 6471th loop: cost = 41.568939208984375\n", "The 6472th loop: cost = 45.73310470581055\n", "The 6473th loop: cost = 40.154449462890625\n", "The 6474th loop: cost = 50.5247688293457\n", "The 6475th loop: cost = 42.442657470703125\n", "The 6476th loop: cost = 44.35663604736328\n", "The 6477th loop: cost = 48.787803649902344\n", "The 6478th loop: cost = 39.60947036743164\n", "The 6479th loop: cost = 44.03189468383789\n", "The 6480th loop: cost = 51.46611022949219\n", "The 6481th loop: cost = 41.855228424072266\n", "The 6482th loop: cost = 55.129302978515625\n", "The 6483th loop: cost = 37.206233978271484\n", "The 6484th loop: cost = 47.12001037597656\n", "The 6485th loop: cost = 45.76212692260742\n", "The 6486th loop: cost = 38.70486068725586\n", "The 6487th loop: cost = 45.807010650634766\n", "The 6488th loop: cost = 36.26802444458008\n", "The 6489th loop: cost = 44.36692810058594\n", "The 6490th loop: cost = 45.756919860839844\n", "The 6491th loop: cost = 47.08097457885742\n", "The 6492th loop: cost = 41.472991943359375\n", "The 6493th loop: cost = 49.64704132080078\n", "The 6494th loop: cost = 48.25493240356445\n", "The 6495th loop: cost = 42.94719314575195\n", "The 6496th loop: cost = 42.589107513427734\n", "The 6497th loop: cost = 38.78528594970703\n", "The 6498th loop: cost = 35.17049789428711\n", "The 6499th loop: cost = 54.124813079833984\n", "The 6500th loop: cost = 50.629554748535156\n", "The 6501th loop: cost = 38.09766387939453\n", "The 6502th loop: cost = 35.114376068115234\n", "The 6503th loop: cost = 42.27758026123047\n", "The 6504th loop: cost = 51.02088928222656\n", "The 6505th loop: cost = 42.296295166015625\n", "The 6506th loop: cost = 44.652374267578125\n", "The 6507th loop: cost = 42.98844528198242\n", "The 6508th loop: cost = 39.21144104003906\n", "The 6509th loop: cost = 40.19781494140625\n", "The 6510th loop: cost = 46.869956970214844\n", "The 6511th loop: cost = 48.700828552246094\n", "The 6512th loop: cost = 40.47691345214844\n", "The 6513th loop: cost = 40.76108169555664\n", "The 6514th loop: cost = 45.183326721191406\n", "The 6515th loop: cost = 40.54124069213867\n", "The 6516th loop: cost = 41.03086853027344\n", "The 6517th loop: cost = 38.43696594238281\n", "The 6518th loop: cost = 46.26942825317383\n", "The 6519th loop: cost = 45.40220642089844\n", "The 6520th loop: cost = 46.27115249633789\n", "The 6521th loop: cost = 44.40105056762695\n", "The 6522th loop: cost = 48.71902084350586\n", "The 6523th loop: cost = 44.141197204589844\n", "The 6524th loop: cost = 50.165260314941406\n", "The 6525th loop: cost = 32.596988677978516\n", "The 6526th loop: cost = 39.84810256958008\n", "The 6527th loop: cost = 41.764076232910156\n", "The 6528th loop: cost = 40.06980514526367\n", "The 6529th loop: cost = 49.89130401611328\n", "The 6530th loop: cost = 41.4361686706543\n", "The 6531th loop: cost = 44.99049377441406\n", "The 6532th loop: cost = 54.606075286865234\n", "The 6533th loop: cost = 39.506980895996094\n", "The 6534th loop: cost = 41.73495101928711\n", "The 6535th loop: cost = 50.38140869140625\n", "The 6536th loop: cost = 43.835166931152344\n", "The 6537th loop: cost = 40.33129119873047\n", "The 6538th loop: cost = 45.6987190246582\n", "The 6539th loop: cost = 39.48858642578125\n", "The 6540th loop: cost = 48.3737907409668\n", "The 6541th loop: cost = 41.54490661621094\n", "The 6542th loop: cost = 41.252281188964844\n", "The 6543th loop: cost = 40.20998001098633\n", "The 6544th loop: cost = 45.249267578125\n", "The 6545th loop: cost = 40.72364807128906\n", "The 6546th loop: cost = 41.26721954345703\n", "The 6547th loop: cost = 44.54348373413086\n", "The 6548th loop: cost = 53.79680633544922\n", "The 6549th loop: cost = 46.251075744628906\n", "The 6550th loop: cost = 42.3993034362793\n", "The 6551th loop: cost = 55.66448974609375\n", "The 6552th loop: cost = 41.038787841796875\n", "The 6553th loop: cost = 41.5629997253418\n", "The 6554th loop: cost = 55.5325927734375\n", "The 6555th loop: cost = 43.469566345214844\n", "The 6556th loop: cost = 37.509742736816406\n", "The 6557th loop: cost = 49.542903900146484\n", "The 6558th loop: cost = 46.187095642089844\n", "The 6559th loop: cost = 37.50712585449219\n", "The 6560th loop: cost = 43.24470901489258\n", "The 6561th loop: cost = 37.67485046386719\n", "The 6562th loop: cost = 44.3922004699707\n", "The 6563th loop: cost = 43.779151916503906\n", "The 6564th loop: cost = 42.37327194213867\n", "The 6565th loop: cost = 33.465599060058594\n", "The 6566th loop: cost = 44.60771179199219\n", "The 6567th loop: cost = 39.969810485839844\n", "The 6568th loop: cost = 49.44165802001953\n", "The 6569th loop: cost = 46.10230255126953\n", "The 6570th loop: cost = 37.23982238769531\n", "The 6571th loop: cost = 34.29611587524414\n", "The 6572th loop: cost = 42.693565368652344\n", "The 6573th loop: cost = 45.510215759277344\n", "The 6574th loop: cost = 42.70805358886719\n", "The 6575th loop: cost = 46.5430908203125\n", "The 6576th loop: cost = 40.937286376953125\n", "The 6577th loop: cost = 47.1253662109375\n", "The 6578th loop: cost = 43.388160705566406\n", "The 6579th loop: cost = 45.308998107910156\n", "The 6580th loop: cost = 56.57599639892578\n", "The 6581th loop: cost = 50.979766845703125\n", "The 6582th loop: cost = 48.61471176147461\n", "The 6583th loop: cost = 45.647220611572266\n", "The 6584th loop: cost = 40.69097900390625\n", "The 6585th loop: cost = 40.39363479614258\n", "The 6586th loop: cost = 43.35017013549805\n", "The 6587th loop: cost = 43.71057891845703\n", "The 6588th loop: cost = 52.11851501464844\n", "The 6589th loop: cost = 35.6453971862793\n", "The 6590th loop: cost = 47.50471115112305\n", "The 6591th loop: cost = 39.73583984375\n", "The 6592th loop: cost = 39.7889518737793\n", "The 6593th loop: cost = 46.05939483642578\n", "The 6594th loop: cost = 45.41431427001953\n", "The 6595th loop: cost = 47.34447479248047\n", "The 6596th loop: cost = 45.01390838623047\n", "The 6597th loop: cost = 44.148128509521484\n", "The 6598th loop: cost = 41.92896270751953\n", "The 6599th loop: cost = 42.005733489990234\n", "The 6600th loop: cost = 42.723487854003906\n", "The 6601th loop: cost = 37.59709930419922\n", "The 6602th loop: cost = 38.698219299316406\n", "The 6603th loop: cost = 46.584136962890625\n", "The 6604th loop: cost = 41.35359191894531\n", "The 6605th loop: cost = 45.802207946777344\n", "The 6606th loop: cost = 43.507850646972656\n", "The 6607th loop: cost = 35.42268371582031\n", "The 6608th loop: cost = 39.51727294921875\n", "The 6609th loop: cost = 45.28498077392578\n", "The 6610th loop: cost = 46.20549774169922\n", "The 6611th loop: cost = 33.98963928222656\n", "The 6612th loop: cost = 53.17005920410156\n", "The 6613th loop: cost = 43.279090881347656\n", "The 6614th loop: cost = 38.397579193115234\n", "The 6615th loop: cost = 32.99810028076172\n", "The 6616th loop: cost = 38.22241973876953\n", "The 6617th loop: cost = 51.182533264160156\n", "The 6618th loop: cost = 50.02056121826172\n", "The 6619th loop: cost = 46.26677322387695\n", "The 6620th loop: cost = 50.759830474853516\n", "The 6621th loop: cost = 42.05387878417969\n", "The 6622th loop: cost = 35.11572265625\n", "The 6623th loop: cost = 44.928985595703125\n", "The 6624th loop: cost = 46.362831115722656\n", "The 6625th loop: cost = 47.15114212036133\n", "The 6626th loop: cost = 42.57192611694336\n", "The 6627th loop: cost = 37.60918426513672\n", "The 6628th loop: cost = 34.95051574707031\n", "The 6629th loop: cost = 39.23236846923828\n", "The 6630th loop: cost = 47.19239807128906\n", "The 6631th loop: cost = 35.879207611083984\n", "The 6632th loop: cost = 34.112491607666016\n", "The 6633th loop: cost = 36.02053451538086\n", "The 6634th loop: cost = 44.84405517578125\n", "The 6635th loop: cost = 44.92630386352539\n", "The 6636th loop: cost = 41.521751403808594\n", "The 6637th loop: cost = 47.489295959472656\n", "The 6638th loop: cost = 42.564273834228516\n", "The 6639th loop: cost = 41.3785285949707\n", "The 6640th loop: cost = 46.9510498046875\n", "The 6641th loop: cost = 39.64190673828125\n", "The 6642th loop: cost = 48.964637756347656\n", "The 6643th loop: cost = 48.20168685913086\n", "The 6644th loop: cost = 43.03239440917969\n", "The 6645th loop: cost = 49.628868103027344\n", "The 6646th loop: cost = 42.25804901123047\n", "The 6647th loop: cost = 43.71302032470703\n", "The 6648th loop: cost = 51.010101318359375\n", "The 6649th loop: cost = 36.49677276611328\n", "The 6650th loop: cost = 48.783294677734375\n", "The 6651th loop: cost = 37.45075988769531\n", "The 6652th loop: cost = 43.02962112426758\n", "The 6653th loop: cost = 40.81572723388672\n", "The 6654th loop: cost = 39.37689971923828\n", "The 6655th loop: cost = 42.43986129760742\n", "The 6656th loop: cost = 34.60838317871094\n", "The 6657th loop: cost = 29.77360725402832\n", "The 6658th loop: cost = 34.881263732910156\n", "The 6659th loop: cost = 38.908660888671875\n", "The 6660th loop: cost = 37.3167610168457\n", "The 6661th loop: cost = 55.883644104003906\n", "The 6662th loop: cost = 43.38098907470703\n", "The 6663th loop: cost = 36.26015090942383\n", "The 6664th loop: cost = 45.28218078613281\n", "The 6665th loop: cost = 33.90672302246094\n", "The 6666th loop: cost = 41.64527893066406\n", "The 6667th loop: cost = 29.89874267578125\n", "The 6668th loop: cost = 46.182281494140625\n", "The 6669th loop: cost = 42.72230529785156\n", "The 6670th loop: cost = 49.60214614868164\n", "The 6671th loop: cost = 47.955631256103516\n", "The 6672th loop: cost = 50.00608825683594\n", "The 6673th loop: cost = 37.448455810546875\n", "The 6674th loop: cost = 43.085205078125\n", "The 6675th loop: cost = 46.30914306640625\n", "The 6676th loop: cost = 43.52434158325195\n", "The 6677th loop: cost = 43.790679931640625\n", "The 6678th loop: cost = 37.393592834472656\n", "The 6679th loop: cost = 37.58265686035156\n", "The 6680th loop: cost = 46.70843505859375\n", "The 6681th loop: cost = 39.3916015625\n", "The 6682th loop: cost = 41.017005920410156\n", "The 6683th loop: cost = 52.44291687011719\n", "The 6684th loop: cost = 48.182411193847656\n", "The 6685th loop: cost = 45.76812744140625\n", "The 6686th loop: cost = 39.8734130859375\n", "The 6687th loop: cost = 40.6824951171875\n", "The 6688th loop: cost = 50.3166389465332\n", "The 6689th loop: cost = 37.58112335205078\n", "The 6690th loop: cost = 32.863677978515625\n", "The 6691th loop: cost = 39.268653869628906\n", "The 6692th loop: cost = 40.08530044555664\n", "The 6693th loop: cost = 45.22768020629883\n", "The 6694th loop: cost = 33.66728210449219\n", "The 6695th loop: cost = 42.73912048339844\n", "The 6696th loop: cost = 47.34183120727539\n", "The 6697th loop: cost = 44.95709228515625\n", "The 6698th loop: cost = 42.390052795410156\n", "The 6699th loop: cost = 50.69904327392578\n", "The 6700th loop: cost = 49.19187927246094\n", "The 6701th loop: cost = 42.517086029052734\n", "The 6702th loop: cost = 48.338924407958984\n", "The 6703th loop: cost = 36.713844299316406\n", "The 6704th loop: cost = 48.217594146728516\n", "The 6705th loop: cost = 36.10603713989258\n", "The 6706th loop: cost = 38.23899841308594\n", "The 6707th loop: cost = 41.07007598876953\n", "The 6708th loop: cost = 54.221397399902344\n", "The 6709th loop: cost = 43.94598388671875\n", "The 6710th loop: cost = 44.392826080322266\n", "The 6711th loop: cost = 49.50089645385742\n", "The 6712th loop: cost = 36.798851013183594\n", "The 6713th loop: cost = 45.962913513183594\n", "The 6714th loop: cost = 42.57243347167969\n", "The 6715th loop: cost = 44.883514404296875\n", "The 6716th loop: cost = 50.729957580566406\n", "The 6717th loop: cost = 31.362136840820312\n", "The 6718th loop: cost = 40.939857482910156\n", "The 6719th loop: cost = 34.79521179199219\n", "The 6720th loop: cost = 44.0255241394043\n", "The 6721th loop: cost = 46.10780715942383\n", "The 6722th loop: cost = 40.49906921386719\n", "The 6723th loop: cost = 37.65180587768555\n", "The 6724th loop: cost = 44.529388427734375\n", "The 6725th loop: cost = 46.851043701171875\n", "The 6726th loop: cost = 46.28778076171875\n", "The 6727th loop: cost = 37.55889892578125\n", "The 6728th loop: cost = 38.69670867919922\n", "The 6729th loop: cost = 34.4627685546875\n", "The 6730th loop: cost = 48.65935516357422\n", "The 6731th loop: cost = 54.233055114746094\n", "The 6732th loop: cost = 41.92554473876953\n", "The 6733th loop: cost = 45.65717315673828\n", "The 6734th loop: cost = 43.80210876464844\n", "The 6735th loop: cost = 36.50668716430664\n", "The 6736th loop: cost = 48.008697509765625\n", "The 6737th loop: cost = 38.0671272277832\n", "The 6738th loop: cost = 43.21523666381836\n", "The 6739th loop: cost = 49.228641510009766\n", "The 6740th loop: cost = 43.37691116333008\n", "The 6741th loop: cost = 43.100704193115234\n", "The 6742th loop: cost = 37.754947662353516\n", "The 6743th loop: cost = 52.6361198425293\n", "The 6744th loop: cost = 46.656982421875\n", "The 6745th loop: cost = 39.088829040527344\n", "The 6746th loop: cost = 41.73091125488281\n", "The 6747th loop: cost = 32.911376953125\n", "The 6748th loop: cost = 39.40001678466797\n", "The 6749th loop: cost = 48.847633361816406\n", "The 6750th loop: cost = 48.36741638183594\n", "The 6751th loop: cost = 43.71138381958008\n", "The 6752th loop: cost = 37.16277313232422\n", "The 6753th loop: cost = 39.32630157470703\n", "The 6754th loop: cost = 34.51439666748047\n", "The 6755th loop: cost = 38.38927459716797\n", "The 6756th loop: cost = 37.26781463623047\n", "The 6757th loop: cost = 43.1629638671875\n", "The 6758th loop: cost = 45.74463653564453\n", "The 6759th loop: cost = 44.174495697021484\n", "The 6760th loop: cost = 41.37382125854492\n", "The 6761th loop: cost = 43.51824951171875\n", "The 6762th loop: cost = 39.37291717529297\n", "The 6763th loop: cost = 36.970184326171875\n", "The 6764th loop: cost = 59.6815299987793\n", "The 6765th loop: cost = 43.609336853027344\n", "The 6766th loop: cost = 46.14775085449219\n", "The 6767th loop: cost = 39.91941833496094\n", "The 6768th loop: cost = 46.43584442138672\n", "The 6769th loop: cost = 32.888397216796875\n", "The 6770th loop: cost = 39.1075439453125\n", "The 6771th loop: cost = 40.381412506103516\n", "The 6772th loop: cost = 44.541656494140625\n", "The 6773th loop: cost = 51.89612579345703\n", "The 6774th loop: cost = 38.99779510498047\n", "The 6775th loop: cost = 48.63905715942383\n", "The 6776th loop: cost = 39.769004821777344\n", "The 6777th loop: cost = 44.71006774902344\n", "The 6778th loop: cost = 51.59954833984375\n", "The 6779th loop: cost = 51.26862716674805\n", "The 6780th loop: cost = 40.29456329345703\n", "The 6781th loop: cost = 49.884185791015625\n", "The 6782th loop: cost = 48.03055191040039\n", "The 6783th loop: cost = 44.654273986816406\n", "The 6784th loop: cost = 46.51676559448242\n", "The 6785th loop: cost = 40.79279708862305\n", "The 6786th loop: cost = 42.23074722290039\n", "The 6787th loop: cost = 44.75157928466797\n", "The 6788th loop: cost = 40.76148223876953\n", "The 6789th loop: cost = 46.115970611572266\n", "The 6790th loop: cost = 46.06798553466797\n", "The 6791th loop: cost = 43.38882064819336\n", "The 6792th loop: cost = 53.03371810913086\n", "The 6793th loop: cost = 37.311248779296875\n", "The 6794th loop: cost = 33.90606689453125\n", "The 6795th loop: cost = 42.082244873046875\n", "The 6796th loop: cost = 40.622406005859375\n", "The 6797th loop: cost = 40.30438232421875\n", "The 6798th loop: cost = 38.330078125\n", "The 6799th loop: cost = 56.156494140625\n", "The 6800th loop: cost = 36.55654525756836\n", "The 6801th loop: cost = 46.40093994140625\n", "The 6802th loop: cost = 48.05168533325195\n", "The 6803th loop: cost = 46.904544830322266\n", "The 6804th loop: cost = 39.10031509399414\n", "The 6805th loop: cost = 43.34276580810547\n", "The 6806th loop: cost = 46.013450622558594\n", "The 6807th loop: cost = 43.312217712402344\n", "The 6808th loop: cost = 42.96711349487305\n", "The 6809th loop: cost = 48.3861083984375\n", "The 6810th loop: cost = 43.25096893310547\n", "The 6811th loop: cost = 39.04685592651367\n", "The 6812th loop: cost = 49.3763427734375\n", "The 6813th loop: cost = 44.23332977294922\n", "The 6814th loop: cost = 47.834842681884766\n", "The 6815th loop: cost = 39.361534118652344\n", "The 6816th loop: cost = 41.2689094543457\n", "The 6817th loop: cost = 41.86742401123047\n", "The 6818th loop: cost = 41.80946731567383\n", "The 6819th loop: cost = 48.50695037841797\n", "The 6820th loop: cost = 41.23486328125\n", "The 6821th loop: cost = 44.321990966796875\n", "The 6822th loop: cost = 49.32106018066406\n", "The 6823th loop: cost = 38.921165466308594\n", "The 6824th loop: cost = 39.97135925292969\n", "The 6825th loop: cost = 41.14686965942383\n", "The 6826th loop: cost = 35.51784133911133\n", "The 6827th loop: cost = 40.066322326660156\n", "The 6828th loop: cost = 52.525474548339844\n", "The 6829th loop: cost = 50.08625411987305\n", "The 6830th loop: cost = 36.130584716796875\n", "The 6831th loop: cost = 41.86635208129883\n", "The 6832th loop: cost = 44.352508544921875\n", "The 6833th loop: cost = 46.806556701660156\n", "The 6834th loop: cost = 35.03185272216797\n", "The 6835th loop: cost = 52.914283752441406\n", "The 6836th loop: cost = 44.07221221923828\n", "The 6837th loop: cost = 31.96955108642578\n", "The 6838th loop: cost = 39.53974914550781\n", "The 6839th loop: cost = 48.325439453125\n", "The 6840th loop: cost = 35.60057830810547\n", "The 6841th loop: cost = 45.43803405761719\n", "The 6842th loop: cost = 43.42348098754883\n", "The 6843th loop: cost = 49.79836654663086\n", "The 6844th loop: cost = 47.52777862548828\n", "The 6845th loop: cost = 46.467071533203125\n", "The 6846th loop: cost = 43.50983428955078\n", "The 6847th loop: cost = 39.201438903808594\n", "The 6848th loop: cost = 36.349456787109375\n", "The 6849th loop: cost = 49.24493408203125\n", "The 6850th loop: cost = 52.519351959228516\n", "The 6851th loop: cost = 41.36896514892578\n", "The 6852th loop: cost = 45.569786071777344\n", "The 6853th loop: cost = 36.18601608276367\n", "The 6854th loop: cost = 50.149375915527344\n", "The 6855th loop: cost = 42.72193145751953\n", "The 6856th loop: cost = 43.63240051269531\n", "The 6857th loop: cost = 48.364776611328125\n", "The 6858th loop: cost = 39.00932312011719\n", "The 6859th loop: cost = 38.57832717895508\n", "The 6860th loop: cost = 42.600791931152344\n", "The 6861th loop: cost = 45.942726135253906\n", "The 6862th loop: cost = 46.06257629394531\n", "The 6863th loop: cost = 37.66992950439453\n", "The 6864th loop: cost = 45.02195358276367\n", "The 6865th loop: cost = 44.805625915527344\n", "The 6866th loop: cost = 44.389930725097656\n", "The 6867th loop: cost = 37.69430923461914\n", "The 6868th loop: cost = 45.48276138305664\n", "The 6869th loop: cost = 42.77743148803711\n", "The 6870th loop: cost = 42.93241882324219\n", "The 6871th loop: cost = 42.776004791259766\n", "The 6872th loop: cost = 43.816410064697266\n", "The 6873th loop: cost = 45.181419372558594\n", "The 6874th loop: cost = 43.674468994140625\n", "The 6875th loop: cost = 50.871273040771484\n", "The 6876th loop: cost = 44.164695739746094\n", "The 6877th loop: cost = 43.73719787597656\n", "The 6878th loop: cost = 44.19483947753906\n", "The 6879th loop: cost = 38.683597564697266\n", "The 6880th loop: cost = 39.48809051513672\n", "The 6881th loop: cost = 44.53184509277344\n", "The 6882th loop: cost = 42.83794403076172\n", "The 6883th loop: cost = 46.01903533935547\n", "The 6884th loop: cost = 41.70937728881836\n", "The 6885th loop: cost = 39.204193115234375\n", "The 6886th loop: cost = 35.644615173339844\n", "The 6887th loop: cost = 38.84283447265625\n", "The 6888th loop: cost = 39.17873001098633\n", "The 6889th loop: cost = 44.84442138671875\n", "The 6890th loop: cost = 36.76526641845703\n", "The 6891th loop: cost = 42.67692565917969\n", "The 6892th loop: cost = 40.348052978515625\n", "The 6893th loop: cost = 47.576072692871094\n", "The 6894th loop: cost = 40.702491760253906\n", "The 6895th loop: cost = 38.008750915527344\n", "The 6896th loop: cost = 49.275665283203125\n", "The 6897th loop: cost = 44.13512420654297\n", "The 6898th loop: cost = 48.861942291259766\n", "The 6899th loop: cost = 50.375892639160156\n", "The 6900th loop: cost = 41.740230560302734\n", "The 6901th loop: cost = 36.75604248046875\n", "The 6902th loop: cost = 44.68601608276367\n", "The 6903th loop: cost = 43.814849853515625\n", "The 6904th loop: cost = 43.23168182373047\n", "The 6905th loop: cost = 42.128543853759766\n", "The 6906th loop: cost = 47.51959228515625\n", "The 6907th loop: cost = 46.250328063964844\n", "The 6908th loop: cost = 38.59022521972656\n", "The 6909th loop: cost = 43.955081939697266\n", "The 6910th loop: cost = 38.41007995605469\n", "The 6911th loop: cost = 37.77306365966797\n", "The 6912th loop: cost = 44.59359359741211\n", "The 6913th loop: cost = 43.794151306152344\n", "The 6914th loop: cost = 39.28205871582031\n", "The 6915th loop: cost = 48.140342712402344\n", "The 6916th loop: cost = 39.19096755981445\n", "The 6917th loop: cost = 29.695812225341797\n", "The 6918th loop: cost = 37.668609619140625\n", "The 6919th loop: cost = 39.67860412597656\n", "The 6920th loop: cost = 40.00227355957031\n", "The 6921th loop: cost = 47.191673278808594\n", "The 6922th loop: cost = 39.751190185546875\n", "The 6923th loop: cost = 42.087425231933594\n", "The 6924th loop: cost = 52.73042297363281\n", "The 6925th loop: cost = 39.392555236816406\n", "The 6926th loop: cost = 41.70285415649414\n", "The 6927th loop: cost = 32.63699722290039\n", "The 6928th loop: cost = 46.18730545043945\n", "The 6929th loop: cost = 42.904624938964844\n", "The 6930th loop: cost = 45.22895812988281\n", "The 6931th loop: cost = 40.14812469482422\n", "The 6932th loop: cost = 45.473052978515625\n", "The 6933th loop: cost = 40.334869384765625\n", "The 6934th loop: cost = 47.8870964050293\n", "The 6935th loop: cost = 43.28638458251953\n", "The 6936th loop: cost = 48.14027404785156\n", "The 6937th loop: cost = 36.58416748046875\n", "The 6938th loop: cost = 37.77776336669922\n", "The 6939th loop: cost = 46.541900634765625\n", "The 6940th loop: cost = 50.20381546020508\n", "The 6941th loop: cost = 41.146949768066406\n", "The 6942th loop: cost = 42.38226318359375\n", "The 6943th loop: cost = 42.33695983886719\n", "The 6944th loop: cost = 45.81281280517578\n", "The 6945th loop: cost = 48.58964538574219\n", "The 6946th loop: cost = 41.03474426269531\n", "The 6947th loop: cost = 43.94867706298828\n", "The 6948th loop: cost = 50.27720642089844\n", "The 6949th loop: cost = 39.69758605957031\n", "The 6950th loop: cost = 39.92378234863281\n", "The 6951th loop: cost = 44.85545349121094\n", "The 6952th loop: cost = 35.64220428466797\n", "The 6953th loop: cost = 46.347145080566406\n", "The 6954th loop: cost = 51.893699645996094\n", "The 6955th loop: cost = 29.117971420288086\n", "The 6956th loop: cost = 47.38820266723633\n", "The 6957th loop: cost = 47.03302764892578\n", "The 6958th loop: cost = 38.146942138671875\n", "The 6959th loop: cost = 43.208030700683594\n", "The 6960th loop: cost = 36.827354431152344\n", "The 6961th loop: cost = 48.885459899902344\n", "The 6962th loop: cost = 40.97200393676758\n", "The 6963th loop: cost = 43.60691452026367\n", "The 6964th loop: cost = 35.09514617919922\n", "The 6965th loop: cost = 37.27309799194336\n", "The 6966th loop: cost = 42.58297348022461\n", "The 6967th loop: cost = 36.48109436035156\n", "The 6968th loop: cost = 43.88666534423828\n", "The 6969th loop: cost = 47.153324127197266\n", "The 6970th loop: cost = 35.82215118408203\n", "The 6971th loop: cost = 40.243900299072266\n", "The 6972th loop: cost = 35.475669860839844\n", "The 6973th loop: cost = 36.441463470458984\n", "The 6974th loop: cost = 36.140567779541016\n", "The 6975th loop: cost = 41.24713897705078\n", "The 6976th loop: cost = 55.404518127441406\n", "The 6977th loop: cost = 41.269020080566406\n", "The 6978th loop: cost = 48.38566207885742\n", "The 6979th loop: cost = 40.59492492675781\n", "The 6980th loop: cost = 48.71243667602539\n", "The 6981th loop: cost = 53.29857635498047\n", "The 6982th loop: cost = 52.28699493408203\n", "The 6983th loop: cost = 43.56575393676758\n", "The 6984th loop: cost = 45.83220672607422\n", "The 6985th loop: cost = 53.926902770996094\n", "The 6986th loop: cost = 38.34607696533203\n", "The 6987th loop: cost = 42.6641731262207\n", "The 6988th loop: cost = 40.49192810058594\n", "The 6989th loop: cost = 42.158042907714844\n", "The 6990th loop: cost = 36.60865783691406\n", "The 6991th loop: cost = 37.36096954345703\n", "The 6992th loop: cost = 40.384910583496094\n", "The 6993th loop: cost = 38.378273010253906\n", "The 6994th loop: cost = 43.95648956298828\n", "The 6995th loop: cost = 39.733367919921875\n", "The 6996th loop: cost = 31.202810287475586\n", "The 6997th loop: cost = 48.995826721191406\n", "The 6998th loop: cost = 55.878231048583984\n", "The 6999th loop: cost = 38.57122802734375\n", "The 7000th loop: cost = 35.52412033081055\n", "The 7001th loop: cost = 41.309600830078125\n", "The 7002th loop: cost = 39.93838882446289\n", "The 7003th loop: cost = 36.42406463623047\n", "The 7004th loop: cost = 41.107059478759766\n", "The 7005th loop: cost = 44.144264221191406\n", "The 7006th loop: cost = 35.695526123046875\n", "The 7007th loop: cost = 41.411582946777344\n", "The 7008th loop: cost = 44.9427604675293\n", "The 7009th loop: cost = 42.89018249511719\n", "The 7010th loop: cost = 39.81414794921875\n", "The 7011th loop: cost = 39.75895690917969\n", "The 7012th loop: cost = 34.27977752685547\n", "The 7013th loop: cost = 40.838619232177734\n", "The 7014th loop: cost = 40.37919998168945\n", "The 7015th loop: cost = 43.946189880371094\n", "The 7016th loop: cost = 41.43567657470703\n", "The 7017th loop: cost = 45.52901077270508\n", "The 7018th loop: cost = 50.474647521972656\n", "The 7019th loop: cost = 35.51847839355469\n", "The 7020th loop: cost = 43.52368927001953\n", "The 7021th loop: cost = 34.02577590942383\n", "The 7022th loop: cost = 40.720584869384766\n", "The 7023th loop: cost = 45.61873245239258\n", "The 7024th loop: cost = 39.18112564086914\n", "The 7025th loop: cost = 38.40780258178711\n", "The 7026th loop: cost = 50.107383728027344\n", "The 7027th loop: cost = 37.47511291503906\n", "The 7028th loop: cost = 48.903568267822266\n", "The 7029th loop: cost = 42.96039581298828\n", "The 7030th loop: cost = 38.759220123291016\n", "The 7031th loop: cost = 50.166038513183594\n", "The 7032th loop: cost = 42.924583435058594\n", "The 7033th loop: cost = 42.1866340637207\n", "The 7034th loop: cost = 44.04393768310547\n", "The 7035th loop: cost = 41.61176300048828\n", "The 7036th loop: cost = 44.96448516845703\n", "The 7037th loop: cost = 40.484771728515625\n", "The 7038th loop: cost = 43.49690246582031\n", "The 7039th loop: cost = 38.10762023925781\n", "The 7040th loop: cost = 46.22584533691406\n", "The 7041th loop: cost = 50.54402160644531\n", "The 7042th loop: cost = 33.4250602722168\n", "The 7043th loop: cost = 41.4849853515625\n", "The 7044th loop: cost = 45.87076187133789\n", "The 7045th loop: cost = 44.71906280517578\n", "The 7046th loop: cost = 43.037872314453125\n", "The 7047th loop: cost = 37.72808837890625\n", "The 7048th loop: cost = 48.697166442871094\n", "The 7049th loop: cost = 41.094207763671875\n", "The 7050th loop: cost = 50.3912353515625\n", "The 7051th loop: cost = 33.962345123291016\n", "The 7052th loop: cost = 40.46327209472656\n", "The 7053th loop: cost = 42.03392028808594\n", "The 7054th loop: cost = 44.63776397705078\n", "The 7055th loop: cost = 39.624610900878906\n", "The 7056th loop: cost = 50.88720703125\n", "The 7057th loop: cost = 39.37907791137695\n", "The 7058th loop: cost = 40.627418518066406\n", "The 7059th loop: cost = 51.403778076171875\n", "The 7060th loop: cost = 53.16973114013672\n", "The 7061th loop: cost = 39.35707092285156\n", "The 7062th loop: cost = 35.12966537475586\n", "The 7063th loop: cost = 40.94237518310547\n", "The 7064th loop: cost = 44.403221130371094\n", "The 7065th loop: cost = 49.438507080078125\n", "The 7066th loop: cost = 45.211273193359375\n", "The 7067th loop: cost = 33.85519790649414\n", "The 7068th loop: cost = 38.462677001953125\n", "The 7069th loop: cost = 45.821353912353516\n", "The 7070th loop: cost = 35.743263244628906\n", "The 7071th loop: cost = 46.842002868652344\n", "The 7072th loop: cost = 45.545654296875\n", "The 7073th loop: cost = 50.22065734863281\n", "The 7074th loop: cost = 43.9299201965332\n", "The 7075th loop: cost = 48.062843322753906\n", "The 7076th loop: cost = 48.804351806640625\n", "The 7077th loop: cost = 45.13658905029297\n", "The 7078th loop: cost = 39.29204559326172\n", "The 7079th loop: cost = 42.251792907714844\n", "The 7080th loop: cost = 36.72795867919922\n", "The 7081th loop: cost = 37.74797058105469\n", "The 7082th loop: cost = 40.25181579589844\n", "The 7083th loop: cost = 33.568580627441406\n", "The 7084th loop: cost = 33.31159210205078\n", "The 7085th loop: cost = 50.17133331298828\n", "The 7086th loop: cost = 37.92744445800781\n", "The 7087th loop: cost = 46.038230895996094\n", "The 7088th loop: cost = 47.06397247314453\n", "The 7089th loop: cost = 38.26863098144531\n", "The 7090th loop: cost = 37.78578186035156\n", "The 7091th loop: cost = 49.38218688964844\n", "The 7092th loop: cost = 46.756011962890625\n", "The 7093th loop: cost = 35.58559799194336\n", "The 7094th loop: cost = 43.00710678100586\n", "The 7095th loop: cost = 39.55023193359375\n", "The 7096th loop: cost = 43.284523010253906\n", "The 7097th loop: cost = 40.1497802734375\n", "The 7098th loop: cost = 36.17448425292969\n", "The 7099th loop: cost = 47.35948181152344\n", "The 7100th loop: cost = 43.37861633300781\n", "The 7101th loop: cost = 40.45531463623047\n", "The 7102th loop: cost = 43.37290954589844\n", "The 7103th loop: cost = 36.97111511230469\n", "The 7104th loop: cost = 37.912410736083984\n", "The 7105th loop: cost = 46.00131607055664\n", "The 7106th loop: cost = 37.233009338378906\n", "The 7107th loop: cost = 43.147125244140625\n", "The 7108th loop: cost = 40.09558868408203\n", "The 7109th loop: cost = 38.31459426879883\n", "The 7110th loop: cost = 38.68309020996094\n", "The 7111th loop: cost = 42.372802734375\n", "The 7112th loop: cost = 45.127227783203125\n", "The 7113th loop: cost = 40.855995178222656\n", "The 7114th loop: cost = 41.374839782714844\n", "The 7115th loop: cost = 41.88749694824219\n", "The 7116th loop: cost = 41.56329345703125\n", "The 7117th loop: cost = 41.647926330566406\n", "The 7118th loop: cost = 34.88645553588867\n", "The 7119th loop: cost = 46.4729118347168\n", "The 7120th loop: cost = 43.84298324584961\n", "The 7121th loop: cost = 37.937896728515625\n", "The 7122th loop: cost = 34.380615234375\n", "The 7123th loop: cost = 49.18878936767578\n", "The 7124th loop: cost = 40.900577545166016\n", "The 7125th loop: cost = 40.591400146484375\n", "The 7126th loop: cost = 46.5928955078125\n", "The 7127th loop: cost = 39.65399932861328\n", "The 7128th loop: cost = 37.40934753417969\n", "The 7129th loop: cost = 40.13641357421875\n", "The 7130th loop: cost = 40.23712921142578\n", "The 7131th loop: cost = 41.062217712402344\n", "The 7132th loop: cost = 45.02922821044922\n", "The 7133th loop: cost = 40.899654388427734\n", "The 7134th loop: cost = 47.633426666259766\n", "The 7135th loop: cost = 47.94345474243164\n", "The 7136th loop: cost = 46.98298645019531\n", "The 7137th loop: cost = 41.98527526855469\n", "The 7138th loop: cost = 54.62561798095703\n", "The 7139th loop: cost = 38.181671142578125\n", "The 7140th loop: cost = 38.176109313964844\n", "The 7141th loop: cost = 40.285621643066406\n", "The 7142th loop: cost = 41.84313201904297\n", "The 7143th loop: cost = 49.58491897583008\n", "The 7144th loop: cost = 44.17146301269531\n", "The 7145th loop: cost = 38.610477447509766\n", "The 7146th loop: cost = 42.93013381958008\n", "The 7147th loop: cost = 52.34562683105469\n", "The 7148th loop: cost = 51.584537506103516\n", "The 7149th loop: cost = 44.596900939941406\n", "The 7150th loop: cost = 45.71653747558594\n", "The 7151th loop: cost = 44.11589050292969\n", "The 7152th loop: cost = 46.129215240478516\n", "The 7153th loop: cost = 42.912689208984375\n", "The 7154th loop: cost = 37.32376480102539\n", "The 7155th loop: cost = 38.64607620239258\n", "The 7156th loop: cost = 44.489830017089844\n", "The 7157th loop: cost = 47.09290313720703\n", "The 7158th loop: cost = 44.686885833740234\n", "The 7159th loop: cost = 33.31672286987305\n", "The 7160th loop: cost = 46.741722106933594\n", "The 7161th loop: cost = 45.68468475341797\n", "The 7162th loop: cost = 43.25829315185547\n", "The 7163th loop: cost = 34.5496826171875\n", "The 7164th loop: cost = 45.98501205444336\n", "The 7165th loop: cost = 36.935569763183594\n", "The 7166th loop: cost = 48.443031311035156\n", "The 7167th loop: cost = 32.169090270996094\n", "The 7168th loop: cost = 38.56523895263672\n", "The 7169th loop: cost = 40.350616455078125\n", "The 7170th loop: cost = 37.5452995300293\n", "The 7171th loop: cost = 41.698081970214844\n", "The 7172th loop: cost = 40.18063735961914\n", "The 7173th loop: cost = 54.47692108154297\n", "The 7174th loop: cost = 38.36006164550781\n", "The 7175th loop: cost = 40.69708251953125\n", "The 7176th loop: cost = 44.88483428955078\n", "The 7177th loop: cost = 35.13800048828125\n", "The 7178th loop: cost = 41.009342193603516\n", "The 7179th loop: cost = 38.14231491088867\n", "The 7180th loop: cost = 35.6728515625\n", "The 7181th loop: cost = 39.182071685791016\n", "The 7182th loop: cost = 49.0542106628418\n", "The 7183th loop: cost = 40.275146484375\n", "The 7184th loop: cost = 46.26667785644531\n", "The 7185th loop: cost = 43.171295166015625\n", "The 7186th loop: cost = 46.80325698852539\n", "The 7187th loop: cost = 39.60137939453125\n", "The 7188th loop: cost = 50.350433349609375\n", "The 7189th loop: cost = 41.864444732666016\n", "The 7190th loop: cost = 36.6978645324707\n", "The 7191th loop: cost = 35.00465393066406\n", "The 7192th loop: cost = 42.83186340332031\n", "The 7193th loop: cost = 51.4622688293457\n", "The 7194th loop: cost = 42.847076416015625\n", "The 7195th loop: cost = 38.54448318481445\n", "The 7196th loop: cost = 42.43992614746094\n", "The 7197th loop: cost = 42.923805236816406\n", "The 7198th loop: cost = 33.89347457885742\n", "The 7199th loop: cost = 46.609588623046875\n", "The 7200th loop: cost = 43.29697799682617\n", "The 7201th loop: cost = 42.888893127441406\n", "The 7202th loop: cost = 45.460140228271484\n", "The 7203th loop: cost = 38.76460266113281\n", "The 7204th loop: cost = 39.40032958984375\n", "The 7205th loop: cost = 42.95401382446289\n", "The 7206th loop: cost = 41.45149230957031\n", "The 7207th loop: cost = 34.92324447631836\n", "The 7208th loop: cost = 43.540138244628906\n", "The 7209th loop: cost = 40.87269973754883\n", "The 7210th loop: cost = 29.84210205078125\n", "The 7211th loop: cost = 38.91107177734375\n", "The 7212th loop: cost = 43.053314208984375\n", "The 7213th loop: cost = 38.66624450683594\n", "The 7214th loop: cost = 47.26743698120117\n", "The 7215th loop: cost = 35.38561248779297\n", "The 7216th loop: cost = 51.37305450439453\n", "The 7217th loop: cost = 48.85272216796875\n", "The 7218th loop: cost = 35.46086120605469\n", "The 7219th loop: cost = 39.31010055541992\n", "The 7220th loop: cost = 39.797542572021484\n", "The 7221th loop: cost = 47.588722229003906\n", "The 7222th loop: cost = 44.39476776123047\n", "The 7223th loop: cost = 38.41175842285156\n", "The 7224th loop: cost = 42.020809173583984\n", "The 7225th loop: cost = 41.254947662353516\n", "The 7226th loop: cost = 37.42719268798828\n", "The 7227th loop: cost = 30.2338924407959\n", "The 7228th loop: cost = 40.80890655517578\n", "The 7229th loop: cost = 38.833457946777344\n", "The 7230th loop: cost = 43.0753288269043\n", "The 7231th loop: cost = 39.02488708496094\n", "The 7232th loop: cost = 42.74382019042969\n", "The 7233th loop: cost = 38.404258728027344\n", "The 7234th loop: cost = 34.12870788574219\n", "The 7235th loop: cost = 40.623992919921875\n", "The 7236th loop: cost = 50.72404479980469\n", "The 7237th loop: cost = 43.93824768066406\n", "The 7238th loop: cost = 47.663551330566406\n", "The 7239th loop: cost = 39.9659309387207\n", "The 7240th loop: cost = 45.75797653198242\n", "The 7241th loop: cost = 34.7130126953125\n", "The 7242th loop: cost = 38.16217041015625\n", "The 7243th loop: cost = 42.932579040527344\n", "The 7244th loop: cost = 45.173500061035156\n", "The 7245th loop: cost = 37.12140655517578\n", "The 7246th loop: cost = 39.7814826965332\n", "The 7247th loop: cost = 37.643341064453125\n", "The 7248th loop: cost = 39.252418518066406\n", "The 7249th loop: cost = 32.41157913208008\n", "The 7250th loop: cost = 46.86228561401367\n", "The 7251th loop: cost = 56.07906723022461\n", "The 7252th loop: cost = 41.30048751831055\n", "The 7253th loop: cost = 44.699241638183594\n", "The 7254th loop: cost = 36.4221076965332\n", "The 7255th loop: cost = 47.82423400878906\n", "The 7256th loop: cost = 37.838600158691406\n", "The 7257th loop: cost = 37.93669128417969\n", "The 7258th loop: cost = 35.64811325073242\n", "The 7259th loop: cost = 48.129920959472656\n", "The 7260th loop: cost = 35.79123306274414\n", "The 7261th loop: cost = 41.403194427490234\n", "The 7262th loop: cost = 43.78223419189453\n", "The 7263th loop: cost = 47.89590072631836\n", "The 7264th loop: cost = 42.56979751586914\n", "The 7265th loop: cost = 41.49072265625\n", "The 7266th loop: cost = 46.14174270629883\n", "The 7267th loop: cost = 42.067962646484375\n", "The 7268th loop: cost = 44.829036712646484\n", "The 7269th loop: cost = 43.80852508544922\n", "The 7270th loop: cost = 43.14077377319336\n", "The 7271th loop: cost = 51.72575378417969\n", "The 7272th loop: cost = 48.53171157836914\n", "The 7273th loop: cost = 41.245758056640625\n", "The 7274th loop: cost = 47.0184326171875\n", "The 7275th loop: cost = 40.41217803955078\n", "The 7276th loop: cost = 38.317020416259766\n", "The 7277th loop: cost = 43.235084533691406\n", "The 7278th loop: cost = 42.8963623046875\n", "The 7279th loop: cost = 42.06258773803711\n", "The 7280th loop: cost = 44.55182647705078\n", "The 7281th loop: cost = 40.42858123779297\n", "The 7282th loop: cost = 45.17244338989258\n", "The 7283th loop: cost = 48.315338134765625\n", "The 7284th loop: cost = 56.314849853515625\n", "The 7285th loop: cost = 46.37251281738281\n", "The 7286th loop: cost = 48.87078857421875\n", "The 7287th loop: cost = 37.53591537475586\n", "The 7288th loop: cost = 45.926475524902344\n", "The 7289th loop: cost = 41.34143829345703\n", "The 7290th loop: cost = 44.97980499267578\n", "The 7291th loop: cost = 49.25028610229492\n", "The 7292th loop: cost = 41.656654357910156\n", "The 7293th loop: cost = 50.19347381591797\n", "The 7294th loop: cost = 35.854862213134766\n", "The 7295th loop: cost = 39.17881774902344\n", "The 7296th loop: cost = 33.7581787109375\n", "The 7297th loop: cost = 39.53700637817383\n", "The 7298th loop: cost = 35.55257797241211\n", "The 7299th loop: cost = 50.443965911865234\n", "The 7300th loop: cost = 41.698081970214844\n", "The 7301th loop: cost = 47.21501159667969\n", "The 7302th loop: cost = 52.68687438964844\n", "The 7303th loop: cost = 43.69111633300781\n", "The 7304th loop: cost = 41.97840118408203\n", "The 7305th loop: cost = 45.765838623046875\n", "The 7306th loop: cost = 39.745628356933594\n", "The 7307th loop: cost = 41.05172348022461\n", "The 7308th loop: cost = 36.28044509887695\n", "The 7309th loop: cost = 41.83802032470703\n", "The 7310th loop: cost = 44.140960693359375\n", "The 7311th loop: cost = 45.927024841308594\n", "The 7312th loop: cost = 38.49816131591797\n", "The 7313th loop: cost = 44.538238525390625\n", "The 7314th loop: cost = 48.49260330200195\n", "The 7315th loop: cost = 38.94920349121094\n", "The 7316th loop: cost = 52.13081359863281\n", "The 7317th loop: cost = 35.50276565551758\n", "The 7318th loop: cost = 45.084861755371094\n", "The 7319th loop: cost = 46.60572052001953\n", "The 7320th loop: cost = 48.23673629760742\n", "The 7321th loop: cost = 37.66365051269531\n", "The 7322th loop: cost = 49.654109954833984\n", "The 7323th loop: cost = 34.898468017578125\n", "The 7324th loop: cost = 43.055023193359375\n", "The 7325th loop: cost = 48.970458984375\n", "The 7326th loop: cost = 35.06483459472656\n", "The 7327th loop: cost = 36.75722122192383\n", "The 7328th loop: cost = 39.57585525512695\n", "The 7329th loop: cost = 32.486812591552734\n", "The 7330th loop: cost = 29.53266716003418\n", "The 7331th loop: cost = 46.56435012817383\n", "The 7332th loop: cost = 41.83175277709961\n", "The 7333th loop: cost = 40.428245544433594\n", "The 7334th loop: cost = 38.99700927734375\n", "The 7335th loop: cost = 46.42305374145508\n", "The 7336th loop: cost = 38.50042724609375\n", "The 7337th loop: cost = 52.93136978149414\n", "The 7338th loop: cost = 49.3165283203125\n", "The 7339th loop: cost = 52.513458251953125\n", "The 7340th loop: cost = 37.78744888305664\n", "The 7341th loop: cost = 36.45404815673828\n", "The 7342th loop: cost = 39.45281219482422\n", "The 7343th loop: cost = 39.681373596191406\n", "The 7344th loop: cost = 48.03865432739258\n", "The 7345th loop: cost = 41.07933807373047\n", "The 7346th loop: cost = 42.35847473144531\n", "The 7347th loop: cost = 38.16135025024414\n", "The 7348th loop: cost = 44.390167236328125\n", "The 7349th loop: cost = 40.471900939941406\n", "The 7350th loop: cost = 39.00738525390625\n", "The 7351th loop: cost = 33.483638763427734\n", "The 7352th loop: cost = 41.69173812866211\n", "The 7353th loop: cost = 36.88697052001953\n", "The 7354th loop: cost = 43.858726501464844\n", "The 7355th loop: cost = 50.47322082519531\n", "The 7356th loop: cost = 33.20003890991211\n", "The 7357th loop: cost = 31.54371452331543\n", "The 7358th loop: cost = 39.796142578125\n", "The 7359th loop: cost = 39.76640319824219\n", "The 7360th loop: cost = 52.510467529296875\n", "The 7361th loop: cost = 37.92340087890625\n", "The 7362th loop: cost = 41.050071716308594\n", "The 7363th loop: cost = 41.22276306152344\n", "The 7364th loop: cost = 38.10419845581055\n", "The 7365th loop: cost = 38.74803924560547\n", "The 7366th loop: cost = 35.0710334777832\n", "The 7367th loop: cost = 40.6693229675293\n", "The 7368th loop: cost = 47.2855224609375\n", "The 7369th loop: cost = 45.81260299682617\n", "The 7370th loop: cost = 48.333194732666016\n", "The 7371th loop: cost = 34.07875442504883\n", "The 7372th loop: cost = 45.54279327392578\n", "The 7373th loop: cost = 46.37442398071289\n", "The 7374th loop: cost = 42.58705520629883\n", "The 7375th loop: cost = 46.438289642333984\n", "The 7376th loop: cost = 43.86599349975586\n", "The 7377th loop: cost = 48.435203552246094\n", "The 7378th loop: cost = 42.6402587890625\n", "The 7379th loop: cost = 36.214447021484375\n", "The 7380th loop: cost = 36.98554229736328\n", "The 7381th loop: cost = 41.87284851074219\n", "The 7382th loop: cost = 58.31212615966797\n", "The 7383th loop: cost = 39.49092102050781\n", "The 7384th loop: cost = 48.82695770263672\n", "The 7385th loop: cost = 38.68602752685547\n", "The 7386th loop: cost = 46.256378173828125\n", "The 7387th loop: cost = 43.24282455444336\n", "The 7388th loop: cost = 46.988983154296875\n", "The 7389th loop: cost = 35.78935241699219\n", "The 7390th loop: cost = 44.169281005859375\n", "The 7391th loop: cost = 41.7678108215332\n", "The 7392th loop: cost = 33.6436653137207\n", "The 7393th loop: cost = 44.01225662231445\n", "The 7394th loop: cost = 36.429237365722656\n", "The 7395th loop: cost = 35.42135238647461\n", "The 7396th loop: cost = 38.69196319580078\n", "The 7397th loop: cost = 42.639183044433594\n", "The 7398th loop: cost = 36.546241760253906\n", "The 7399th loop: cost = 44.390533447265625\n", "The 7400th loop: cost = 39.113075256347656\n", "The 7401th loop: cost = 48.17314147949219\n", "The 7402th loop: cost = 33.39589309692383\n", "The 7403th loop: cost = 41.66437530517578\n", "The 7404th loop: cost = 37.5811767578125\n", "The 7405th loop: cost = 41.39301681518555\n", "The 7406th loop: cost = 55.290306091308594\n", "The 7407th loop: cost = 40.296852111816406\n", "The 7408th loop: cost = 53.27600860595703\n", "The 7409th loop: cost = 50.54356384277344\n", "The 7410th loop: cost = 44.7601203918457\n", "The 7411th loop: cost = 43.040016174316406\n", "The 7412th loop: cost = 49.711265563964844\n", "The 7413th loop: cost = 39.63038635253906\n", "The 7414th loop: cost = 38.98857116699219\n", "The 7415th loop: cost = 38.73440170288086\n", "The 7416th loop: cost = 44.86449432373047\n", "The 7417th loop: cost = 48.12775802612305\n", "The 7418th loop: cost = 36.449485778808594\n", "The 7419th loop: cost = 39.17512130737305\n", "The 7420th loop: cost = 35.02088165283203\n", "The 7421th loop: cost = 40.763099670410156\n", "The 7422th loop: cost = 33.220497131347656\n", "The 7423th loop: cost = 37.828636169433594\n", "The 7424th loop: cost = 44.296302795410156\n", "The 7425th loop: cost = 58.480445861816406\n", "The 7426th loop: cost = 41.76172637939453\n", "The 7427th loop: cost = 39.07838439941406\n", "The 7428th loop: cost = 45.13681411743164\n", "The 7429th loop: cost = 37.48637008666992\n", "The 7430th loop: cost = 45.659934997558594\n", "The 7431th loop: cost = 49.73871612548828\n", "The 7432th loop: cost = 39.19023895263672\n", "The 7433th loop: cost = 38.23414611816406\n", "The 7434th loop: cost = 41.3592529296875\n", "The 7435th loop: cost = 48.86149215698242\n", "The 7436th loop: cost = 55.82593536376953\n", "The 7437th loop: cost = 40.73042297363281\n", "The 7438th loop: cost = 46.43721008300781\n", "The 7439th loop: cost = 45.10912322998047\n", "The 7440th loop: cost = 51.50807189941406\n", "The 7441th loop: cost = 34.22646713256836\n", "The 7442th loop: cost = 39.598960876464844\n", "The 7443th loop: cost = 35.088905334472656\n", "The 7444th loop: cost = 34.074378967285156\n", "The 7445th loop: cost = 44.96885681152344\n", "The 7446th loop: cost = 50.22780227661133\n", "The 7447th loop: cost = 40.39717102050781\n", "The 7448th loop: cost = 58.42230987548828\n", "The 7449th loop: cost = 36.80582809448242\n", "The 7450th loop: cost = 51.23269271850586\n", "The 7451th loop: cost = 27.176109313964844\n", "The 7452th loop: cost = 45.30040740966797\n", "The 7453th loop: cost = 37.776588439941406\n", "The 7454th loop: cost = 38.302799224853516\n", "The 7455th loop: cost = 42.66733169555664\n", "The 7456th loop: cost = 40.80183792114258\n", "The 7457th loop: cost = 39.846900939941406\n", "The 7458th loop: cost = 36.84618377685547\n", "The 7459th loop: cost = 41.55095672607422\n", "The 7460th loop: cost = 34.881622314453125\n", "The 7461th loop: cost = 40.27616500854492\n", "The 7462th loop: cost = 44.308387756347656\n", "The 7463th loop: cost = 36.480289459228516\n", "The 7464th loop: cost = 43.88482666015625\n", "The 7465th loop: cost = 35.15960693359375\n", "The 7466th loop: cost = 49.582847595214844\n", "The 7467th loop: cost = 36.40554428100586\n", "The 7468th loop: cost = 41.2652473449707\n", "The 7469th loop: cost = 37.37856674194336\n", "The 7470th loop: cost = 41.45823287963867\n", "The 7471th loop: cost = 46.14033508300781\n", "The 7472th loop: cost = 43.36705780029297\n", "The 7473th loop: cost = 48.60784149169922\n", "The 7474th loop: cost = 52.21875\n", "The 7475th loop: cost = 41.35361099243164\n", "The 7476th loop: cost = 47.830204010009766\n", "The 7477th loop: cost = 45.89637756347656\n", "The 7478th loop: cost = 48.86337661743164\n", "The 7479th loop: cost = 32.79978561401367\n", "The 7480th loop: cost = 45.091182708740234\n", "The 7481th loop: cost = 39.884613037109375\n", "The 7482th loop: cost = 36.49768829345703\n", "The 7483th loop: cost = 44.156822204589844\n", "The 7484th loop: cost = 41.09473419189453\n", "The 7485th loop: cost = 43.895973205566406\n", "The 7486th loop: cost = 43.46112823486328\n", "The 7487th loop: cost = 47.04258728027344\n", "The 7488th loop: cost = 39.4417610168457\n", "The 7489th loop: cost = 46.38315963745117\n", "The 7490th loop: cost = 40.59403991699219\n", "The 7491th loop: cost = 44.53306579589844\n", "The 7492th loop: cost = 43.10285949707031\n", "The 7493th loop: cost = 41.467613220214844\n", "The 7494th loop: cost = 44.11115264892578\n", "The 7495th loop: cost = 40.19132614135742\n", "The 7496th loop: cost = 35.95229721069336\n", "The 7497th loop: cost = 40.130252838134766\n", "The 7498th loop: cost = 44.90280532836914\n", "The 7499th loop: cost = 49.30884552001953\n", "The 7500th loop: cost = 36.558536529541016\n", "The 7501th loop: cost = 35.75482177734375\n", "The 7502th loop: cost = 47.81588363647461\n", "The 7503th loop: cost = 46.98241424560547\n", "The 7504th loop: cost = 36.44530487060547\n", "The 7505th loop: cost = 42.544837951660156\n", "The 7506th loop: cost = 37.29841613769531\n", "The 7507th loop: cost = 41.552764892578125\n", "The 7508th loop: cost = 42.38072204589844\n", "The 7509th loop: cost = 44.54563522338867\n", "The 7510th loop: cost = 39.64955139160156\n", "The 7511th loop: cost = 45.032615661621094\n", "The 7512th loop: cost = 38.371803283691406\n", "The 7513th loop: cost = 44.497711181640625\n", "The 7514th loop: cost = 36.617637634277344\n", "The 7515th loop: cost = 33.55303192138672\n", "The 7516th loop: cost = 46.412437438964844\n", "The 7517th loop: cost = 38.43401336669922\n", "The 7518th loop: cost = 46.400779724121094\n", "The 7519th loop: cost = 44.305397033691406\n", "The 7520th loop: cost = 44.544158935546875\n", "The 7521th loop: cost = 44.55775451660156\n", "The 7522th loop: cost = 35.02779006958008\n", "The 7523th loop: cost = 43.86308288574219\n", "The 7524th loop: cost = 40.755149841308594\n", "The 7525th loop: cost = 39.49622344970703\n", "The 7526th loop: cost = 36.00605392456055\n", "The 7527th loop: cost = 47.97373962402344\n", "The 7528th loop: cost = 42.732566833496094\n", "The 7529th loop: cost = 41.47262191772461\n", "The 7530th loop: cost = 42.79011917114258\n", "The 7531th loop: cost = 44.51920700073242\n", "The 7532th loop: cost = 39.63298797607422\n", "The 7533th loop: cost = 36.118343353271484\n", "The 7534th loop: cost = 44.4582633972168\n", "The 7535th loop: cost = 30.957523345947266\n", "The 7536th loop: cost = 35.017127990722656\n", "The 7537th loop: cost = 41.28508758544922\n", "The 7538th loop: cost = 44.72087097167969\n", "The 7539th loop: cost = 42.23119354248047\n", "The 7540th loop: cost = 37.4649772644043\n", "The 7541th loop: cost = 41.837982177734375\n", "The 7542th loop: cost = 35.137516021728516\n", "The 7543th loop: cost = 37.55479049682617\n", "The 7544th loop: cost = 45.608131408691406\n", "The 7545th loop: cost = 44.074153900146484\n", "The 7546th loop: cost = 50.81086730957031\n", "The 7547th loop: cost = 43.26122283935547\n", "The 7548th loop: cost = 43.658538818359375\n", "The 7549th loop: cost = 37.83970642089844\n", "The 7550th loop: cost = 33.758113861083984\n", "The 7551th loop: cost = 41.54553985595703\n", "The 7552th loop: cost = 52.89361572265625\n", "The 7553th loop: cost = 43.1917724609375\n", "The 7554th loop: cost = 44.23137664794922\n", "The 7555th loop: cost = 43.22488021850586\n", "The 7556th loop: cost = 47.643096923828125\n", "The 7557th loop: cost = 48.323890686035156\n", "The 7558th loop: cost = 34.45705795288086\n", "The 7559th loop: cost = 35.23116683959961\n", "The 7560th loop: cost = 37.061241149902344\n", "The 7561th loop: cost = 41.35492706298828\n", "The 7562th loop: cost = 39.57068634033203\n", "The 7563th loop: cost = 55.1861686706543\n", "The 7564th loop: cost = 43.99415588378906\n", "The 7565th loop: cost = 40.626243591308594\n", "The 7566th loop: cost = 42.72191619873047\n", "The 7567th loop: cost = 36.92456817626953\n", "The 7568th loop: cost = 41.06657791137695\n", "The 7569th loop: cost = 37.69172668457031\n", "The 7570th loop: cost = 42.7154541015625\n", "The 7571th loop: cost = 46.64754867553711\n", "The 7572th loop: cost = 39.41297149658203\n", "The 7573th loop: cost = 38.11774444580078\n", "The 7574th loop: cost = 41.89009475708008\n", "The 7575th loop: cost = 36.789146423339844\n", "The 7576th loop: cost = 42.9846076965332\n", "The 7577th loop: cost = 37.981224060058594\n", "The 7578th loop: cost = 38.655757904052734\n", "The 7579th loop: cost = 41.94255065917969\n", "The 7580th loop: cost = 37.117698669433594\n", "The 7581th loop: cost = 45.002986907958984\n", "The 7582th loop: cost = 43.08601760864258\n", "The 7583th loop: cost = 50.5054931640625\n", "The 7584th loop: cost = 42.46122741699219\n", "The 7585th loop: cost = 46.71002197265625\n", "The 7586th loop: cost = 43.33628845214844\n", "The 7587th loop: cost = 41.633506774902344\n", "The 7588th loop: cost = 41.434932708740234\n", "The 7589th loop: cost = 35.88872146606445\n", "The 7590th loop: cost = 45.11039733886719\n", "The 7591th loop: cost = 39.78217315673828\n", "The 7592th loop: cost = 44.58247375488281\n", "The 7593th loop: cost = 40.788482666015625\n", "The 7594th loop: cost = 39.251426696777344\n", "The 7595th loop: cost = 49.120540618896484\n", "The 7596th loop: cost = 34.905479431152344\n", "The 7597th loop: cost = 44.50196075439453\n", "The 7598th loop: cost = 42.657020568847656\n", "The 7599th loop: cost = 42.06430435180664\n", "The 7600th loop: cost = 39.74361038208008\n", "The 7601th loop: cost = 43.96346664428711\n", "The 7602th loop: cost = 44.02955627441406\n", "The 7603th loop: cost = 38.172786712646484\n", "The 7604th loop: cost = 46.134254455566406\n", "The 7605th loop: cost = 46.163604736328125\n", "The 7606th loop: cost = 43.86726379394531\n", "The 7607th loop: cost = 41.93426513671875\n", "The 7608th loop: cost = 41.827247619628906\n", "The 7609th loop: cost = 46.65452575683594\n", "The 7610th loop: cost = 34.413726806640625\n", "The 7611th loop: cost = 49.91019821166992\n", "The 7612th loop: cost = 37.157562255859375\n", "The 7613th loop: cost = 41.28901672363281\n", "The 7614th loop: cost = 44.206172943115234\n", "The 7615th loop: cost = 38.643341064453125\n", "The 7616th loop: cost = 38.38999938964844\n", "The 7617th loop: cost = 43.921485900878906\n", "The 7618th loop: cost = 34.324275970458984\n", "The 7619th loop: cost = 40.07281494140625\n", "The 7620th loop: cost = 41.04370880126953\n", "The 7621th loop: cost = 40.01749038696289\n", "The 7622th loop: cost = 41.040287017822266\n", "The 7623th loop: cost = 44.49347686767578\n", "The 7624th loop: cost = 44.00749969482422\n", "The 7625th loop: cost = 33.747676849365234\n", "The 7626th loop: cost = 55.42388916015625\n", "The 7627th loop: cost = 35.09522247314453\n", "The 7628th loop: cost = 39.049232482910156\n", "The 7629th loop: cost = 57.72941970825195\n", "The 7630th loop: cost = 43.99681854248047\n", "The 7631th loop: cost = 48.38816452026367\n", "The 7632th loop: cost = 41.283050537109375\n", "The 7633th loop: cost = 45.94890594482422\n", "The 7634th loop: cost = 46.82170104980469\n", "The 7635th loop: cost = 36.971160888671875\n", "The 7636th loop: cost = 40.77174377441406\n", "The 7637th loop: cost = 37.80729293823242\n", "The 7638th loop: cost = 49.90512466430664\n", "The 7639th loop: cost = 38.155967712402344\n", "The 7640th loop: cost = 41.968753814697266\n", "The 7641th loop: cost = 38.53057098388672\n", "The 7642th loop: cost = 45.100276947021484\n", "The 7643th loop: cost = 42.31360626220703\n", "The 7644th loop: cost = 45.1399040222168\n", "The 7645th loop: cost = 41.905487060546875\n", "The 7646th loop: cost = 34.429473876953125\n", "The 7647th loop: cost = 33.943119049072266\n", "The 7648th loop: cost = 35.04216003417969\n", "The 7649th loop: cost = 41.081077575683594\n", "The 7650th loop: cost = 40.27197265625\n", "The 7651th loop: cost = 48.34552764892578\n", "The 7652th loop: cost = 36.91867446899414\n", "The 7653th loop: cost = 38.12079620361328\n", "The 7654th loop: cost = 49.67069625854492\n", "The 7655th loop: cost = 45.19013977050781\n", "The 7656th loop: cost = 43.92641830444336\n", "The 7657th loop: cost = 35.827274322509766\n", "The 7658th loop: cost = 42.22052764892578\n", "The 7659th loop: cost = 44.02208709716797\n", "The 7660th loop: cost = 40.12151336669922\n", "The 7661th loop: cost = 44.27394104003906\n", "The 7662th loop: cost = 40.696407318115234\n", "The 7663th loop: cost = 46.79011154174805\n", "The 7664th loop: cost = 43.35797119140625\n", "The 7665th loop: cost = 46.3684196472168\n", "The 7666th loop: cost = 46.198158264160156\n", "The 7667th loop: cost = 41.16301727294922\n", "The 7668th loop: cost = 39.860633850097656\n", "The 7669th loop: cost = 37.46559524536133\n", "The 7670th loop: cost = 46.079742431640625\n", "The 7671th loop: cost = 49.418174743652344\n", "The 7672th loop: cost = 39.44397735595703\n", "The 7673th loop: cost = 36.34081268310547\n", "The 7674th loop: cost = 35.081138610839844\n", "The 7675th loop: cost = 44.62409973144531\n", "The 7676th loop: cost = 36.88981628417969\n", "The 7677th loop: cost = 38.317665100097656\n", "The 7678th loop: cost = 33.94684600830078\n", "The 7679th loop: cost = 50.062286376953125\n", "The 7680th loop: cost = 35.16552734375\n", "The 7681th loop: cost = 47.26850128173828\n", "The 7682th loop: cost = 50.159271240234375\n", "The 7683th loop: cost = 35.16215515136719\n", "The 7684th loop: cost = 42.764442443847656\n", "The 7685th loop: cost = 47.22276306152344\n", "The 7686th loop: cost = 38.898773193359375\n", "The 7687th loop: cost = 42.319854736328125\n", "The 7688th loop: cost = 36.96886444091797\n", "The 7689th loop: cost = 36.72047424316406\n", "The 7690th loop: cost = 34.24134063720703\n", "The 7691th loop: cost = 43.01525115966797\n", "The 7692th loop: cost = 37.420616149902344\n", "The 7693th loop: cost = 45.08098220825195\n", "The 7694th loop: cost = 42.11764144897461\n", "The 7695th loop: cost = 44.145389556884766\n", "The 7696th loop: cost = 43.711631774902344\n", "The 7697th loop: cost = 43.72773361206055\n", "The 7698th loop: cost = 47.86309814453125\n", "The 7699th loop: cost = 49.05778503417969\n", "The 7700th loop: cost = 41.62287521362305\n", "The 7701th loop: cost = 42.49920654296875\n", "The 7702th loop: cost = 46.03605651855469\n", "The 7703th loop: cost = 34.7994499206543\n", "The 7704th loop: cost = 49.12901306152344\n", "The 7705th loop: cost = 40.264888763427734\n", "The 7706th loop: cost = 47.71070861816406\n", "The 7707th loop: cost = 47.36231994628906\n", "The 7708th loop: cost = 44.909549713134766\n", "The 7709th loop: cost = 45.088340759277344\n", "The 7710th loop: cost = 41.44404602050781\n", "The 7711th loop: cost = 48.94764709472656\n", "The 7712th loop: cost = 41.41535949707031\n", "The 7713th loop: cost = 39.48202133178711\n", "The 7714th loop: cost = 43.87953186035156\n", "The 7715th loop: cost = 43.101036071777344\n", "The 7716th loop: cost = 40.92204666137695\n", "The 7717th loop: cost = 39.970245361328125\n", "The 7718th loop: cost = 41.35588073730469\n", "The 7719th loop: cost = 42.2606201171875\n", "The 7720th loop: cost = 29.937828063964844\n", "The 7721th loop: cost = 33.00028610229492\n", "The 7722th loop: cost = 36.95317077636719\n", "The 7723th loop: cost = 37.541961669921875\n", "The 7724th loop: cost = 38.034915924072266\n", "The 7725th loop: cost = 38.3045768737793\n", "The 7726th loop: cost = 41.28080749511719\n", "The 7727th loop: cost = 50.49530029296875\n", "The 7728th loop: cost = 33.99711990356445\n", "The 7729th loop: cost = 34.596900939941406\n", "The 7730th loop: cost = 49.35798645019531\n", "The 7731th loop: cost = 43.540794372558594\n", "The 7732th loop: cost = 37.13624572753906\n", "The 7733th loop: cost = 45.3249397277832\n", "The 7734th loop: cost = 48.295989990234375\n", "The 7735th loop: cost = 39.043914794921875\n", "The 7736th loop: cost = 37.77814483642578\n", "The 7737th loop: cost = 46.32495880126953\n", "The 7738th loop: cost = 40.008670806884766\n", "The 7739th loop: cost = 48.88964080810547\n", "The 7740th loop: cost = 39.77802276611328\n", "The 7741th loop: cost = 41.06782531738281\n", "The 7742th loop: cost = 39.703651428222656\n", "The 7743th loop: cost = 39.34563064575195\n", "The 7744th loop: cost = 42.83534240722656\n", "The 7745th loop: cost = 43.06415557861328\n", "The 7746th loop: cost = 36.80593490600586\n", "The 7747th loop: cost = 34.851341247558594\n", "The 7748th loop: cost = 45.121986389160156\n", "The 7749th loop: cost = 38.85452651977539\n", "The 7750th loop: cost = 38.85559844970703\n", "The 7751th loop: cost = 44.024742126464844\n", "The 7752th loop: cost = 42.021697998046875\n", "The 7753th loop: cost = 33.763301849365234\n", "The 7754th loop: cost = 42.21100616455078\n", "The 7755th loop: cost = 33.64347839355469\n", "The 7756th loop: cost = 39.35810089111328\n", "The 7757th loop: cost = 45.86381149291992\n", "The 7758th loop: cost = 33.25717544555664\n", "The 7759th loop: cost = 40.21518325805664\n", "The 7760th loop: cost = 39.92647171020508\n", "The 7761th loop: cost = 41.212188720703125\n", "The 7762th loop: cost = 47.632198333740234\n", "The 7763th loop: cost = 47.657127380371094\n", "The 7764th loop: cost = 42.16552734375\n", "The 7765th loop: cost = 33.509944915771484\n", "The 7766th loop: cost = 38.478763580322266\n", "The 7767th loop: cost = 40.41920471191406\n", "The 7768th loop: cost = 42.89421844482422\n", "The 7769th loop: cost = 49.08416748046875\n", "The 7770th loop: cost = 33.97028350830078\n", "The 7771th loop: cost = 46.95611572265625\n", "The 7772th loop: cost = 44.942657470703125\n", "The 7773th loop: cost = 36.98905944824219\n", "The 7774th loop: cost = 39.938743591308594\n", "The 7775th loop: cost = 41.783653259277344\n", "The 7776th loop: cost = 43.043296813964844\n", "The 7777th loop: cost = 35.61143493652344\n", "The 7778th loop: cost = 42.51164245605469\n", "The 7779th loop: cost = 44.849578857421875\n", "The 7780th loop: cost = 38.66591262817383\n", "The 7781th loop: cost = 51.41611862182617\n", "The 7782th loop: cost = 42.59336471557617\n", "The 7783th loop: cost = 34.71403884887695\n", "The 7784th loop: cost = 35.7988395690918\n", "The 7785th loop: cost = 37.75603485107422\n", "The 7786th loop: cost = 47.442771911621094\n", "The 7787th loop: cost = 39.19679641723633\n", "The 7788th loop: cost = 37.778831481933594\n", "The 7789th loop: cost = 45.83265686035156\n", "The 7790th loop: cost = 41.138771057128906\n", "The 7791th loop: cost = 41.03022766113281\n", "The 7792th loop: cost = 50.70472717285156\n", "The 7793th loop: cost = 51.85105895996094\n", "The 7794th loop: cost = 52.17178726196289\n", "The 7795th loop: cost = 40.488975524902344\n", "The 7796th loop: cost = 41.33710861206055\n", "The 7797th loop: cost = 41.33961868286133\n", "The 7798th loop: cost = 39.643898010253906\n", "The 7799th loop: cost = 36.811458587646484\n", "The 7800th loop: cost = 34.045372009277344\n", "The 7801th loop: cost = 48.30303955078125\n", "The 7802th loop: cost = 42.54451370239258\n", "The 7803th loop: cost = 44.393741607666016\n", "The 7804th loop: cost = 37.72271728515625\n", "The 7805th loop: cost = 40.79617691040039\n", "The 7806th loop: cost = 35.187278747558594\n", "The 7807th loop: cost = 34.065433502197266\n", "The 7808th loop: cost = 37.14624786376953\n", "The 7809th loop: cost = 43.61796951293945\n", "The 7810th loop: cost = 36.33726501464844\n", "The 7811th loop: cost = 40.133323669433594\n", "The 7812th loop: cost = 49.17938995361328\n", "The 7813th loop: cost = 44.882083892822266\n", "The 7814th loop: cost = 40.10101318359375\n", "The 7815th loop: cost = 48.02525329589844\n", "The 7816th loop: cost = 31.10565185546875\n", "The 7817th loop: cost = 35.374855041503906\n", "The 7818th loop: cost = 40.3994140625\n", "The 7819th loop: cost = 51.624122619628906\n", "The 7820th loop: cost = 39.97490692138672\n", "The 7821th loop: cost = 41.808528900146484\n", "The 7822th loop: cost = 40.45185470581055\n", "The 7823th loop: cost = 32.33289337158203\n", "The 7824th loop: cost = 48.48334503173828\n", "The 7825th loop: cost = 40.939701080322266\n", "The 7826th loop: cost = 42.77995300292969\n", "The 7827th loop: cost = 37.539642333984375\n", "The 7828th loop: cost = 34.5200309753418\n", "The 7829th loop: cost = 31.74905014038086\n", "The 7830th loop: cost = 34.43061065673828\n", "The 7831th loop: cost = 47.22649383544922\n", "The 7832th loop: cost = 38.94480514526367\n", "The 7833th loop: cost = 36.755680084228516\n", "The 7834th loop: cost = 47.147926330566406\n", "The 7835th loop: cost = 26.264719009399414\n", "The 7836th loop: cost = 49.61579895019531\n", "The 7837th loop: cost = 44.17152404785156\n", "The 7838th loop: cost = 39.86125183105469\n", "The 7839th loop: cost = 36.2646484375\n", "The 7840th loop: cost = 47.10466003417969\n", "The 7841th loop: cost = 46.80186462402344\n", "The 7842th loop: cost = 37.10536193847656\n", "The 7843th loop: cost = 41.07044982910156\n", "The 7844th loop: cost = 39.63666534423828\n", "The 7845th loop: cost = 39.888153076171875\n", "The 7846th loop: cost = 38.40815734863281\n", "The 7847th loop: cost = 38.58546447753906\n", "The 7848th loop: cost = 44.44392013549805\n", "The 7849th loop: cost = 39.14148712158203\n", "The 7850th loop: cost = 33.023292541503906\n", "The 7851th loop: cost = 38.50246810913086\n", "The 7852th loop: cost = 42.95878219604492\n", "The 7853th loop: cost = 45.687049865722656\n", "The 7854th loop: cost = 42.60588836669922\n", "The 7855th loop: cost = 33.37574005126953\n", "The 7856th loop: cost = 45.459808349609375\n", "The 7857th loop: cost = 49.484344482421875\n", "The 7858th loop: cost = 42.63689041137695\n", "The 7859th loop: cost = 40.75212860107422\n", "The 7860th loop: cost = 40.86790466308594\n", "The 7861th loop: cost = 40.076053619384766\n", "The 7862th loop: cost = 36.9920654296875\n", "The 7863th loop: cost = 43.52238464355469\n", "The 7864th loop: cost = 41.626441955566406\n", "The 7865th loop: cost = 42.795745849609375\n", "The 7866th loop: cost = 39.871429443359375\n", "The 7867th loop: cost = 50.61787414550781\n", "The 7868th loop: cost = 36.69178009033203\n", "The 7869th loop: cost = 35.30683898925781\n", "The 7870th loop: cost = 48.15713882446289\n", "The 7871th loop: cost = 34.058502197265625\n", "The 7872th loop: cost = 43.86811065673828\n", "The 7873th loop: cost = 38.8834342956543\n", "The 7874th loop: cost = 41.978965759277344\n", "The 7875th loop: cost = 47.54301452636719\n", "The 7876th loop: cost = 35.46834945678711\n", "The 7877th loop: cost = 48.22771453857422\n", "The 7878th loop: cost = 40.77617645263672\n", "The 7879th loop: cost = 37.542381286621094\n", "The 7880th loop: cost = 46.36874771118164\n", "The 7881th loop: cost = 37.131404876708984\n", "The 7882th loop: cost = 41.64042663574219\n", "The 7883th loop: cost = 48.99340057373047\n", "The 7884th loop: cost = 43.08804702758789\n", "The 7885th loop: cost = 46.2869873046875\n", "The 7886th loop: cost = 44.5722541809082\n", "The 7887th loop: cost = 45.129512786865234\n", "The 7888th loop: cost = 46.726375579833984\n", "The 7889th loop: cost = 40.464012145996094\n", "The 7890th loop: cost = 39.48980712890625\n", "The 7891th loop: cost = 38.763763427734375\n", "The 7892th loop: cost = 46.30138397216797\n", "The 7893th loop: cost = 50.03455352783203\n", "The 7894th loop: cost = 43.03852844238281\n", "The 7895th loop: cost = 47.601402282714844\n", "The 7896th loop: cost = 35.182334899902344\n", "The 7897th loop: cost = 45.276397705078125\n", "The 7898th loop: cost = 37.4908332824707\n", "The 7899th loop: cost = 36.1841926574707\n", "The 7900th loop: cost = 41.34272766113281\n", "The 7901th loop: cost = 48.09807586669922\n", "The 7902th loop: cost = 38.87286376953125\n", "The 7903th loop: cost = 48.06790542602539\n", "The 7904th loop: cost = 37.56798553466797\n", "The 7905th loop: cost = 43.961708068847656\n", "The 7906th loop: cost = 45.22999572753906\n", "The 7907th loop: cost = 44.5831184387207\n", "The 7908th loop: cost = 35.71009826660156\n", "The 7909th loop: cost = 42.67547607421875\n", "The 7910th loop: cost = 33.31282043457031\n", "The 7911th loop: cost = 46.194984436035156\n", "The 7912th loop: cost = 44.10646057128906\n", "The 7913th loop: cost = 33.69063186645508\n", "The 7914th loop: cost = 34.98174285888672\n", "The 7915th loop: cost = 38.16438674926758\n", "The 7916th loop: cost = 48.0051155090332\n", "The 7917th loop: cost = 41.50897979736328\n", "The 7918th loop: cost = 37.92821502685547\n", "The 7919th loop: cost = 34.996864318847656\n", "The 7920th loop: cost = 39.496490478515625\n", "The 7921th loop: cost = 41.70228958129883\n", "The 7922th loop: cost = 33.650306701660156\n", "The 7923th loop: cost = 41.12504959106445\n", "The 7924th loop: cost = 38.86365509033203\n", "The 7925th loop: cost = 44.9005012512207\n", "The 7926th loop: cost = 41.37318420410156\n", "The 7927th loop: cost = 37.04521942138672\n", "The 7928th loop: cost = 44.531089782714844\n", "The 7929th loop: cost = 40.87005615234375\n", "The 7930th loop: cost = 41.253074645996094\n", "The 7931th loop: cost = 37.7960319519043\n", "The 7932th loop: cost = 43.15589141845703\n", "The 7933th loop: cost = 40.668556213378906\n", "The 7934th loop: cost = 40.55552673339844\n", "The 7935th loop: cost = 50.420570373535156\n", "The 7936th loop: cost = 36.07831954956055\n", "The 7937th loop: cost = 39.39185333251953\n", "The 7938th loop: cost = 41.407344818115234\n", "The 7939th loop: cost = 30.870468139648438\n", "The 7940th loop: cost = 34.58150863647461\n", "The 7941th loop: cost = 38.39805603027344\n", "The 7942th loop: cost = 39.90412139892578\n", "The 7943th loop: cost = 38.19808578491211\n", "The 7944th loop: cost = 37.80965805053711\n", "The 7945th loop: cost = 32.43669891357422\n", "The 7946th loop: cost = 50.90134811401367\n", "The 7947th loop: cost = 39.56658935546875\n", "The 7948th loop: cost = 48.979312896728516\n", "The 7949th loop: cost = 40.080291748046875\n", "The 7950th loop: cost = 40.881317138671875\n", "The 7951th loop: cost = 33.79553985595703\n", "The 7952th loop: cost = 44.59778594970703\n", "The 7953th loop: cost = 42.61311340332031\n", "The 7954th loop: cost = 48.549530029296875\n", "The 7955th loop: cost = 36.84661865234375\n", "The 7956th loop: cost = 37.36980438232422\n", "The 7957th loop: cost = 51.17414093017578\n", "The 7958th loop: cost = 44.73467254638672\n", "The 7959th loop: cost = 39.63661193847656\n", "The 7960th loop: cost = 33.5797004699707\n", "The 7961th loop: cost = 42.28290557861328\n", "The 7962th loop: cost = 43.30331039428711\n", "The 7963th loop: cost = 36.59099578857422\n", "The 7964th loop: cost = 40.79052734375\n", "The 7965th loop: cost = 41.269561767578125\n", "The 7966th loop: cost = 43.71406555175781\n", "The 7967th loop: cost = 43.93454360961914\n", "The 7968th loop: cost = 28.739355087280273\n", "The 7969th loop: cost = 35.973716735839844\n", "The 7970th loop: cost = 41.81167984008789\n", "The 7971th loop: cost = 29.312049865722656\n", "The 7972th loop: cost = 47.780879974365234\n", "The 7973th loop: cost = 47.85749816894531\n", "The 7974th loop: cost = 42.663909912109375\n", "The 7975th loop: cost = 42.48811721801758\n", "The 7976th loop: cost = 47.31203079223633\n", "The 7977th loop: cost = 42.788185119628906\n", "The 7978th loop: cost = 41.816070556640625\n", "The 7979th loop: cost = 38.02506637573242\n", "The 7980th loop: cost = 43.04443359375\n", "The 7981th loop: cost = 46.366539001464844\n", "The 7982th loop: cost = 40.611236572265625\n", "The 7983th loop: cost = 38.17710494995117\n", "The 7984th loop: cost = 40.07335662841797\n", "The 7985th loop: cost = 40.31040954589844\n", "The 7986th loop: cost = 39.089805603027344\n", "The 7987th loop: cost = 40.321067810058594\n", "The 7988th loop: cost = 49.50773620605469\n", "The 7989th loop: cost = 28.355186462402344\n", "The 7990th loop: cost = 42.31189727783203\n", "The 7991th loop: cost = 46.63975524902344\n", "The 7992th loop: cost = 28.61994743347168\n", "The 7993th loop: cost = 49.589019775390625\n", "The 7994th loop: cost = 35.8608512878418\n", "The 7995th loop: cost = 39.01150894165039\n", "The 7996th loop: cost = 34.03252410888672\n", "The 7997th loop: cost = 40.514373779296875\n", "The 7998th loop: cost = 44.366634368896484\n", "The 7999th loop: cost = 34.81854248046875\n", "The 8000th loop: cost = 37.95954132080078\n", "The 8001th loop: cost = 39.85020446777344\n", "The 8002th loop: cost = 51.11888885498047\n", "The 8003th loop: cost = 43.33952331542969\n", "The 8004th loop: cost = 41.495643615722656\n", "The 8005th loop: cost = 37.97357940673828\n", "The 8006th loop: cost = 38.931392669677734\n", "The 8007th loop: cost = 56.540565490722656\n", "The 8008th loop: cost = 42.90834045410156\n", "The 8009th loop: cost = 39.726951599121094\n", "The 8010th loop: cost = 45.68824005126953\n", "The 8011th loop: cost = 41.73885726928711\n", "The 8012th loop: cost = 46.35272979736328\n", "The 8013th loop: cost = 32.98712921142578\n", "The 8014th loop: cost = 41.980186462402344\n", "The 8015th loop: cost = 51.367393493652344\n", "The 8016th loop: cost = 38.924560546875\n", "The 8017th loop: cost = 39.56575012207031\n", "The 8018th loop: cost = 39.16222381591797\n", "The 8019th loop: cost = 48.526649475097656\n", "The 8020th loop: cost = 42.77066421508789\n", "The 8021th loop: cost = 35.36110305786133\n", "The 8022th loop: cost = 38.845436096191406\n", "The 8023th loop: cost = 39.975399017333984\n", "The 8024th loop: cost = 33.64154052734375\n", "The 8025th loop: cost = 36.85359573364258\n", "The 8026th loop: cost = 30.613311767578125\n", "The 8027th loop: cost = 39.129730224609375\n", "The 8028th loop: cost = 32.219417572021484\n", "The 8029th loop: cost = 40.47709655761719\n", "The 8030th loop: cost = 53.606834411621094\n", "The 8031th loop: cost = 45.584251403808594\n", "The 8032th loop: cost = 41.340545654296875\n", "The 8033th loop: cost = 33.65019226074219\n", "The 8034th loop: cost = 52.05354309082031\n", "The 8035th loop: cost = 42.87890625\n", "The 8036th loop: cost = 43.76367950439453\n", "The 8037th loop: cost = 40.563201904296875\n", "The 8038th loop: cost = 44.42098617553711\n", "The 8039th loop: cost = 38.20964050292969\n", "The 8040th loop: cost = 38.75981903076172\n", "The 8041th loop: cost = 49.861000061035156\n", "The 8042th loop: cost = 35.63591766357422\n", "The 8043th loop: cost = 38.98200988769531\n", "The 8044th loop: cost = 49.322486877441406\n", "The 8045th loop: cost = 37.43439483642578\n", "The 8046th loop: cost = 38.64000701904297\n", "The 8047th loop: cost = 44.37812805175781\n", "The 8048th loop: cost = 34.14628219604492\n", "The 8049th loop: cost = 44.52292251586914\n", "The 8050th loop: cost = 35.01292037963867\n", "The 8051th loop: cost = 32.1634635925293\n", "The 8052th loop: cost = 30.834716796875\n", "The 8053th loop: cost = 43.40456771850586\n", "The 8054th loop: cost = 38.798370361328125\n", "The 8055th loop: cost = 39.776023864746094\n", "The 8056th loop: cost = 35.853797912597656\n", "The 8057th loop: cost = 39.88664627075195\n", "The 8058th loop: cost = 50.43218231201172\n", "The 8059th loop: cost = 33.14282989501953\n", "The 8060th loop: cost = 44.902748107910156\n", "The 8061th loop: cost = 40.153785705566406\n", "The 8062th loop: cost = 35.326316833496094\n", "The 8063th loop: cost = 33.18717956542969\n", "The 8064th loop: cost = 40.64054870605469\n", "The 8065th loop: cost = 40.74761199951172\n", "The 8066th loop: cost = 40.65073776245117\n", "The 8067th loop: cost = 49.48786544799805\n", "The 8068th loop: cost = 44.705589294433594\n", "The 8069th loop: cost = 39.220062255859375\n", "The 8070th loop: cost = 45.67335510253906\n", "The 8071th loop: cost = 43.697959899902344\n", "The 8072th loop: cost = 42.4401741027832\n", "The 8073th loop: cost = 37.17242431640625\n", "The 8074th loop: cost = 33.92654800415039\n", "The 8075th loop: cost = 47.72814178466797\n", "The 8076th loop: cost = 45.98252868652344\n", "The 8077th loop: cost = 40.73786163330078\n", "The 8078th loop: cost = 41.49022674560547\n", "The 8079th loop: cost = 31.361562728881836\n", "The 8080th loop: cost = 38.041465759277344\n", "The 8081th loop: cost = 37.53889846801758\n", "The 8082th loop: cost = 43.1165657043457\n", "The 8083th loop: cost = 46.184776306152344\n", "The 8084th loop: cost = 35.23998260498047\n", "The 8085th loop: cost = 36.254791259765625\n", "The 8086th loop: cost = 33.34379577636719\n", "The 8087th loop: cost = 30.75940704345703\n", "The 8088th loop: cost = 48.070594787597656\n", "The 8089th loop: cost = 49.38973617553711\n", "The 8090th loop: cost = 36.34821701049805\n", "The 8091th loop: cost = 37.63450622558594\n", "The 8092th loop: cost = 43.53388977050781\n", "The 8093th loop: cost = 34.298614501953125\n", "The 8094th loop: cost = 35.79373550415039\n", "The 8095th loop: cost = 40.094024658203125\n", "The 8096th loop: cost = 37.501976013183594\n", "The 8097th loop: cost = 43.1328239440918\n", "The 8098th loop: cost = 43.03108215332031\n", "The 8099th loop: cost = 49.34547805786133\n", "The 8100th loop: cost = 42.42483139038086\n", "The 8101th loop: cost = 37.511192321777344\n", "The 8102th loop: cost = 41.3000373840332\n", "The 8103th loop: cost = 40.96022033691406\n", "The 8104th loop: cost = 41.97128677368164\n", "The 8105th loop: cost = 41.805419921875\n", "The 8106th loop: cost = 37.402442932128906\n", "The 8107th loop: cost = 38.86848068237305\n", "The 8108th loop: cost = 33.39851379394531\n", "The 8109th loop: cost = 39.60807800292969\n", "The 8110th loop: cost = 44.67962646484375\n", "The 8111th loop: cost = 36.66877365112305\n", "The 8112th loop: cost = 47.07109069824219\n", "The 8113th loop: cost = 40.43067169189453\n", "The 8114th loop: cost = 41.38395309448242\n", "The 8115th loop: cost = 35.26387023925781\n", "The 8116th loop: cost = 40.10926818847656\n", "The 8117th loop: cost = 42.67290496826172\n", "The 8118th loop: cost = 39.29903030395508\n", "The 8119th loop: cost = 46.43352127075195\n", "The 8120th loop: cost = 39.446739196777344\n", "The 8121th loop: cost = 44.653175354003906\n", "The 8122th loop: cost = 30.080690383911133\n", "The 8123th loop: cost = 40.83283996582031\n", "The 8124th loop: cost = 42.23808670043945\n", "The 8125th loop: cost = 38.883522033691406\n", "The 8126th loop: cost = 43.89564514160156\n", "The 8127th loop: cost = 41.499908447265625\n", "The 8128th loop: cost = 42.9527473449707\n", "The 8129th loop: cost = 44.044822692871094\n", "The 8130th loop: cost = 40.34931182861328\n", "The 8131th loop: cost = 35.080528259277344\n", "The 8132th loop: cost = 47.00339889526367\n", "The 8133th loop: cost = 39.752132415771484\n", "The 8134th loop: cost = 39.37054443359375\n", "The 8135th loop: cost = 38.691680908203125\n", "The 8136th loop: cost = 37.29917907714844\n", "The 8137th loop: cost = 35.99561309814453\n", "The 8138th loop: cost = 45.668216705322266\n", "The 8139th loop: cost = 48.868751525878906\n", "The 8140th loop: cost = 35.431678771972656\n", "The 8141th loop: cost = 35.23055648803711\n", "The 8142th loop: cost = 34.2795524597168\n", "The 8143th loop: cost = 34.31867599487305\n", "The 8144th loop: cost = 38.9931526184082\n", "The 8145th loop: cost = 35.018821716308594\n", "The 8146th loop: cost = 38.76491165161133\n", "The 8147th loop: cost = 32.3995361328125\n", "The 8148th loop: cost = 33.965614318847656\n", "The 8149th loop: cost = 37.76961135864258\n", "The 8150th loop: cost = 46.96466064453125\n", "The 8151th loop: cost = 46.07296371459961\n", "The 8152th loop: cost = 36.64726257324219\n", "The 8153th loop: cost = 41.92645263671875\n", "The 8154th loop: cost = 42.86525344848633\n", "The 8155th loop: cost = 43.46405029296875\n", "The 8156th loop: cost = 44.602413177490234\n", "The 8157th loop: cost = 43.39849090576172\n", "The 8158th loop: cost = 42.067909240722656\n", "The 8159th loop: cost = 40.334346771240234\n", "The 8160th loop: cost = 39.221412658691406\n", "The 8161th loop: cost = 39.4616813659668\n", "The 8162th loop: cost = 33.438323974609375\n", "The 8163th loop: cost = 44.241214752197266\n", "The 8164th loop: cost = 35.091182708740234\n", "The 8165th loop: cost = 56.070945739746094\n", "The 8166th loop: cost = 44.21064376831055\n", "The 8167th loop: cost = 45.62563705444336\n", "The 8168th loop: cost = 39.03240966796875\n", "The 8169th loop: cost = 43.777870178222656\n", "The 8170th loop: cost = 37.71656036376953\n", "The 8171th loop: cost = 37.653724670410156\n", "The 8172th loop: cost = 41.87281799316406\n", "The 8173th loop: cost = 40.221351623535156\n", "The 8174th loop: cost = 46.7548828125\n", "The 8175th loop: cost = 40.40265655517578\n", "The 8176th loop: cost = 40.90915298461914\n", "The 8177th loop: cost = 42.03038787841797\n", "The 8178th loop: cost = 41.37483596801758\n", "The 8179th loop: cost = 44.34869384765625\n", "The 8180th loop: cost = 39.40608215332031\n", "The 8181th loop: cost = 39.29925537109375\n", "The 8182th loop: cost = 42.08641052246094\n", "The 8183th loop: cost = 40.15459442138672\n", "The 8184th loop: cost = 47.54808044433594\n", "The 8185th loop: cost = 44.142547607421875\n", "The 8186th loop: cost = 32.161617279052734\n", "The 8187th loop: cost = 32.375022888183594\n", "The 8188th loop: cost = 31.531545639038086\n", "The 8189th loop: cost = 39.304405212402344\n", "The 8190th loop: cost = 34.93247604370117\n", "The 8191th loop: cost = 37.741973876953125\n", "The 8192th loop: cost = 47.463050842285156\n", "The 8193th loop: cost = 42.0517578125\n", "The 8194th loop: cost = 37.72883605957031\n", "The 8195th loop: cost = 43.325157165527344\n", "The 8196th loop: cost = 38.33219909667969\n", "The 8197th loop: cost = 55.52208709716797\n", "The 8198th loop: cost = 39.08344650268555\n", "The 8199th loop: cost = 36.10014343261719\n", "The 8200th loop: cost = 39.24827575683594\n", "The 8201th loop: cost = 42.766334533691406\n", "The 8202th loop: cost = 39.75794219970703\n", "The 8203th loop: cost = 35.46056365966797\n", "The 8204th loop: cost = 40.16950225830078\n", "The 8205th loop: cost = 47.59634017944336\n", "The 8206th loop: cost = 43.714508056640625\n", "The 8207th loop: cost = 45.89784240722656\n", "The 8208th loop: cost = 44.01758575439453\n", "The 8209th loop: cost = 35.23516845703125\n", "The 8210th loop: cost = 42.49273681640625\n", "The 8211th loop: cost = 42.91107177734375\n", "The 8212th loop: cost = 43.49626159667969\n", "The 8213th loop: cost = 41.86610412597656\n", "The 8214th loop: cost = 37.334434509277344\n", "The 8215th loop: cost = 48.32553482055664\n", "The 8216th loop: cost = 42.88648223876953\n", "The 8217th loop: cost = 48.89744567871094\n", "The 8218th loop: cost = 48.77727508544922\n", "The 8219th loop: cost = 40.9293212890625\n", "The 8220th loop: cost = 45.77191162109375\n", "The 8221th loop: cost = 46.77356719970703\n", "The 8222th loop: cost = 37.70214080810547\n", "The 8223th loop: cost = 39.329490661621094\n", "The 8224th loop: cost = 40.432228088378906\n", "The 8225th loop: cost = 44.60050582885742\n", "The 8226th loop: cost = 50.99339294433594\n", "The 8227th loop: cost = 32.79011154174805\n", "The 8228th loop: cost = 36.440879821777344\n", "The 8229th loop: cost = 33.090476989746094\n", "The 8230th loop: cost = 38.22815704345703\n", "The 8231th loop: cost = 50.70420837402344\n", "The 8232th loop: cost = 39.68389129638672\n", "The 8233th loop: cost = 49.798805236816406\n", "The 8234th loop: cost = 28.061267852783203\n", "The 8235th loop: cost = 38.04474639892578\n", "The 8236th loop: cost = 39.913387298583984\n", "The 8237th loop: cost = 33.729759216308594\n", "The 8238th loop: cost = 31.25548553466797\n", "The 8239th loop: cost = 35.027835845947266\n", "The 8240th loop: cost = 41.908973693847656\n", "The 8241th loop: cost = 43.01349639892578\n", "The 8242th loop: cost = 37.82896423339844\n", "The 8243th loop: cost = 39.76968002319336\n", "The 8244th loop: cost = 37.23362731933594\n", "The 8245th loop: cost = 39.44544219970703\n", "The 8246th loop: cost = 43.91460418701172\n", "The 8247th loop: cost = 37.99998474121094\n", "The 8248th loop: cost = 33.885101318359375\n", "The 8249th loop: cost = 42.89909362792969\n", "The 8250th loop: cost = 47.742881774902344\n", "The 8251th loop: cost = 43.46635055541992\n", "The 8252th loop: cost = 35.27235412597656\n", "The 8253th loop: cost = 44.35026168823242\n", "The 8254th loop: cost = 39.838722229003906\n", "The 8255th loop: cost = 40.339195251464844\n", "The 8256th loop: cost = 41.27385711669922\n", "The 8257th loop: cost = 34.66637420654297\n", "The 8258th loop: cost = 43.37187957763672\n", "The 8259th loop: cost = 41.202701568603516\n", "The 8260th loop: cost = 33.923336029052734\n", "The 8261th loop: cost = 39.30223846435547\n", "The 8262th loop: cost = 44.539764404296875\n", "The 8263th loop: cost = 40.11570739746094\n", "The 8264th loop: cost = 46.374000549316406\n", "The 8265th loop: cost = 62.26313781738281\n", "The 8266th loop: cost = 30.50092124938965\n", "The 8267th loop: cost = 34.59556198120117\n", "The 8268th loop: cost = 35.382667541503906\n", "The 8269th loop: cost = 39.10906219482422\n", "The 8270th loop: cost = 32.32448959350586\n", "The 8271th loop: cost = 35.91424560546875\n", "The 8272th loop: cost = 36.5439338684082\n", "The 8273th loop: cost = 32.44438934326172\n", "The 8274th loop: cost = 41.730194091796875\n", "The 8275th loop: cost = 40.58332443237305\n", "The 8276th loop: cost = 32.07223892211914\n", "The 8277th loop: cost = 34.377655029296875\n", "The 8278th loop: cost = 33.99501419067383\n", "The 8279th loop: cost = 30.24596405029297\n", "The 8280th loop: cost = 42.89993667602539\n", "The 8281th loop: cost = 40.546531677246094\n", "The 8282th loop: cost = 39.60742950439453\n", "The 8283th loop: cost = 34.38349914550781\n", "The 8284th loop: cost = 44.42516326904297\n", "The 8285th loop: cost = 51.172698974609375\n", "The 8286th loop: cost = 52.53226089477539\n", "The 8287th loop: cost = 39.0241813659668\n", "The 8288th loop: cost = 46.446197509765625\n", "The 8289th loop: cost = 46.13359832763672\n", "The 8290th loop: cost = 41.717864990234375\n", "The 8291th loop: cost = 39.21064758300781\n", "The 8292th loop: cost = 45.42780303955078\n", "The 8293th loop: cost = 41.16826248168945\n", "The 8294th loop: cost = 43.50371551513672\n", "The 8295th loop: cost = 34.909236907958984\n", "The 8296th loop: cost = 42.5384407043457\n", "The 8297th loop: cost = 42.794029235839844\n", "The 8298th loop: cost = 30.80773162841797\n", "The 8299th loop: cost = 39.87626647949219\n", "The 8300th loop: cost = 33.528045654296875\n", "The 8301th loop: cost = 48.06273651123047\n", "The 8302th loop: cost = 37.189064025878906\n", "The 8303th loop: cost = 38.906227111816406\n", "The 8304th loop: cost = 54.29794692993164\n", "The 8305th loop: cost = 41.77488708496094\n", "The 8306th loop: cost = 31.66189956665039\n", "The 8307th loop: cost = 38.78902053833008\n", "The 8308th loop: cost = 39.62294006347656\n", "The 8309th loop: cost = 50.402645111083984\n", "The 8310th loop: cost = 50.4304084777832\n", "The 8311th loop: cost = 37.6046142578125\n", "The 8312th loop: cost = 45.75002670288086\n", "The 8313th loop: cost = 36.56878662109375\n", "The 8314th loop: cost = 40.5458869934082\n", "The 8315th loop: cost = 37.762428283691406\n", "The 8316th loop: cost = 53.17292785644531\n", "The 8317th loop: cost = 41.89630889892578\n", "The 8318th loop: cost = 44.12548828125\n", "The 8319th loop: cost = 47.966957092285156\n", "The 8320th loop: cost = 40.504154205322266\n", "The 8321th loop: cost = 42.65278625488281\n", "The 8322th loop: cost = 44.054832458496094\n", "The 8323th loop: cost = 43.72111511230469\n", "The 8324th loop: cost = 42.21708679199219\n", "The 8325th loop: cost = 35.75035858154297\n", "The 8326th loop: cost = 34.667137145996094\n", "The 8327th loop: cost = 43.07524871826172\n", "The 8328th loop: cost = 40.09016418457031\n", "The 8329th loop: cost = 50.49781036376953\n", "The 8330th loop: cost = 38.72472381591797\n", "The 8331th loop: cost = 40.26887893676758\n", "The 8332th loop: cost = 37.74620819091797\n", "The 8333th loop: cost = 34.749969482421875\n", "The 8334th loop: cost = 41.65233612060547\n", "The 8335th loop: cost = 40.85350799560547\n", "The 8336th loop: cost = 34.53369140625\n", "The 8337th loop: cost = 36.91371154785156\n", "The 8338th loop: cost = 41.753787994384766\n", "The 8339th loop: cost = 38.52210998535156\n", "The 8340th loop: cost = 36.325294494628906\n", "The 8341th loop: cost = 43.6866455078125\n", "The 8342th loop: cost = 40.54637145996094\n", "The 8343th loop: cost = 41.44470977783203\n", "The 8344th loop: cost = 36.77460479736328\n", "The 8345th loop: cost = 41.66236114501953\n", "The 8346th loop: cost = 31.10249900817871\n", "The 8347th loop: cost = 45.13893127441406\n", "The 8348th loop: cost = 38.753318786621094\n", "The 8349th loop: cost = 35.7904052734375\n", "The 8350th loop: cost = 39.462371826171875\n", "The 8351th loop: cost = 42.22768020629883\n", "The 8352th loop: cost = 37.557926177978516\n", "The 8353th loop: cost = 43.06092071533203\n", "The 8354th loop: cost = 36.058414459228516\n", "The 8355th loop: cost = 43.843753814697266\n", "The 8356th loop: cost = 28.764650344848633\n", "The 8357th loop: cost = 40.84449005126953\n", "The 8358th loop: cost = 41.65966796875\n", "The 8359th loop: cost = 39.20738220214844\n", "The 8360th loop: cost = 39.05658721923828\n", "The 8361th loop: cost = 43.546653747558594\n", "The 8362th loop: cost = 43.941104888916016\n", "The 8363th loop: cost = 36.72021484375\n", "The 8364th loop: cost = 43.255149841308594\n", "The 8365th loop: cost = 47.33439254760742\n", "The 8366th loop: cost = 37.02891159057617\n", "The 8367th loop: cost = 40.09103775024414\n", "The 8368th loop: cost = 46.03642654418945\n", "The 8369th loop: cost = 36.505126953125\n", "The 8370th loop: cost = 36.93639373779297\n", "The 8371th loop: cost = 44.701053619384766\n", "The 8372th loop: cost = 44.71361541748047\n", "The 8373th loop: cost = 39.89207458496094\n", "The 8374th loop: cost = 40.82179260253906\n", "The 8375th loop: cost = 41.315330505371094\n", "The 8376th loop: cost = 39.24536895751953\n", "The 8377th loop: cost = 39.38594055175781\n", "The 8378th loop: cost = 40.46531295776367\n", "The 8379th loop: cost = 42.496009826660156\n", "The 8380th loop: cost = 40.67873001098633\n", "The 8381th loop: cost = 44.800106048583984\n", "The 8382th loop: cost = 34.806396484375\n", "The 8383th loop: cost = 39.41978454589844\n", "The 8384th loop: cost = 35.874786376953125\n", "The 8385th loop: cost = 46.079368591308594\n", "The 8386th loop: cost = 29.181495666503906\n", "The 8387th loop: cost = 48.33993148803711\n", "The 8388th loop: cost = 49.58637237548828\n", "The 8389th loop: cost = 45.282554626464844\n", "The 8390th loop: cost = 42.96668243408203\n", "The 8391th loop: cost = 40.32451629638672\n", "The 8392th loop: cost = 36.006568908691406\n", "The 8393th loop: cost = 33.97209930419922\n", "The 8394th loop: cost = 41.179473876953125\n", "The 8395th loop: cost = 47.005374908447266\n", "The 8396th loop: cost = 46.453887939453125\n", "The 8397th loop: cost = 42.94466781616211\n", "The 8398th loop: cost = 35.106117248535156\n", "The 8399th loop: cost = 43.30097961425781\n", "The 8400th loop: cost = 46.33222198486328\n", "The 8401th loop: cost = 42.567787170410156\n", "The 8402th loop: cost = 36.091461181640625\n", "The 8403th loop: cost = 38.363609313964844\n", "The 8404th loop: cost = 43.06521224975586\n", "The 8405th loop: cost = 44.35559844970703\n", "The 8406th loop: cost = 36.13118362426758\n", "The 8407th loop: cost = 40.04894256591797\n", "The 8408th loop: cost = 41.401702880859375\n", "The 8409th loop: cost = 30.760759353637695\n", "The 8410th loop: cost = 48.64405822753906\n", "The 8411th loop: cost = 43.76129913330078\n", "The 8412th loop: cost = 28.720870971679688\n", "The 8413th loop: cost = 40.986419677734375\n", "The 8414th loop: cost = 43.345455169677734\n", "The 8415th loop: cost = 38.85863494873047\n", "The 8416th loop: cost = 37.780059814453125\n", "The 8417th loop: cost = 47.51035690307617\n", "The 8418th loop: cost = 39.46992492675781\n", "The 8419th loop: cost = 41.468597412109375\n", "The 8420th loop: cost = 41.172119140625\n", "The 8421th loop: cost = 45.2509765625\n", "The 8422th loop: cost = 46.553375244140625\n", "The 8423th loop: cost = 38.22000503540039\n", "The 8424th loop: cost = 41.87413024902344\n", "The 8425th loop: cost = 35.555320739746094\n", "The 8426th loop: cost = 36.404273986816406\n", "The 8427th loop: cost = 41.002532958984375\n", "The 8428th loop: cost = 42.208309173583984\n", "The 8429th loop: cost = 39.55889892578125\n", "The 8430th loop: cost = 40.85157012939453\n", "The 8431th loop: cost = 39.10045623779297\n", "The 8432th loop: cost = 38.482582092285156\n", "The 8433th loop: cost = 34.927162170410156\n", "The 8434th loop: cost = 47.081626892089844\n", "The 8435th loop: cost = 42.35511016845703\n", "The 8436th loop: cost = 37.64370346069336\n", "The 8437th loop: cost = 47.04453659057617\n", "The 8438th loop: cost = 40.428855895996094\n", "The 8439th loop: cost = 34.71475601196289\n", "The 8440th loop: cost = 44.299835205078125\n", "The 8441th loop: cost = 36.42450714111328\n", "The 8442th loop: cost = 36.40791320800781\n", "The 8443th loop: cost = 44.41216278076172\n", "The 8444th loop: cost = 39.18342971801758\n", "The 8445th loop: cost = 38.742225646972656\n", "The 8446th loop: cost = 39.73949432373047\n", "The 8447th loop: cost = 34.549949645996094\n", "The 8448th loop: cost = 45.324623107910156\n", "The 8449th loop: cost = 50.796974182128906\n", "The 8450th loop: cost = 41.714805603027344\n", "The 8451th loop: cost = 38.560997009277344\n", "The 8452th loop: cost = 37.410213470458984\n", "The 8453th loop: cost = 40.76155471801758\n", "The 8454th loop: cost = 37.71065139770508\n", "The 8455th loop: cost = 48.664154052734375\n", "The 8456th loop: cost = 44.90754699707031\n", "The 8457th loop: cost = 39.54907989501953\n", "The 8458th loop: cost = 48.144935607910156\n", "The 8459th loop: cost = 40.02320098876953\n", "The 8460th loop: cost = 36.37781524658203\n", "The 8461th loop: cost = 41.53913879394531\n", "The 8462th loop: cost = 41.48307800292969\n", "The 8463th loop: cost = 33.1429443359375\n", "The 8464th loop: cost = 42.72191619873047\n", "The 8465th loop: cost = 44.2333984375\n", "The 8466th loop: cost = 32.310665130615234\n", "The 8467th loop: cost = 40.73535919189453\n", "The 8468th loop: cost = 40.088924407958984\n", "The 8469th loop: cost = 45.589088439941406\n", "The 8470th loop: cost = 38.3834228515625\n", "The 8471th loop: cost = 32.90424346923828\n", "The 8472th loop: cost = 32.71290969848633\n", "The 8473th loop: cost = 37.14496612548828\n", "The 8474th loop: cost = 39.267852783203125\n", "The 8475th loop: cost = 46.21746826171875\n", "The 8476th loop: cost = 37.20917892456055\n", "The 8477th loop: cost = 38.82421875\n", "The 8478th loop: cost = 41.752967834472656\n", "The 8479th loop: cost = 43.30888366699219\n", "The 8480th loop: cost = 47.748390197753906\n", "The 8481th loop: cost = 42.39514923095703\n", "The 8482th loop: cost = 39.34538269042969\n", "The 8483th loop: cost = 36.58599853515625\n", "The 8484th loop: cost = 38.79862976074219\n", "The 8485th loop: cost = 41.706764221191406\n", "The 8486th loop: cost = 39.89091491699219\n", "The 8487th loop: cost = 43.36217498779297\n", "The 8488th loop: cost = 34.353816986083984\n", "The 8489th loop: cost = 40.424827575683594\n", "The 8490th loop: cost = 39.263893127441406\n", "The 8491th loop: cost = 44.229583740234375\n", "The 8492th loop: cost = 39.780452728271484\n", "The 8493th loop: cost = 42.10370635986328\n", "The 8494th loop: cost = 33.844818115234375\n", "The 8495th loop: cost = 42.341766357421875\n", "The 8496th loop: cost = 40.393455505371094\n", "The 8497th loop: cost = 44.102020263671875\n", "The 8498th loop: cost = 39.052894592285156\n", "The 8499th loop: cost = 44.00971221923828\n", "The 8500th loop: cost = 45.68877410888672\n", "The 8501th loop: cost = 39.002769470214844\n", "The 8502th loop: cost = 41.176902770996094\n", "The 8503th loop: cost = 36.44552993774414\n", "The 8504th loop: cost = 40.47168731689453\n", "The 8505th loop: cost = 46.17703628540039\n", "The 8506th loop: cost = 40.294593811035156\n", "The 8507th loop: cost = 35.40852355957031\n", "The 8508th loop: cost = 42.04612731933594\n", "The 8509th loop: cost = 34.58823013305664\n", "The 8510th loop: cost = 32.93077850341797\n", "The 8511th loop: cost = 39.524784088134766\n", "The 8512th loop: cost = 37.0328369140625\n", "The 8513th loop: cost = 36.86394119262695\n", "The 8514th loop: cost = 37.20109558105469\n", "The 8515th loop: cost = 54.52275085449219\n", "The 8516th loop: cost = 34.66046905517578\n", "The 8517th loop: cost = 36.51383972167969\n", "The 8518th loop: cost = 42.632572174072266\n", "The 8519th loop: cost = 38.8487434387207\n", "The 8520th loop: cost = 38.37580108642578\n", "The 8521th loop: cost = 48.6013298034668\n", "The 8522th loop: cost = 35.97233963012695\n", "The 8523th loop: cost = 48.66181182861328\n", "The 8524th loop: cost = 37.091705322265625\n", "The 8525th loop: cost = 40.36674499511719\n", "The 8526th loop: cost = 38.626686096191406\n", "The 8527th loop: cost = 42.20960235595703\n", "The 8528th loop: cost = 41.26465606689453\n", "The 8529th loop: cost = 38.08434295654297\n", "The 8530th loop: cost = 35.69970703125\n", "The 8531th loop: cost = 41.87926483154297\n", "The 8532th loop: cost = 43.60697555541992\n", "The 8533th loop: cost = 30.287628173828125\n", "The 8534th loop: cost = 39.615806579589844\n", "The 8535th loop: cost = 36.95652770996094\n", "The 8536th loop: cost = 41.03284454345703\n", "The 8537th loop: cost = 41.07624053955078\n", "The 8538th loop: cost = 26.99698257446289\n", "The 8539th loop: cost = 40.207366943359375\n", "The 8540th loop: cost = 38.44289779663086\n", "The 8541th loop: cost = 43.593902587890625\n", "The 8542th loop: cost = 34.323516845703125\n", "The 8543th loop: cost = 33.51716613769531\n", "The 8544th loop: cost = 55.05925750732422\n", "The 8545th loop: cost = 38.77149963378906\n", "The 8546th loop: cost = 44.37873077392578\n", "The 8547th loop: cost = 41.50336456298828\n", "The 8548th loop: cost = 36.48674774169922\n", "The 8549th loop: cost = 33.35780334472656\n", "The 8550th loop: cost = 41.05113983154297\n", "The 8551th loop: cost = 34.2813606262207\n", "The 8552th loop: cost = 48.66217041015625\n", "The 8553th loop: cost = 34.56465148925781\n", "The 8554th loop: cost = 57.05294418334961\n", "The 8555th loop: cost = 39.62883377075195\n", "The 8556th loop: cost = 41.341796875\n", "The 8557th loop: cost = 42.26911544799805\n", "The 8558th loop: cost = 45.282169342041016\n", "The 8559th loop: cost = 42.41712951660156\n", "The 8560th loop: cost = 45.299705505371094\n", "The 8561th loop: cost = 38.59345245361328\n", "The 8562th loop: cost = 37.35198211669922\n", "The 8563th loop: cost = 38.37903594970703\n", "The 8564th loop: cost = 41.144439697265625\n", "The 8565th loop: cost = 42.229705810546875\n", "The 8566th loop: cost = 45.41035842895508\n", "The 8567th loop: cost = 37.26264572143555\n", "The 8568th loop: cost = 43.430206298828125\n", "The 8569th loop: cost = 35.99946212768555\n", "The 8570th loop: cost = 43.990169525146484\n", "The 8571th loop: cost = 39.069122314453125\n", "The 8572th loop: cost = 44.205047607421875\n", "The 8573th loop: cost = 48.05440139770508\n", "The 8574th loop: cost = 43.16513442993164\n", "The 8575th loop: cost = 43.46718215942383\n", "The 8576th loop: cost = 34.80311965942383\n", "The 8577th loop: cost = 37.70387268066406\n", "The 8578th loop: cost = 40.109580993652344\n", "The 8579th loop: cost = 38.80928421020508\n", "The 8580th loop: cost = 51.75049591064453\n", "The 8581th loop: cost = 39.77195739746094\n", "The 8582th loop: cost = 49.9627571105957\n", "The 8583th loop: cost = 46.345237731933594\n", "The 8584th loop: cost = 46.26189041137695\n", "The 8585th loop: cost = 41.097599029541016\n", "The 8586th loop: cost = 31.393585205078125\n", "The 8587th loop: cost = 40.544593811035156\n", "The 8588th loop: cost = 41.773658752441406\n", "The 8589th loop: cost = 41.5627326965332\n", "The 8590th loop: cost = 34.50736999511719\n", "The 8591th loop: cost = 46.054447174072266\n", "The 8592th loop: cost = 39.76783752441406\n", "The 8593th loop: cost = 33.78779220581055\n", "The 8594th loop: cost = 45.383522033691406\n", "The 8595th loop: cost = 37.03231430053711\n", "The 8596th loop: cost = 33.58781814575195\n", "The 8597th loop: cost = 42.95740509033203\n", "The 8598th loop: cost = 37.272464752197266\n", "The 8599th loop: cost = 44.93668746948242\n", "The 8600th loop: cost = 50.859535217285156\n", "The 8601th loop: cost = 47.841705322265625\n", "The 8602th loop: cost = 31.91261100769043\n", "The 8603th loop: cost = 42.01554489135742\n", "The 8604th loop: cost = 35.748878479003906\n", "The 8605th loop: cost = 42.540550231933594\n", "The 8606th loop: cost = 47.05663299560547\n", "The 8607th loop: cost = 37.368934631347656\n", "The 8608th loop: cost = 41.603912353515625\n", "The 8609th loop: cost = 37.33409118652344\n", "The 8610th loop: cost = 36.10786437988281\n", "The 8611th loop: cost = 38.10620880126953\n", "The 8612th loop: cost = 42.69989776611328\n", "The 8613th loop: cost = 38.23516082763672\n", "The 8614th loop: cost = 44.4332160949707\n", "The 8615th loop: cost = 38.54829788208008\n", "The 8616th loop: cost = 45.76457977294922\n", "The 8617th loop: cost = 38.89518356323242\n", "The 8618th loop: cost = 41.73325729370117\n", "The 8619th loop: cost = 39.10879135131836\n", "The 8620th loop: cost = 41.22200012207031\n", "The 8621th loop: cost = 41.329124450683594\n", "The 8622th loop: cost = 39.872314453125\n", "The 8623th loop: cost = 32.70490264892578\n", "The 8624th loop: cost = 39.473899841308594\n", "The 8625th loop: cost = 36.16804122924805\n", "The 8626th loop: cost = 41.44947052001953\n", "The 8627th loop: cost = 42.250762939453125\n", "The 8628th loop: cost = 37.337669372558594\n", "The 8629th loop: cost = 40.68533706665039\n", "The 8630th loop: cost = 31.711179733276367\n", "The 8631th loop: cost = 36.76408386230469\n", "The 8632th loop: cost = 41.6201286315918\n", "The 8633th loop: cost = 36.13837814331055\n", "The 8634th loop: cost = 37.05915451049805\n", "The 8635th loop: cost = 52.44695281982422\n", "The 8636th loop: cost = 43.02027893066406\n", "The 8637th loop: cost = 41.13812255859375\n", "The 8638th loop: cost = 31.27413558959961\n", "The 8639th loop: cost = 37.9575309753418\n", "The 8640th loop: cost = 36.3835563659668\n", "The 8641th loop: cost = 44.077392578125\n", "The 8642th loop: cost = 46.05588150024414\n", "The 8643th loop: cost = 34.91920471191406\n", "The 8644th loop: cost = 46.908573150634766\n", "The 8645th loop: cost = 37.799560546875\n", "The 8646th loop: cost = 35.03451156616211\n", "The 8647th loop: cost = 35.41328430175781\n", "The 8648th loop: cost = 38.21124267578125\n", "The 8649th loop: cost = 38.146514892578125\n", "The 8650th loop: cost = 38.574195861816406\n", "The 8651th loop: cost = 40.2724609375\n", "The 8652th loop: cost = 33.11738586425781\n", "The 8653th loop: cost = 41.60244369506836\n", "The 8654th loop: cost = 38.720123291015625\n", "The 8655th loop: cost = 37.58549499511719\n", "The 8656th loop: cost = 40.30695724487305\n", "The 8657th loop: cost = 47.560630798339844\n", "The 8658th loop: cost = 47.80427932739258\n", "The 8659th loop: cost = 34.793216705322266\n", "The 8660th loop: cost = 39.769901275634766\n", "The 8661th loop: cost = 38.38953399658203\n", "The 8662th loop: cost = 44.547279357910156\n", "The 8663th loop: cost = 38.313232421875\n", "The 8664th loop: cost = 42.34409713745117\n", "The 8665th loop: cost = 44.844852447509766\n", "The 8666th loop: cost = 37.86357116699219\n", "The 8667th loop: cost = 43.243507385253906\n", "The 8668th loop: cost = 39.494041442871094\n", "The 8669th loop: cost = 37.58226013183594\n", "The 8670th loop: cost = 50.9930419921875\n", "The 8671th loop: cost = 36.277462005615234\n", "The 8672th loop: cost = 33.76240539550781\n", "The 8673th loop: cost = 32.98820495605469\n", "The 8674th loop: cost = 41.34960174560547\n", "The 8675th loop: cost = 38.26641082763672\n", "The 8676th loop: cost = 49.545536041259766\n", "The 8677th loop: cost = 52.68986511230469\n", "The 8678th loop: cost = 37.94977951049805\n", "The 8679th loop: cost = 42.172935485839844\n", "The 8680th loop: cost = 37.41065979003906\n", "The 8681th loop: cost = 44.542518615722656\n", "The 8682th loop: cost = 39.801124572753906\n", "The 8683th loop: cost = 45.19689178466797\n", "The 8684th loop: cost = 46.061378479003906\n", "The 8685th loop: cost = 33.06346130371094\n", "The 8686th loop: cost = 33.60049057006836\n", "The 8687th loop: cost = 47.212867736816406\n", "The 8688th loop: cost = 42.69785690307617\n", "The 8689th loop: cost = 37.35865020751953\n", "The 8690th loop: cost = 38.4736328125\n", "The 8691th loop: cost = 39.22528839111328\n", "The 8692th loop: cost = 39.01091003417969\n", "The 8693th loop: cost = 39.69731140136719\n", "The 8694th loop: cost = 36.3618049621582\n", "The 8695th loop: cost = 33.07716369628906\n", "The 8696th loop: cost = 43.041236877441406\n", "The 8697th loop: cost = 36.735862731933594\n", "The 8698th loop: cost = 45.189449310302734\n", "The 8699th loop: cost = 41.55205535888672\n", "The 8700th loop: cost = 32.694881439208984\n", "The 8701th loop: cost = 49.598121643066406\n", "The 8702th loop: cost = 37.432159423828125\n", "The 8703th loop: cost = 29.249216079711914\n", "The 8704th loop: cost = 38.96940612792969\n", "The 8705th loop: cost = 39.32929992675781\n", "The 8706th loop: cost = 33.00416564941406\n", "The 8707th loop: cost = 37.41809844970703\n", "The 8708th loop: cost = 42.65899658203125\n", "The 8709th loop: cost = 51.45204162597656\n", "The 8710th loop: cost = 45.55810546875\n", "The 8711th loop: cost = 35.831851959228516\n", "The 8712th loop: cost = 37.44862365722656\n", "The 8713th loop: cost = 37.889183044433594\n", "The 8714th loop: cost = 41.01087951660156\n", "The 8715th loop: cost = 31.841625213623047\n", "The 8716th loop: cost = 36.62525177001953\n", "The 8717th loop: cost = 38.42560577392578\n", "The 8718th loop: cost = 41.98188781738281\n", "The 8719th loop: cost = 34.45068359375\n", "The 8720th loop: cost = 41.881309509277344\n", "The 8721th loop: cost = 39.43540954589844\n", "The 8722th loop: cost = 35.98140335083008\n", "The 8723th loop: cost = 29.795225143432617\n", "The 8724th loop: cost = 41.18914031982422\n", "The 8725th loop: cost = 43.585079193115234\n", "The 8726th loop: cost = 41.044586181640625\n", "The 8727th loop: cost = 41.90932846069336\n", "The 8728th loop: cost = 32.391563415527344\n", "The 8729th loop: cost = 40.928306579589844\n", "The 8730th loop: cost = 43.983741760253906\n", "The 8731th loop: cost = 39.32002639770508\n", "The 8732th loop: cost = 37.52239990234375\n", "The 8733th loop: cost = 38.148651123046875\n", "The 8734th loop: cost = 38.3473014831543\n", "The 8735th loop: cost = 41.003597259521484\n", "The 8736th loop: cost = 42.811187744140625\n", "The 8737th loop: cost = 43.00606155395508\n", "The 8738th loop: cost = 31.00444221496582\n", "The 8739th loop: cost = 45.992431640625\n", "The 8740th loop: cost = 38.299827575683594\n", "The 8741th loop: cost = 39.310882568359375\n", "The 8742th loop: cost = 37.473487854003906\n", "The 8743th loop: cost = 43.519432067871094\n", "The 8744th loop: cost = 54.55946731567383\n", "The 8745th loop: cost = 43.61784362792969\n", "The 8746th loop: cost = 42.0626220703125\n", "The 8747th loop: cost = 42.1160888671875\n", "The 8748th loop: cost = 29.002376556396484\n", "The 8749th loop: cost = 42.1448974609375\n", "The 8750th loop: cost = 35.85546112060547\n", "The 8751th loop: cost = 36.73151397705078\n", "The 8752th loop: cost = 38.657554626464844\n", "The 8753th loop: cost = 39.1467399597168\n", "The 8754th loop: cost = 38.74748992919922\n", "The 8755th loop: cost = 33.58778762817383\n", "The 8756th loop: cost = 47.90239715576172\n", "The 8757th loop: cost = 39.61025619506836\n", "The 8758th loop: cost = 33.919918060302734\n", "The 8759th loop: cost = 33.303245544433594\n", "The 8760th loop: cost = 34.23972702026367\n", "The 8761th loop: cost = 40.568458557128906\n", "The 8762th loop: cost = 40.43439483642578\n", "The 8763th loop: cost = 33.712493896484375\n", "The 8764th loop: cost = 37.324974060058594\n", "The 8765th loop: cost = 35.943058013916016\n", "The 8766th loop: cost = 43.00885772705078\n", "The 8767th loop: cost = 37.91638946533203\n", "The 8768th loop: cost = 46.95838165283203\n", "The 8769th loop: cost = 36.92591094970703\n", "The 8770th loop: cost = 38.976505279541016\n", "The 8771th loop: cost = 41.49922180175781\n", "The 8772th loop: cost = 42.274932861328125\n", "The 8773th loop: cost = 45.26264190673828\n", "The 8774th loop: cost = 33.9088020324707\n", "The 8775th loop: cost = 37.81692123413086\n", "The 8776th loop: cost = 45.10099792480469\n", "The 8777th loop: cost = 45.404483795166016\n", "The 8778th loop: cost = 34.35961151123047\n", "The 8779th loop: cost = 43.946983337402344\n", "The 8780th loop: cost = 43.537105560302734\n", "The 8781th loop: cost = 38.38001251220703\n", "The 8782th loop: cost = 36.218116760253906\n", "The 8783th loop: cost = 37.230987548828125\n", "The 8784th loop: cost = 37.589569091796875\n", "The 8785th loop: cost = 39.8525390625\n", "The 8786th loop: cost = 40.92128372192383\n", "The 8787th loop: cost = 33.108642578125\n", "The 8788th loop: cost = 43.215946197509766\n", "The 8789th loop: cost = 32.079444885253906\n", "The 8790th loop: cost = 39.78783416748047\n", "The 8791th loop: cost = 40.236915588378906\n", "The 8792th loop: cost = 42.24803161621094\n", "The 8793th loop: cost = 32.544456481933594\n", "The 8794th loop: cost = 38.12812042236328\n", "The 8795th loop: cost = 44.82512664794922\n", "The 8796th loop: cost = 47.353248596191406\n", "The 8797th loop: cost = 40.62470626831055\n", "The 8798th loop: cost = 42.59785079956055\n", "The 8799th loop: cost = 43.58560562133789\n", "The 8800th loop: cost = 31.77933120727539\n", "The 8801th loop: cost = 40.12017059326172\n", "The 8802th loop: cost = 43.361976623535156\n", "The 8803th loop: cost = 40.081687927246094\n", "The 8804th loop: cost = 47.11488723754883\n", "The 8805th loop: cost = 33.59187316894531\n", "The 8806th loop: cost = 45.31490707397461\n", "The 8807th loop: cost = 46.470458984375\n", "The 8808th loop: cost = 45.07210159301758\n", "The 8809th loop: cost = 43.358070373535156\n", "The 8810th loop: cost = 46.64161682128906\n", "The 8811th loop: cost = 39.629573822021484\n", "The 8812th loop: cost = 40.256431579589844\n", "The 8813th loop: cost = 36.23573303222656\n", "The 8814th loop: cost = 38.35328674316406\n", "The 8815th loop: cost = 44.46907424926758\n", "The 8816th loop: cost = 47.89427185058594\n", "The 8817th loop: cost = 36.89571762084961\n", "The 8818th loop: cost = 38.290428161621094\n", "The 8819th loop: cost = 31.58371353149414\n", "The 8820th loop: cost = 34.76948165893555\n", "The 8821th loop: cost = 41.781593322753906\n", "The 8822th loop: cost = 41.950042724609375\n", "The 8823th loop: cost = 40.119056701660156\n", "The 8824th loop: cost = 46.01087188720703\n", "The 8825th loop: cost = 40.58177185058594\n", "The 8826th loop: cost = 49.1421012878418\n", "The 8827th loop: cost = 29.578777313232422\n", "The 8828th loop: cost = 42.350006103515625\n", "The 8829th loop: cost = 31.7117919921875\n", "The 8830th loop: cost = 34.61128234863281\n", "The 8831th loop: cost = 32.56647491455078\n", "The 8832th loop: cost = 40.06270217895508\n", "The 8833th loop: cost = 35.78679656982422\n", "The 8834th loop: cost = 38.34600067138672\n", "The 8835th loop: cost = 28.519392013549805\n", "The 8836th loop: cost = 39.115455627441406\n", "The 8837th loop: cost = 39.000732421875\n", "The 8838th loop: cost = 42.196929931640625\n", "The 8839th loop: cost = 46.332908630371094\n", "The 8840th loop: cost = 38.156890869140625\n", "The 8841th loop: cost = 38.071556091308594\n", "The 8842th loop: cost = 39.45561599731445\n", "The 8843th loop: cost = 33.87821960449219\n", "The 8844th loop: cost = 52.24201965332031\n", "The 8845th loop: cost = 43.9518928527832\n", "The 8846th loop: cost = 39.12553405761719\n", "The 8847th loop: cost = 36.5322151184082\n", "The 8848th loop: cost = 37.07276916503906\n", "The 8849th loop: cost = 31.065942764282227\n", "The 8850th loop: cost = 44.87651062011719\n", "The 8851th loop: cost = 41.2677116394043\n", "The 8852th loop: cost = 31.79705047607422\n", "The 8853th loop: cost = 39.200313568115234\n", "The 8854th loop: cost = 46.91948699951172\n", "The 8855th loop: cost = 44.00880432128906\n", "The 8856th loop: cost = 37.170223236083984\n", "The 8857th loop: cost = 37.862796783447266\n", "The 8858th loop: cost = 44.53907775878906\n", "The 8859th loop: cost = 31.49504852294922\n", "The 8860th loop: cost = 37.22760772705078\n", "The 8861th loop: cost = 44.755592346191406\n", "The 8862th loop: cost = 37.91747283935547\n", "The 8863th loop: cost = 40.40761184692383\n", "The 8864th loop: cost = 40.01103973388672\n", "The 8865th loop: cost = 29.72923469543457\n", "The 8866th loop: cost = 30.375995635986328\n", "The 8867th loop: cost = 45.15705108642578\n", "The 8868th loop: cost = 43.18238830566406\n", "The 8869th loop: cost = 34.28852081298828\n", "The 8870th loop: cost = 35.133914947509766\n", "The 8871th loop: cost = 32.51371765136719\n", "The 8872th loop: cost = 33.32408905029297\n", "The 8873th loop: cost = 41.47206115722656\n", "The 8874th loop: cost = 33.790977478027344\n", "The 8875th loop: cost = 30.005970001220703\n", "The 8876th loop: cost = 36.83720397949219\n", "The 8877th loop: cost = 33.427852630615234\n", "The 8878th loop: cost = 40.45372772216797\n", "The 8879th loop: cost = 45.023590087890625\n", "The 8880th loop: cost = 41.04520797729492\n", "The 8881th loop: cost = 32.3915901184082\n", "The 8882th loop: cost = 34.883602142333984\n", "The 8883th loop: cost = 42.714378356933594\n", "The 8884th loop: cost = 45.971805572509766\n", "The 8885th loop: cost = 38.889793395996094\n", "The 8886th loop: cost = 32.46561050415039\n", "The 8887th loop: cost = 40.107913970947266\n", "The 8888th loop: cost = 38.785667419433594\n", "The 8889th loop: cost = 35.872440338134766\n", "The 8890th loop: cost = 44.53252029418945\n", "The 8891th loop: cost = 30.681896209716797\n", "The 8892th loop: cost = 39.31794738769531\n", "The 8893th loop: cost = 36.025028228759766\n", "The 8894th loop: cost = 45.499717712402344\n", "The 8895th loop: cost = 38.88665008544922\n", "The 8896th loop: cost = 43.86048889160156\n", "The 8897th loop: cost = 44.9974365234375\n", "The 8898th loop: cost = 45.54005813598633\n", "The 8899th loop: cost = 38.39091110229492\n", "The 8900th loop: cost = 35.25513458251953\n", "The 8901th loop: cost = 29.73220443725586\n", "The 8902th loop: cost = 29.502574920654297\n", "The 8903th loop: cost = 35.45909118652344\n", "The 8904th loop: cost = 36.168785095214844\n", "The 8905th loop: cost = 43.350372314453125\n", "The 8906th loop: cost = 35.48710632324219\n", "The 8907th loop: cost = 41.067298889160156\n", "The 8908th loop: cost = 49.15333557128906\n", "The 8909th loop: cost = 36.26893997192383\n", "The 8910th loop: cost = 34.85666275024414\n", "The 8911th loop: cost = 39.49934387207031\n", "The 8912th loop: cost = 41.414093017578125\n", "The 8913th loop: cost = 46.959022521972656\n", "The 8914th loop: cost = 39.05071258544922\n", "The 8915th loop: cost = 39.299495697021484\n", "The 8916th loop: cost = 42.291744232177734\n", "The 8917th loop: cost = 37.189300537109375\n", "The 8918th loop: cost = 37.07408142089844\n", "The 8919th loop: cost = 45.641841888427734\n", "The 8920th loop: cost = 35.28846740722656\n", "The 8921th loop: cost = 40.30394744873047\n", "The 8922th loop: cost = 32.56242370605469\n", "The 8923th loop: cost = 43.00891876220703\n", "The 8924th loop: cost = 41.40834045410156\n", "The 8925th loop: cost = 40.498138427734375\n", "The 8926th loop: cost = 40.20533752441406\n", "The 8927th loop: cost = 49.50645446777344\n", "The 8928th loop: cost = 39.85026931762695\n", "The 8929th loop: cost = 39.40106964111328\n", "The 8930th loop: cost = 41.10588836669922\n", "The 8931th loop: cost = 38.351104736328125\n", "The 8932th loop: cost = 53.054115295410156\n", "The 8933th loop: cost = 47.689693450927734\n", "The 8934th loop: cost = 36.792938232421875\n", "The 8935th loop: cost = 36.68691635131836\n", "The 8936th loop: cost = 34.53207778930664\n", "The 8937th loop: cost = 42.187034606933594\n", "The 8938th loop: cost = 48.64680480957031\n", "The 8939th loop: cost = 36.78844451904297\n", "The 8940th loop: cost = 31.924148559570312\n", "The 8941th loop: cost = 35.29931640625\n", "The 8942th loop: cost = 45.223297119140625\n", "The 8943th loop: cost = 35.554908752441406\n", "The 8944th loop: cost = 42.19805908203125\n", "The 8945th loop: cost = 34.791141510009766\n", "The 8946th loop: cost = 41.354156494140625\n", "The 8947th loop: cost = 41.980533599853516\n", "The 8948th loop: cost = 41.515892028808594\n", "The 8949th loop: cost = 49.27125930786133\n", "The 8950th loop: cost = 40.424041748046875\n", "The 8951th loop: cost = 48.430381774902344\n", "The 8952th loop: cost = 31.262981414794922\n", "The 8953th loop: cost = 38.803565979003906\n", "The 8954th loop: cost = 37.37266159057617\n", "The 8955th loop: cost = 34.37683868408203\n", "The 8956th loop: cost = 33.42347717285156\n", "The 8957th loop: cost = 49.366546630859375\n", "The 8958th loop: cost = 37.112548828125\n", "The 8959th loop: cost = 44.89274597167969\n", "The 8960th loop: cost = 38.35924530029297\n", "The 8961th loop: cost = 31.849401473999023\n", "The 8962th loop: cost = 39.527015686035156\n", "The 8963th loop: cost = 34.0003776550293\n", "The 8964th loop: cost = 35.12480926513672\n", "The 8965th loop: cost = 33.87480545043945\n", "The 8966th loop: cost = 39.19463348388672\n", "The 8967th loop: cost = 41.50176239013672\n", "The 8968th loop: cost = 35.602481842041016\n", "The 8969th loop: cost = 34.342552185058594\n", "The 8970th loop: cost = 40.37702560424805\n", "The 8971th loop: cost = 38.655609130859375\n", "The 8972th loop: cost = 47.17915344238281\n", "The 8973th loop: cost = 36.54643630981445\n", "The 8974th loop: cost = 40.584068298339844\n", "The 8975th loop: cost = 44.29267883300781\n", "The 8976th loop: cost = 32.23244094848633\n", "The 8977th loop: cost = 35.682586669921875\n", "The 8978th loop: cost = 44.54491424560547\n", "The 8979th loop: cost = 37.08245086669922\n", "The 8980th loop: cost = 37.16442108154297\n", "The 8981th loop: cost = 39.58305358886719\n", "The 8982th loop: cost = 37.77375411987305\n", "The 8983th loop: cost = 38.474609375\n", "The 8984th loop: cost = 31.70598602294922\n", "The 8985th loop: cost = 41.07441329956055\n", "The 8986th loop: cost = 33.93242645263672\n", "The 8987th loop: cost = 34.69891357421875\n", "The 8988th loop: cost = 45.82403564453125\n", "The 8989th loop: cost = 41.671661376953125\n", "The 8990th loop: cost = 44.63764953613281\n", "The 8991th loop: cost = 34.14323425292969\n", "The 8992th loop: cost = 43.71527099609375\n", "The 8993th loop: cost = 48.990901947021484\n", "The 8994th loop: cost = 39.51995086669922\n", "The 8995th loop: cost = 32.646846771240234\n", "The 8996th loop: cost = 38.20041275024414\n", "The 8997th loop: cost = 37.812191009521484\n", "The 8998th loop: cost = 42.60900115966797\n", "The 8999th loop: cost = 46.56031036376953\n", "The 9000th loop: cost = 37.913978576660156\n", "The 9001th loop: cost = 36.19845962524414\n", "The 9002th loop: cost = 47.79314422607422\n", "The 9003th loop: cost = 36.3365364074707\n", "The 9004th loop: cost = 34.03666687011719\n", "The 9005th loop: cost = 38.45940017700195\n", "The 9006th loop: cost = 46.432212829589844\n", "The 9007th loop: cost = 41.78982925415039\n", "The 9008th loop: cost = 33.598846435546875\n", "The 9009th loop: cost = 42.499671936035156\n", "The 9010th loop: cost = 35.545616149902344\n", "The 9011th loop: cost = 39.27938461303711\n", "The 9012th loop: cost = 45.58720397949219\n", "The 9013th loop: cost = 45.129451751708984\n", "The 9014th loop: cost = 44.553321838378906\n", "The 9015th loop: cost = 36.75636672973633\n", "The 9016th loop: cost = 33.97393798828125\n", "The 9017th loop: cost = 38.92195510864258\n", "The 9018th loop: cost = 39.37338638305664\n", "The 9019th loop: cost = 37.25234603881836\n", "The 9020th loop: cost = 28.996253967285156\n", "The 9021th loop: cost = 42.55998992919922\n", "The 9022th loop: cost = 45.00947570800781\n", "The 9023th loop: cost = 41.104408264160156\n", "The 9024th loop: cost = 40.460533142089844\n", "The 9025th loop: cost = 30.101455688476562\n", "The 9026th loop: cost = 32.67731857299805\n", "The 9027th loop: cost = 37.52627182006836\n", "The 9028th loop: cost = 39.12870788574219\n", "The 9029th loop: cost = 44.444725036621094\n", "The 9030th loop: cost = 44.58767318725586\n", "The 9031th loop: cost = 40.44745635986328\n", "The 9032th loop: cost = 35.800132751464844\n", "The 9033th loop: cost = 36.161720275878906\n", "The 9034th loop: cost = 29.91193389892578\n", "The 9035th loop: cost = 39.909481048583984\n", "The 9036th loop: cost = 44.77702331542969\n", "The 9037th loop: cost = 34.81068420410156\n", "The 9038th loop: cost = 41.30891799926758\n", "The 9039th loop: cost = 41.33291244506836\n", "The 9040th loop: cost = 41.47150421142578\n", "The 9041th loop: cost = 35.0313835144043\n", "The 9042th loop: cost = 33.53543472290039\n", "The 9043th loop: cost = 36.55929183959961\n", "The 9044th loop: cost = 45.16581344604492\n", "The 9045th loop: cost = 39.09263610839844\n", "The 9046th loop: cost = 39.592411041259766\n", "The 9047th loop: cost = 40.67626190185547\n", "The 9048th loop: cost = 45.11863327026367\n", "The 9049th loop: cost = 39.26713562011719\n", "The 9050th loop: cost = 41.13330841064453\n", "The 9051th loop: cost = 38.552223205566406\n", "The 9052th loop: cost = 44.691646575927734\n", "The 9053th loop: cost = 35.97779846191406\n", "The 9054th loop: cost = 37.31805419921875\n", "The 9055th loop: cost = 38.92261505126953\n", "The 9056th loop: cost = 42.21832275390625\n", "The 9057th loop: cost = 47.08845901489258\n", "The 9058th loop: cost = 37.96616744995117\n", "The 9059th loop: cost = 29.71088409423828\n", "The 9060th loop: cost = 36.55319595336914\n", "The 9061th loop: cost = 43.937965393066406\n", "The 9062th loop: cost = 40.3224983215332\n", "The 9063th loop: cost = 38.530540466308594\n", "The 9064th loop: cost = 31.15650177001953\n", "The 9065th loop: cost = 35.04209899902344\n", "The 9066th loop: cost = 45.8417854309082\n", "The 9067th loop: cost = 44.667999267578125\n", "The 9068th loop: cost = 35.80760192871094\n", "The 9069th loop: cost = 44.10104751586914\n", "The 9070th loop: cost = 27.01819610595703\n", "The 9071th loop: cost = 40.24860382080078\n", "The 9072th loop: cost = 38.15035629272461\n", "The 9073th loop: cost = 34.90432357788086\n", "The 9074th loop: cost = 36.82853698730469\n", "The 9075th loop: cost = 37.64033126831055\n", "The 9076th loop: cost = 36.365116119384766\n", "The 9077th loop: cost = 42.76865005493164\n", "The 9078th loop: cost = 48.22852325439453\n", "The 9079th loop: cost = 37.26776885986328\n", "The 9080th loop: cost = 32.54311752319336\n", "The 9081th loop: cost = 36.08879852294922\n", "The 9082th loop: cost = 38.77647018432617\n", "The 9083th loop: cost = 36.03274154663086\n", "The 9084th loop: cost = 42.049415588378906\n", "The 9085th loop: cost = 33.75680923461914\n", "The 9086th loop: cost = 36.86614227294922\n", "The 9087th loop: cost = 47.75254821777344\n", "The 9088th loop: cost = 39.50794219970703\n", "The 9089th loop: cost = 34.03712844848633\n", "The 9090th loop: cost = 39.102378845214844\n", "The 9091th loop: cost = 35.45545959472656\n", "The 9092th loop: cost = 33.08646011352539\n", "The 9093th loop: cost = 37.01744079589844\n", "The 9094th loop: cost = 31.053577423095703\n", "The 9095th loop: cost = 42.412742614746094\n", "The 9096th loop: cost = 44.72291564941406\n", "The 9097th loop: cost = 43.59114074707031\n", "The 9098th loop: cost = 39.32124328613281\n", "The 9099th loop: cost = 40.697113037109375\n", "The 9100th loop: cost = 35.943302154541016\n", "The 9101th loop: cost = 38.700843811035156\n", "The 9102th loop: cost = 39.38922119140625\n", "The 9103th loop: cost = 42.54311752319336\n", "The 9104th loop: cost = 43.65438461303711\n", "The 9105th loop: cost = 44.14946746826172\n", "The 9106th loop: cost = 40.31978988647461\n", "The 9107th loop: cost = 39.656761169433594\n", "The 9108th loop: cost = 47.10086441040039\n", "The 9109th loop: cost = 40.128868103027344\n", "The 9110th loop: cost = 31.177780151367188\n", "The 9111th loop: cost = 40.191490173339844\n", "The 9112th loop: cost = 32.78192138671875\n", "The 9113th loop: cost = 41.757415771484375\n", "The 9114th loop: cost = 35.77798843383789\n", "The 9115th loop: cost = 39.48155975341797\n", "The 9116th loop: cost = 36.09197235107422\n", "The 9117th loop: cost = 34.53800582885742\n", "The 9118th loop: cost = 42.43055725097656\n", "The 9119th loop: cost = 35.21125030517578\n", "The 9120th loop: cost = 40.476959228515625\n", "The 9121th loop: cost = 42.854270935058594\n", "The 9122th loop: cost = 31.92971420288086\n", "The 9123th loop: cost = 37.47272872924805\n", "The 9124th loop: cost = 47.61317443847656\n", "The 9125th loop: cost = 29.466861724853516\n", "The 9126th loop: cost = 44.969024658203125\n", "The 9127th loop: cost = 38.72551345825195\n", "The 9128th loop: cost = 48.257530212402344\n", "The 9129th loop: cost = 37.37836456298828\n", "The 9130th loop: cost = 37.743797302246094\n", "The 9131th loop: cost = 45.121177673339844\n", "The 9132th loop: cost = 38.25511169433594\n", "The 9133th loop: cost = 43.30133056640625\n", "The 9134th loop: cost = 31.290130615234375\n", "The 9135th loop: cost = 35.60413360595703\n", "The 9136th loop: cost = 33.651702880859375\n", "The 9137th loop: cost = 32.3990478515625\n", "The 9138th loop: cost = 36.13356018066406\n", "The 9139th loop: cost = 41.93338394165039\n", "The 9140th loop: cost = 43.86632537841797\n", "The 9141th loop: cost = 45.05052947998047\n", "The 9142th loop: cost = 50.210018157958984\n", "The 9143th loop: cost = 46.95569610595703\n", "The 9144th loop: cost = 38.321922302246094\n", "The 9145th loop: cost = 38.122230529785156\n", "The 9146th loop: cost = 50.67568588256836\n", "The 9147th loop: cost = 38.016387939453125\n", "The 9148th loop: cost = 33.53843307495117\n", "The 9149th loop: cost = 41.0953254699707\n", "The 9150th loop: cost = 32.2573356628418\n", "The 9151th loop: cost = 36.71454620361328\n", "The 9152th loop: cost = 38.95710754394531\n", "The 9153th loop: cost = 44.88285827636719\n", "The 9154th loop: cost = 39.9183235168457\n", "The 9155th loop: cost = 29.912601470947266\n", "The 9156th loop: cost = 41.92988204956055\n", "The 9157th loop: cost = 44.80071258544922\n", "The 9158th loop: cost = 39.45672607421875\n", "The 9159th loop: cost = 36.12934875488281\n", "The 9160th loop: cost = 41.91928482055664\n", "The 9161th loop: cost = 40.794334411621094\n", "The 9162th loop: cost = 38.558509826660156\n", "The 9163th loop: cost = 29.849140167236328\n", "The 9164th loop: cost = 38.17095184326172\n", "The 9165th loop: cost = 34.950035095214844\n", "The 9166th loop: cost = 44.46598815917969\n", "The 9167th loop: cost = 33.151580810546875\n", "The 9168th loop: cost = 46.18296813964844\n", "The 9169th loop: cost = 41.864009857177734\n", "The 9170th loop: cost = 42.375450134277344\n", "The 9171th loop: cost = 37.60898208618164\n", "The 9172th loop: cost = 36.33872985839844\n", "The 9173th loop: cost = 37.409461975097656\n", "The 9174th loop: cost = 34.136863708496094\n", "The 9175th loop: cost = 41.60095977783203\n", "The 9176th loop: cost = 38.21470642089844\n", "The 9177th loop: cost = 34.84056854248047\n", "The 9178th loop: cost = 27.965545654296875\n", "The 9179th loop: cost = 41.52143096923828\n", "The 9180th loop: cost = 45.394287109375\n", "The 9181th loop: cost = 40.14735412597656\n", "The 9182th loop: cost = 45.52568817138672\n", "The 9183th loop: cost = 34.032371520996094\n", "The 9184th loop: cost = 37.86566162109375\n", "The 9185th loop: cost = 44.98529815673828\n", "The 9186th loop: cost = 32.93488311767578\n", "The 9187th loop: cost = 41.41365432739258\n", "The 9188th loop: cost = 33.13134765625\n", "The 9189th loop: cost = 40.34779739379883\n", "The 9190th loop: cost = 32.05909729003906\n", "The 9191th loop: cost = 33.904541015625\n", "The 9192th loop: cost = 31.398204803466797\n", "The 9193th loop: cost = 36.712562561035156\n", "The 9194th loop: cost = 34.84220886230469\n", "The 9195th loop: cost = 42.12971115112305\n", "The 9196th loop: cost = 52.061553955078125\n", "The 9197th loop: cost = 33.98828887939453\n", "The 9198th loop: cost = 37.797142028808594\n", "The 9199th loop: cost = 36.85432434082031\n", "The 9200th loop: cost = 40.33296203613281\n", "The 9201th loop: cost = 42.07345962524414\n", "The 9202th loop: cost = 38.076499938964844\n", "The 9203th loop: cost = 44.290916442871094\n", "The 9204th loop: cost = 52.62683868408203\n", "The 9205th loop: cost = 37.90720748901367\n", "The 9206th loop: cost = 43.12945556640625\n", "The 9207th loop: cost = 28.9542179107666\n", "The 9208th loop: cost = 35.3304328918457\n", "The 9209th loop: cost = 38.07173156738281\n", "The 9210th loop: cost = 40.46321487426758\n", "The 9211th loop: cost = 38.35768508911133\n", "The 9212th loop: cost = 42.21351623535156\n", "The 9213th loop: cost = 48.085174560546875\n", "The 9214th loop: cost = 31.150177001953125\n", "The 9215th loop: cost = 32.699951171875\n", "The 9216th loop: cost = 38.198524475097656\n", "The 9217th loop: cost = 38.210548400878906\n", "The 9218th loop: cost = 43.6468505859375\n", "The 9219th loop: cost = 42.501914978027344\n", "The 9220th loop: cost = 44.256221771240234\n", "The 9221th loop: cost = 38.71357727050781\n", "The 9222th loop: cost = 38.54481506347656\n", "The 9223th loop: cost = 37.612728118896484\n", "The 9224th loop: cost = 36.567962646484375\n", "The 9225th loop: cost = 39.9754753112793\n", "The 9226th loop: cost = 43.74273681640625\n", "The 9227th loop: cost = 40.87028503417969\n", "The 9228th loop: cost = 38.18170928955078\n", "The 9229th loop: cost = 36.58747863769531\n", "The 9230th loop: cost = 41.52701950073242\n", "The 9231th loop: cost = 45.056495666503906\n", "The 9232th loop: cost = 40.77141571044922\n", "The 9233th loop: cost = 42.89269256591797\n", "The 9234th loop: cost = 41.61232376098633\n", "The 9235th loop: cost = 43.638587951660156\n", "The 9236th loop: cost = 38.10443878173828\n", "The 9237th loop: cost = 42.67596435546875\n", "The 9238th loop: cost = 46.796268463134766\n", "The 9239th loop: cost = 42.12786102294922\n", "The 9240th loop: cost = 36.41215896606445\n", "The 9241th loop: cost = 37.453941345214844\n", "The 9242th loop: cost = 36.041996002197266\n", "The 9243th loop: cost = 42.94734191894531\n", "The 9244th loop: cost = 31.409934997558594\n", "The 9245th loop: cost = 41.821563720703125\n", "The 9246th loop: cost = 36.07426834106445\n", "The 9247th loop: cost = 39.151611328125\n", "The 9248th loop: cost = 39.114959716796875\n", "The 9249th loop: cost = 39.02351379394531\n", "The 9250th loop: cost = 40.50798034667969\n", "The 9251th loop: cost = 37.87401580810547\n", "The 9252th loop: cost = 43.51948547363281\n", "The 9253th loop: cost = 42.49720001220703\n", "The 9254th loop: cost = 37.44911193847656\n", "The 9255th loop: cost = 33.869503021240234\n", "The 9256th loop: cost = 39.57712936401367\n", "The 9257th loop: cost = 29.31247329711914\n", "The 9258th loop: cost = 45.77549743652344\n", "The 9259th loop: cost = 41.449462890625\n", "The 9260th loop: cost = 39.8867073059082\n", "The 9261th loop: cost = 50.076908111572266\n", "The 9262th loop: cost = 34.591705322265625\n", "The 9263th loop: cost = 39.488922119140625\n", "The 9264th loop: cost = 33.97151184082031\n", "The 9265th loop: cost = 43.165592193603516\n", "The 9266th loop: cost = 39.33008575439453\n", "The 9267th loop: cost = 42.4520378112793\n", "The 9268th loop: cost = 43.154720306396484\n", "The 9269th loop: cost = 33.92791748046875\n", "The 9270th loop: cost = 44.62815856933594\n", "The 9271th loop: cost = 39.84440612792969\n", "The 9272th loop: cost = 42.13086700439453\n", "The 9273th loop: cost = 41.968711853027344\n", "The 9274th loop: cost = 28.089950561523438\n", "The 9275th loop: cost = 34.00032424926758\n", "The 9276th loop: cost = 43.602516174316406\n", "The 9277th loop: cost = 39.641998291015625\n", "The 9278th loop: cost = 35.585662841796875\n", "The 9279th loop: cost = 40.54058837890625\n", "The 9280th loop: cost = 44.321144104003906\n", "The 9281th loop: cost = 42.367279052734375\n", "The 9282th loop: cost = 37.69309997558594\n", "The 9283th loop: cost = 40.96104431152344\n", "The 9284th loop: cost = 36.11738586425781\n", "The 9285th loop: cost = 36.402984619140625\n", "The 9286th loop: cost = 34.6612434387207\n", "The 9287th loop: cost = 46.70857238769531\n", "The 9288th loop: cost = 36.28312683105469\n", "The 9289th loop: cost = 41.727256774902344\n", "The 9290th loop: cost = 41.603172302246094\n", "The 9291th loop: cost = 31.354904174804688\n", "The 9292th loop: cost = 44.64217758178711\n", "The 9293th loop: cost = 38.931640625\n", "The 9294th loop: cost = 41.51910400390625\n", "The 9295th loop: cost = 34.43242645263672\n", "The 9296th loop: cost = 40.969940185546875\n", "The 9297th loop: cost = 30.07370376586914\n", "The 9298th loop: cost = 37.09226989746094\n", "The 9299th loop: cost = 36.328731536865234\n", "The 9300th loop: cost = 43.46554183959961\n", "The 9301th loop: cost = 39.06696319580078\n", "The 9302th loop: cost = 36.84436798095703\n", "The 9303th loop: cost = 45.79629898071289\n", "The 9304th loop: cost = 41.8571891784668\n", "The 9305th loop: cost = 42.018428802490234\n", "The 9306th loop: cost = 38.15575408935547\n", "The 9307th loop: cost = 37.20979690551758\n", "The 9308th loop: cost = 37.49928665161133\n", "The 9309th loop: cost = 30.892898559570312\n", "The 9310th loop: cost = 47.59172821044922\n", "The 9311th loop: cost = 31.261138916015625\n", "The 9312th loop: cost = 35.46122741699219\n", "The 9313th loop: cost = 34.2697639465332\n", "The 9314th loop: cost = 33.39353942871094\n", "The 9315th loop: cost = 50.45628356933594\n", "The 9316th loop: cost = 40.10577392578125\n", "The 9317th loop: cost = 35.87183380126953\n", "The 9318th loop: cost = 49.915557861328125\n", "The 9319th loop: cost = 33.947017669677734\n", "The 9320th loop: cost = 41.06376647949219\n", "The 9321th loop: cost = 36.25431823730469\n", "The 9322th loop: cost = 34.42521667480469\n", "The 9323th loop: cost = 42.24238586425781\n", "The 9324th loop: cost = 39.702964782714844\n", "The 9325th loop: cost = 41.14734649658203\n", "The 9326th loop: cost = 33.616294860839844\n", "The 9327th loop: cost = 47.478397369384766\n", "The 9328th loop: cost = 44.427520751953125\n", "The 9329th loop: cost = 36.63243865966797\n", "The 9330th loop: cost = 43.22641372680664\n", "The 9331th loop: cost = 33.6811408996582\n", "The 9332th loop: cost = 46.447509765625\n", "The 9333th loop: cost = 40.46062469482422\n", "The 9334th loop: cost = 35.975440979003906\n", "The 9335th loop: cost = 33.444149017333984\n", "The 9336th loop: cost = 34.19541931152344\n", "The 9337th loop: cost = 33.01944351196289\n", "The 9338th loop: cost = 39.93281936645508\n", "The 9339th loop: cost = 36.43328857421875\n", "The 9340th loop: cost = 33.552818298339844\n", "The 9341th loop: cost = 43.59967041015625\n", "The 9342th loop: cost = 44.700870513916016\n", "The 9343th loop: cost = 40.28486251831055\n", "The 9344th loop: cost = 38.73353576660156\n", "The 9345th loop: cost = 42.32217788696289\n", "The 9346th loop: cost = 46.081581115722656\n", "The 9347th loop: cost = 41.39576721191406\n", "The 9348th loop: cost = 37.19145965576172\n", "The 9349th loop: cost = 43.31060028076172\n", "The 9350th loop: cost = 50.545101165771484\n", "The 9351th loop: cost = 46.46592712402344\n", "The 9352th loop: cost = 35.39802169799805\n", "The 9353th loop: cost = 44.16492462158203\n", "The 9354th loop: cost = 41.396240234375\n", "The 9355th loop: cost = 38.3557243347168\n", "The 9356th loop: cost = 34.93727111816406\n", "The 9357th loop: cost = 40.74736785888672\n", "The 9358th loop: cost = 27.061037063598633\n", "The 9359th loop: cost = 49.19645309448242\n", "The 9360th loop: cost = 42.76764678955078\n", "The 9361th loop: cost = 35.931114196777344\n", "The 9362th loop: cost = 33.33843994140625\n", "The 9363th loop: cost = 40.29844665527344\n", "The 9364th loop: cost = 32.982887268066406\n", "The 9365th loop: cost = 48.02842712402344\n", "The 9366th loop: cost = 33.159019470214844\n", "The 9367th loop: cost = 34.98463439941406\n", "The 9368th loop: cost = 29.75546646118164\n", "The 9369th loop: cost = 35.357398986816406\n", "The 9370th loop: cost = 31.489885330200195\n", "The 9371th loop: cost = 34.03279113769531\n", "The 9372th loop: cost = 27.039325714111328\n", "The 9373th loop: cost = 43.09394073486328\n", "The 9374th loop: cost = 30.102209091186523\n", "The 9375th loop: cost = 45.746673583984375\n", "The 9376th loop: cost = 43.25328826904297\n", "The 9377th loop: cost = 40.15380859375\n", "The 9378th loop: cost = 34.616432189941406\n", "The 9379th loop: cost = 37.71324920654297\n", "The 9380th loop: cost = 28.086578369140625\n", "The 9381th loop: cost = 45.95178985595703\n", "The 9382th loop: cost = 40.28638458251953\n", "The 9383th loop: cost = 33.22051239013672\n", "The 9384th loop: cost = 37.13579177856445\n", "The 9385th loop: cost = 42.2487907409668\n", "The 9386th loop: cost = 42.45834732055664\n", "The 9387th loop: cost = 34.602439880371094\n", "The 9388th loop: cost = 45.039344787597656\n", "The 9389th loop: cost = 36.875083923339844\n", "The 9390th loop: cost = 44.346458435058594\n", "The 9391th loop: cost = 41.79312515258789\n", "The 9392th loop: cost = 36.722312927246094\n", "The 9393th loop: cost = 35.613075256347656\n", "The 9394th loop: cost = 35.86806869506836\n", "The 9395th loop: cost = 35.96407699584961\n", "The 9396th loop: cost = 48.44361877441406\n", "The 9397th loop: cost = 38.92280578613281\n", "The 9398th loop: cost = 43.41639709472656\n", "The 9399th loop: cost = 36.05657958984375\n", "The 9400th loop: cost = 30.56341552734375\n", "The 9401th loop: cost = 45.60392761230469\n", "The 9402th loop: cost = 37.024173736572266\n", "The 9403th loop: cost = 42.181068420410156\n", "The 9404th loop: cost = 45.65129852294922\n", "The 9405th loop: cost = 34.46426773071289\n", "The 9406th loop: cost = 35.14775085449219\n", "The 9407th loop: cost = 30.199419021606445\n", "The 9408th loop: cost = 43.09237289428711\n", "The 9409th loop: cost = 38.23017120361328\n", "The 9410th loop: cost = 38.10208511352539\n", "The 9411th loop: cost = 42.90146255493164\n", "The 9412th loop: cost = 28.899085998535156\n", "The 9413th loop: cost = 42.51641845703125\n", "The 9414th loop: cost = 38.71173858642578\n", "The 9415th loop: cost = 34.13459777832031\n", "The 9416th loop: cost = 44.668548583984375\n", "The 9417th loop: cost = 33.76189041137695\n", "The 9418th loop: cost = 33.45197296142578\n", "The 9419th loop: cost = 33.50151443481445\n", "The 9420th loop: cost = 41.969749450683594\n", "The 9421th loop: cost = 39.78157424926758\n", "The 9422th loop: cost = 33.45237350463867\n", "The 9423th loop: cost = 41.60182189941406\n", "The 9424th loop: cost = 42.73063278198242\n", "The 9425th loop: cost = 38.23682403564453\n", "The 9426th loop: cost = 46.522796630859375\n", "The 9427th loop: cost = 43.48723602294922\n", "The 9428th loop: cost = 47.20988464355469\n", "The 9429th loop: cost = 41.26146697998047\n", "The 9430th loop: cost = 38.93503952026367\n", "The 9431th loop: cost = 33.74443435668945\n", "The 9432th loop: cost = 35.637420654296875\n", "The 9433th loop: cost = 43.38749694824219\n", "The 9434th loop: cost = 29.236234664916992\n", "The 9435th loop: cost = 29.337186813354492\n", "The 9436th loop: cost = 42.09040069580078\n", "The 9437th loop: cost = 39.1226806640625\n", "The 9438th loop: cost = 38.10110092163086\n", "The 9439th loop: cost = 44.820980072021484\n", "The 9440th loop: cost = 37.32002258300781\n", "The 9441th loop: cost = 44.43507766723633\n", "The 9442th loop: cost = 37.73625946044922\n", "The 9443th loop: cost = 42.5001220703125\n", "The 9444th loop: cost = 35.00013732910156\n", "The 9445th loop: cost = 33.26951599121094\n", "The 9446th loop: cost = 39.308494567871094\n", "The 9447th loop: cost = 42.57963562011719\n", "The 9448th loop: cost = 31.42436981201172\n", "The 9449th loop: cost = 35.30902099609375\n", "The 9450th loop: cost = 40.00871276855469\n", "The 9451th loop: cost = 41.723236083984375\n", "The 9452th loop: cost = 37.847434997558594\n", "The 9453th loop: cost = 32.33330535888672\n", "The 9454th loop: cost = 34.336002349853516\n", "The 9455th loop: cost = 40.42182922363281\n", "The 9456th loop: cost = 44.369171142578125\n", "The 9457th loop: cost = 32.028438568115234\n", "The 9458th loop: cost = 37.5742073059082\n", "The 9459th loop: cost = 42.30547332763672\n", "The 9460th loop: cost = 40.49286651611328\n", "The 9461th loop: cost = 26.795515060424805\n", "The 9462th loop: cost = 42.18663024902344\n", "The 9463th loop: cost = 28.687162399291992\n", "The 9464th loop: cost = 38.46883773803711\n", "The 9465th loop: cost = 36.665260314941406\n", "The 9466th loop: cost = 42.06806945800781\n", "The 9467th loop: cost = 38.155029296875\n", "The 9468th loop: cost = 44.28679656982422\n", "The 9469th loop: cost = 36.892269134521484\n", "The 9470th loop: cost = 39.7236213684082\n", "The 9471th loop: cost = 29.999839782714844\n", "The 9472th loop: cost = 35.63159942626953\n", "The 9473th loop: cost = 32.598968505859375\n", "The 9474th loop: cost = 44.300437927246094\n", "The 9475th loop: cost = 29.646411895751953\n", "The 9476th loop: cost = 38.781768798828125\n", "The 9477th loop: cost = 40.18714904785156\n", "The 9478th loop: cost = 33.05223083496094\n", "The 9479th loop: cost = 43.57708740234375\n", "The 9480th loop: cost = 45.36193084716797\n", "The 9481th loop: cost = 32.640625\n", "The 9482th loop: cost = 39.69495391845703\n", "The 9483th loop: cost = 45.218841552734375\n", "The 9484th loop: cost = 36.6734619140625\n", "The 9485th loop: cost = 40.17047119140625\n", "The 9486th loop: cost = 36.73199462890625\n", "The 9487th loop: cost = 44.61871337890625\n", "The 9488th loop: cost = 35.609893798828125\n", "The 9489th loop: cost = 37.79257583618164\n", "The 9490th loop: cost = 33.64369201660156\n", "The 9491th loop: cost = 43.45238494873047\n", "The 9492th loop: cost = 35.143280029296875\n", "The 9493th loop: cost = 41.03208923339844\n", "The 9494th loop: cost = 45.01775360107422\n", "The 9495th loop: cost = 56.19884490966797\n", "The 9496th loop: cost = 36.778907775878906\n", "The 9497th loop: cost = 40.84330368041992\n", "The 9498th loop: cost = 44.99199295043945\n", "The 9499th loop: cost = 42.330543518066406\n", "The 9500th loop: cost = 36.26584243774414\n", "The 9501th loop: cost = 39.23828125\n", "The 9502th loop: cost = 37.3475227355957\n", "The 9503th loop: cost = 41.30017852783203\n", "The 9504th loop: cost = 39.000022888183594\n", "The 9505th loop: cost = 38.37831497192383\n", "The 9506th loop: cost = 28.35598373413086\n", "The 9507th loop: cost = 32.128631591796875\n", "The 9508th loop: cost = 35.749542236328125\n", "The 9509th loop: cost = 33.516448974609375\n", "The 9510th loop: cost = 43.08030700683594\n", "The 9511th loop: cost = 43.357337951660156\n", "The 9512th loop: cost = 40.766021728515625\n", "The 9513th loop: cost = 35.506893157958984\n", "The 9514th loop: cost = 37.027976989746094\n", "The 9515th loop: cost = 40.7028694152832\n", "The 9516th loop: cost = 38.12574768066406\n", "The 9517th loop: cost = 39.54373550415039\n", "The 9518th loop: cost = 39.696163177490234\n", "The 9519th loop: cost = 41.339359283447266\n", "The 9520th loop: cost = 42.435081481933594\n", "The 9521th loop: cost = 37.08725357055664\n", "The 9522th loop: cost = 37.23802185058594\n", "The 9523th loop: cost = 41.830867767333984\n", "The 9524th loop: cost = 35.93736267089844\n", "The 9525th loop: cost = 38.9080810546875\n", "The 9526th loop: cost = 42.55622863769531\n", "The 9527th loop: cost = 38.628562927246094\n", "The 9528th loop: cost = 44.41012191772461\n", "The 9529th loop: cost = 35.23018264770508\n", "The 9530th loop: cost = 37.89532470703125\n", "The 9531th loop: cost = 44.80152893066406\n", "The 9532th loop: cost = 41.847320556640625\n", "The 9533th loop: cost = 38.49544906616211\n", "The 9534th loop: cost = 31.40544891357422\n", "The 9535th loop: cost = 40.13739013671875\n", "The 9536th loop: cost = 36.75431823730469\n", "The 9537th loop: cost = 29.47282600402832\n", "The 9538th loop: cost = 34.71357727050781\n", "The 9539th loop: cost = 44.758968353271484\n", "The 9540th loop: cost = 38.49997329711914\n", "The 9541th loop: cost = 38.459266662597656\n", "The 9542th loop: cost = 32.190765380859375\n", "The 9543th loop: cost = 34.09503936767578\n", "The 9544th loop: cost = 35.184730529785156\n", "The 9545th loop: cost = 39.80438232421875\n", "The 9546th loop: cost = 33.89122772216797\n", "The 9547th loop: cost = 44.21684265136719\n", "The 9548th loop: cost = 47.53667068481445\n", "The 9549th loop: cost = 40.88872528076172\n", "The 9550th loop: cost = 33.98638916015625\n", "The 9551th loop: cost = 48.1400146484375\n", "The 9552th loop: cost = 44.17111587524414\n", "The 9553th loop: cost = 42.58086395263672\n", "The 9554th loop: cost = 40.03517150878906\n", "The 9555th loop: cost = 42.46106719970703\n", "The 9556th loop: cost = 40.60105895996094\n", "The 9557th loop: cost = 34.818443298339844\n", "The 9558th loop: cost = 49.6126823425293\n", "The 9559th loop: cost = 39.312164306640625\n", "The 9560th loop: cost = 45.07861328125\n", "The 9561th loop: cost = 37.262123107910156\n", "The 9562th loop: cost = 40.84870910644531\n", "The 9563th loop: cost = 38.52655792236328\n", "The 9564th loop: cost = 44.68540954589844\n", "The 9565th loop: cost = 30.343975067138672\n", "The 9566th loop: cost = 40.74165725708008\n", "The 9567th loop: cost = 32.00666809082031\n", "The 9568th loop: cost = 37.475318908691406\n", "The 9569th loop: cost = 36.7268180847168\n", "The 9570th loop: cost = 37.88422393798828\n", "The 9571th loop: cost = 34.76664352416992\n", "The 9572th loop: cost = 47.932594299316406\n", "The 9573th loop: cost = 36.844520568847656\n", "The 9574th loop: cost = 36.395591735839844\n", "The 9575th loop: cost = 45.50068283081055\n", "The 9576th loop: cost = 33.34916687011719\n", "The 9577th loop: cost = 34.49527359008789\n", "The 9578th loop: cost = 43.54302215576172\n", "The 9579th loop: cost = 41.28541564941406\n", "The 9580th loop: cost = 37.00193405151367\n", "The 9581th loop: cost = 39.18766784667969\n", "The 9582th loop: cost = 37.00284957885742\n", "The 9583th loop: cost = 39.14315414428711\n", "The 9584th loop: cost = 41.214237213134766\n", "The 9585th loop: cost = 38.943824768066406\n", "The 9586th loop: cost = 39.39312744140625\n", "The 9587th loop: cost = 35.77799987792969\n", "The 9588th loop: cost = 37.21250534057617\n", "The 9589th loop: cost = 44.06049728393555\n", "The 9590th loop: cost = 42.25172424316406\n", "The 9591th loop: cost = 41.68397903442383\n", "The 9592th loop: cost = 42.588958740234375\n", "The 9593th loop: cost = 29.572372436523438\n", "The 9594th loop: cost = 40.050167083740234\n", "The 9595th loop: cost = 31.53036117553711\n", "The 9596th loop: cost = 40.5235481262207\n", "The 9597th loop: cost = 42.096134185791016\n", "The 9598th loop: cost = 41.47687530517578\n", "The 9599th loop: cost = 36.0107421875\n", "The 9600th loop: cost = 32.57842254638672\n", "The 9601th loop: cost = 40.990966796875\n", "The 9602th loop: cost = 46.94084167480469\n", "The 9603th loop: cost = 42.95104217529297\n", "The 9604th loop: cost = 35.959556579589844\n", "The 9605th loop: cost = 44.77911376953125\n", "The 9606th loop: cost = 47.956748962402344\n", "The 9607th loop: cost = 40.59442138671875\n", "The 9608th loop: cost = 39.22296905517578\n", "The 9609th loop: cost = 42.075035095214844\n", "The 9610th loop: cost = 43.25605773925781\n", "The 9611th loop: cost = 38.21661376953125\n", "The 9612th loop: cost = 35.306739807128906\n", "The 9613th loop: cost = 37.591331481933594\n", "The 9614th loop: cost = 41.42005157470703\n", "The 9615th loop: cost = 39.29596710205078\n", "The 9616th loop: cost = 35.754920959472656\n", "The 9617th loop: cost = 43.98155212402344\n", "The 9618th loop: cost = 33.8994026184082\n", "The 9619th loop: cost = 34.43073654174805\n", "The 9620th loop: cost = 40.108055114746094\n", "The 9621th loop: cost = 33.19169998168945\n", "The 9622th loop: cost = 35.29106140136719\n", "The 9623th loop: cost = 36.29972457885742\n", "The 9624th loop: cost = 33.03300094604492\n", "The 9625th loop: cost = 32.36577606201172\n", "The 9626th loop: cost = 34.190731048583984\n", "The 9627th loop: cost = 32.987396240234375\n", "The 9628th loop: cost = 43.468719482421875\n", "The 9629th loop: cost = 40.53399658203125\n", "The 9630th loop: cost = 39.60630798339844\n", "The 9631th loop: cost = 36.45488739013672\n", "The 9632th loop: cost = 34.498558044433594\n", "The 9633th loop: cost = 34.43024444580078\n", "The 9634th loop: cost = 43.917625427246094\n", "The 9635th loop: cost = 40.42759704589844\n", "The 9636th loop: cost = 41.264404296875\n", "The 9637th loop: cost = 31.5445556640625\n", "The 9638th loop: cost = 40.64882278442383\n", "The 9639th loop: cost = 33.68548583984375\n", "The 9640th loop: cost = 36.04989242553711\n", "The 9641th loop: cost = 39.94231414794922\n", "The 9642th loop: cost = 33.829742431640625\n", "The 9643th loop: cost = 35.92433166503906\n", "The 9644th loop: cost = 37.00309753417969\n", "The 9645th loop: cost = 32.60129165649414\n", "The 9646th loop: cost = 32.77446365356445\n", "The 9647th loop: cost = 36.75334930419922\n", "The 9648th loop: cost = 52.64977264404297\n", "The 9649th loop: cost = 40.91779327392578\n", "The 9650th loop: cost = 36.19812774658203\n", "The 9651th loop: cost = 37.803741455078125\n", "The 9652th loop: cost = 33.200531005859375\n", "The 9653th loop: cost = 41.52570343017578\n", "The 9654th loop: cost = 30.97350311279297\n", "The 9655th loop: cost = 43.756839752197266\n", "The 9656th loop: cost = 38.795902252197266\n", "The 9657th loop: cost = 34.56065368652344\n", "The 9658th loop: cost = 37.59466552734375\n", "The 9659th loop: cost = 37.91743469238281\n", "The 9660th loop: cost = 42.90264892578125\n", "The 9661th loop: cost = 34.001914978027344\n", "The 9662th loop: cost = 39.53968811035156\n", "The 9663th loop: cost = 32.86030960083008\n", "The 9664th loop: cost = 39.40875244140625\n", "The 9665th loop: cost = 32.326141357421875\n", "The 9666th loop: cost = 38.47021484375\n", "The 9667th loop: cost = 38.535667419433594\n", "The 9668th loop: cost = 34.07212829589844\n", "The 9669th loop: cost = 37.998741149902344\n", "The 9670th loop: cost = 36.76229476928711\n", "The 9671th loop: cost = 40.62460708618164\n", "The 9672th loop: cost = 40.48168182373047\n", "The 9673th loop: cost = 43.5047607421875\n", "The 9674th loop: cost = 32.52157974243164\n", "The 9675th loop: cost = 38.91804122924805\n", "The 9676th loop: cost = 45.68385314941406\n", "The 9677th loop: cost = 33.209903717041016\n", "The 9678th loop: cost = 45.389495849609375\n", "The 9679th loop: cost = 36.769691467285156\n", "The 9680th loop: cost = 34.942710876464844\n", "The 9681th loop: cost = 42.40666198730469\n", "The 9682th loop: cost = 41.8930549621582\n", "The 9683th loop: cost = 48.01441192626953\n", "The 9684th loop: cost = 38.407142639160156\n", "The 9685th loop: cost = 34.23613739013672\n", "The 9686th loop: cost = 35.26170349121094\n", "The 9687th loop: cost = 44.545040130615234\n", "The 9688th loop: cost = 35.39664840698242\n", "The 9689th loop: cost = 39.5942497253418\n", "The 9690th loop: cost = 31.98857879638672\n", "The 9691th loop: cost = 44.809295654296875\n", "The 9692th loop: cost = 39.182777404785156\n", "The 9693th loop: cost = 35.33641815185547\n", "The 9694th loop: cost = 37.65153503417969\n", "The 9695th loop: cost = 32.432796478271484\n", "The 9696th loop: cost = 38.846580505371094\n", "The 9697th loop: cost = 30.019548416137695\n", "The 9698th loop: cost = 35.60443878173828\n", "The 9699th loop: cost = 42.381202697753906\n", "The 9700th loop: cost = 40.132530212402344\n", "The 9701th loop: cost = 30.20656967163086\n", "The 9702th loop: cost = 33.8607177734375\n", "The 9703th loop: cost = 45.37010955810547\n", "The 9704th loop: cost = 38.84602737426758\n", "The 9705th loop: cost = 45.98297119140625\n", "The 9706th loop: cost = 40.863502502441406\n", "The 9707th loop: cost = 47.20805740356445\n", "The 9708th loop: cost = 32.720001220703125\n", "The 9709th loop: cost = 43.04017639160156\n", "The 9710th loop: cost = 37.31940841674805\n", "The 9711th loop: cost = 34.213356018066406\n", "The 9712th loop: cost = 36.15662384033203\n", "The 9713th loop: cost = 38.99754333496094\n", "The 9714th loop: cost = 36.72233581542969\n", "The 9715th loop: cost = 38.002681732177734\n", "The 9716th loop: cost = 41.15308380126953\n", "The 9717th loop: cost = 44.789093017578125\n", "The 9718th loop: cost = 36.64676284790039\n", "The 9719th loop: cost = 34.532623291015625\n", "The 9720th loop: cost = 28.352285385131836\n", "The 9721th loop: cost = 45.293434143066406\n", "The 9722th loop: cost = 43.06444549560547\n", "The 9723th loop: cost = 41.498313903808594\n", "The 9724th loop: cost = 41.09702682495117\n", "The 9725th loop: cost = 35.50408935546875\n", "The 9726th loop: cost = 48.0738525390625\n", "The 9727th loop: cost = 42.53617477416992\n", "The 9728th loop: cost = 38.84605407714844\n", "The 9729th loop: cost = 30.302425384521484\n", "The 9730th loop: cost = 35.716712951660156\n", "The 9731th loop: cost = 40.02197265625\n", "The 9732th loop: cost = 39.100833892822266\n", "The 9733th loop: cost = 47.39851760864258\n", "The 9734th loop: cost = 44.28926467895508\n", "The 9735th loop: cost = 39.79460144042969\n", "The 9736th loop: cost = 37.289222717285156\n", "The 9737th loop: cost = 37.406715393066406\n", "The 9738th loop: cost = 29.91278076171875\n", "The 9739th loop: cost = 38.87898254394531\n", "The 9740th loop: cost = 35.60134506225586\n", "The 9741th loop: cost = 49.50718307495117\n", "The 9742th loop: cost = 37.806846618652344\n", "The 9743th loop: cost = 39.55406951904297\n", "The 9744th loop: cost = 46.54593276977539\n", "The 9745th loop: cost = 27.863431930541992\n", "The 9746th loop: cost = 37.8957633972168\n", "The 9747th loop: cost = 41.587799072265625\n", "The 9748th loop: cost = 38.81500244140625\n", "The 9749th loop: cost = 33.989013671875\n", "The 9750th loop: cost = 39.68983459472656\n", "The 9751th loop: cost = 39.289161682128906\n", "The 9752th loop: cost = 32.77019500732422\n", "The 9753th loop: cost = 45.59872055053711\n", "The 9754th loop: cost = 39.41949462890625\n", "The 9755th loop: cost = 29.835853576660156\n", "The 9756th loop: cost = 33.36128234863281\n", "The 9757th loop: cost = 44.08922576904297\n", "The 9758th loop: cost = 46.12342834472656\n", "The 9759th loop: cost = 44.811119079589844\n", "The 9760th loop: cost = 40.78929901123047\n", "The 9761th loop: cost = 41.236061096191406\n", "The 9762th loop: cost = 35.550785064697266\n", "The 9763th loop: cost = 26.93898582458496\n", "The 9764th loop: cost = 37.70811462402344\n", "The 9765th loop: cost = 30.25103187561035\n", "The 9766th loop: cost = 37.17918014526367\n", "The 9767th loop: cost = 37.331581115722656\n", "The 9768th loop: cost = 49.57171630859375\n", "The 9769th loop: cost = 35.40398406982422\n", "The 9770th loop: cost = 36.433197021484375\n", "The 9771th loop: cost = 40.99264144897461\n", "The 9772th loop: cost = 32.045082092285156\n", "The 9773th loop: cost = 40.946189880371094\n", "The 9774th loop: cost = 34.685726165771484\n", "The 9775th loop: cost = 43.9257926940918\n", "The 9776th loop: cost = 44.94942092895508\n", "The 9777th loop: cost = 35.08818054199219\n", "The 9778th loop: cost = 42.71827697753906\n", "The 9779th loop: cost = 33.70726013183594\n", "The 9780th loop: cost = 36.94414520263672\n", "The 9781th loop: cost = 42.273963928222656\n", "The 9782th loop: cost = 36.838600158691406\n", "The 9783th loop: cost = 34.51239776611328\n", "The 9784th loop: cost = 34.656654357910156\n", "The 9785th loop: cost = 40.035369873046875\n", "The 9786th loop: cost = 33.410301208496094\n", "The 9787th loop: cost = 31.285667419433594\n", "The 9788th loop: cost = 32.951576232910156\n", "The 9789th loop: cost = 34.795352935791016\n", "The 9790th loop: cost = 39.2990608215332\n", "The 9791th loop: cost = 49.36509704589844\n", "The 9792th loop: cost = 42.79209899902344\n", "The 9793th loop: cost = 45.36566162109375\n", "The 9794th loop: cost = 35.10910415649414\n", "The 9795th loop: cost = 43.68212127685547\n", "The 9796th loop: cost = 35.94062805175781\n", "The 9797th loop: cost = 46.4205322265625\n", "The 9798th loop: cost = 35.236114501953125\n", "The 9799th loop: cost = 37.13226318359375\n", "The 9800th loop: cost = 36.41119384765625\n", "The 9801th loop: cost = 31.93439483642578\n", "The 9802th loop: cost = 42.231101989746094\n", "The 9803th loop: cost = 38.32126235961914\n", "The 9804th loop: cost = 31.19906997680664\n", "The 9805th loop: cost = 60.07262420654297\n", "The 9806th loop: cost = 34.75176239013672\n", "The 9807th loop: cost = 36.32101058959961\n", "The 9808th loop: cost = 33.150146484375\n", "The 9809th loop: cost = 45.71544647216797\n", "The 9810th loop: cost = 39.83050537109375\n", "The 9811th loop: cost = 47.26176452636719\n", "The 9812th loop: cost = 43.47835159301758\n", "The 9813th loop: cost = 38.5040283203125\n", "The 9814th loop: cost = 40.703224182128906\n", "The 9815th loop: cost = 44.60791015625\n", "The 9816th loop: cost = 33.127685546875\n", "The 9817th loop: cost = 33.929962158203125\n", "The 9818th loop: cost = 27.840408325195312\n", "The 9819th loop: cost = 37.775447845458984\n", "The 9820th loop: cost = 39.413055419921875\n", "The 9821th loop: cost = 34.173423767089844\n", "The 9822th loop: cost = 34.06373977661133\n", "The 9823th loop: cost = 41.2939338684082\n", "The 9824th loop: cost = 40.25242614746094\n", "The 9825th loop: cost = 39.815528869628906\n", "The 9826th loop: cost = 35.047821044921875\n", "The 9827th loop: cost = 38.119911193847656\n", "The 9828th loop: cost = 46.228702545166016\n", "The 9829th loop: cost = 47.78974151611328\n", "The 9830th loop: cost = 36.06829833984375\n", "The 9831th loop: cost = 48.095916748046875\n", "The 9832th loop: cost = 36.777870178222656\n", "The 9833th loop: cost = 43.710662841796875\n", "The 9834th loop: cost = 34.79026794433594\n", "The 9835th loop: cost = 29.57447052001953\n", "The 9836th loop: cost = 36.444740295410156\n", "The 9837th loop: cost = 42.46959686279297\n", "The 9838th loop: cost = 39.448890686035156\n", "The 9839th loop: cost = 31.600860595703125\n", "The 9840th loop: cost = 47.059852600097656\n", "The 9841th loop: cost = 34.643333435058594\n", "The 9842th loop: cost = 45.73127365112305\n", "The 9843th loop: cost = 25.733325958251953\n", "The 9844th loop: cost = 33.68402862548828\n", "The 9845th loop: cost = 46.912437438964844\n", "The 9846th loop: cost = 39.08064270019531\n", "The 9847th loop: cost = 36.159019470214844\n", "The 9848th loop: cost = 38.84857177734375\n", "The 9849th loop: cost = 36.57777404785156\n", "The 9850th loop: cost = 35.184959411621094\n", "The 9851th loop: cost = 42.33354568481445\n", "The 9852th loop: cost = 29.56744384765625\n", "The 9853th loop: cost = 31.2514705657959\n", "The 9854th loop: cost = 36.14055633544922\n", "The 9855th loop: cost = 44.397464752197266\n", "The 9856th loop: cost = 42.50204086303711\n", "The 9857th loop: cost = 44.21248245239258\n", "The 9858th loop: cost = 33.77891540527344\n", "The 9859th loop: cost = 42.12818908691406\n", "The 9860th loop: cost = 36.60529327392578\n", "The 9861th loop: cost = 47.47402572631836\n", "The 9862th loop: cost = 40.919036865234375\n", "The 9863th loop: cost = 43.29814910888672\n", "The 9864th loop: cost = 40.02105712890625\n", "The 9865th loop: cost = 35.838134765625\n", "The 9866th loop: cost = 34.785560607910156\n", "The 9867th loop: cost = 41.45759582519531\n", "The 9868th loop: cost = 40.631832122802734\n", "The 9869th loop: cost = 37.063011169433594\n", "The 9870th loop: cost = 44.077945709228516\n", "The 9871th loop: cost = 40.69874954223633\n", "The 9872th loop: cost = 44.115684509277344\n", "The 9873th loop: cost = 47.16767883300781\n", "The 9874th loop: cost = 44.60930633544922\n", "The 9875th loop: cost = 37.91688537597656\n", "The 9876th loop: cost = 39.11265182495117\n", "The 9877th loop: cost = 43.934593200683594\n", "The 9878th loop: cost = 34.34791564941406\n", "The 9879th loop: cost = 37.39424133300781\n", "The 9880th loop: cost = 37.70379638671875\n", "The 9881th loop: cost = 40.16844177246094\n", "The 9882th loop: cost = 43.265464782714844\n", "The 9883th loop: cost = 39.904327392578125\n", "The 9884th loop: cost = 33.63810729980469\n", "The 9885th loop: cost = 45.1481819152832\n", "The 9886th loop: cost = 36.159358978271484\n", "The 9887th loop: cost = 38.07084655761719\n", "The 9888th loop: cost = 36.60687255859375\n", "The 9889th loop: cost = 37.826385498046875\n", "The 9890th loop: cost = 36.971778869628906\n", "The 9891th loop: cost = 43.55581283569336\n", "The 9892th loop: cost = 40.193172454833984\n", "The 9893th loop: cost = 35.154640197753906\n", "The 9894th loop: cost = 39.306861877441406\n", "The 9895th loop: cost = 47.55513000488281\n", "The 9896th loop: cost = 37.785316467285156\n", "The 9897th loop: cost = 37.34926223754883\n", "The 9898th loop: cost = 36.29230499267578\n", "The 9899th loop: cost = 38.1198616027832\n", "The 9900th loop: cost = 42.40103530883789\n", "The 9901th loop: cost = 46.89464569091797\n", "The 9902th loop: cost = 38.944095611572266\n", "The 9903th loop: cost = 38.58812713623047\n", "The 9904th loop: cost = 31.347095489501953\n", "The 9905th loop: cost = 38.60408020019531\n", "The 9906th loop: cost = 41.18183135986328\n", "The 9907th loop: cost = 37.971797943115234\n", "The 9908th loop: cost = 40.87615203857422\n", "The 9909th loop: cost = 42.01902770996094\n", "The 9910th loop: cost = 44.722808837890625\n", "The 9911th loop: cost = 49.891143798828125\n", "The 9912th loop: cost = 45.77598190307617\n", "The 9913th loop: cost = 40.599037170410156\n", "The 9914th loop: cost = 36.30198287963867\n", "The 9915th loop: cost = 37.65924072265625\n", "The 9916th loop: cost = 42.56865692138672\n", "The 9917th loop: cost = 44.438865661621094\n", "The 9918th loop: cost = 42.727012634277344\n", "The 9919th loop: cost = 44.110939025878906\n", "The 9920th loop: cost = 43.759666442871094\n", "The 9921th loop: cost = 42.48270797729492\n", "The 9922th loop: cost = 41.904483795166016\n", "The 9923th loop: cost = 41.26722717285156\n", "The 9924th loop: cost = 35.762603759765625\n", "The 9925th loop: cost = 37.371002197265625\n", "The 9926th loop: cost = 34.01274108886719\n", "The 9927th loop: cost = 42.82762908935547\n", "The 9928th loop: cost = 38.378318786621094\n", "The 9929th loop: cost = 36.09992218017578\n", "The 9930th loop: cost = 43.65594482421875\n", "The 9931th loop: cost = 42.25977325439453\n", "The 9932th loop: cost = 31.482486724853516\n", "The 9933th loop: cost = 43.77809143066406\n", "The 9934th loop: cost = 34.013633728027344\n", "The 9935th loop: cost = 33.5751953125\n", "The 9936th loop: cost = 33.286170959472656\n", "The 9937th loop: cost = 48.90735626220703\n", "The 9938th loop: cost = 38.46910095214844\n", "The 9939th loop: cost = 40.388519287109375\n", "The 9940th loop: cost = 43.31803894042969\n", "The 9941th loop: cost = 38.79204177856445\n", "The 9942th loop: cost = 34.48809814453125\n", "The 9943th loop: cost = 37.886940002441406\n", "The 9944th loop: cost = 34.28899002075195\n", "The 9945th loop: cost = 36.593414306640625\n", "The 9946th loop: cost = 47.87156295776367\n", "The 9947th loop: cost = 40.362361907958984\n", "The 9948th loop: cost = 37.21690368652344\n", "The 9949th loop: cost = 36.05809783935547\n", "The 9950th loop: cost = 40.82745361328125\n", "The 9951th loop: cost = 25.932498931884766\n", "The 9952th loop: cost = 35.84196472167969\n", "The 9953th loop: cost = 41.98323440551758\n", "The 9954th loop: cost = 42.33378982543945\n", "The 9955th loop: cost = 40.802040100097656\n", "The 9956th loop: cost = 35.921024322509766\n", "The 9957th loop: cost = 41.58497619628906\n", "The 9958th loop: cost = 47.3036003112793\n", "The 9959th loop: cost = 30.914981842041016\n", "The 9960th loop: cost = 33.277015686035156\n", "The 9961th loop: cost = 44.593509674072266\n", "The 9962th loop: cost = 45.44081115722656\n", "The 9963th loop: cost = 31.92669677734375\n", "The 9964th loop: cost = 33.6871337890625\n", "The 9965th loop: cost = 29.600126266479492\n", "The 9966th loop: cost = 45.96115493774414\n", "The 9967th loop: cost = 37.31585693359375\n", "The 9968th loop: cost = 37.98550033569336\n", "The 9969th loop: cost = 39.66796112060547\n", "The 9970th loop: cost = 37.396522521972656\n", "The 9971th loop: cost = 40.73110580444336\n", "The 9972th loop: cost = 35.125816345214844\n", "The 9973th loop: cost = 47.663330078125\n", "The 9974th loop: cost = 32.466712951660156\n", "The 9975th loop: cost = 35.37537384033203\n", "The 9976th loop: cost = 40.349830627441406\n", "The 9977th loop: cost = 42.417972564697266\n", "The 9978th loop: cost = 35.095550537109375\n", "The 9979th loop: cost = 33.018028259277344\n", "The 9980th loop: cost = 40.67808532714844\n", "The 9981th loop: cost = 49.910682678222656\n", "The 9982th loop: cost = 36.91895294189453\n", "The 9983th loop: cost = 41.60586166381836\n", "The 9984th loop: cost = 41.26750946044922\n", "The 9985th loop: cost = 32.087467193603516\n", "The 9986th loop: cost = 39.78807067871094\n", "The 9987th loop: cost = 44.65877151489258\n", "The 9988th loop: cost = 33.46959686279297\n", "The 9989th loop: cost = 41.72019958496094\n", "The 9990th loop: cost = 36.13581085205078\n", "The 9991th loop: cost = 38.33403015136719\n", "The 9992th loop: cost = 35.23911666870117\n", "The 9993th loop: cost = 39.81338882446289\n", "The 9994th loop: cost = 36.800819396972656\n", "The 9995th loop: cost = 39.99892807006836\n", "The 9996th loop: cost = 40.118408203125\n", "The 9997th loop: cost = 38.40652084350586\n", "The 9998th loop: cost = 45.97956085205078\n", "The 9999th loop: cost = 37.921173095703125\n", "The 10000th loop: cost = 38.46497344970703\n", "The 10001th loop: cost = 34.01976013183594\n", "The 10002th loop: cost = 34.039031982421875\n", "The 10003th loop: cost = 37.41211700439453\n", "The 10004th loop: cost = 41.7489013671875\n", "The 10005th loop: cost = 36.766334533691406\n", "The 10006th loop: cost = 39.879119873046875\n", "The 10007th loop: cost = 33.8226203918457\n", "The 10008th loop: cost = 44.16876220703125\n", "The 10009th loop: cost = 38.939598083496094\n", "The 10010th loop: cost = 43.97517013549805\n", "The 10011th loop: cost = 31.49547576904297\n", "The 10012th loop: cost = 32.47258377075195\n", "The 10013th loop: cost = 44.0548095703125\n", "The 10014th loop: cost = 39.62506866455078\n", "The 10015th loop: cost = 36.760894775390625\n", "The 10016th loop: cost = 38.66531753540039\n", "The 10017th loop: cost = 39.75421142578125\n", "The 10018th loop: cost = 39.277557373046875\n", "The 10019th loop: cost = 45.26525115966797\n", "The 10020th loop: cost = 35.778076171875\n", "The 10021th loop: cost = 37.542205810546875\n", "The 10022th loop: cost = 39.57221603393555\n", "The 10023th loop: cost = 36.548336029052734\n", "The 10024th loop: cost = 33.747066497802734\n", "The 10025th loop: cost = 43.34529495239258\n", "The 10026th loop: cost = 36.31358337402344\n", "The 10027th loop: cost = 39.64590072631836\n", "The 10028th loop: cost = 41.027931213378906\n", "The 10029th loop: cost = 37.52491760253906\n", "The 10030th loop: cost = 35.81232452392578\n", "The 10031th loop: cost = 33.60285186767578\n", "The 10032th loop: cost = 38.47850799560547\n", "The 10033th loop: cost = 39.54277801513672\n", "The 10034th loop: cost = 36.40489959716797\n", "The 10035th loop: cost = 29.553617477416992\n", "The 10036th loop: cost = 37.531822204589844\n", "The 10037th loop: cost = 44.445106506347656\n", "The 10038th loop: cost = 41.530086517333984\n", "The 10039th loop: cost = 38.48677062988281\n", "The 10040th loop: cost = 35.63103485107422\n", "The 10041th loop: cost = 33.54083251953125\n", "The 10042th loop: cost = 43.711151123046875\n", "The 10043th loop: cost = 43.372772216796875\n", "The 10044th loop: cost = 37.00628662109375\n", "The 10045th loop: cost = 38.43501281738281\n", "The 10046th loop: cost = 38.63870620727539\n", "The 10047th loop: cost = 44.297245025634766\n", "The 10048th loop: cost = 41.961666107177734\n", "The 10049th loop: cost = 41.895145416259766\n", "The 10050th loop: cost = 37.576942443847656\n", "The 10051th loop: cost = 35.13002395629883\n", "The 10052th loop: cost = 37.382911682128906\n", "The 10053th loop: cost = 34.46966552734375\n", "The 10054th loop: cost = 44.73594665527344\n", "The 10055th loop: cost = 40.09455871582031\n", "The 10056th loop: cost = 30.60641860961914\n", "The 10057th loop: cost = 34.07749938964844\n", "The 10058th loop: cost = 44.46617126464844\n", "The 10059th loop: cost = 40.289215087890625\n", "The 10060th loop: cost = 42.23004913330078\n", "The 10061th loop: cost = 38.32813262939453\n", "The 10062th loop: cost = 31.828269958496094\n", "The 10063th loop: cost = 37.39993667602539\n", "The 10064th loop: cost = 30.73122787475586\n", "The 10065th loop: cost = 33.70214080810547\n", "The 10066th loop: cost = 32.548362731933594\n", "The 10067th loop: cost = 40.498939514160156\n", "The 10068th loop: cost = 41.385040283203125\n", "The 10069th loop: cost = 34.682228088378906\n", "The 10070th loop: cost = 35.6588134765625\n", "The 10071th loop: cost = 27.980976104736328\n", "The 10072th loop: cost = 36.42900466918945\n", "The 10073th loop: cost = 34.91681671142578\n", "The 10074th loop: cost = 29.220314025878906\n", "The 10075th loop: cost = 37.31922912597656\n", "The 10076th loop: cost = 39.108516693115234\n", "The 10077th loop: cost = 36.22852325439453\n", "The 10078th loop: cost = 35.34547424316406\n", "The 10079th loop: cost = 36.632625579833984\n", "The 10080th loop: cost = 37.504295349121094\n", "The 10081th loop: cost = 47.967281341552734\n", "The 10082th loop: cost = 39.41484451293945\n", "The 10083th loop: cost = 43.1881217956543\n", "The 10084th loop: cost = 28.265134811401367\n", "The 10085th loop: cost = 39.709136962890625\n", "The 10086th loop: cost = 35.535247802734375\n", "The 10087th loop: cost = 35.19424819946289\n", "The 10088th loop: cost = 43.316978454589844\n", "The 10089th loop: cost = 33.87335205078125\n", "The 10090th loop: cost = 41.39691162109375\n", "The 10091th loop: cost = 31.491539001464844\n", "The 10092th loop: cost = 49.63913345336914\n", "The 10093th loop: cost = 40.762001037597656\n", "The 10094th loop: cost = 40.009273529052734\n", "The 10095th loop: cost = 40.7714958190918\n", "The 10096th loop: cost = 36.517391204833984\n", "The 10097th loop: cost = 28.91680145263672\n", "The 10098th loop: cost = 44.40619659423828\n", "The 10099th loop: cost = 40.619407653808594\n", "The 10100th loop: cost = 35.36443328857422\n", "The 10101th loop: cost = 40.89779281616211\n", "The 10102th loop: cost = 45.68973922729492\n", "The 10103th loop: cost = 36.20629119873047\n", "The 10104th loop: cost = 38.33702850341797\n", "The 10105th loop: cost = 34.89563751220703\n", "The 10106th loop: cost = 52.86162185668945\n", "The 10107th loop: cost = 36.14710998535156\n", "The 10108th loop: cost = 39.918357849121094\n", "The 10109th loop: cost = 34.00211715698242\n", "The 10110th loop: cost = 36.57171630859375\n", "The 10111th loop: cost = 30.724597930908203\n", "The 10112th loop: cost = 38.470985412597656\n", "The 10113th loop: cost = 42.17250061035156\n", "The 10114th loop: cost = 39.79088592529297\n", "The 10115th loop: cost = 48.28971481323242\n", "The 10116th loop: cost = 31.899381637573242\n", "The 10117th loop: cost = 39.754737854003906\n", "The 10118th loop: cost = 39.52061080932617\n", "The 10119th loop: cost = 37.864662170410156\n", "The 10120th loop: cost = 42.926361083984375\n", "The 10121th loop: cost = 35.84735870361328\n", "The 10122th loop: cost = 33.80646514892578\n", "The 10123th loop: cost = 34.014102935791016\n", "The 10124th loop: cost = 43.795860290527344\n", "The 10125th loop: cost = 40.93581008911133\n", "The 10126th loop: cost = 31.802663803100586\n", "The 10127th loop: cost = 35.3485107421875\n", "The 10128th loop: cost = 44.68197250366211\n", "The 10129th loop: cost = 48.924076080322266\n", "The 10130th loop: cost = 36.71091079711914\n", "The 10131th loop: cost = 31.720563888549805\n", "The 10132th loop: cost = 42.478797912597656\n", "The 10133th loop: cost = 43.93500518798828\n", "The 10134th loop: cost = 32.595176696777344\n", "The 10135th loop: cost = 36.92925262451172\n", "The 10136th loop: cost = 34.999908447265625\n", "The 10137th loop: cost = 39.561370849609375\n", "The 10138th loop: cost = 34.764976501464844\n", "The 10139th loop: cost = 41.96363830566406\n", "The 10140th loop: cost = 42.87693405151367\n", "The 10141th loop: cost = 37.71177673339844\n", "The 10142th loop: cost = 46.694610595703125\n", "The 10143th loop: cost = 43.24348449707031\n", "The 10144th loop: cost = 38.87762451171875\n", "The 10145th loop: cost = 33.666839599609375\n", "The 10146th loop: cost = 40.774417877197266\n", "The 10147th loop: cost = 39.756927490234375\n", "The 10148th loop: cost = 43.00910568237305\n", "The 10149th loop: cost = 36.28042221069336\n", "The 10150th loop: cost = 40.42568588256836\n", "The 10151th loop: cost = 38.931304931640625\n", "The 10152th loop: cost = 33.919376373291016\n", "The 10153th loop: cost = 27.341087341308594\n", "The 10154th loop: cost = 35.51295471191406\n", "The 10155th loop: cost = 44.485984802246094\n", "The 10156th loop: cost = 33.62461471557617\n", "The 10157th loop: cost = 40.19813537597656\n", "The 10158th loop: cost = 49.28268051147461\n", "The 10159th loop: cost = 40.56391143798828\n", "The 10160th loop: cost = 35.452091217041016\n", "The 10161th loop: cost = 43.319393157958984\n", "The 10162th loop: cost = 42.413246154785156\n", "The 10163th loop: cost = 35.57862854003906\n", "The 10164th loop: cost = 33.75228500366211\n", "The 10165th loop: cost = 39.85442352294922\n", "The 10166th loop: cost = 35.286376953125\n", "The 10167th loop: cost = 38.986881256103516\n", "The 10168th loop: cost = 35.12471389770508\n", "The 10169th loop: cost = 42.19765090942383\n", "The 10170th loop: cost = 36.89718246459961\n", "The 10171th loop: cost = 37.386436462402344\n", "The 10172th loop: cost = 42.866943359375\n", "The 10173th loop: cost = 38.99456787109375\n", "The 10174th loop: cost = 40.23811340332031\n", "The 10175th loop: cost = 38.438228607177734\n", "The 10176th loop: cost = 29.50716781616211\n", "The 10177th loop: cost = 35.68122100830078\n", "The 10178th loop: cost = 45.99693298339844\n", "The 10179th loop: cost = 46.61614990234375\n", "The 10180th loop: cost = 38.5777587890625\n", "The 10181th loop: cost = 39.624542236328125\n", "The 10182th loop: cost = 36.357444763183594\n", "The 10183th loop: cost = 39.2730827331543\n", "The 10184th loop: cost = 43.02793884277344\n", "The 10185th loop: cost = 36.46867752075195\n", "The 10186th loop: cost = 37.41740417480469\n", "The 10187th loop: cost = 42.22919845581055\n", "The 10188th loop: cost = 31.16572380065918\n", "The 10189th loop: cost = 27.610231399536133\n", "The 10190th loop: cost = 36.465293884277344\n", "The 10191th loop: cost = 37.39678192138672\n", "The 10192th loop: cost = 38.884307861328125\n", "The 10193th loop: cost = 39.973236083984375\n", "The 10194th loop: cost = 35.84800720214844\n", "The 10195th loop: cost = 42.080078125\n", "The 10196th loop: cost = 40.8154296875\n", "The 10197th loop: cost = 41.00969696044922\n", "The 10198th loop: cost = 30.373699188232422\n", "The 10199th loop: cost = 38.259178161621094\n", "The 10200th loop: cost = 42.22805404663086\n", "The 10201th loop: cost = 34.84134292602539\n", "The 10202th loop: cost = 39.11310577392578\n", "The 10203th loop: cost = 33.24436569213867\n", "The 10204th loop: cost = 40.545467376708984\n", "The 10205th loop: cost = 37.82436752319336\n", "The 10206th loop: cost = 39.781402587890625\n", "The 10207th loop: cost = 41.99479293823242\n", "The 10208th loop: cost = 37.61122512817383\n", "The 10209th loop: cost = 41.24824905395508\n", "The 10210th loop: cost = 38.764156341552734\n", "The 10211th loop: cost = 29.344467163085938\n", "The 10212th loop: cost = 39.248268127441406\n", "The 10213th loop: cost = 36.44535446166992\n", "The 10214th loop: cost = 34.108551025390625\n", "The 10215th loop: cost = 34.83766555786133\n", "The 10216th loop: cost = 41.801292419433594\n", "The 10217th loop: cost = 36.373619079589844\n", "The 10218th loop: cost = 35.90235137939453\n", "The 10219th loop: cost = 39.056739807128906\n", "The 10220th loop: cost = 41.24488067626953\n", "The 10221th loop: cost = 40.41485595703125\n", "The 10222th loop: cost = 36.347015380859375\n", "The 10223th loop: cost = 38.44861602783203\n", "The 10224th loop: cost = 45.635955810546875\n", "The 10225th loop: cost = 36.12762451171875\n", "The 10226th loop: cost = 45.21599578857422\n", "The 10227th loop: cost = 47.02548599243164\n", "The 10228th loop: cost = 34.000282287597656\n", "The 10229th loop: cost = 39.6661376953125\n", "The 10230th loop: cost = 34.55528259277344\n", "The 10231th loop: cost = 38.639122009277344\n", "The 10232th loop: cost = 42.43958282470703\n", "The 10233th loop: cost = 38.66807174682617\n", "The 10234th loop: cost = 42.03839111328125\n", "The 10235th loop: cost = 36.6982421875\n", "The 10236th loop: cost = 41.649566650390625\n", "The 10237th loop: cost = 39.31169509887695\n", "The 10238th loop: cost = 36.00810241699219\n", "The 10239th loop: cost = 35.522098541259766\n", "The 10240th loop: cost = 37.221038818359375\n", "The 10241th loop: cost = 34.97819900512695\n", "The 10242th loop: cost = 38.003662109375\n", "The 10243th loop: cost = 37.359596252441406\n", "The 10244th loop: cost = 43.73664093017578\n", "The 10245th loop: cost = 40.083656311035156\n", "The 10246th loop: cost = 40.647003173828125\n", "The 10247th loop: cost = 41.59680938720703\n", "The 10248th loop: cost = 34.43486022949219\n", "The 10249th loop: cost = 39.94116973876953\n", "The 10250th loop: cost = 41.246009826660156\n", "The 10251th loop: cost = 36.34342956542969\n", "The 10252th loop: cost = 37.08904266357422\n", "The 10253th loop: cost = 41.01229476928711\n", "The 10254th loop: cost = 30.877243041992188\n", "The 10255th loop: cost = 36.5771484375\n", "The 10256th loop: cost = 39.98448944091797\n", "The 10257th loop: cost = 39.4979248046875\n", "The 10258th loop: cost = 35.34872055053711\n", "The 10259th loop: cost = 38.598976135253906\n", "The 10260th loop: cost = 40.74579620361328\n", "The 10261th loop: cost = 40.10506820678711\n", "The 10262th loop: cost = 40.29740905761719\n", "The 10263th loop: cost = 42.581172943115234\n", "The 10264th loop: cost = 42.40855407714844\n", "The 10265th loop: cost = 38.62293243408203\n", "The 10266th loop: cost = 39.197792053222656\n", "The 10267th loop: cost = 43.97748565673828\n", "The 10268th loop: cost = 43.95454406738281\n", "The 10269th loop: cost = 32.41016387939453\n", "The 10270th loop: cost = 37.961891174316406\n", "The 10271th loop: cost = 44.63784408569336\n", "The 10272th loop: cost = 43.08049392700195\n", "The 10273th loop: cost = 36.8519401550293\n", "The 10274th loop: cost = 33.73945236206055\n", "The 10275th loop: cost = 43.60279846191406\n", "The 10276th loop: cost = 39.00511932373047\n", "The 10277th loop: cost = 37.86220932006836\n", "The 10278th loop: cost = 35.127098083496094\n", "The 10279th loop: cost = 36.03395080566406\n", "The 10280th loop: cost = 37.503761291503906\n", "The 10281th loop: cost = 32.415687561035156\n", "The 10282th loop: cost = 41.744720458984375\n", "The 10283th loop: cost = 37.360145568847656\n", "The 10284th loop: cost = 38.8095703125\n", "The 10285th loop: cost = 43.723472595214844\n", "The 10286th loop: cost = 45.914268493652344\n", "The 10287th loop: cost = 32.92505645751953\n", "The 10288th loop: cost = 44.03871154785156\n", "The 10289th loop: cost = 28.743282318115234\n", "The 10290th loop: cost = 36.042198181152344\n", "The 10291th loop: cost = 39.896324157714844\n", "The 10292th loop: cost = 36.53784942626953\n", "The 10293th loop: cost = 40.83622741699219\n", "The 10294th loop: cost = 44.513370513916016\n", "The 10295th loop: cost = 33.681968688964844\n", "The 10296th loop: cost = 38.80091857910156\n", "The 10297th loop: cost = 38.90400695800781\n", "The 10298th loop: cost = 34.443389892578125\n", "The 10299th loop: cost = 37.71588134765625\n", "The 10300th loop: cost = 39.79259490966797\n", "The 10301th loop: cost = 33.445098876953125\n", "The 10302th loop: cost = 36.91270446777344\n", "The 10303th loop: cost = 44.237831115722656\n", "The 10304th loop: cost = 34.78181838989258\n", "The 10305th loop: cost = 41.2700309753418\n", "The 10306th loop: cost = 42.459991455078125\n", "The 10307th loop: cost = 42.174251556396484\n", "The 10308th loop: cost = 35.386314392089844\n", "The 10309th loop: cost = 44.97423553466797\n", "The 10310th loop: cost = 40.58197021484375\n", "The 10311th loop: cost = 34.813724517822266\n", "The 10312th loop: cost = 33.71581268310547\n", "The 10313th loop: cost = 37.46092987060547\n", "The 10314th loop: cost = 41.228904724121094\n", "The 10315th loop: cost = 40.64572525024414\n", "The 10316th loop: cost = 34.8409538269043\n", "The 10317th loop: cost = 38.7576904296875\n", "The 10318th loop: cost = 38.63134002685547\n", "The 10319th loop: cost = 37.44793701171875\n", "The 10320th loop: cost = 33.755584716796875\n", "The 10321th loop: cost = 39.39586639404297\n", "The 10322th loop: cost = 46.04557800292969\n", "The 10323th loop: cost = 41.90117263793945\n", "The 10324th loop: cost = 39.945831298828125\n", "The 10325th loop: cost = 33.60975646972656\n", "The 10326th loop: cost = 40.70915222167969\n", "The 10327th loop: cost = 35.277793884277344\n", "The 10328th loop: cost = 40.26698303222656\n", "The 10329th loop: cost = 48.38878631591797\n", "The 10330th loop: cost = 35.55415344238281\n", "The 10331th loop: cost = 35.88885498046875\n", "The 10332th loop: cost = 29.320697784423828\n", "The 10333th loop: cost = 47.716251373291016\n", "The 10334th loop: cost = 37.90199661254883\n", "The 10335th loop: cost = 39.45249938964844\n", "The 10336th loop: cost = 37.595237731933594\n", "The 10337th loop: cost = 34.784847259521484\n", "The 10338th loop: cost = 36.29641342163086\n", "The 10339th loop: cost = 39.11170196533203\n", "The 10340th loop: cost = 33.62908935546875\n", "The 10341th loop: cost = 33.99498748779297\n", "The 10342th loop: cost = 37.56129837036133\n", "The 10343th loop: cost = 46.68901824951172\n", "The 10344th loop: cost = 34.139251708984375\n", "The 10345th loop: cost = 37.57685852050781\n", "The 10346th loop: cost = 38.04938888549805\n", "The 10347th loop: cost = 32.830936431884766\n", "The 10348th loop: cost = 33.806190490722656\n", "The 10349th loop: cost = 38.693824768066406\n", "The 10350th loop: cost = 35.32392120361328\n", "The 10351th loop: cost = 31.356597900390625\n", "The 10352th loop: cost = 44.66551971435547\n", "The 10353th loop: cost = 44.582237243652344\n", "The 10354th loop: cost = 36.937015533447266\n", "The 10355th loop: cost = 36.783573150634766\n", "The 10356th loop: cost = 37.24931335449219\n", "The 10357th loop: cost = 39.95949172973633\n", "The 10358th loop: cost = 35.32630920410156\n", "The 10359th loop: cost = 41.194313049316406\n", "The 10360th loop: cost = 31.574962615966797\n", "The 10361th loop: cost = 33.40852355957031\n", "The 10362th loop: cost = 39.308929443359375\n", "The 10363th loop: cost = 35.54844665527344\n", "The 10364th loop: cost = 43.38871765136719\n", "The 10365th loop: cost = 33.834861755371094\n", "The 10366th loop: cost = 38.235450744628906\n", "The 10367th loop: cost = 35.72840118408203\n", "The 10368th loop: cost = 37.76641845703125\n", "The 10369th loop: cost = 36.495994567871094\n", "The 10370th loop: cost = 38.22760772705078\n", "The 10371th loop: cost = 39.5825309753418\n", "The 10372th loop: cost = 30.69091033935547\n", "The 10373th loop: cost = 37.574424743652344\n", "The 10374th loop: cost = 35.87127685546875\n", "The 10375th loop: cost = 43.7988166809082\n", "The 10376th loop: cost = 40.85634231567383\n", "The 10377th loop: cost = 36.86135482788086\n", "The 10378th loop: cost = 35.137046813964844\n", "The 10379th loop: cost = 38.77362060546875\n", "The 10380th loop: cost = 50.559547424316406\n", "The 10381th loop: cost = 41.10200500488281\n", "The 10382th loop: cost = 49.23788833618164\n", "The 10383th loop: cost = 42.66014862060547\n", "The 10384th loop: cost = 36.993343353271484\n", "The 10385th loop: cost = 33.15115737915039\n", "The 10386th loop: cost = 40.97707748413086\n", "The 10387th loop: cost = 33.7302360534668\n", "The 10388th loop: cost = 40.60389709472656\n", "The 10389th loop: cost = 37.264930725097656\n", "The 10390th loop: cost = 33.94990539550781\n", "The 10391th loop: cost = 42.741920471191406\n", "The 10392th loop: cost = 33.991207122802734\n", "The 10393th loop: cost = 35.98577880859375\n", "The 10394th loop: cost = 32.98191833496094\n", "The 10395th loop: cost = 38.783714294433594\n", "The 10396th loop: cost = 43.288631439208984\n", "The 10397th loop: cost = 36.78334426879883\n", "The 10398th loop: cost = 35.768741607666016\n", "The 10399th loop: cost = 38.33842849731445\n", "The 10400th loop: cost = 40.89876937866211\n", "The 10401th loop: cost = 42.86030960083008\n", "The 10402th loop: cost = 36.5322265625\n", "The 10403th loop: cost = 44.354637145996094\n", "The 10404th loop: cost = 38.89644241333008\n", "The 10405th loop: cost = 39.24824142456055\n", "The 10406th loop: cost = 34.50826644897461\n", "The 10407th loop: cost = 48.62689208984375\n", "The 10408th loop: cost = 46.43622589111328\n", "The 10409th loop: cost = 51.13666915893555\n", "The 10410th loop: cost = 40.98805236816406\n", "The 10411th loop: cost = 33.45301055908203\n", "The 10412th loop: cost = 35.738792419433594\n", "The 10413th loop: cost = 35.687374114990234\n", "The 10414th loop: cost = 43.95188522338867\n", "The 10415th loop: cost = 29.71627426147461\n", "The 10416th loop: cost = 36.80546569824219\n", "The 10417th loop: cost = 35.39649963378906\n", "The 10418th loop: cost = 45.374977111816406\n", "The 10419th loop: cost = 36.09245300292969\n", "The 10420th loop: cost = 31.967370986938477\n", "The 10421th loop: cost = 32.88028335571289\n", "The 10422th loop: cost = 41.26319122314453\n", "The 10423th loop: cost = 36.98313903808594\n", "The 10424th loop: cost = 24.892215728759766\n", "The 10425th loop: cost = 39.55424880981445\n", "The 10426th loop: cost = 42.76880645751953\n", "The 10427th loop: cost = 36.454654693603516\n", "The 10428th loop: cost = 42.90301513671875\n", "The 10429th loop: cost = 42.25873565673828\n", "The 10430th loop: cost = 40.607627868652344\n", "The 10431th loop: cost = 42.739376068115234\n", "The 10432th loop: cost = 40.61147689819336\n", "The 10433th loop: cost = 38.51210021972656\n", "The 10434th loop: cost = 32.02159881591797\n", "The 10435th loop: cost = 32.58217239379883\n", "The 10436th loop: cost = 36.889915466308594\n", "The 10437th loop: cost = 42.916725158691406\n", "The 10438th loop: cost = 36.22248458862305\n", "The 10439th loop: cost = 28.821725845336914\n", "The 10440th loop: cost = 36.15248107910156\n", "The 10441th loop: cost = 34.139503479003906\n", "The 10442th loop: cost = 37.46413803100586\n", "The 10443th loop: cost = 29.51079559326172\n", "The 10444th loop: cost = 38.461692810058594\n", "The 10445th loop: cost = 41.62700653076172\n", "The 10446th loop: cost = 36.833351135253906\n", "The 10447th loop: cost = 40.190452575683594\n", "The 10448th loop: cost = 30.280712127685547\n", "The 10449th loop: cost = 32.89125442504883\n", "The 10450th loop: cost = 45.3975715637207\n", "The 10451th loop: cost = 36.022499084472656\n", "The 10452th loop: cost = 44.51639938354492\n", "The 10453th loop: cost = 35.66535186767578\n", "The 10454th loop: cost = 31.15825080871582\n", "The 10455th loop: cost = 42.30646514892578\n", "The 10456th loop: cost = 43.55907440185547\n", "The 10457th loop: cost = 41.938568115234375\n", "The 10458th loop: cost = 43.520023345947266\n", "The 10459th loop: cost = 35.5383186340332\n", "The 10460th loop: cost = 33.968143463134766\n", "The 10461th loop: cost = 39.385398864746094\n", "The 10462th loop: cost = 34.33721923828125\n", "The 10463th loop: cost = 37.53130340576172\n", "The 10464th loop: cost = 31.00244140625\n", "The 10465th loop: cost = 36.47339630126953\n", "The 10466th loop: cost = 37.02313995361328\n", "The 10467th loop: cost = 36.376129150390625\n", "The 10468th loop: cost = 36.81787109375\n", "The 10469th loop: cost = 26.74371337890625\n", "The 10470th loop: cost = 36.80290985107422\n", "The 10471th loop: cost = 34.78388595581055\n", "The 10472th loop: cost = 43.46798324584961\n", "The 10473th loop: cost = 38.53007507324219\n", "The 10474th loop: cost = 35.209266662597656\n", "The 10475th loop: cost = 38.157997131347656\n", "The 10476th loop: cost = 33.644256591796875\n", "The 10477th loop: cost = 42.64097595214844\n", "The 10478th loop: cost = 45.74212646484375\n", "The 10479th loop: cost = 34.988807678222656\n", "The 10480th loop: cost = 34.14069747924805\n", "The 10481th loop: cost = 39.033905029296875\n", "The 10482th loop: cost = 45.90620422363281\n", "The 10483th loop: cost = 38.715824127197266\n", "The 10484th loop: cost = 38.70039367675781\n", "The 10485th loop: cost = 51.61353302001953\n", "The 10486th loop: cost = 39.53607940673828\n", "The 10487th loop: cost = 49.21092987060547\n", "The 10488th loop: cost = 44.290645599365234\n", "The 10489th loop: cost = 43.99604797363281\n", "The 10490th loop: cost = 43.0345573425293\n", "The 10491th loop: cost = 37.73344802856445\n", "The 10492th loop: cost = 44.77938461303711\n", "The 10493th loop: cost = 39.571998596191406\n", "The 10494th loop: cost = 42.64320373535156\n", "The 10495th loop: cost = 38.25434494018555\n", "The 10496th loop: cost = 38.05388641357422\n", "The 10497th loop: cost = 36.664085388183594\n", "The 10498th loop: cost = 36.28764724731445\n", "The 10499th loop: cost = 35.01598358154297\n", "The 10500th loop: cost = 41.480682373046875\n", "The 10501th loop: cost = 30.359153747558594\n", "The 10502th loop: cost = 30.902498245239258\n", "The 10503th loop: cost = 27.61569595336914\n", "The 10504th loop: cost = 39.68694305419922\n", "The 10505th loop: cost = 29.633544921875\n", "The 10506th loop: cost = 44.83734893798828\n", "The 10507th loop: cost = 34.94428253173828\n", "The 10508th loop: cost = 37.886043548583984\n", "The 10509th loop: cost = 36.08130645751953\n", "The 10510th loop: cost = 42.546287536621094\n", "The 10511th loop: cost = 37.96734619140625\n", "The 10512th loop: cost = 46.043357849121094\n", "The 10513th loop: cost = 29.55206298828125\n", "The 10514th loop: cost = 35.54591369628906\n", "The 10515th loop: cost = 37.761314392089844\n", "The 10516th loop: cost = 35.64116668701172\n", "The 10517th loop: cost = 35.58611297607422\n", "The 10518th loop: cost = 36.2115592956543\n", "The 10519th loop: cost = 32.356754302978516\n", "The 10520th loop: cost = 29.44353485107422\n", "The 10521th loop: cost = 41.67790985107422\n", "The 10522th loop: cost = 29.328155517578125\n", "The 10523th loop: cost = 40.62580108642578\n", "The 10524th loop: cost = 43.82482147216797\n", "The 10525th loop: cost = 40.28138732910156\n", "The 10526th loop: cost = 32.73876190185547\n", "The 10527th loop: cost = 31.67668342590332\n", "The 10528th loop: cost = 31.78633689880371\n", "The 10529th loop: cost = 37.61548614501953\n", "The 10530th loop: cost = 41.58073043823242\n", "The 10531th loop: cost = 34.031471252441406\n", "The 10532th loop: cost = 43.347900390625\n", "The 10533th loop: cost = 34.04775619506836\n", "The 10534th loop: cost = 35.56112289428711\n", "The 10535th loop: cost = 42.407684326171875\n", "The 10536th loop: cost = 31.44552993774414\n", "The 10537th loop: cost = 41.20716857910156\n", "The 10538th loop: cost = 43.732452392578125\n", "The 10539th loop: cost = 34.83512878417969\n", "The 10540th loop: cost = 40.60292053222656\n", "The 10541th loop: cost = 38.393550872802734\n", "The 10542th loop: cost = 33.75028991699219\n", "The 10543th loop: cost = 27.336315155029297\n", "The 10544th loop: cost = 36.82919692993164\n", "The 10545th loop: cost = 40.617713928222656\n", "The 10546th loop: cost = 36.26533889770508\n", "The 10547th loop: cost = 36.878021240234375\n", "The 10548th loop: cost = 44.5514030456543\n", "The 10549th loop: cost = 33.122154235839844\n", "The 10550th loop: cost = 31.909744262695312\n", "The 10551th loop: cost = 44.685909271240234\n", "The 10552th loop: cost = 34.739501953125\n", "The 10553th loop: cost = 32.56769561767578\n", "The 10554th loop: cost = 36.28205871582031\n", "The 10555th loop: cost = 50.61383819580078\n", "The 10556th loop: cost = 41.8457145690918\n", "The 10557th loop: cost = 41.190284729003906\n", "The 10558th loop: cost = 40.98527526855469\n", "The 10559th loop: cost = 45.57378387451172\n", "The 10560th loop: cost = 39.8746337890625\n", "The 10561th loop: cost = 37.64717483520508\n", "The 10562th loop: cost = 37.70594024658203\n", "The 10563th loop: cost = 29.321178436279297\n", "The 10564th loop: cost = 35.35535430908203\n", "The 10565th loop: cost = 39.37114334106445\n", "The 10566th loop: cost = 43.548439025878906\n", "The 10567th loop: cost = 37.52330017089844\n", "The 10568th loop: cost = 36.873252868652344\n", "The 10569th loop: cost = 37.39631652832031\n", "The 10570th loop: cost = 34.126617431640625\n", "The 10571th loop: cost = 31.107425689697266\n", "The 10572th loop: cost = 30.187721252441406\n", "The 10573th loop: cost = 42.53593826293945\n", "The 10574th loop: cost = 33.61897277832031\n", "The 10575th loop: cost = 38.084564208984375\n", "The 10576th loop: cost = 30.54056739807129\n", "The 10577th loop: cost = 34.99810791015625\n", "The 10578th loop: cost = 44.96941375732422\n", "The 10579th loop: cost = 40.85210418701172\n", "The 10580th loop: cost = 34.857147216796875\n", "The 10581th loop: cost = 37.60929870605469\n", "The 10582th loop: cost = 29.67081642150879\n", "The 10583th loop: cost = 34.439537048339844\n", "The 10584th loop: cost = 35.75492858886719\n", "The 10585th loop: cost = 37.422515869140625\n", "The 10586th loop: cost = 45.50965881347656\n", "The 10587th loop: cost = 37.14646530151367\n", "The 10588th loop: cost = 45.652183532714844\n", "The 10589th loop: cost = 40.19755554199219\n", "The 10590th loop: cost = 44.26698303222656\n", "The 10591th loop: cost = 41.883872985839844\n", "The 10592th loop: cost = 35.7740364074707\n", "The 10593th loop: cost = 39.43218994140625\n", "The 10594th loop: cost = 33.343711853027344\n", "The 10595th loop: cost = 34.14790725708008\n", "The 10596th loop: cost = 41.71574401855469\n", "The 10597th loop: cost = 34.47279357910156\n", "The 10598th loop: cost = 35.55940246582031\n", "The 10599th loop: cost = 39.00791931152344\n", "The 10600th loop: cost = 33.17597579956055\n", "The 10601th loop: cost = 35.853668212890625\n", "The 10602th loop: cost = 37.950714111328125\n", "The 10603th loop: cost = 38.54160690307617\n", "The 10604th loop: cost = 35.20263671875\n", "The 10605th loop: cost = 38.477752685546875\n", "The 10606th loop: cost = 44.84938430786133\n", "The 10607th loop: cost = 37.720680236816406\n", "The 10608th loop: cost = 49.85150909423828\n", "The 10609th loop: cost = 43.10437774658203\n", "The 10610th loop: cost = 41.048641204833984\n", "The 10611th loop: cost = 42.26612091064453\n", "The 10612th loop: cost = 38.663509368896484\n", "The 10613th loop: cost = 44.19282150268555\n", "The 10614th loop: cost = 40.18016815185547\n", "The 10615th loop: cost = 38.33112335205078\n", "The 10616th loop: cost = 44.91820526123047\n", "The 10617th loop: cost = 35.13670349121094\n", "The 10618th loop: cost = 35.593727111816406\n", "The 10619th loop: cost = 34.368865966796875\n", "The 10620th loop: cost = 37.15459442138672\n", "The 10621th loop: cost = 30.960847854614258\n", "The 10622th loop: cost = 35.38166427612305\n", "The 10623th loop: cost = 35.68486785888672\n", "The 10624th loop: cost = 43.52935791015625\n", "The 10625th loop: cost = 42.80377197265625\n", "The 10626th loop: cost = 25.541259765625\n", "The 10627th loop: cost = 33.75825881958008\n", "The 10628th loop: cost = 33.02643585205078\n", "The 10629th loop: cost = 35.383155822753906\n", "The 10630th loop: cost = 30.606775283813477\n", "The 10631th loop: cost = 30.649555206298828\n", "The 10632th loop: cost = 43.4691162109375\n", "The 10633th loop: cost = 33.83354187011719\n", "The 10634th loop: cost = 38.54316711425781\n", "The 10635th loop: cost = 42.628028869628906\n", "The 10636th loop: cost = 34.92604064941406\n", "The 10637th loop: cost = 45.891334533691406\n", "The 10638th loop: cost = 38.50462341308594\n", "The 10639th loop: cost = 35.05324172973633\n", "The 10640th loop: cost = 35.778648376464844\n", "The 10641th loop: cost = 31.390512466430664\n", "The 10642th loop: cost = 34.54875183105469\n", "The 10643th loop: cost = 37.603424072265625\n", "The 10644th loop: cost = 35.248138427734375\n", "The 10645th loop: cost = 39.85447692871094\n", "The 10646th loop: cost = 42.10129928588867\n", "The 10647th loop: cost = 36.18496322631836\n", "The 10648th loop: cost = 38.62651824951172\n", "The 10649th loop: cost = 40.34953308105469\n", "The 10650th loop: cost = 35.707706451416016\n", "The 10651th loop: cost = 36.55072021484375\n", "The 10652th loop: cost = 42.39324951171875\n", "The 10653th loop: cost = 34.81745147705078\n", "The 10654th loop: cost = 51.634307861328125\n", "The 10655th loop: cost = 28.01146125793457\n", "The 10656th loop: cost = 32.25987243652344\n", "The 10657th loop: cost = 28.60457420349121\n", "The 10658th loop: cost = 34.21135711669922\n", "The 10659th loop: cost = 27.146778106689453\n", "The 10660th loop: cost = 38.23727035522461\n", "The 10661th loop: cost = 41.95179748535156\n", "The 10662th loop: cost = 35.08940887451172\n", "The 10663th loop: cost = 45.26152801513672\n", "The 10664th loop: cost = 39.218807220458984\n", "The 10665th loop: cost = 38.481971740722656\n", "The 10666th loop: cost = 30.278911590576172\n", "The 10667th loop: cost = 37.95799255371094\n", "The 10668th loop: cost = 40.837364196777344\n", "The 10669th loop: cost = 32.71797561645508\n", "The 10670th loop: cost = 36.63224792480469\n", "The 10671th loop: cost = 38.5649299621582\n", "The 10672th loop: cost = 36.91587448120117\n", "The 10673th loop: cost = 32.60693359375\n", "The 10674th loop: cost = 33.392242431640625\n", "The 10675th loop: cost = 37.99951171875\n", "The 10676th loop: cost = 35.500099182128906\n", "The 10677th loop: cost = 35.05779266357422\n", "The 10678th loop: cost = 36.368927001953125\n", "The 10679th loop: cost = 32.349578857421875\n", "The 10680th loop: cost = 45.55967330932617\n", "The 10681th loop: cost = 41.890953063964844\n", "The 10682th loop: cost = 33.55540466308594\n", "The 10683th loop: cost = 29.265987396240234\n", "The 10684th loop: cost = 44.84996032714844\n", "The 10685th loop: cost = 38.669761657714844\n", "The 10686th loop: cost = 42.3955078125\n", "The 10687th loop: cost = 34.55038070678711\n", "The 10688th loop: cost = 36.5098762512207\n", "The 10689th loop: cost = 30.343412399291992\n", "The 10690th loop: cost = 33.0284309387207\n", "The 10691th loop: cost = 41.16176986694336\n", "The 10692th loop: cost = 39.011905670166016\n", "The 10693th loop: cost = 32.54483413696289\n", "The 10694th loop: cost = 36.95399475097656\n", "The 10695th loop: cost = 47.715702056884766\n", "The 10696th loop: cost = 35.15312957763672\n", "The 10697th loop: cost = 29.704387664794922\n", "The 10698th loop: cost = 33.353946685791016\n", "The 10699th loop: cost = 38.908443450927734\n", "The 10700th loop: cost = 41.15868377685547\n", "The 10701th loop: cost = 32.157989501953125\n", "The 10702th loop: cost = 32.631107330322266\n", "The 10703th loop: cost = 40.31761169433594\n", "The 10704th loop: cost = 44.071754455566406\n", "The 10705th loop: cost = 34.167266845703125\n", "The 10706th loop: cost = 34.804237365722656\n", "The 10707th loop: cost = 42.507110595703125\n", "The 10708th loop: cost = 38.73883056640625\n", "The 10709th loop: cost = 27.915637969970703\n", "The 10710th loop: cost = 36.3101806640625\n", "The 10711th loop: cost = 38.792945861816406\n", "The 10712th loop: cost = 36.531105041503906\n", "The 10713th loop: cost = 37.516510009765625\n", "The 10714th loop: cost = 41.92483139038086\n", "The 10715th loop: cost = 37.6118049621582\n", "The 10716th loop: cost = 45.57602310180664\n", "The 10717th loop: cost = 36.68031311035156\n", "The 10718th loop: cost = 33.34504318237305\n", "The 10719th loop: cost = 43.049015045166016\n", "The 10720th loop: cost = 32.037071228027344\n", "The 10721th loop: cost = 40.35088348388672\n", "The 10722th loop: cost = 36.61518096923828\n", "The 10723th loop: cost = 37.721885681152344\n", "The 10724th loop: cost = 31.802738189697266\n", "The 10725th loop: cost = 40.47008514404297\n", "The 10726th loop: cost = 43.14196014404297\n", "The 10727th loop: cost = 26.464998245239258\n", "The 10728th loop: cost = 34.084136962890625\n", "The 10729th loop: cost = 28.975921630859375\n", "The 10730th loop: cost = 31.051340103149414\n", "The 10731th loop: cost = 36.36409378051758\n", "The 10732th loop: cost = 44.73439025878906\n", "The 10733th loop: cost = 51.26939392089844\n", "The 10734th loop: cost = 34.29115295410156\n", "The 10735th loop: cost = 29.75481414794922\n", "The 10736th loop: cost = 44.04148864746094\n", "The 10737th loop: cost = 43.72229766845703\n", "The 10738th loop: cost = 35.15763473510742\n", "The 10739th loop: cost = 48.53254699707031\n", "The 10740th loop: cost = 32.94619369506836\n", "The 10741th loop: cost = 46.43552017211914\n", "The 10742th loop: cost = 35.283843994140625\n", "The 10743th loop: cost = 39.05704879760742\n", "The 10744th loop: cost = 39.929840087890625\n", "The 10745th loop: cost = 38.58817672729492\n", "The 10746th loop: cost = 31.760967254638672\n", "The 10747th loop: cost = 38.116050720214844\n", "The 10748th loop: cost = 39.726707458496094\n", "The 10749th loop: cost = 40.93854904174805\n", "The 10750th loop: cost = 36.188907623291016\n", "The 10751th loop: cost = 32.9237060546875\n", "The 10752th loop: cost = 34.66827392578125\n", "The 10753th loop: cost = 40.14324951171875\n", "The 10754th loop: cost = 31.464935302734375\n", "The 10755th loop: cost = 30.760175704956055\n", "The 10756th loop: cost = 34.48796463012695\n", "The 10757th loop: cost = 33.23052978515625\n", "The 10758th loop: cost = 42.11688232421875\n", "The 10759th loop: cost = 34.74263381958008\n", "The 10760th loop: cost = 49.03907775878906\n", "The 10761th loop: cost = 34.236907958984375\n", "The 10762th loop: cost = 32.786460876464844\n", "The 10763th loop: cost = 31.63983154296875\n", "The 10764th loop: cost = 39.63610076904297\n", "The 10765th loop: cost = 34.662841796875\n", "The 10766th loop: cost = 33.15230178833008\n", "The 10767th loop: cost = 32.67955780029297\n", "The 10768th loop: cost = 45.885231018066406\n", "The 10769th loop: cost = 47.36776351928711\n", "The 10770th loop: cost = 31.039432525634766\n", "The 10771th loop: cost = 39.05379104614258\n", "The 10772th loop: cost = 42.045101165771484\n", "The 10773th loop: cost = 43.28770446777344\n", "The 10774th loop: cost = 39.2231330871582\n", "The 10775th loop: cost = 39.27086639404297\n", "The 10776th loop: cost = 31.890897750854492\n", "The 10777th loop: cost = 44.522640228271484\n", "The 10778th loop: cost = 37.41333770751953\n", "The 10779th loop: cost = 38.476348876953125\n", "The 10780th loop: cost = 37.512489318847656\n", "The 10781th loop: cost = 39.72303009033203\n", "The 10782th loop: cost = 36.012672424316406\n", "The 10783th loop: cost = 37.62104415893555\n", "The 10784th loop: cost = 38.289485931396484\n", "The 10785th loop: cost = 29.35151481628418\n", "The 10786th loop: cost = 44.851470947265625\n", "The 10787th loop: cost = 33.549560546875\n", "The 10788th loop: cost = 37.66114044189453\n", "The 10789th loop: cost = 29.574975967407227\n", "The 10790th loop: cost = 41.4681282043457\n", "The 10791th loop: cost = 40.0762939453125\n", "The 10792th loop: cost = 39.814109802246094\n", "The 10793th loop: cost = 31.233257293701172\n", "The 10794th loop: cost = 35.6065788269043\n", "The 10795th loop: cost = 40.19846725463867\n", "The 10796th loop: cost = 35.74132537841797\n", "The 10797th loop: cost = 45.1646728515625\n", "The 10798th loop: cost = 32.63542556762695\n", "The 10799th loop: cost = 35.40039825439453\n", "The 10800th loop: cost = 43.40807342529297\n", "The 10801th loop: cost = 34.71674346923828\n", "The 10802th loop: cost = 35.93824768066406\n", "The 10803th loop: cost = 31.405460357666016\n", "The 10804th loop: cost = 32.94562530517578\n", "The 10805th loop: cost = 36.16777801513672\n", "The 10806th loop: cost = 33.7964973449707\n", "The 10807th loop: cost = 43.082557678222656\n", "The 10808th loop: cost = 33.32427215576172\n", "The 10809th loop: cost = 34.04156494140625\n", "The 10810th loop: cost = 39.32228088378906\n", "The 10811th loop: cost = 34.285316467285156\n", "The 10812th loop: cost = 38.121978759765625\n", "The 10813th loop: cost = 35.899147033691406\n", "The 10814th loop: cost = 38.954952239990234\n", "The 10815th loop: cost = 38.718788146972656\n", "The 10816th loop: cost = 37.56847381591797\n", "The 10817th loop: cost = 28.94818878173828\n", "The 10818th loop: cost = 31.548826217651367\n", "The 10819th loop: cost = 34.91841125488281\n", "The 10820th loop: cost = 29.911975860595703\n", "The 10821th loop: cost = 31.931982040405273\n", "The 10822th loop: cost = 40.45964050292969\n", "The 10823th loop: cost = 35.21263885498047\n", "The 10824th loop: cost = 36.503578186035156\n", "The 10825th loop: cost = 34.27635192871094\n", "The 10826th loop: cost = 32.449588775634766\n", "The 10827th loop: cost = 45.1553955078125\n", "The 10828th loop: cost = 33.85788345336914\n", "The 10829th loop: cost = 38.1047477722168\n", "The 10830th loop: cost = 40.54363250732422\n", "The 10831th loop: cost = 32.925437927246094\n", "The 10832th loop: cost = 35.32638931274414\n", "The 10833th loop: cost = 35.68284606933594\n", "The 10834th loop: cost = 44.25936508178711\n", "The 10835th loop: cost = 32.551944732666016\n", "The 10836th loop: cost = 32.451316833496094\n", "The 10837th loop: cost = 39.04037094116211\n", "The 10838th loop: cost = 30.830629348754883\n", "The 10839th loop: cost = 40.68531799316406\n", "The 10840th loop: cost = 38.440818786621094\n", "The 10841th loop: cost = 36.70393753051758\n", "The 10842th loop: cost = 41.70855712890625\n", "The 10843th loop: cost = 33.74916076660156\n", "The 10844th loop: cost = 42.72175598144531\n", "The 10845th loop: cost = 33.63848114013672\n", "The 10846th loop: cost = 36.8212890625\n", "The 10847th loop: cost = 42.13995361328125\n", "The 10848th loop: cost = 35.13553237915039\n", "The 10849th loop: cost = 40.35580062866211\n", "The 10850th loop: cost = 31.170963287353516\n", "The 10851th loop: cost = 34.26348876953125\n", "The 10852th loop: cost = 34.645503997802734\n", "The 10853th loop: cost = 33.29474639892578\n", "The 10854th loop: cost = 31.50792121887207\n", "The 10855th loop: cost = 41.18376159667969\n", "The 10856th loop: cost = 28.908512115478516\n", "The 10857th loop: cost = 35.73628234863281\n", "The 10858th loop: cost = 45.451087951660156\n", "The 10859th loop: cost = 36.970272064208984\n", "The 10860th loop: cost = 27.4224910736084\n", "The 10861th loop: cost = 25.04372787475586\n", "The 10862th loop: cost = 34.71001052856445\n", "The 10863th loop: cost = 32.277767181396484\n", "The 10864th loop: cost = 44.49342346191406\n", "The 10865th loop: cost = 40.46105194091797\n", "The 10866th loop: cost = 51.758140563964844\n", "The 10867th loop: cost = 29.37397003173828\n", "The 10868th loop: cost = 36.18665313720703\n", "The 10869th loop: cost = 30.286624908447266\n", "The 10870th loop: cost = 33.997886657714844\n", "The 10871th loop: cost = 37.917213439941406\n", "The 10872th loop: cost = 42.277000427246094\n", "The 10873th loop: cost = 36.03959655761719\n", "The 10874th loop: cost = 37.83961486816406\n", "The 10875th loop: cost = 41.03169250488281\n", "The 10876th loop: cost = 33.63671112060547\n", "The 10877th loop: cost = 37.56615447998047\n", "The 10878th loop: cost = 34.105262756347656\n", "The 10879th loop: cost = 35.37257385253906\n", "The 10880th loop: cost = 33.48785400390625\n", "The 10881th loop: cost = 36.707923889160156\n", "The 10882th loop: cost = 39.80816650390625\n", "The 10883th loop: cost = 35.4141731262207\n", "The 10884th loop: cost = 37.80963897705078\n", "The 10885th loop: cost = 52.658531188964844\n", "The 10886th loop: cost = 40.78877258300781\n", "The 10887th loop: cost = 41.574851989746094\n", "The 10888th loop: cost = 28.2291259765625\n", "The 10889th loop: cost = 47.66979217529297\n", "The 10890th loop: cost = 41.460594177246094\n", "The 10891th loop: cost = 37.06101989746094\n", "The 10892th loop: cost = 45.14508056640625\n", "The 10893th loop: cost = 44.85889434814453\n", "The 10894th loop: cost = 42.649147033691406\n", "The 10895th loop: cost = 36.28156661987305\n", "The 10896th loop: cost = 41.92831039428711\n", "The 10897th loop: cost = 34.053062438964844\n", "The 10898th loop: cost = 44.488624572753906\n", "The 10899th loop: cost = 40.15732192993164\n", "The 10900th loop: cost = 34.81721115112305\n", "The 10901th loop: cost = 38.43290710449219\n", "The 10902th loop: cost = 39.21269989013672\n", "The 10903th loop: cost = 36.179969787597656\n", "The 10904th loop: cost = 46.272666931152344\n", "The 10905th loop: cost = 35.682891845703125\n", "The 10906th loop: cost = 44.52928924560547\n", "The 10907th loop: cost = 28.059755325317383\n", "The 10908th loop: cost = 40.060142517089844\n", "The 10909th loop: cost = 44.24213409423828\n", "The 10910th loop: cost = 34.275390625\n", "The 10911th loop: cost = 30.683582305908203\n", "The 10912th loop: cost = 35.2591438293457\n", "The 10913th loop: cost = 32.40230941772461\n", "The 10914th loop: cost = 35.798004150390625\n", "The 10915th loop: cost = 34.32941436767578\n", "The 10916th loop: cost = 38.077693939208984\n", "The 10917th loop: cost = 49.22174835205078\n", "The 10918th loop: cost = 38.340335845947266\n", "The 10919th loop: cost = 34.64813232421875\n", "The 10920th loop: cost = 46.260494232177734\n", "The 10921th loop: cost = 31.54229164123535\n", "The 10922th loop: cost = 47.24448013305664\n", "The 10923th loop: cost = 34.05367660522461\n", "The 10924th loop: cost = 49.73568344116211\n", "The 10925th loop: cost = 36.251991271972656\n", "The 10926th loop: cost = 35.591949462890625\n", "The 10927th loop: cost = 35.307655334472656\n", "The 10928th loop: cost = 33.608055114746094\n", "The 10929th loop: cost = 47.984249114990234\n", "The 10930th loop: cost = 36.76136016845703\n", "The 10931th loop: cost = 33.50503158569336\n", "The 10932th loop: cost = 33.42131805419922\n", "The 10933th loop: cost = 37.508872985839844\n", "The 10934th loop: cost = 49.026405334472656\n", "The 10935th loop: cost = 34.71497344970703\n", "The 10936th loop: cost = 38.9901237487793\n", "The 10937th loop: cost = 27.075454711914062\n", "The 10938th loop: cost = 37.849571228027344\n", "The 10939th loop: cost = 31.44274139404297\n", "The 10940th loop: cost = 34.72373580932617\n", "The 10941th loop: cost = 29.05763816833496\n", "The 10942th loop: cost = 36.15377426147461\n", "The 10943th loop: cost = 35.25003433227539\n", "The 10944th loop: cost = 27.985389709472656\n", "The 10945th loop: cost = 29.4664306640625\n", "The 10946th loop: cost = 31.562759399414062\n", "The 10947th loop: cost = 38.528297424316406\n", "The 10948th loop: cost = 43.61738204956055\n", "The 10949th loop: cost = 37.575828552246094\n", "The 10950th loop: cost = 51.870948791503906\n", "The 10951th loop: cost = 36.624267578125\n", "The 10952th loop: cost = 38.48334503173828\n", "The 10953th loop: cost = 33.86000061035156\n", "The 10954th loop: cost = 36.23553466796875\n", "The 10955th loop: cost = 44.53245162963867\n", "The 10956th loop: cost = 32.408653259277344\n", "The 10957th loop: cost = 35.892852783203125\n", "The 10958th loop: cost = 44.73603820800781\n", "The 10959th loop: cost = 38.15159606933594\n", "The 10960th loop: cost = 32.037193298339844\n", "The 10961th loop: cost = 30.979778289794922\n", "The 10962th loop: cost = 35.069297790527344\n", "The 10963th loop: cost = 41.77399444580078\n", "The 10964th loop: cost = 30.322010040283203\n", "The 10965th loop: cost = 39.10565948486328\n", "The 10966th loop: cost = 37.0491943359375\n", "The 10967th loop: cost = 30.305015563964844\n", "The 10968th loop: cost = 44.54848861694336\n", "The 10969th loop: cost = 32.99004364013672\n", "The 10970th loop: cost = 33.35673141479492\n", "The 10971th loop: cost = 30.75580596923828\n", "The 10972th loop: cost = 40.183868408203125\n", "The 10973th loop: cost = 45.935569763183594\n", "The 10974th loop: cost = 37.867919921875\n", "The 10975th loop: cost = 33.90496826171875\n", "The 10976th loop: cost = 41.728431701660156\n", "The 10977th loop: cost = 40.86215591430664\n", "The 10978th loop: cost = 31.835105895996094\n", "The 10979th loop: cost = 34.78302764892578\n", "The 10980th loop: cost = 36.19281005859375\n", "The 10981th loop: cost = 36.526771545410156\n", "The 10982th loop: cost = 35.46424865722656\n", "The 10983th loop: cost = 39.04267120361328\n", "The 10984th loop: cost = 36.045509338378906\n", "The 10985th loop: cost = 40.39246368408203\n", "The 10986th loop: cost = 41.50763702392578\n", "The 10987th loop: cost = 33.459571838378906\n", "The 10988th loop: cost = 39.570533752441406\n", "The 10989th loop: cost = 36.3731689453125\n", "The 10990th loop: cost = 43.93104553222656\n", "The 10991th loop: cost = 43.2998046875\n", "The 10992th loop: cost = 39.77214813232422\n", "The 10993th loop: cost = 40.67057418823242\n", "The 10994th loop: cost = 41.47856140136719\n", "The 10995th loop: cost = 38.227108001708984\n", "The 10996th loop: cost = 40.07624053955078\n", "The 10997th loop: cost = 33.66254425048828\n", "The 10998th loop: cost = 39.08545684814453\n", "The 10999th loop: cost = 39.605995178222656\n", "The 11000th loop: cost = 41.13871765136719\n", "The 11001th loop: cost = 37.482425689697266\n", "The 11002th loop: cost = 40.54178237915039\n", "The 11003th loop: cost = 37.751853942871094\n", "The 11004th loop: cost = 41.2027587890625\n", "The 11005th loop: cost = 36.87049865722656\n", "The 11006th loop: cost = 33.002479553222656\n", "The 11007th loop: cost = 37.26351547241211\n", "The 11008th loop: cost = 39.44523239135742\n", "The 11009th loop: cost = 33.704498291015625\n", "The 11010th loop: cost = 44.214935302734375\n", "The 11011th loop: cost = 39.22540283203125\n", "The 11012th loop: cost = 51.29701614379883\n", "The 11013th loop: cost = 35.726051330566406\n", "The 11014th loop: cost = 42.372581481933594\n", "The 11015th loop: cost = 47.899627685546875\n", "The 11016th loop: cost = 33.01002502441406\n", "The 11017th loop: cost = 34.88361358642578\n", "The 11018th loop: cost = 37.87086486816406\n", "The 11019th loop: cost = 35.383392333984375\n", "The 11020th loop: cost = 40.980430603027344\n", "The 11021th loop: cost = 38.229122161865234\n", "The 11022th loop: cost = 40.03839874267578\n", "The 11023th loop: cost = 34.13806915283203\n", "The 11024th loop: cost = 43.475242614746094\n", "The 11025th loop: cost = 26.45555305480957\n", "The 11026th loop: cost = 42.11764144897461\n", "The 11027th loop: cost = 43.0003662109375\n", "The 11028th loop: cost = 32.338775634765625\n", "The 11029th loop: cost = 39.57182312011719\n", "The 11030th loop: cost = 38.974647521972656\n", "The 11031th loop: cost = 35.59600830078125\n", "The 11032th loop: cost = 37.090030670166016\n", "The 11033th loop: cost = 39.17210388183594\n", "The 11034th loop: cost = 41.71747589111328\n", "The 11035th loop: cost = 44.10671615600586\n", "The 11036th loop: cost = 39.443992614746094\n", "The 11037th loop: cost = 35.04644775390625\n", "The 11038th loop: cost = 34.46709060668945\n", "The 11039th loop: cost = 37.12474822998047\n", "The 11040th loop: cost = 44.341041564941406\n", "The 11041th loop: cost = 33.872833251953125\n", "The 11042th loop: cost = 37.744293212890625\n", "The 11043th loop: cost = 41.630252838134766\n", "The 11044th loop: cost = 39.24642562866211\n", "The 11045th loop: cost = 32.764404296875\n", "The 11046th loop: cost = 34.792362213134766\n", "The 11047th loop: cost = 31.454919815063477\n", "The 11048th loop: cost = 39.557151794433594\n", "The 11049th loop: cost = 50.746482849121094\n", "The 11050th loop: cost = 29.696847915649414\n", "The 11051th loop: cost = 34.205772399902344\n", "The 11052th loop: cost = 46.952064514160156\n", "The 11053th loop: cost = 38.73755645751953\n", "The 11054th loop: cost = 39.269195556640625\n", "The 11055th loop: cost = 38.35670471191406\n", "The 11056th loop: cost = 37.2174186706543\n", "The 11057th loop: cost = 33.130455017089844\n", "The 11058th loop: cost = 33.46745300292969\n", "The 11059th loop: cost = 45.822418212890625\n", "The 11060th loop: cost = 28.975257873535156\n", "The 11061th loop: cost = 39.115928649902344\n", "The 11062th loop: cost = 31.891361236572266\n", "The 11063th loop: cost = 35.740936279296875\n", "The 11064th loop: cost = 36.17451477050781\n", "The 11065th loop: cost = 34.0386962890625\n", "The 11066th loop: cost = 25.976158142089844\n", "The 11067th loop: cost = 26.733570098876953\n", "The 11068th loop: cost = 30.537736892700195\n", "The 11069th loop: cost = 29.835128784179688\n", "The 11070th loop: cost = 45.992759704589844\n", "The 11071th loop: cost = 32.18585205078125\n", "The 11072th loop: cost = 45.68489456176758\n", "The 11073th loop: cost = 28.044811248779297\n", "The 11074th loop: cost = 30.139257431030273\n", "The 11075th loop: cost = 32.161903381347656\n", "The 11076th loop: cost = 40.19646072387695\n", "The 11077th loop: cost = 38.005393981933594\n", "The 11078th loop: cost = 34.07949447631836\n", "The 11079th loop: cost = 41.185546875\n", "The 11080th loop: cost = 38.98097229003906\n", "The 11081th loop: cost = 36.085140228271484\n", "The 11082th loop: cost = 37.28951644897461\n", "The 11083th loop: cost = 33.02119827270508\n", "The 11084th loop: cost = 38.87511444091797\n", "The 11085th loop: cost = 38.07302474975586\n", "The 11086th loop: cost = 32.3906135559082\n", "The 11087th loop: cost = 33.400360107421875\n", "The 11088th loop: cost = 35.32327651977539\n", "The 11089th loop: cost = 28.454357147216797\n", "The 11090th loop: cost = 37.42943572998047\n", "The 11091th loop: cost = 34.25323486328125\n", "The 11092th loop: cost = 34.6120491027832\n", "The 11093th loop: cost = 40.51597595214844\n", "The 11094th loop: cost = 35.76349639892578\n", "The 11095th loop: cost = 36.3871955871582\n", "The 11096th loop: cost = 32.25096893310547\n", "The 11097th loop: cost = 43.105628967285156\n", "The 11098th loop: cost = 38.29064178466797\n", "The 11099th loop: cost = 36.84769058227539\n", "The 11100th loop: cost = 31.289152145385742\n", "The 11101th loop: cost = 33.908058166503906\n", "The 11102th loop: cost = 42.68757247924805\n", "The 11103th loop: cost = 45.07478332519531\n", "The 11104th loop: cost = 28.755264282226562\n", "The 11105th loop: cost = 43.635135650634766\n", "The 11106th loop: cost = 44.78181457519531\n", "The 11107th loop: cost = 39.61717224121094\n", "The 11108th loop: cost = 34.3211669921875\n", "The 11109th loop: cost = 35.70317840576172\n", "The 11110th loop: cost = 35.971824645996094\n", "The 11111th loop: cost = 39.997657775878906\n", "The 11112th loop: cost = 37.25994110107422\n", "The 11113th loop: cost = 35.634010314941406\n", "The 11114th loop: cost = 34.622772216796875\n", "The 11115th loop: cost = 34.30436706542969\n", "The 11116th loop: cost = 37.510475158691406\n", "The 11117th loop: cost = 32.13880920410156\n", "The 11118th loop: cost = 36.31159973144531\n", "The 11119th loop: cost = 37.20038604736328\n", "The 11120th loop: cost = 38.65050506591797\n", "The 11121th loop: cost = 41.69760513305664\n", "The 11122th loop: cost = 45.40113067626953\n", "The 11123th loop: cost = 42.6104621887207\n", "The 11124th loop: cost = 42.21924591064453\n", "The 11125th loop: cost = 35.34222412109375\n", "The 11126th loop: cost = 33.29991149902344\n", "The 11127th loop: cost = 37.63512420654297\n", "The 11128th loop: cost = 32.465087890625\n", "The 11129th loop: cost = 36.62673568725586\n", "The 11130th loop: cost = 40.904869079589844\n", "The 11131th loop: cost = 32.08660888671875\n", "The 11132th loop: cost = 29.476543426513672\n", "The 11133th loop: cost = 32.695220947265625\n", "The 11134th loop: cost = 36.76438522338867\n", "The 11135th loop: cost = 33.942291259765625\n", "The 11136th loop: cost = 38.269168853759766\n", "The 11137th loop: cost = 31.822303771972656\n", "The 11138th loop: cost = 32.91606521606445\n", "The 11139th loop: cost = 32.57085418701172\n", "The 11140th loop: cost = 37.8668327331543\n", "The 11141th loop: cost = 38.43492126464844\n", "The 11142th loop: cost = 46.177818298339844\n", "The 11143th loop: cost = 34.97227478027344\n", "The 11144th loop: cost = 44.9188232421875\n", "The 11145th loop: cost = 43.05781555175781\n", "The 11146th loop: cost = 43.140480041503906\n", "The 11147th loop: cost = 41.40717315673828\n", "The 11148th loop: cost = 41.918907165527344\n", "The 11149th loop: cost = 34.91509246826172\n", "The 11150th loop: cost = 35.23797607421875\n", "The 11151th loop: cost = 41.79894256591797\n", "The 11152th loop: cost = 37.34820556640625\n", "The 11153th loop: cost = 37.44648742675781\n", "The 11154th loop: cost = 38.00912857055664\n", "The 11155th loop: cost = 33.23746109008789\n", "The 11156th loop: cost = 30.84051513671875\n", "The 11157th loop: cost = 34.469940185546875\n", "The 11158th loop: cost = 44.2808837890625\n", "The 11159th loop: cost = 34.01055145263672\n", "The 11160th loop: cost = 39.58490753173828\n", "The 11161th loop: cost = 38.924163818359375\n", "The 11162th loop: cost = 39.27897262573242\n", "The 11163th loop: cost = 28.876054763793945\n", "The 11164th loop: cost = 32.06309127807617\n", "The 11165th loop: cost = 27.453914642333984\n", "The 11166th loop: cost = 46.138389587402344\n", "The 11167th loop: cost = 34.47270202636719\n", "The 11168th loop: cost = 43.248435974121094\n", "The 11169th loop: cost = 30.541751861572266\n", "The 11170th loop: cost = 38.739925384521484\n", "The 11171th loop: cost = 32.577735900878906\n", "The 11172th loop: cost = 38.384727478027344\n", "The 11173th loop: cost = 34.22110366821289\n", "The 11174th loop: cost = 42.04228210449219\n", "The 11175th loop: cost = 34.992698669433594\n", "The 11176th loop: cost = 37.37273406982422\n", "The 11177th loop: cost = 31.05630111694336\n", "The 11178th loop: cost = 28.289844512939453\n", "The 11179th loop: cost = 36.80344772338867\n", "The 11180th loop: cost = 40.87147521972656\n", "The 11181th loop: cost = 38.17512893676758\n", "The 11182th loop: cost = 29.808347702026367\n", "The 11183th loop: cost = 30.583200454711914\n", "The 11184th loop: cost = 37.60568618774414\n", "The 11185th loop: cost = 34.14414978027344\n", "The 11186th loop: cost = 41.784095764160156\n", "The 11187th loop: cost = 43.26370620727539\n", "The 11188th loop: cost = 35.594722747802734\n", "The 11189th loop: cost = 36.25788879394531\n", "The 11190th loop: cost = 33.453468322753906\n", "The 11191th loop: cost = 34.06412124633789\n", "The 11192th loop: cost = 38.739768981933594\n", "The 11193th loop: cost = 32.91132354736328\n", "The 11194th loop: cost = 32.25156021118164\n", "The 11195th loop: cost = 34.25405502319336\n", "The 11196th loop: cost = 36.51545715332031\n", "The 11197th loop: cost = 38.35908508300781\n", "The 11198th loop: cost = 33.93134307861328\n", "The 11199th loop: cost = 34.65636444091797\n", "The 11200th loop: cost = 34.939300537109375\n", "The 11201th loop: cost = 37.119258880615234\n", "The 11202th loop: cost = 41.517127990722656\n", "The 11203th loop: cost = 29.326370239257812\n", "The 11204th loop: cost = 47.644432067871094\n", "The 11205th loop: cost = 39.07278823852539\n", "The 11206th loop: cost = 37.14595031738281\n", "The 11207th loop: cost = 43.30635070800781\n", "The 11208th loop: cost = 34.80902099609375\n", "The 11209th loop: cost = 33.70191955566406\n", "The 11210th loop: cost = 43.612545013427734\n", "The 11211th loop: cost = 40.346431732177734\n", "The 11212th loop: cost = 33.856163024902344\n", "The 11213th loop: cost = 40.73484802246094\n", "The 11214th loop: cost = 31.704660415649414\n", "The 11215th loop: cost = 36.32109069824219\n", "The 11216th loop: cost = 38.01762390136719\n", "The 11217th loop: cost = 29.315078735351562\n", "The 11218th loop: cost = 37.08679962158203\n", "The 11219th loop: cost = 40.413326263427734\n", "The 11220th loop: cost = 36.54944610595703\n", "The 11221th loop: cost = 30.3851261138916\n", "The 11222th loop: cost = 41.68573760986328\n", "The 11223th loop: cost = 37.77808380126953\n", "The 11224th loop: cost = 39.095314025878906\n", "The 11225th loop: cost = 31.68175506591797\n", "The 11226th loop: cost = 37.277462005615234\n", "The 11227th loop: cost = 38.94598388671875\n", "The 11228th loop: cost = 32.506614685058594\n", "The 11229th loop: cost = 44.14918518066406\n", "The 11230th loop: cost = 41.23542785644531\n", "The 11231th loop: cost = 31.361591339111328\n", "The 11232th loop: cost = 38.00300598144531\n", "The 11233th loop: cost = 27.872955322265625\n", "The 11234th loop: cost = 33.912418365478516\n", "The 11235th loop: cost = 32.18278121948242\n", "The 11236th loop: cost = 29.642749786376953\n", "The 11237th loop: cost = 33.44588088989258\n", "The 11238th loop: cost = 43.98800277709961\n", "The 11239th loop: cost = 32.96863555908203\n", "The 11240th loop: cost = 32.70063781738281\n", "The 11241th loop: cost = 33.77749252319336\n", "The 11242th loop: cost = 44.0140380859375\n", "The 11243th loop: cost = 33.36082458496094\n", "The 11244th loop: cost = 36.13454818725586\n", "The 11245th loop: cost = 38.67584991455078\n", "The 11246th loop: cost = 36.15442657470703\n", "The 11247th loop: cost = 44.641700744628906\n", "The 11248th loop: cost = 32.5962028503418\n", "The 11249th loop: cost = 36.86827850341797\n", "The 11250th loop: cost = 32.79705810546875\n", "The 11251th loop: cost = 30.581043243408203\n", "The 11252th loop: cost = 38.588775634765625\n", "The 11253th loop: cost = 28.00684356689453\n", "The 11254th loop: cost = 39.46929168701172\n", "The 11255th loop: cost = 43.05793762207031\n", "The 11256th loop: cost = 31.64078140258789\n", "The 11257th loop: cost = 29.11567497253418\n", "The 11258th loop: cost = 35.605918884277344\n", "The 11259th loop: cost = 29.862796783447266\n", "The 11260th loop: cost = 45.56431579589844\n", "The 11261th loop: cost = 43.251434326171875\n", "The 11262th loop: cost = 45.004676818847656\n", "The 11263th loop: cost = 41.399993896484375\n", "The 11264th loop: cost = 35.600242614746094\n", "The 11265th loop: cost = 38.17420196533203\n", "The 11266th loop: cost = 33.85211181640625\n", "The 11267th loop: cost = 36.353515625\n", "The 11268th loop: cost = 38.27714538574219\n", "The 11269th loop: cost = 42.31742858886719\n", "The 11270th loop: cost = 32.168907165527344\n", "The 11271th loop: cost = 41.580448150634766\n", "The 11272th loop: cost = 33.83811950683594\n", "The 11273th loop: cost = 30.623510360717773\n", "The 11274th loop: cost = 34.675846099853516\n", "The 11275th loop: cost = 47.39092254638672\n", "The 11276th loop: cost = 35.08012008666992\n", "The 11277th loop: cost = 29.448944091796875\n", "The 11278th loop: cost = 34.67745590209961\n", "The 11279th loop: cost = 31.068443298339844\n", "The 11280th loop: cost = 36.041290283203125\n", "The 11281th loop: cost = 31.00723648071289\n", "The 11282th loop: cost = 40.667659759521484\n", "The 11283th loop: cost = 38.94084548950195\n", "The 11284th loop: cost = 46.590354919433594\n", "The 11285th loop: cost = 42.268184661865234\n", "The 11286th loop: cost = 41.17172622680664\n", "The 11287th loop: cost = 38.983734130859375\n", "The 11288th loop: cost = 37.15936279296875\n", "The 11289th loop: cost = 33.188758850097656\n", "The 11290th loop: cost = 40.387489318847656\n", "The 11291th loop: cost = 37.283538818359375\n", "The 11292th loop: cost = 28.65064239501953\n", "The 11293th loop: cost = 42.55641555786133\n", "The 11294th loop: cost = 37.84960174560547\n", "The 11295th loop: cost = 40.575199127197266\n", "The 11296th loop: cost = 36.35350799560547\n", "The 11297th loop: cost = 42.81523895263672\n", "The 11298th loop: cost = 39.22298812866211\n", "The 11299th loop: cost = 47.993629455566406\n", "The 11300th loop: cost = 35.58808898925781\n", "The 11301th loop: cost = 26.930082321166992\n", "The 11302th loop: cost = 43.17155456542969\n", "The 11303th loop: cost = 45.23683166503906\n", "The 11304th loop: cost = 38.016300201416016\n", "The 11305th loop: cost = 38.780235290527344\n", "The 11306th loop: cost = 38.87743377685547\n", "The 11307th loop: cost = 39.62397003173828\n", "The 11308th loop: cost = 35.971763610839844\n", "The 11309th loop: cost = 35.89137268066406\n", "The 11310th loop: cost = 34.867469787597656\n", "The 11311th loop: cost = 37.33767318725586\n", "The 11312th loop: cost = 47.94807434082031\n", "The 11313th loop: cost = 32.87808609008789\n", "The 11314th loop: cost = 38.20337677001953\n", "The 11315th loop: cost = 38.5020866394043\n", "The 11316th loop: cost = 36.64678955078125\n", "The 11317th loop: cost = 34.941856384277344\n", "The 11318th loop: cost = 39.32273864746094\n", "The 11319th loop: cost = 35.667762756347656\n", "The 11320th loop: cost = 35.7647819519043\n", "The 11321th loop: cost = 35.28540802001953\n", "The 11322th loop: cost = 45.81785202026367\n", "The 11323th loop: cost = 37.25035858154297\n", "The 11324th loop: cost = 49.14545822143555\n", "The 11325th loop: cost = 35.39877700805664\n", "The 11326th loop: cost = 40.70167922973633\n", "The 11327th loop: cost = 34.051387786865234\n", "The 11328th loop: cost = 45.80612564086914\n", "The 11329th loop: cost = 39.67847442626953\n", "The 11330th loop: cost = 35.76308822631836\n", "The 11331th loop: cost = 34.76129913330078\n", "The 11332th loop: cost = 35.646480560302734\n", "The 11333th loop: cost = 36.378353118896484\n", "The 11334th loop: cost = 35.504817962646484\n", "The 11335th loop: cost = 34.61769104003906\n", "The 11336th loop: cost = 32.61836242675781\n", "The 11337th loop: cost = 30.353761672973633\n", "The 11338th loop: cost = 38.593902587890625\n", "The 11339th loop: cost = 42.94561004638672\n", "The 11340th loop: cost = 30.490570068359375\n", "The 11341th loop: cost = 31.638607025146484\n", "The 11342th loop: cost = 44.0604362487793\n", "The 11343th loop: cost = 40.22032165527344\n", "The 11344th loop: cost = 39.00550842285156\n", "The 11345th loop: cost = 42.5103759765625\n", "The 11346th loop: cost = 35.844051361083984\n", "The 11347th loop: cost = 39.539710998535156\n", "The 11348th loop: cost = 38.58269500732422\n", "The 11349th loop: cost = 40.207855224609375\n", "The 11350th loop: cost = 46.401390075683594\n", "The 11351th loop: cost = 35.42889404296875\n", "The 11352th loop: cost = 39.17295837402344\n", "The 11353th loop: cost = 43.071781158447266\n", "The 11354th loop: cost = 38.25713348388672\n", "The 11355th loop: cost = 41.17713928222656\n", "The 11356th loop: cost = 38.444358825683594\n", "The 11357th loop: cost = 32.51740646362305\n", "The 11358th loop: cost = 41.348167419433594\n", "The 11359th loop: cost = 39.86895751953125\n", "The 11360th loop: cost = 37.944908142089844\n", "The 11361th loop: cost = 43.04383850097656\n", "The 11362th loop: cost = 33.56060791015625\n", "The 11363th loop: cost = 34.717918395996094\n", "The 11364th loop: cost = 43.23344802856445\n", "The 11365th loop: cost = 39.36518096923828\n", "The 11366th loop: cost = 39.28838348388672\n", "The 11367th loop: cost = 42.323577880859375\n", "The 11368th loop: cost = 33.76325607299805\n", "The 11369th loop: cost = 31.056529998779297\n", "The 11370th loop: cost = 35.28147888183594\n", "The 11371th loop: cost = 44.33550262451172\n", "The 11372th loop: cost = 32.26792907714844\n", "The 11373th loop: cost = 39.4750862121582\n", "The 11374th loop: cost = 41.77029037475586\n", "The 11375th loop: cost = 43.241355895996094\n", "The 11376th loop: cost = 39.74955368041992\n", "The 11377th loop: cost = 31.372343063354492\n", "The 11378th loop: cost = 31.944753646850586\n", "The 11379th loop: cost = 29.839427947998047\n", "The 11380th loop: cost = 50.46336364746094\n", "The 11381th loop: cost = 32.926055908203125\n", "The 11382th loop: cost = 38.13991928100586\n", "The 11383th loop: cost = 33.19953155517578\n", "The 11384th loop: cost = 35.622398376464844\n", "The 11385th loop: cost = 42.34032440185547\n", "The 11386th loop: cost = 30.86072540283203\n", "The 11387th loop: cost = 35.94695281982422\n", "The 11388th loop: cost = 28.387210845947266\n", "The 11389th loop: cost = 41.757381439208984\n", "The 11390th loop: cost = 32.661964416503906\n", "The 11391th loop: cost = 20.55645751953125\n", "The 11392th loop: cost = 45.24344253540039\n", "The 11393th loop: cost = 43.26603317260742\n", "The 11394th loop: cost = 29.194934844970703\n", "The 11395th loop: cost = 34.648136138916016\n", "The 11396th loop: cost = 38.93719482421875\n", "The 11397th loop: cost = 41.79347229003906\n", "The 11398th loop: cost = 38.947654724121094\n", "The 11399th loop: cost = 36.3089599609375\n", "The 11400th loop: cost = 43.10069274902344\n", "The 11401th loop: cost = 40.94682312011719\n", "The 11402th loop: cost = 44.187870025634766\n", "The 11403th loop: cost = 44.381263732910156\n", "The 11404th loop: cost = 42.009742736816406\n", "The 11405th loop: cost = 39.7916259765625\n", "The 11406th loop: cost = 37.9029655456543\n", "The 11407th loop: cost = 46.692665100097656\n", "The 11408th loop: cost = 33.991233825683594\n", "The 11409th loop: cost = 37.113529205322266\n", "The 11410th loop: cost = 35.34968185424805\n", "The 11411th loop: cost = 35.087162017822266\n", "The 11412th loop: cost = 37.73075485229492\n", "The 11413th loop: cost = 44.14311981201172\n", "The 11414th loop: cost = 38.20506286621094\n", "The 11415th loop: cost = 36.53355407714844\n", "The 11416th loop: cost = 34.963287353515625\n", "The 11417th loop: cost = 35.47706604003906\n", "The 11418th loop: cost = 44.301761627197266\n", "The 11419th loop: cost = 34.116695404052734\n", "The 11420th loop: cost = 29.564977645874023\n", "The 11421th loop: cost = 28.581384658813477\n", "The 11422th loop: cost = 26.94924545288086\n", "The 11423th loop: cost = 32.202003479003906\n", "The 11424th loop: cost = 40.73615264892578\n", "The 11425th loop: cost = 36.471858978271484\n", "The 11426th loop: cost = 40.36773681640625\n", "The 11427th loop: cost = 31.93587875366211\n", "The 11428th loop: cost = 33.379234313964844\n", "The 11429th loop: cost = 45.22771453857422\n", "The 11430th loop: cost = 39.64668655395508\n", "The 11431th loop: cost = 35.146385192871094\n", "The 11432th loop: cost = 34.73887634277344\n", "The 11433th loop: cost = 41.28896713256836\n", "The 11434th loop: cost = 36.32592010498047\n", "The 11435th loop: cost = 42.376461029052734\n", "The 11436th loop: cost = 31.08157730102539\n", "The 11437th loop: cost = 34.824127197265625\n", "The 11438th loop: cost = 38.016273498535156\n", "The 11439th loop: cost = 36.16685485839844\n", "The 11440th loop: cost = 37.051597595214844\n", "The 11441th loop: cost = 43.28158187866211\n", "The 11442th loop: cost = 44.12322998046875\n", "The 11443th loop: cost = 42.4153938293457\n", "The 11444th loop: cost = 37.277076721191406\n", "The 11445th loop: cost = 42.128143310546875\n", "The 11446th loop: cost = 43.62660217285156\n", "The 11447th loop: cost = 47.349395751953125\n", "The 11448th loop: cost = 36.0670280456543\n", "The 11449th loop: cost = 33.195770263671875\n", "The 11450th loop: cost = 35.15483093261719\n", "The 11451th loop: cost = 35.20222473144531\n", "The 11452th loop: cost = 35.21924591064453\n", "The 11453th loop: cost = 35.10614013671875\n", "The 11454th loop: cost = 42.74651336669922\n", "The 11455th loop: cost = 34.4035758972168\n", "The 11456th loop: cost = 37.144989013671875\n", "The 11457th loop: cost = 39.53954315185547\n", "The 11458th loop: cost = 41.65924835205078\n", "The 11459th loop: cost = 34.90869903564453\n", "The 11460th loop: cost = 34.44655990600586\n", "The 11461th loop: cost = 46.48207092285156\n", "The 11462th loop: cost = 33.739654541015625\n", "The 11463th loop: cost = 31.087568283081055\n", "The 11464th loop: cost = 34.38957214355469\n", "The 11465th loop: cost = 38.18254470825195\n", "The 11466th loop: cost = 34.363502502441406\n", "The 11467th loop: cost = 37.18149185180664\n", "The 11468th loop: cost = 32.448509216308594\n", "The 11469th loop: cost = 39.03736877441406\n", "The 11470th loop: cost = 36.02440643310547\n", "The 11471th loop: cost = 33.03419494628906\n", "The 11472th loop: cost = 34.27082824707031\n", "The 11473th loop: cost = 31.15452003479004\n", "The 11474th loop: cost = 37.58135986328125\n", "The 11475th loop: cost = 36.89544677734375\n", "The 11476th loop: cost = 38.74833679199219\n", "The 11477th loop: cost = 33.65935134887695\n", "The 11478th loop: cost = 35.771087646484375\n", "The 11479th loop: cost = 31.664579391479492\n", "The 11480th loop: cost = 38.263431549072266\n", "The 11481th loop: cost = 31.552637100219727\n", "The 11482th loop: cost = 33.01925277709961\n", "The 11483th loop: cost = 32.12111282348633\n", "The 11484th loop: cost = 38.66163635253906\n", "The 11485th loop: cost = 36.30496597290039\n", "The 11486th loop: cost = 41.74824523925781\n", "The 11487th loop: cost = 38.73646545410156\n", "The 11488th loop: cost = 38.24805450439453\n", "The 11489th loop: cost = 33.33412551879883\n", "The 11490th loop: cost = 35.93511962890625\n", "The 11491th loop: cost = 30.908748626708984\n", "The 11492th loop: cost = 37.859642028808594\n", "The 11493th loop: cost = 32.225013732910156\n", "The 11494th loop: cost = 32.73274230957031\n", "The 11495th loop: cost = 40.151649475097656\n", "The 11496th loop: cost = 38.43534469604492\n", "The 11497th loop: cost = 32.827423095703125\n", "The 11498th loop: cost = 40.378238677978516\n", "The 11499th loop: cost = 36.797760009765625\n", "The 11500th loop: cost = 28.55345916748047\n", "The 11501th loop: cost = 35.98884582519531\n", "The 11502th loop: cost = 30.20797348022461\n", "The 11503th loop: cost = 37.534996032714844\n", "The 11504th loop: cost = 32.546875\n", "The 11505th loop: cost = 41.91438674926758\n", "The 11506th loop: cost = 27.64490509033203\n", "The 11507th loop: cost = 32.60003662109375\n", "The 11508th loop: cost = 37.71567153930664\n", "The 11509th loop: cost = 30.973613739013672\n", "The 11510th loop: cost = 33.19233703613281\n", "The 11511th loop: cost = 50.48499298095703\n", "The 11512th loop: cost = 36.304718017578125\n", "The 11513th loop: cost = 38.74812698364258\n", "The 11514th loop: cost = 37.8516845703125\n", "The 11515th loop: cost = 32.019737243652344\n", "The 11516th loop: cost = 43.83958435058594\n", "The 11517th loop: cost = 33.71773910522461\n", "The 11518th loop: cost = 37.986732482910156\n", "The 11519th loop: cost = 31.899627685546875\n", "The 11520th loop: cost = 37.50048065185547\n", "The 11521th loop: cost = 37.64482879638672\n", "The 11522th loop: cost = 30.178329467773438\n", "The 11523th loop: cost = 38.43134307861328\n", "The 11524th loop: cost = 37.66432571411133\n", "The 11525th loop: cost = 35.262603759765625\n", "The 11526th loop: cost = 35.3076286315918\n", "The 11527th loop: cost = 35.62330627441406\n", "The 11528th loop: cost = 31.132482528686523\n", "The 11529th loop: cost = 41.7130241394043\n", "The 11530th loop: cost = 38.717464447021484\n", "The 11531th loop: cost = 36.120574951171875\n", "The 11532th loop: cost = 35.63316345214844\n", "The 11533th loop: cost = 31.198474884033203\n", "The 11534th loop: cost = 31.904842376708984\n", "The 11535th loop: cost = 41.60508728027344\n", "The 11536th loop: cost = 31.862071990966797\n", "The 11537th loop: cost = 27.106685638427734\n", "The 11538th loop: cost = 35.49658203125\n", "The 11539th loop: cost = 31.132444381713867\n", "The 11540th loop: cost = 37.3983268737793\n", "The 11541th loop: cost = 34.20450210571289\n", "The 11542th loop: cost = 32.795433044433594\n", "The 11543th loop: cost = 39.434078216552734\n", "The 11544th loop: cost = 42.20330047607422\n", "The 11545th loop: cost = 37.056827545166016\n", "The 11546th loop: cost = 41.01622009277344\n", "The 11547th loop: cost = 31.79498291015625\n", "The 11548th loop: cost = 43.52698516845703\n", "The 11549th loop: cost = 39.2474365234375\n", "The 11550th loop: cost = 37.25453186035156\n", "The 11551th loop: cost = 46.21586990356445\n", "The 11552th loop: cost = 37.04925537109375\n", "The 11553th loop: cost = 38.38404083251953\n", "The 11554th loop: cost = 43.290157318115234\n", "The 11555th loop: cost = 35.804832458496094\n", "The 11556th loop: cost = 30.494678497314453\n", "The 11557th loop: cost = 35.14737319946289\n", "The 11558th loop: cost = 39.82778549194336\n", "The 11559th loop: cost = 41.87901306152344\n", "The 11560th loop: cost = 35.65121841430664\n", "The 11561th loop: cost = 32.08275604248047\n", "The 11562th loop: cost = 43.31813430786133\n", "The 11563th loop: cost = 42.949974060058594\n", "The 11564th loop: cost = 39.3632926940918\n", "The 11565th loop: cost = 33.51688003540039\n", "The 11566th loop: cost = 45.483726501464844\n", "The 11567th loop: cost = 41.673458099365234\n", "The 11568th loop: cost = 26.822975158691406\n", "The 11569th loop: cost = 37.41122817993164\n", "The 11570th loop: cost = 36.9205207824707\n", "The 11571th loop: cost = 44.010162353515625\n", "The 11572th loop: cost = 44.7322883605957\n", "The 11573th loop: cost = 35.68800735473633\n", "The 11574th loop: cost = 31.442445755004883\n", "The 11575th loop: cost = 42.384376525878906\n", "The 11576th loop: cost = 35.826045989990234\n", "The 11577th loop: cost = 39.20784378051758\n", "The 11578th loop: cost = 41.57767105102539\n", "The 11579th loop: cost = 42.05855941772461\n", "The 11580th loop: cost = 41.88623809814453\n", "The 11581th loop: cost = 35.919517517089844\n", "The 11582th loop: cost = 34.109901428222656\n", "The 11583th loop: cost = 34.84228515625\n", "The 11584th loop: cost = 36.27833938598633\n", "The 11585th loop: cost = 36.20137023925781\n", "The 11586th loop: cost = 34.878814697265625\n", "The 11587th loop: cost = 36.67879104614258\n", "The 11588th loop: cost = 45.824806213378906\n", "The 11589th loop: cost = 37.213260650634766\n", "The 11590th loop: cost = 35.85610580444336\n", "The 11591th loop: cost = 40.979217529296875\n", "The 11592th loop: cost = 29.9858455657959\n", "The 11593th loop: cost = 41.06141662597656\n", "The 11594th loop: cost = 36.578277587890625\n", "The 11595th loop: cost = 31.458419799804688\n", "The 11596th loop: cost = 37.28451156616211\n", "The 11597th loop: cost = 32.768699645996094\n", "The 11598th loop: cost = 39.38977813720703\n", "The 11599th loop: cost = 41.1672248840332\n", "The 11600th loop: cost = 31.254310607910156\n", "The 11601th loop: cost = 34.79479217529297\n", "The 11602th loop: cost = 34.631919860839844\n", "The 11603th loop: cost = 32.83728790283203\n", "The 11604th loop: cost = 32.226463317871094\n", "The 11605th loop: cost = 37.99068832397461\n", "The 11606th loop: cost = 40.645328521728516\n", "The 11607th loop: cost = 39.49396514892578\n", "The 11608th loop: cost = 43.68106460571289\n", "The 11609th loop: cost = 35.378875732421875\n", "The 11610th loop: cost = 38.66681671142578\n", "The 11611th loop: cost = 29.00457000732422\n", "The 11612th loop: cost = 34.670806884765625\n", "The 11613th loop: cost = 27.33194351196289\n", "The 11614th loop: cost = 35.86052322387695\n", "The 11615th loop: cost = 32.157691955566406\n", "The 11616th loop: cost = 38.848060607910156\n", "The 11617th loop: cost = 36.02067565917969\n", "The 11618th loop: cost = 56.195213317871094\n", "The 11619th loop: cost = 40.34479522705078\n", "The 11620th loop: cost = 33.844505310058594\n", "The 11621th loop: cost = 31.922544479370117\n", "The 11622th loop: cost = 31.52212905883789\n", "The 11623th loop: cost = 29.999710083007812\n", "The 11624th loop: cost = 40.38533020019531\n", "The 11625th loop: cost = 42.011192321777344\n", "The 11626th loop: cost = 34.576114654541016\n", "The 11627th loop: cost = 34.05820846557617\n", "The 11628th loop: cost = 37.265892028808594\n", "The 11629th loop: cost = 36.5717658996582\n", "The 11630th loop: cost = 31.405763626098633\n", "The 11631th loop: cost = 42.7508544921875\n", "The 11632th loop: cost = 38.88106918334961\n", "The 11633th loop: cost = 38.86641311645508\n", "The 11634th loop: cost = 38.3773307800293\n", "The 11635th loop: cost = 42.276336669921875\n", "The 11636th loop: cost = 30.991039276123047\n", "The 11637th loop: cost = 39.81864547729492\n", "The 11638th loop: cost = 39.33319091796875\n", "The 11639th loop: cost = 34.022552490234375\n", "The 11640th loop: cost = 30.67219352722168\n", "The 11641th loop: cost = 29.9940185546875\n", "The 11642th loop: cost = 39.88018035888672\n", "The 11643th loop: cost = 44.63715744018555\n", "The 11644th loop: cost = 38.770263671875\n", "The 11645th loop: cost = 46.558929443359375\n", "The 11646th loop: cost = 36.2748908996582\n", "The 11647th loop: cost = 38.77465057373047\n", "The 11648th loop: cost = 43.15493392944336\n", "The 11649th loop: cost = 32.178123474121094\n", "The 11650th loop: cost = 40.33287048339844\n", "The 11651th loop: cost = 32.02004623413086\n", "The 11652th loop: cost = 33.967891693115234\n", "The 11653th loop: cost = 38.31654739379883\n", "The 11654th loop: cost = 34.977195739746094\n", "The 11655th loop: cost = 33.348323822021484\n", "The 11656th loop: cost = 36.83073425292969\n", "The 11657th loop: cost = 36.68574523925781\n", "The 11658th loop: cost = 27.958473205566406\n", "The 11659th loop: cost = 36.6870002746582\n", "The 11660th loop: cost = 37.83085250854492\n", "The 11661th loop: cost = 35.372013092041016\n", "The 11662th loop: cost = 37.850921630859375\n", "The 11663th loop: cost = 41.49191665649414\n", "The 11664th loop: cost = 34.26153564453125\n", "The 11665th loop: cost = 40.96628952026367\n", "The 11666th loop: cost = 38.85255432128906\n", "The 11667th loop: cost = 37.203094482421875\n", "The 11668th loop: cost = 46.35191345214844\n", "The 11669th loop: cost = 34.40387725830078\n", "The 11670th loop: cost = 33.11266326904297\n", "The 11671th loop: cost = 41.67967224121094\n", "The 11672th loop: cost = 38.852195739746094\n", "The 11673th loop: cost = 40.48955535888672\n", "The 11674th loop: cost = 42.8392219543457\n", "The 11675th loop: cost = 34.490081787109375\n", "The 11676th loop: cost = 31.744447708129883\n", "The 11677th loop: cost = 39.64723205566406\n", "The 11678th loop: cost = 42.55082702636719\n", "The 11679th loop: cost = 35.417152404785156\n", "The 11680th loop: cost = 42.96907043457031\n", "The 11681th loop: cost = 30.96151351928711\n", "The 11682th loop: cost = 32.99406433105469\n", "The 11683th loop: cost = 35.38688659667969\n", "The 11684th loop: cost = 37.13737487792969\n", "The 11685th loop: cost = 37.92814636230469\n", "The 11686th loop: cost = 33.673274993896484\n", "The 11687th loop: cost = 37.8614501953125\n", "The 11688th loop: cost = 49.424232482910156\n", "The 11689th loop: cost = 42.54201126098633\n", "The 11690th loop: cost = 38.540550231933594\n", "The 11691th loop: cost = 36.7662353515625\n", "The 11692th loop: cost = 42.633338928222656\n", "The 11693th loop: cost = 36.940284729003906\n", "The 11694th loop: cost = 32.6273078918457\n", "The 11695th loop: cost = 37.6129150390625\n", "The 11696th loop: cost = 34.956581115722656\n", "The 11697th loop: cost = 27.510852813720703\n", "The 11698th loop: cost = 34.65850067138672\n", "The 11699th loop: cost = 35.09529495239258\n", "The 11700th loop: cost = 43.68065643310547\n", "The 11701th loop: cost = 35.62367630004883\n", "The 11702th loop: cost = 38.09233093261719\n", "The 11703th loop: cost = 34.803955078125\n", "The 11704th loop: cost = 32.416770935058594\n", "The 11705th loop: cost = 32.91496658325195\n", "The 11706th loop: cost = 35.09624481201172\n", "The 11707th loop: cost = 42.39609909057617\n", "The 11708th loop: cost = 38.38383102416992\n", "The 11709th loop: cost = 43.44576644897461\n", "The 11710th loop: cost = 34.63617706298828\n", "The 11711th loop: cost = 35.59941482543945\n", "The 11712th loop: cost = 38.95148468017578\n", "The 11713th loop: cost = 33.871482849121094\n", "The 11714th loop: cost = 34.047000885009766\n", "The 11715th loop: cost = 32.186065673828125\n", "The 11716th loop: cost = 42.65849304199219\n", "The 11717th loop: cost = 39.94990539550781\n", "The 11718th loop: cost = 26.961383819580078\n", "The 11719th loop: cost = 37.480133056640625\n", "The 11720th loop: cost = 34.56604766845703\n", "The 11721th loop: cost = 34.74885940551758\n", "The 11722th loop: cost = 43.82647705078125\n", "The 11723th loop: cost = 37.26521682739258\n", "The 11724th loop: cost = 47.868568420410156\n", "The 11725th loop: cost = 43.46440124511719\n", "The 11726th loop: cost = 30.844606399536133\n", "The 11727th loop: cost = 45.56346130371094\n", "The 11728th loop: cost = 39.92089080810547\n", "The 11729th loop: cost = 40.57180404663086\n", "The 11730th loop: cost = 41.114227294921875\n", "The 11731th loop: cost = 42.688194274902344\n", "The 11732th loop: cost = 35.96009826660156\n", "The 11733th loop: cost = 34.962493896484375\n", "The 11734th loop: cost = 40.327415466308594\n", "The 11735th loop: cost = 30.71731185913086\n", "The 11736th loop: cost = 42.27480697631836\n", "The 11737th loop: cost = 32.324920654296875\n", "The 11738th loop: cost = 37.041107177734375\n", "The 11739th loop: cost = 33.268455505371094\n", "The 11740th loop: cost = 45.90129470825195\n", "The 11741th loop: cost = 40.7662353515625\n", "The 11742th loop: cost = 33.859981536865234\n", "The 11743th loop: cost = 35.521018981933594\n", "The 11744th loop: cost = 38.75910186767578\n", "The 11745th loop: cost = 47.580108642578125\n", "The 11746th loop: cost = 29.932537078857422\n", "The 11747th loop: cost = 35.21976852416992\n", "The 11748th loop: cost = 27.294933319091797\n", "The 11749th loop: cost = 30.088768005371094\n", "The 11750th loop: cost = 39.111228942871094\n", "The 11751th loop: cost = 32.73664093017578\n", "The 11752th loop: cost = 43.82469940185547\n", "The 11753th loop: cost = 33.44017028808594\n", "The 11754th loop: cost = 38.09281921386719\n", "The 11755th loop: cost = 39.9379997253418\n", "The 11756th loop: cost = 41.50262451171875\n", "The 11757th loop: cost = 31.22178077697754\n", "The 11758th loop: cost = 32.93617248535156\n", "The 11759th loop: cost = 37.9885368347168\n", "The 11760th loop: cost = 34.148746490478516\n", "The 11761th loop: cost = 51.835060119628906\n", "The 11762th loop: cost = 31.715255737304688\n", "The 11763th loop: cost = 32.347557067871094\n", "The 11764th loop: cost = 39.16197204589844\n", "The 11765th loop: cost = 44.07886505126953\n", "The 11766th loop: cost = 48.42160415649414\n", "The 11767th loop: cost = 45.86161422729492\n", "The 11768th loop: cost = 36.23015594482422\n", "The 11769th loop: cost = 34.774375915527344\n", "The 11770th loop: cost = 33.13389587402344\n", "The 11771th loop: cost = 31.006824493408203\n", "The 11772th loop: cost = 35.955352783203125\n", "The 11773th loop: cost = 40.78193664550781\n", "The 11774th loop: cost = 30.64959716796875\n", "The 11775th loop: cost = 31.752670288085938\n", "The 11776th loop: cost = 39.168113708496094\n", "The 11777th loop: cost = 31.123497009277344\n", "The 11778th loop: cost = 38.724586486816406\n", "The 11779th loop: cost = 39.89814758300781\n", "The 11780th loop: cost = 26.918312072753906\n", "The 11781th loop: cost = 35.5113525390625\n", "The 11782th loop: cost = 38.203575134277344\n", "The 11783th loop: cost = 38.162567138671875\n", "The 11784th loop: cost = 33.546390533447266\n", "The 11785th loop: cost = 41.545867919921875\n", "The 11786th loop: cost = 46.33448791503906\n", "The 11787th loop: cost = 33.389251708984375\n", "The 11788th loop: cost = 38.984642028808594\n", "The 11789th loop: cost = 34.89289855957031\n", "The 11790th loop: cost = 39.848121643066406\n", "The 11791th loop: cost = 35.002113342285156\n", "The 11792th loop: cost = 33.92778778076172\n", "The 11793th loop: cost = 34.46269989013672\n", "The 11794th loop: cost = 38.65247344970703\n", "The 11795th loop: cost = 37.15656661987305\n", "The 11796th loop: cost = 35.176002502441406\n", "The 11797th loop: cost = 35.5460205078125\n", "The 11798th loop: cost = 35.433326721191406\n", "The 11799th loop: cost = 34.92903137207031\n", "The 11800th loop: cost = 34.67267608642578\n", "The 11801th loop: cost = 33.18254470825195\n", "The 11802th loop: cost = 35.865264892578125\n", "The 11803th loop: cost = 34.837188720703125\n", "The 11804th loop: cost = 29.400680541992188\n", "The 11805th loop: cost = 26.13409423828125\n", "The 11806th loop: cost = 33.750064849853516\n", "The 11807th loop: cost = 29.473434448242188\n", "The 11808th loop: cost = 38.582157135009766\n", "The 11809th loop: cost = 44.730655670166016\n", "The 11810th loop: cost = 32.38532638549805\n", "The 11811th loop: cost = 41.662994384765625\n", "The 11812th loop: cost = 31.237682342529297\n", "The 11813th loop: cost = 30.977760314941406\n", "The 11814th loop: cost = 34.877708435058594\n", "The 11815th loop: cost = 37.73517608642578\n", "The 11816th loop: cost = 35.314186096191406\n", "The 11817th loop: cost = 42.732933044433594\n", "The 11818th loop: cost = 33.97699737548828\n", "The 11819th loop: cost = 37.188072204589844\n", "The 11820th loop: cost = 32.581199645996094\n", "The 11821th loop: cost = 41.29971694946289\n", "The 11822th loop: cost = 39.54883575439453\n", "The 11823th loop: cost = 34.624786376953125\n", "The 11824th loop: cost = 27.758935928344727\n", "The 11825th loop: cost = 32.31672668457031\n", "The 11826th loop: cost = 43.49028778076172\n", "The 11827th loop: cost = 36.264007568359375\n", "The 11828th loop: cost = 32.80788803100586\n", "The 11829th loop: cost = 37.636009216308594\n", "The 11830th loop: cost = 34.12993240356445\n", "The 11831th loop: cost = 37.158599853515625\n", "The 11832th loop: cost = 32.48186111450195\n", "The 11833th loop: cost = 38.215431213378906\n", "The 11834th loop: cost = 34.55376434326172\n", "The 11835th loop: cost = 37.87846374511719\n", "The 11836th loop: cost = 37.16436767578125\n", "The 11837th loop: cost = 39.080902099609375\n", "The 11838th loop: cost = 33.82036209106445\n", "The 11839th loop: cost = 40.278377532958984\n", "The 11840th loop: cost = 38.964324951171875\n", "The 11841th loop: cost = 40.21216583251953\n", "The 11842th loop: cost = 47.372772216796875\n", "The 11843th loop: cost = 30.004179000854492\n", "The 11844th loop: cost = 39.525997161865234\n", "The 11845th loop: cost = 34.5338134765625\n", "The 11846th loop: cost = 33.51201248168945\n", "The 11847th loop: cost = 39.44429016113281\n", "The 11848th loop: cost = 28.241336822509766\n", "The 11849th loop: cost = 40.168540954589844\n", "The 11850th loop: cost = 40.16730499267578\n", "The 11851th loop: cost = 35.48579025268555\n", "The 11852th loop: cost = 38.23621368408203\n", "The 11853th loop: cost = 30.54134750366211\n", "The 11854th loop: cost = 33.594635009765625\n", "The 11855th loop: cost = 39.54414749145508\n", "The 11856th loop: cost = 35.686439514160156\n", "The 11857th loop: cost = 34.762821197509766\n", "The 11858th loop: cost = 35.64457702636719\n", "The 11859th loop: cost = 44.25175476074219\n", "The 11860th loop: cost = 30.02138328552246\n", "The 11861th loop: cost = 32.24192810058594\n", "The 11862th loop: cost = 32.0233039855957\n", "The 11863th loop: cost = 30.185569763183594\n", "The 11864th loop: cost = 28.158533096313477\n", "The 11865th loop: cost = 36.15561294555664\n", "The 11866th loop: cost = 30.91257095336914\n", "The 11867th loop: cost = 36.66880798339844\n", "The 11868th loop: cost = 32.725669860839844\n", "The 11869th loop: cost = 46.45738220214844\n", "The 11870th loop: cost = 27.338279724121094\n", "The 11871th loop: cost = 42.348731994628906\n", "The 11872th loop: cost = 35.02693557739258\n", "The 11873th loop: cost = 41.009422302246094\n", "The 11874th loop: cost = 37.86228942871094\n", "The 11875th loop: cost = 33.483497619628906\n", "The 11876th loop: cost = 32.29895782470703\n", "The 11877th loop: cost = 48.346744537353516\n", "The 11878th loop: cost = 38.048240661621094\n", "The 11879th loop: cost = 40.74268341064453\n", "The 11880th loop: cost = 41.534297943115234\n", "The 11881th loop: cost = 42.917755126953125\n", "The 11882th loop: cost = 40.89239501953125\n", "The 11883th loop: cost = 43.44059753417969\n", "The 11884th loop: cost = 38.44260787963867\n", "The 11885th loop: cost = 35.12190628051758\n", "The 11886th loop: cost = 39.57073974609375\n", "The 11887th loop: cost = 34.91376495361328\n", "The 11888th loop: cost = 35.34565734863281\n", "The 11889th loop: cost = 39.05859375\n", "The 11890th loop: cost = 36.66839599609375\n", "The 11891th loop: cost = 35.65019226074219\n", "The 11892th loop: cost = 39.92067337036133\n", "The 11893th loop: cost = 35.001068115234375\n", "The 11894th loop: cost = 28.232975006103516\n", "The 11895th loop: cost = 39.50962829589844\n", "The 11896th loop: cost = 37.36427307128906\n", "The 11897th loop: cost = 30.798738479614258\n", "The 11898th loop: cost = 27.41452407836914\n", "The 11899th loop: cost = 44.098331451416016\n", "The 11900th loop: cost = 36.373287200927734\n", "The 11901th loop: cost = 33.91714859008789\n", "The 11902th loop: cost = 37.09300231933594\n", "The 11903th loop: cost = 31.00786781311035\n", "The 11904th loop: cost = 25.184898376464844\n", "The 11905th loop: cost = 46.15178680419922\n", "The 11906th loop: cost = 30.28670310974121\n", "The 11907th loop: cost = 30.08679962158203\n", "The 11908th loop: cost = 43.751216888427734\n", "The 11909th loop: cost = 30.675888061523438\n", "The 11910th loop: cost = 27.491004943847656\n", "The 11911th loop: cost = 36.87621307373047\n", "The 11912th loop: cost = 37.46556854248047\n", "The 11913th loop: cost = 40.3404541015625\n", "The 11914th loop: cost = 27.735185623168945\n", "The 11915th loop: cost = 44.696861267089844\n", "The 11916th loop: cost = 34.18537521362305\n", "The 11917th loop: cost = 41.65164566040039\n", "The 11918th loop: cost = 34.655941009521484\n", "The 11919th loop: cost = 36.495269775390625\n", "The 11920th loop: cost = 35.72491455078125\n", "The 11921th loop: cost = 36.67705535888672\n", "The 11922th loop: cost = 29.541736602783203\n", "The 11923th loop: cost = 33.946495056152344\n", "The 11924th loop: cost = 34.94453048706055\n", "The 11925th loop: cost = 33.10002899169922\n", "The 11926th loop: cost = 36.500152587890625\n", "The 11927th loop: cost = 28.347511291503906\n", "The 11928th loop: cost = 38.23248291015625\n", "The 11929th loop: cost = 33.58354187011719\n", "The 11930th loop: cost = 32.02595901489258\n", "The 11931th loop: cost = 27.712989807128906\n", "The 11932th loop: cost = 50.5945930480957\n", "The 11933th loop: cost = 39.627708435058594\n", "The 11934th loop: cost = 38.72922134399414\n", "The 11935th loop: cost = 40.870521545410156\n", "The 11936th loop: cost = 38.451072692871094\n", "The 11937th loop: cost = 45.261444091796875\n", "The 11938th loop: cost = 39.209693908691406\n", "The 11939th loop: cost = 31.793502807617188\n", "The 11940th loop: cost = 30.169326782226562\n", "The 11941th loop: cost = 38.20854949951172\n", "The 11942th loop: cost = 46.39039611816406\n", "The 11943th loop: cost = 34.223506927490234\n", "The 11944th loop: cost = 25.14672088623047\n", "The 11945th loop: cost = 34.722190856933594\n", "The 11946th loop: cost = 35.005897521972656\n", "The 11947th loop: cost = 35.42713928222656\n", "The 11948th loop: cost = 31.616968154907227\n", "The 11949th loop: cost = 41.21244430541992\n", "The 11950th loop: cost = 43.111351013183594\n", "The 11951th loop: cost = 33.001590728759766\n", "The 11952th loop: cost = 33.517677307128906\n", "The 11953th loop: cost = 39.43259048461914\n", "The 11954th loop: cost = 36.81322479248047\n", "The 11955th loop: cost = 41.644020080566406\n", "The 11956th loop: cost = 35.05615997314453\n", "The 11957th loop: cost = 37.35792541503906\n", "The 11958th loop: cost = 39.07598876953125\n", "The 11959th loop: cost = 46.00672912597656\n", "The 11960th loop: cost = 25.89096450805664\n", "The 11961th loop: cost = 43.35817337036133\n", "The 11962th loop: cost = 31.89974021911621\n", "The 11963th loop: cost = 35.01768493652344\n", "The 11964th loop: cost = 34.43791198730469\n", "The 11965th loop: cost = 33.503395080566406\n", "The 11966th loop: cost = 43.52499008178711\n", "The 11967th loop: cost = 33.441497802734375\n", "The 11968th loop: cost = 37.97364044189453\n", "The 11969th loop: cost = 33.450321197509766\n", "The 11970th loop: cost = 38.003875732421875\n", "The 11971th loop: cost = 38.17085266113281\n", "The 11972th loop: cost = 37.64654541015625\n", "The 11973th loop: cost = 37.09629440307617\n", "The 11974th loop: cost = 33.038047790527344\n", "The 11975th loop: cost = 36.477333068847656\n", "The 11976th loop: cost = 44.760475158691406\n", "The 11977th loop: cost = 34.53176498413086\n", "The 11978th loop: cost = 42.89196014404297\n", "The 11979th loop: cost = 31.20916175842285\n", "The 11980th loop: cost = 29.081279754638672\n", "The 11981th loop: cost = 33.977874755859375\n", "The 11982th loop: cost = 32.56642532348633\n", "The 11983th loop: cost = 33.91039276123047\n", "The 11984th loop: cost = 33.291770935058594\n", "The 11985th loop: cost = 37.2160758972168\n", "The 11986th loop: cost = 39.20852279663086\n", "The 11987th loop: cost = 33.581787109375\n", "The 11988th loop: cost = 35.43651580810547\n", "The 11989th loop: cost = 34.47217559814453\n", "The 11990th loop: cost = 35.24993133544922\n", "The 11991th loop: cost = 43.00630187988281\n", "The 11992th loop: cost = 34.9521598815918\n", "The 11993th loop: cost = 42.01757049560547\n", "The 11994th loop: cost = 37.175636291503906\n", "The 11995th loop: cost = 38.11043930053711\n", "The 11996th loop: cost = 30.117393493652344\n", "The 11997th loop: cost = 28.850217819213867\n", "The 11998th loop: cost = 33.73979187011719\n", "The 11999th loop: cost = 33.28878402709961\n", "The 12000th loop: cost = 37.21430206298828\n", "The 12001th loop: cost = 32.984039306640625\n", "The 12002th loop: cost = 26.149951934814453\n", "The 12003th loop: cost = 42.65558624267578\n", "The 12004th loop: cost = 39.90383529663086\n", "The 12005th loop: cost = 27.528966903686523\n", "The 12006th loop: cost = 26.389793395996094\n", "The 12007th loop: cost = 37.78333282470703\n", "The 12008th loop: cost = 34.517852783203125\n", "The 12009th loop: cost = 30.6717472076416\n", "The 12010th loop: cost = 35.37044143676758\n", "The 12011th loop: cost = 34.53556442260742\n", "The 12012th loop: cost = 35.1357421875\n", "The 12013th loop: cost = 40.92623519897461\n", "The 12014th loop: cost = 36.60173416137695\n", "The 12015th loop: cost = 29.703441619873047\n", "The 12016th loop: cost = 32.675262451171875\n", "The 12017th loop: cost = 37.054874420166016\n", "The 12018th loop: cost = 40.28770065307617\n", "The 12019th loop: cost = 39.24251174926758\n", "The 12020th loop: cost = 35.060279846191406\n", "The 12021th loop: cost = 33.369361877441406\n", "The 12022th loop: cost = 34.03185272216797\n", "The 12023th loop: cost = 39.009803771972656\n", "The 12024th loop: cost = 36.829246520996094\n", "The 12025th loop: cost = 41.86292266845703\n", "The 12026th loop: cost = 38.83240509033203\n", "The 12027th loop: cost = 32.50213623046875\n", "The 12028th loop: cost = 39.02406692504883\n", "The 12029th loop: cost = 38.408729553222656\n", "The 12030th loop: cost = 32.54383850097656\n", "The 12031th loop: cost = 33.427303314208984\n", "The 12032th loop: cost = 34.34204864501953\n", "The 12033th loop: cost = 32.034114837646484\n", "The 12034th loop: cost = 42.082298278808594\n", "The 12035th loop: cost = 38.35997009277344\n", "The 12036th loop: cost = 48.26898956298828\n", "The 12037th loop: cost = 32.61829376220703\n", "The 12038th loop: cost = 36.77890396118164\n", "The 12039th loop: cost = 32.396053314208984\n", "The 12040th loop: cost = 29.219417572021484\n", "The 12041th loop: cost = 30.34919548034668\n", "The 12042th loop: cost = 32.806663513183594\n", "The 12043th loop: cost = 39.08646011352539\n", "The 12044th loop: cost = 26.04561424255371\n", "The 12045th loop: cost = 45.194602966308594\n", "The 12046th loop: cost = 33.25996398925781\n", "The 12047th loop: cost = 31.841808319091797\n", "The 12048th loop: cost = 40.71595764160156\n", "The 12049th loop: cost = 35.9183349609375\n", "The 12050th loop: cost = 35.728641510009766\n", "The 12051th loop: cost = 34.823760986328125\n", "The 12052th loop: cost = 32.6478271484375\n", "The 12053th loop: cost = 36.15431213378906\n", "The 12054th loop: cost = 32.0533447265625\n", "The 12055th loop: cost = 34.40134048461914\n", "The 12056th loop: cost = 37.615989685058594\n", "The 12057th loop: cost = 29.44571304321289\n", "The 12058th loop: cost = 29.5411376953125\n", "The 12059th loop: cost = 35.30432891845703\n", "The 12060th loop: cost = 35.614017486572266\n", "The 12061th loop: cost = 33.97321701049805\n", "The 12062th loop: cost = 40.237205505371094\n", "The 12063th loop: cost = 33.5417594909668\n", "The 12064th loop: cost = 31.781042098999023\n", "The 12065th loop: cost = 39.48945999145508\n", "The 12066th loop: cost = 44.205196380615234\n", "The 12067th loop: cost = 32.99919128417969\n", "The 12068th loop: cost = 43.25775909423828\n", "The 12069th loop: cost = 28.971786499023438\n", "The 12070th loop: cost = 25.397857666015625\n", "The 12071th loop: cost = 26.725460052490234\n", "The 12072th loop: cost = 38.030704498291016\n", "The 12073th loop: cost = 34.77546691894531\n", "The 12074th loop: cost = 44.46348190307617\n", "The 12075th loop: cost = 28.16826057434082\n", "The 12076th loop: cost = 33.38487243652344\n", "The 12077th loop: cost = 36.93291473388672\n", "The 12078th loop: cost = 31.866504669189453\n", "The 12079th loop: cost = 28.158206939697266\n", "The 12080th loop: cost = 42.79285430908203\n", "The 12081th loop: cost = 36.91634750366211\n", "The 12082th loop: cost = 34.96440887451172\n", "The 12083th loop: cost = 35.92277526855469\n", "The 12084th loop: cost = 36.447975158691406\n", "The 12085th loop: cost = 35.50212097167969\n", "The 12086th loop: cost = 34.6575927734375\n", "The 12087th loop: cost = 37.26781463623047\n", "The 12088th loop: cost = 33.211578369140625\n", "The 12089th loop: cost = 33.537784576416016\n", "The 12090th loop: cost = 29.345378875732422\n", "The 12091th loop: cost = 33.95082473754883\n", "The 12092th loop: cost = 37.58427047729492\n", "The 12093th loop: cost = 39.63334655761719\n", "The 12094th loop: cost = 40.970489501953125\n", "The 12095th loop: cost = 36.62969207763672\n", "The 12096th loop: cost = 33.5929069519043\n", "The 12097th loop: cost = 33.711517333984375\n", "The 12098th loop: cost = 29.44536781311035\n", "The 12099th loop: cost = 37.252498626708984\n", "The 12100th loop: cost = 35.899879455566406\n", "The 12101th loop: cost = 35.409400939941406\n", "The 12102th loop: cost = 39.33035659790039\n", "The 12103th loop: cost = 44.09199523925781\n", "The 12104th loop: cost = 36.94506072998047\n", "The 12105th loop: cost = 32.5218391418457\n", "The 12106th loop: cost = 38.84137725830078\n", "The 12107th loop: cost = 39.64146041870117\n", "The 12108th loop: cost = 32.08195877075195\n", "The 12109th loop: cost = 40.69495391845703\n", "The 12110th loop: cost = 38.53480529785156\n", "The 12111th loop: cost = 39.239776611328125\n", "The 12112th loop: cost = 39.55332946777344\n", "The 12113th loop: cost = 35.989898681640625\n", "The 12114th loop: cost = 30.130821228027344\n", "The 12115th loop: cost = 42.845298767089844\n", "The 12116th loop: cost = 31.083112716674805\n", "The 12117th loop: cost = 38.678382873535156\n", "The 12118th loop: cost = 32.49797439575195\n", "The 12119th loop: cost = 40.985931396484375\n", "The 12120th loop: cost = 28.227746963500977\n", "The 12121th loop: cost = 38.079166412353516\n", "The 12122th loop: cost = 34.201393127441406\n", "The 12123th loop: cost = 35.322532653808594\n", "The 12124th loop: cost = 25.94852066040039\n", "The 12125th loop: cost = 37.421688079833984\n", "The 12126th loop: cost = 40.24907302856445\n", "The 12127th loop: cost = 33.81781768798828\n", "The 12128th loop: cost = 29.69870376586914\n", "The 12129th loop: cost = 29.69405746459961\n", "The 12130th loop: cost = 35.22389221191406\n", "The 12131th loop: cost = 42.37697219848633\n", "The 12132th loop: cost = 33.15117645263672\n", "The 12133th loop: cost = 38.911251068115234\n", "The 12134th loop: cost = 35.83830261230469\n", "The 12135th loop: cost = 32.9472541809082\n", "The 12136th loop: cost = 43.03345489501953\n", "The 12137th loop: cost = 37.52493667602539\n", "The 12138th loop: cost = 40.08743667602539\n", "The 12139th loop: cost = 31.41461181640625\n", "The 12140th loop: cost = 31.759685516357422\n", "The 12141th loop: cost = 36.43187713623047\n", "The 12142th loop: cost = 34.3505744934082\n", "The 12143th loop: cost = 41.55851745605469\n", "The 12144th loop: cost = 33.28581237792969\n", "The 12145th loop: cost = 37.201324462890625\n", "The 12146th loop: cost = 35.30476379394531\n", "The 12147th loop: cost = 35.06888198852539\n", "The 12148th loop: cost = 34.215171813964844\n", "The 12149th loop: cost = 28.299240112304688\n", "The 12150th loop: cost = 36.084232330322266\n", "The 12151th loop: cost = 42.870399475097656\n", "The 12152th loop: cost = 37.39923858642578\n", "The 12153th loop: cost = 31.083721160888672\n", "The 12154th loop: cost = 36.447967529296875\n", "The 12155th loop: cost = 29.914386749267578\n", "The 12156th loop: cost = 34.97174835205078\n", "The 12157th loop: cost = 41.930686950683594\n", "The 12158th loop: cost = 31.28218650817871\n", "The 12159th loop: cost = 43.99595642089844\n", "The 12160th loop: cost = 27.480680465698242\n", "The 12161th loop: cost = 36.119422912597656\n", "The 12162th loop: cost = 30.06857681274414\n", "The 12163th loop: cost = 36.64588928222656\n", "The 12164th loop: cost = 49.47819519042969\n", "The 12165th loop: cost = 28.854759216308594\n", "The 12166th loop: cost = 30.010616302490234\n", "The 12167th loop: cost = 28.472503662109375\n", "The 12168th loop: cost = 43.061553955078125\n", "The 12169th loop: cost = 37.35414123535156\n", "The 12170th loop: cost = 25.372835159301758\n", "The 12171th loop: cost = 34.021148681640625\n", "The 12172th loop: cost = 37.376853942871094\n", "The 12173th loop: cost = 30.715839385986328\n", "The 12174th loop: cost = 31.083436965942383\n", "The 12175th loop: cost = 37.79546356201172\n", "The 12176th loop: cost = 38.052711486816406\n", "The 12177th loop: cost = 31.422313690185547\n", "The 12178th loop: cost = 35.24217224121094\n", "The 12179th loop: cost = 43.3148193359375\n", "The 12180th loop: cost = 38.548179626464844\n", "The 12181th loop: cost = 33.244964599609375\n", "The 12182th loop: cost = 37.42292022705078\n", "The 12183th loop: cost = 44.25780487060547\n", "The 12184th loop: cost = 36.01905059814453\n", "The 12185th loop: cost = 33.652931213378906\n", "The 12186th loop: cost = 38.325889587402344\n", "The 12187th loop: cost = 37.97142028808594\n", "The 12188th loop: cost = 40.218772888183594\n", "The 12189th loop: cost = 34.79051208496094\n", "The 12190th loop: cost = 42.067481994628906\n", "The 12191th loop: cost = 29.480546951293945\n", "The 12192th loop: cost = 25.864946365356445\n", "The 12193th loop: cost = 32.014488220214844\n", "The 12194th loop: cost = 35.10424041748047\n", "The 12195th loop: cost = 29.608631134033203\n", "The 12196th loop: cost = 41.01261901855469\n", "The 12197th loop: cost = 43.69647216796875\n", "The 12198th loop: cost = 36.71440887451172\n", "The 12199th loop: cost = 44.845794677734375\n", "The 12200th loop: cost = 39.538551330566406\n", "The 12201th loop: cost = 33.36972427368164\n", "The 12202th loop: cost = 39.27841567993164\n", "The 12203th loop: cost = 37.91822814941406\n", "The 12204th loop: cost = 32.22206115722656\n", "The 12205th loop: cost = 29.199066162109375\n", "The 12206th loop: cost = 41.57820129394531\n", "The 12207th loop: cost = 43.332740783691406\n", "The 12208th loop: cost = 33.1002082824707\n", "The 12209th loop: cost = 41.606910705566406\n", "The 12210th loop: cost = 35.949302673339844\n", "The 12211th loop: cost = 36.15740966796875\n", "The 12212th loop: cost = 40.82916259765625\n", "The 12213th loop: cost = 25.368406295776367\n", "The 12214th loop: cost = 41.053367614746094\n", "The 12215th loop: cost = 32.23530197143555\n", "The 12216th loop: cost = 34.41118621826172\n", "The 12217th loop: cost = 30.00864601135254\n", "The 12218th loop: cost = 35.73744583129883\n", "The 12219th loop: cost = 24.965198516845703\n", "The 12220th loop: cost = 30.403152465820312\n", "The 12221th loop: cost = 41.40557861328125\n", "The 12222th loop: cost = 46.8392448425293\n", "The 12223th loop: cost = 22.991722106933594\n", "The 12224th loop: cost = 43.83546447753906\n", "The 12225th loop: cost = 34.494850158691406\n", "The 12226th loop: cost = 34.292327880859375\n", "The 12227th loop: cost = 31.894454956054688\n", "The 12228th loop: cost = 35.11974334716797\n", "The 12229th loop: cost = 37.64482116699219\n", "The 12230th loop: cost = 32.8321647644043\n", "The 12231th loop: cost = 32.83329772949219\n", "The 12232th loop: cost = 39.069793701171875\n", "The 12233th loop: cost = 38.79305648803711\n", "The 12234th loop: cost = 39.087059020996094\n", "The 12235th loop: cost = 33.48236083984375\n", "The 12236th loop: cost = 47.527366638183594\n", "The 12237th loop: cost = 35.209678649902344\n", "The 12238th loop: cost = 30.130443572998047\n", "The 12239th loop: cost = 32.939971923828125\n", "The 12240th loop: cost = 32.88665771484375\n", "The 12241th loop: cost = 39.32501983642578\n", "The 12242th loop: cost = 35.698936462402344\n", "The 12243th loop: cost = 43.23261260986328\n", "The 12244th loop: cost = 35.12664794921875\n", "The 12245th loop: cost = 28.109806060791016\n", "The 12246th loop: cost = 27.74727439880371\n", "The 12247th loop: cost = 28.348495483398438\n", "The 12248th loop: cost = 51.38641357421875\n", "The 12249th loop: cost = 34.71085739135742\n", "The 12250th loop: cost = 37.014713287353516\n", "The 12251th loop: cost = 33.990760803222656\n", "The 12252th loop: cost = 36.32638931274414\n", "The 12253th loop: cost = 31.84588050842285\n", "The 12254th loop: cost = 48.02516555786133\n", "The 12255th loop: cost = 34.87690734863281\n", "The 12256th loop: cost = 44.82263946533203\n", "The 12257th loop: cost = 34.86780548095703\n", "The 12258th loop: cost = 36.79474639892578\n", "The 12259th loop: cost = 32.59211730957031\n", "The 12260th loop: cost = 41.955387115478516\n", "The 12261th loop: cost = 34.538818359375\n", "The 12262th loop: cost = 31.4677734375\n", "The 12263th loop: cost = 35.99638366699219\n", "The 12264th loop: cost = 37.674537658691406\n", "The 12265th loop: cost = 40.987327575683594\n", "The 12266th loop: cost = 41.946876525878906\n", "The 12267th loop: cost = 37.96169662475586\n", "The 12268th loop: cost = 31.31356430053711\n", "The 12269th loop: cost = 38.36956787109375\n", "The 12270th loop: cost = 41.777320861816406\n", "The 12271th loop: cost = 33.350868225097656\n", "The 12272th loop: cost = 36.991180419921875\n", "The 12273th loop: cost = 37.31602478027344\n", "The 12274th loop: cost = 37.786041259765625\n", "The 12275th loop: cost = 30.460796356201172\n", "The 12276th loop: cost = 35.82746124267578\n", "The 12277th loop: cost = 44.77525329589844\n", "The 12278th loop: cost = 29.561565399169922\n", "The 12279th loop: cost = 40.02653121948242\n", "The 12280th loop: cost = 34.19047164916992\n", "The 12281th loop: cost = 36.948814392089844\n", "The 12282th loop: cost = 42.091339111328125\n", "The 12283th loop: cost = 38.95002746582031\n", "The 12284th loop: cost = 36.95692825317383\n", "The 12285th loop: cost = 41.934688568115234\n", "The 12286th loop: cost = 36.435707092285156\n", "The 12287th loop: cost = 32.305137634277344\n", "The 12288th loop: cost = 39.698692321777344\n", "The 12289th loop: cost = 30.722272872924805\n", "The 12290th loop: cost = 37.70191955566406\n", "The 12291th loop: cost = 38.65983581542969\n", "The 12292th loop: cost = 33.47132110595703\n", "The 12293th loop: cost = 30.161697387695312\n", "The 12294th loop: cost = 40.78551483154297\n", "The 12295th loop: cost = 40.749839782714844\n", "The 12296th loop: cost = 37.47685241699219\n", "The 12297th loop: cost = 42.5022087097168\n", "The 12298th loop: cost = 34.20198059082031\n", "The 12299th loop: cost = 46.86238098144531\n", "The 12300th loop: cost = 38.80498504638672\n", "The 12301th loop: cost = 34.081268310546875\n", "The 12302th loop: cost = 37.37535095214844\n", "The 12303th loop: cost = 38.81560516357422\n", "The 12304th loop: cost = 38.72380828857422\n", "The 12305th loop: cost = 31.169382095336914\n", "The 12306th loop: cost = 34.83204650878906\n", "The 12307th loop: cost = 31.385347366333008\n", "The 12308th loop: cost = 39.17601776123047\n", "The 12309th loop: cost = 33.03031921386719\n", "The 12310th loop: cost = 32.78141784667969\n", "The 12311th loop: cost = 39.853729248046875\n", "The 12312th loop: cost = 34.126651763916016\n", "The 12313th loop: cost = 31.734525680541992\n", "The 12314th loop: cost = 38.28440856933594\n", "The 12315th loop: cost = 28.224702835083008\n", "The 12316th loop: cost = 35.40986633300781\n", "The 12317th loop: cost = 34.25225067138672\n", "The 12318th loop: cost = 31.820697784423828\n", "The 12319th loop: cost = 38.45002365112305\n", "The 12320th loop: cost = 38.197017669677734\n", "The 12321th loop: cost = 38.5123291015625\n", "The 12322th loop: cost = 39.807106018066406\n", "The 12323th loop: cost = 30.14144515991211\n", "The 12324th loop: cost = 37.29279327392578\n", "The 12325th loop: cost = 38.53266906738281\n", "The 12326th loop: cost = 35.008792877197266\n", "The 12327th loop: cost = 33.215370178222656\n", "The 12328th loop: cost = 33.064544677734375\n", "The 12329th loop: cost = 36.97106170654297\n", "The 12330th loop: cost = 38.51502990722656\n", "The 12331th loop: cost = 34.99036407470703\n", "The 12332th loop: cost = 27.370594024658203\n", "The 12333th loop: cost = 37.25050354003906\n", "The 12334th loop: cost = 35.16783905029297\n", "The 12335th loop: cost = 33.552162170410156\n", "The 12336th loop: cost = 33.81170654296875\n", "The 12337th loop: cost = 38.18207550048828\n", "The 12338th loop: cost = 41.95703887939453\n", "The 12339th loop: cost = 40.454627990722656\n", "The 12340th loop: cost = 42.90217590332031\n", "The 12341th loop: cost = 38.036258697509766\n", "The 12342th loop: cost = 33.65824890136719\n", "The 12343th loop: cost = 30.693222045898438\n", "The 12344th loop: cost = 34.592674255371094\n", "The 12345th loop: cost = 34.82158660888672\n", "The 12346th loop: cost = 36.23740005493164\n", "The 12347th loop: cost = 43.345680236816406\n", "The 12348th loop: cost = 37.152835845947266\n", "The 12349th loop: cost = 33.92694091796875\n", "The 12350th loop: cost = 26.501171112060547\n", "The 12351th loop: cost = 33.30602264404297\n", "The 12352th loop: cost = 38.319175720214844\n", "The 12353th loop: cost = 36.584251403808594\n", "The 12354th loop: cost = 32.67756271362305\n", "The 12355th loop: cost = 44.60763168334961\n", "The 12356th loop: cost = 36.92936706542969\n", "The 12357th loop: cost = 33.210784912109375\n", "The 12358th loop: cost = 41.739742279052734\n", "The 12359th loop: cost = 41.53117370605469\n", "The 12360th loop: cost = 35.290340423583984\n", "The 12361th loop: cost = 43.27976608276367\n", "The 12362th loop: cost = 38.19533920288086\n", "The 12363th loop: cost = 34.73640441894531\n", "The 12364th loop: cost = 31.624679565429688\n", "The 12365th loop: cost = 37.7831916809082\n", "The 12366th loop: cost = 35.964141845703125\n", "The 12367th loop: cost = 44.265323638916016\n", "The 12368th loop: cost = 34.31187438964844\n", "The 12369th loop: cost = 41.35469436645508\n", "The 12370th loop: cost = 36.27033233642578\n", "The 12371th loop: cost = 38.1336784362793\n", "The 12372th loop: cost = 35.691192626953125\n", "The 12373th loop: cost = 31.276939392089844\n", "The 12374th loop: cost = 34.750953674316406\n", "The 12375th loop: cost = 38.845703125\n", "The 12376th loop: cost = 31.765085220336914\n", "The 12377th loop: cost = 42.74275588989258\n", "The 12378th loop: cost = 39.91276168823242\n", "The 12379th loop: cost = 42.675777435302734\n", "The 12380th loop: cost = 40.29961013793945\n", "The 12381th loop: cost = 37.15755844116211\n", "The 12382th loop: cost = 38.91874694824219\n", "The 12383th loop: cost = 35.582908630371094\n", "The 12384th loop: cost = 34.674041748046875\n", "The 12385th loop: cost = 39.225181579589844\n", "The 12386th loop: cost = 34.62750244140625\n", "The 12387th loop: cost = 36.827796936035156\n", "The 12388th loop: cost = 40.7447509765625\n", "The 12389th loop: cost = 35.28449249267578\n", "The 12390th loop: cost = 30.622600555419922\n", "The 12391th loop: cost = 39.65711975097656\n", "The 12392th loop: cost = 36.30784225463867\n", "The 12393th loop: cost = 33.857566833496094\n", "The 12394th loop: cost = 41.478111267089844\n", "The 12395th loop: cost = 37.24132537841797\n", "The 12396th loop: cost = 36.66648864746094\n", "The 12397th loop: cost = 35.8355598449707\n", "The 12398th loop: cost = 35.84266662597656\n", "The 12399th loop: cost = 36.96527862548828\n", "The 12400th loop: cost = 31.730545043945312\n", "The 12401th loop: cost = 36.9930534362793\n", "The 12402th loop: cost = 28.69209098815918\n", "The 12403th loop: cost = 37.309967041015625\n", "The 12404th loop: cost = 32.989768981933594\n", "The 12405th loop: cost = 41.752838134765625\n", "The 12406th loop: cost = 28.786048889160156\n", "The 12407th loop: cost = 28.131595611572266\n", "The 12408th loop: cost = 36.64620590209961\n", "The 12409th loop: cost = 38.29242706298828\n", "The 12410th loop: cost = 42.374664306640625\n", "The 12411th loop: cost = 37.36726379394531\n", "The 12412th loop: cost = 40.13932418823242\n", "The 12413th loop: cost = 24.64468002319336\n", "The 12414th loop: cost = 41.07568359375\n", "The 12415th loop: cost = 37.11032485961914\n", "The 12416th loop: cost = 39.04424285888672\n", "The 12417th loop: cost = 27.73638153076172\n", "The 12418th loop: cost = 39.98680877685547\n", "The 12419th loop: cost = 30.187786102294922\n", "The 12420th loop: cost = 40.62165451049805\n", "The 12421th loop: cost = 34.31577682495117\n", "The 12422th loop: cost = 41.6090087890625\n", "The 12423th loop: cost = 27.341197967529297\n", "The 12424th loop: cost = 38.263824462890625\n", "The 12425th loop: cost = 27.3797607421875\n", "The 12426th loop: cost = 39.38418197631836\n", "The 12427th loop: cost = 39.739044189453125\n", "The 12428th loop: cost = 30.613637924194336\n", "The 12429th loop: cost = 31.360363006591797\n", "The 12430th loop: cost = 29.42760467529297\n", "The 12431th loop: cost = 34.13273620605469\n", "The 12432th loop: cost = 38.60417556762695\n", "The 12433th loop: cost = 39.78711700439453\n", "The 12434th loop: cost = 32.747684478759766\n", "The 12435th loop: cost = 26.890592575073242\n", "The 12436th loop: cost = 31.574451446533203\n", "The 12437th loop: cost = 29.950897216796875\n", "The 12438th loop: cost = 37.53569030761719\n", "The 12439th loop: cost = 42.183162689208984\n", "The 12440th loop: cost = 45.96385955810547\n", "The 12441th loop: cost = 35.633201599121094\n", "The 12442th loop: cost = 41.872676849365234\n", "The 12443th loop: cost = 32.25684356689453\n", "The 12444th loop: cost = 30.882274627685547\n", "The 12445th loop: cost = 38.53276062011719\n", "The 12446th loop: cost = 34.173240661621094\n", "The 12447th loop: cost = 39.98613739013672\n", "The 12448th loop: cost = 36.419883728027344\n", "The 12449th loop: cost = 43.83440399169922\n", "The 12450th loop: cost = 41.240966796875\n", "The 12451th loop: cost = 31.22390365600586\n", "The 12452th loop: cost = 35.38274383544922\n", "The 12453th loop: cost = 29.976669311523438\n", "The 12454th loop: cost = 32.144187927246094\n", "The 12455th loop: cost = 35.692657470703125\n", "The 12456th loop: cost = 41.741722106933594\n", "The 12457th loop: cost = 31.755290985107422\n", "The 12458th loop: cost = 31.904739379882812\n", "The 12459th loop: cost = 39.9425048828125\n", "The 12460th loop: cost = 38.856815338134766\n", "The 12461th loop: cost = 31.818870544433594\n", "The 12462th loop: cost = 35.28377914428711\n", "The 12463th loop: cost = 32.545021057128906\n", "The 12464th loop: cost = 37.07710266113281\n", "The 12465th loop: cost = 34.77980041503906\n", "The 12466th loop: cost = 29.318466186523438\n", "The 12467th loop: cost = 45.23762893676758\n", "The 12468th loop: cost = 32.166194915771484\n", "The 12469th loop: cost = 30.142528533935547\n", "The 12470th loop: cost = 38.14886474609375\n", "The 12471th loop: cost = 29.897769927978516\n", "The 12472th loop: cost = 31.27417755126953\n", "The 12473th loop: cost = 41.80508804321289\n", "The 12474th loop: cost = 52.631126403808594\n", "The 12475th loop: cost = 34.1697998046875\n", "The 12476th loop: cost = 35.84258270263672\n", "The 12477th loop: cost = 30.049348831176758\n", "The 12478th loop: cost = 41.88481140136719\n", "The 12479th loop: cost = 35.21482467651367\n", "The 12480th loop: cost = 39.88849639892578\n", "The 12481th loop: cost = 43.84791564941406\n", "The 12482th loop: cost = 38.43251037597656\n", "The 12483th loop: cost = 37.200321197509766\n", "The 12484th loop: cost = 34.42085266113281\n", "The 12485th loop: cost = 31.97351837158203\n", "The 12486th loop: cost = 37.55059814453125\n", "The 12487th loop: cost = 34.130184173583984\n", "The 12488th loop: cost = 34.871315002441406\n", "The 12489th loop: cost = 42.03987121582031\n", "The 12490th loop: cost = 35.74826431274414\n", "The 12491th loop: cost = 32.80081558227539\n", "The 12492th loop: cost = 37.243038177490234\n", "The 12493th loop: cost = 32.01492691040039\n", "The 12494th loop: cost = 28.266374588012695\n", "The 12495th loop: cost = 46.85993576049805\n", "The 12496th loop: cost = 34.39924240112305\n", "The 12497th loop: cost = 32.12289047241211\n", "The 12498th loop: cost = 38.47320556640625\n", "The 12499th loop: cost = 40.61715316772461\n", "The 12500th loop: cost = 32.91783905029297\n", "The 12501th loop: cost = 32.995059967041016\n", "The 12502th loop: cost = 33.278865814208984\n", "The 12503th loop: cost = 30.55506134033203\n", "The 12504th loop: cost = 34.595001220703125\n", "The 12505th loop: cost = 39.326271057128906\n", "The 12506th loop: cost = 33.736305236816406\n", "The 12507th loop: cost = 34.75555419921875\n", "The 12508th loop: cost = 25.431407928466797\n", "The 12509th loop: cost = 29.398487091064453\n", "The 12510th loop: cost = 35.929481506347656\n", "The 12511th loop: cost = 43.58100891113281\n", "The 12512th loop: cost = 48.53529739379883\n", "The 12513th loop: cost = 31.840429306030273\n", "The 12514th loop: cost = 35.10771179199219\n", "The 12515th loop: cost = 37.212554931640625\n", "The 12516th loop: cost = 45.75497055053711\n", "The 12517th loop: cost = 37.205413818359375\n", "The 12518th loop: cost = 33.133487701416016\n", "The 12519th loop: cost = 32.60962677001953\n", "The 12520th loop: cost = 40.42324447631836\n", "The 12521th loop: cost = 36.58133316040039\n", "The 12522th loop: cost = 35.76677703857422\n", "The 12523th loop: cost = 30.24584197998047\n", "The 12524th loop: cost = 34.335044860839844\n", "The 12525th loop: cost = 35.6851806640625\n", "The 12526th loop: cost = 43.70674133300781\n", "The 12527th loop: cost = 36.11947250366211\n", "The 12528th loop: cost = 37.8840217590332\n", "The 12529th loop: cost = 35.95102310180664\n", "The 12530th loop: cost = 35.67308044433594\n", "The 12531th loop: cost = 39.89018249511719\n", "The 12532th loop: cost = 32.92185592651367\n", "The 12533th loop: cost = 38.12077331542969\n", "The 12534th loop: cost = 39.844322204589844\n", "The 12535th loop: cost = 29.025808334350586\n", "The 12536th loop: cost = 34.980735778808594\n", "The 12537th loop: cost = 33.47919464111328\n", "The 12538th loop: cost = 33.18674087524414\n", "The 12539th loop: cost = 30.789203643798828\n", "The 12540th loop: cost = 30.763246536254883\n", "The 12541th loop: cost = 34.56417465209961\n", "The 12542th loop: cost = 27.578845977783203\n", "The 12543th loop: cost = 33.68742752075195\n", "The 12544th loop: cost = 35.13790512084961\n", "The 12545th loop: cost = 34.97587585449219\n", "The 12546th loop: cost = 26.817861557006836\n", "The 12547th loop: cost = 33.86800003051758\n", "The 12548th loop: cost = 32.9119873046875\n", "The 12549th loop: cost = 30.72766876220703\n", "The 12550th loop: cost = 35.075439453125\n", "The 12551th loop: cost = 42.247650146484375\n", "The 12552th loop: cost = 39.97366714477539\n", "The 12553th loop: cost = 30.455585479736328\n", "The 12554th loop: cost = 37.612056732177734\n", "The 12555th loop: cost = 39.75273132324219\n", "The 12556th loop: cost = 33.96391296386719\n", "The 12557th loop: cost = 30.958620071411133\n", "The 12558th loop: cost = 37.03547668457031\n", "The 12559th loop: cost = 37.70269775390625\n" ] } ], "source": [ "model.fit(s_train_pn, o_train_pn, p_train_pn, label_train)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
rayjustinhuang/DataAnalysisandMachineLearning
Linear Programming with OR-Tools.ipynb
1
7762
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Linear Programming with OR-Tools\n", "\n", "In this notebook, we do some basic LP solving with Google's OR-Tools. Problems used will be examples in Hamdy Taha's Operations Research: An Introduction, 9th Edition, which I have in paperback." ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from ortools.linear_solver import pywraplp" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Reddy Mikks model\n", "\n", "Given the following variables:\n", "\n", "$\\begin{aligned}\n", "x_1 = \\textrm{Tons of exterior paint produced daily} \\newline\n", "x_2 = \\textrm{Tons of interior paint produced daily}\n", "\\end{aligned}$\n", "\n", "and knowing that we want to maximize the profit, where \\$5000 is the profit from exterior paint and \\$4000 is the profit from a ton of interior paint, the Reddy Mikks model is:\n", "\n", "$$\\textrm{Maximize } z = 5x_1 + 4x_2$$\n", "subject to\n", "$$6x_1 + 4x_2 \\le 24$$\n", "$$x_1 + 2x_2 \\le 6$$\n", "$$-x_1 + x_2 \\le 1$$\n", "$$x_2 \\le 2$$\n", "$$x_1, x_2 \\ge 0$$" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "The company should produce 3.0 tons of exterior paint\n", "The company should produce 1.5 tons of interior paint\n", "The optimal profit is 21.0 thousand USD\n" ] } ], "source": [ "reddymikks = pywraplp.Solver('Reddy_Mikks', pywraplp.Solver.GLOP_LINEAR_PROGRAMMING)\n", "\n", "x1 = reddymikks.NumVar(0, reddymikks.infinity(), 'x1')\n", "x2 = reddymikks.NumVar(0, reddymikks.infinity(), 'x2')\n", "\n", "reddymikks.Add(6*x1 + 4*x2 <= 24)\n", "reddymikks.Add(x1 + 2*x2 <= 6)\n", "reddymikks.Add(-x1 + x2 <= 1)\n", "reddymikks.Add(x2 <= 2)\n", "\n", "profit = reddymikks.Objective()\n", "profit.SetCoefficient(x1, 5)\n", "profit.SetCoefficient(x2, 4)\n", "profit.SetMaximization()\n", "\n", "status = reddymikks.Solve()\n", "\n", "if status not in [reddymikks.OPTIMAL, reddymikks.FEASIBLE]:\n", " raise Exception('No feasible solution found')\n", " \n", "print(\"The company should produce\",round(x1.solution_value(),2),\"tons of exterior paint\")\n", "print(\"The company should produce\",round(x2.solution_value(),2),\"tons of interior paint\")\n", "print(\"The optimal profit is\", profit.Value(), 'thousand USD')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## More simple problems" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A company that operates 10 hours a day manufactures two products on three sequential processes. The following data characterizes the problem:" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style>\n", " .dataframe thead tr:only-child th {\n", " text-align: right;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: left;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Process 1</th>\n", " <th>Process 2</th>\n", " <th>Process 3</th>\n", " <th>Unit profit</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Product 1</th>\n", " <td>10</td>\n", " <td>6</td>\n", " <td>8</td>\n", " <td>20</td>\n", " </tr>\n", " <tr>\n", " <th>Product 2</th>\n", " <td>5</td>\n", " <td>20</td>\n", " <td>10</td>\n", " <td>30</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Process 1 Process 2 Process 3 Unit profit\n", "Product 1 10 6 8 20\n", "Product 2 5 20 10 30" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import pandas as pd\n", "\n", "problemdata = pd.DataFrame({'Process 1': [10, 5], 'Process 2':[6, 20], 'Process 3':[8, 10], 'Unit profit':[20, 30]})\n", "problemdata.index = ['Product 1', 'Product 2']\n", "\n", "problemdata" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Where there are 10 hours a day dedicated to production. Process times are given in minutes per unit while profit is given in USD.\n", "\n", "The optimal mix of the two products would be characterized by the following model:\n", "\n", "$\\begin{aligned}\n", "x_1 = \\textrm{Units of product 1} \\newline\n", "x_2 = \\textrm{Units of product 2}\n", "\\end{aligned}$\n", "\n", "$$\\textrm{Maximize } z = 20x_1 + 30x_2$$\n", "\n", "subject to\n", "\n", "$$\\begin{array}{rcl}\n", "10x_1 + 5x_2 \\le 600 \\newline\n", "6x_1 + 20x_2 \\le 600 \\newline\n", "8x_1 + 10x_2 \\le 600 \\newline\n", "x_1, x_2 \\ge 0\n", "\\end{array}$$\n", "\n", "(we will assume that continuous solution values are acceptable for this problem)" ] }, { "cell_type": "code", "execution_count": 30, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "The company should produce 52.94 units of product 1\n", "The company should produce 14.12 units of product 2\n", "The optimal profit is 1482.35 USD\n" ] } ], "source": [ "simpleprod = pywraplp.Solver('Simple_Production', pywraplp.Solver.GLOP_LINEAR_PROGRAMMING)\n", "\n", "x1 = simpleprod.NumVar(0, simpleprod.infinity(), 'x1')\n", "x2 = simpleprod.NumVar(0, simpleprod.infinity(), 'x2')\n", "\n", "for i in problemdata.columns[:-1]:\n", " simpleprod.Add(problemdata.loc[problemdata.index[0], i]*x1 + problemdata.loc[problemdata.index[1], i]*x2 <= 600)\n", "\n", "profit = simpleprod.Objective()\n", "profit.SetCoefficient(x1, 20)\n", "profit.SetCoefficient(x2, 30)\n", "profit.SetMaximization()\n", "\n", "status = simpleprod.Solve()\n", "\n", "if status not in [simpleprod.OPTIMAL, simpleprod.FEASIBLE]:\n", " raise Exception('No feasible solution found')\n", " \n", "print(\"The company should produce\",round(x1.solution_value(),2),\"units of product 1\")\n", "print(\"The company should produce\",round(x2.solution_value(),2),\"units of product 2\")\n", "print(\"The optimal profit is\", round(profit.Value(),2), 'USD')" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
RaRe-Technologies/gensim
docs/notebooks/nmslibtutorial.ipynb
5
301875
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Similarity Queries using Nmslib Tutorial" ] }, { "attachments": {}, "cell_type": "markdown", "metadata": {}, "source": [ "This tutorial is about using the ([Non-Metric Space Library (NMSLIB)](https://github.com/nmslib/nmslib \"Link to nmslib repo\")) library for similarity queries with a Word2Vec model built with gensim.\n", "\n", "## Why use Nmslib?\n", "The current implementation for finding k nearest neighbors in a vector space in gensim has linear complexity via brute force in the number of indexed documents, although with extremely low constant factors. The retrieved results are exact, which is an overkill in many applications: approximate results retrieved in sub-linear time may be enough. Nmslib can find approximate nearest neighbors much faster.\n", "Compared to annoy, nmslib has more parameteres to control the build and query time and accuracy. Nmslib can achieve faster and more accurate nearest neighbors search than annoy. This figure shows a comparison between annoy and nmslib indexer with differents parameters. This shows nmslib is better than annoy.\n", "![nmslib.png](nmslib.png)\n", "\n", "## Prerequisites\n", "Additional libraries needed for this tutorial:\n", "- nmslib\n", "- annoy\n", "- psutil\n", "- matplotlib\n", "\n", "## Outline\n", "1. Download Text8 Corpus\n", "2. Build Word2Vec Model\n", "3. Construct NmslibIndex with model & make a similarity query\n", "4. Verify & Evaluate performance\n", "5. Evaluate relationship of parameters to initialization/query time and accuracy, compared with annoy\n", "6. Work with Google's word2vec C formats" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "CPython 3.6.0\n", "IPython 7.5.0\n", "\n", "gensim 3.7.3\n", "numpy 1.16.2\n", "scipy 1.2.1\n", "psutil 5.6.3\n", "matplotlib 3.1.0\n", "\n", "compiler : GCC 4.2.1 Compatible Apple LLVM 10.0.0 (clang-1000.11.45.5)\n", "system : Darwin\n", "release : 18.2.0\n", "machine : x86_64\n", "processor : i386\n", "CPU cores : 4\n", "interpreter: 64bit\n" ] } ], "source": [ "# pip install watermark\n", "%reload_ext watermark\n", "%watermark -v -m -p gensim,numpy,scipy,psutil,matplotlib" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 1. Download Text8 Corpus" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "--2019-06-27 13:48:42-- http://mattmahoney.net/dc/text8.zip\n", "Resolving mattmahoney.net... 67.195.197.75\n", "Connecting to mattmahoney.net|67.195.197.75|:80... connected.\n", "HTTP request sent, awaiting response... 200 OK\n", "Length: 31344016 (30M) [application/zip]\n", "Saving to: 'text8.zip'\n", "\n", "text8.zip 100%[=====================>] 29.89M 327KB/s in 98s \n", "\n", "2019-06-27 13:50:21 (313 KB/s) - 'text8.zip' saved [31344016/31344016]\n", "\n", "Archive: text8.zip\n", " inflating: text8 \n" ] } ], "source": [ "import os.path\n", "if not os.path.isfile('text8'):\n", " !wget -c http://mattmahoney.net/dc/text8.zip\n", " !unzip text8.zip" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Import & Set up Logging\n", "I'm not going to set up logging due to the verbose input displaying in notebooks, but if you want that, uncomment the lines in the cell below." ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [], "source": [ "LOGS = False\n", "\n", "if LOGS:\n", " import logging\n", " logging.basicConfig(format='%(asctime)s : %(levelname)s : %(message)s', level=logging.INFO)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 2. Build Word2Vec Model" ] }, { "cell_type": "code", "execution_count": 57, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Word2Vec(vocab=71290, size=100, alpha=0.05)\n" ] } ], "source": [ "from gensim.models import Word2Vec, KeyedVectors\n", "from gensim.models.word2vec import Text8Corpus\n", "\n", "# Using params from Word2Vec_FastText_Comparison\n", "\n", "params = {\n", " 'alpha': 0.05,\n", " 'size': 100,\n", " 'window': 5,\n", " 'iter': 5,\n", " 'min_count': 5,\n", " 'sample': 1e-4,\n", " 'sg': 1,\n", " 'hs': 0,\n", " 'negative': 5\n", "}\n", "\n", "model = Word2Vec(Text8Corpus('text8'), **params)\n", "print(model)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "See the [Word2Vec tutorial](word2vec.ipynb) for how to initialize and save this model." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Comparing the traditional implementation, Annoy and Nmslib approximation" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [], "source": [ "# Set up the model and vector that we are using in the comparison\n", "from gensim.similarities.index import AnnoyIndexer\n", "from gensim.similarities.nmslib import NmslibIndexer\n", "\n", "model.init_sims()\n", "annoy_index = AnnoyIndexer(model, 300)\n", "nmslib_index = NmslibIndexer(model, {'M': 100, 'indexThreadQty': 1, 'efConstruction': 100}, {'efSearch': 10})" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[('the', 1.0), ('of', 0.700629323720932), ('in', 0.6932138800621033), ('which', 0.6132444441318512), ('for', 0.6099657416343689)]\n", "[('the', 0.9999999701976776), ('of', 0.91037717461586), ('in', 0.9058823883533478), ('a', 0.8834112882614136), ('and', 0.8790014386177063)]\n", "[('the', 1.0000001192092896), ('of', 0.82075434923172), ('in', 0.811764657497406), ('a', 0.7668224573135376), ('and', 0.7580028772354126)]\n" ] } ], "source": [ "# Dry run to make sure both indices are fully in RAM\n", "vector = model.wv.syn0norm[0]\n", "print(model.most_similar([vector], topn=5, indexer=annoy_index))\n", "print(model.most_similar([vector], topn=5, indexer=nmslib_index))\n", "print(model.most_similar([vector], topn=5))" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [], "source": [ "import time\n", "import numpy as np" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [], "source": [ "def avg_query_time(annoy_index=None, queries=1000):\n", " \"\"\"\n", " Average query time of a most_similar method over 1000 random queries,\n", " uses annoy if given an indexer\n", " \"\"\"\n", " total_time = 0\n", " for _ in range(queries):\n", " rand_vec = model.wv.syn0norm[np.random.randint(0, len(model.wv.vocab))]\n", " start_time = time.clock()\n", " model.most_similar([rand_vec], topn=5, indexer=annoy_index)\n", " total_time += time.clock() - start_time\n", " return total_time / queries" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Gensim (s/query):\t0.00560\n", "Annoy (s/query):\t0.00099\n", "Nmslib (s/query):\t0.00046\n", "\n", "Nmslib is 12.16 times faster on average on this particular run\n", "\n", "Nmslib is 2.15 times faster on average than annoy on this particular run\n" ] } ], "source": [ "queries = 10000\n", "\n", "gensim_time = avg_query_time(queries=queries)\n", "annoy_time = avg_query_time(annoy_index, queries=queries)\n", "nmslib_time = avg_query_time(nmslib_index, queries=queries)\n", "print(\"Gensim (s/query):\\t{0:.5f}\".format(gensim_time))\n", "print(\"Annoy (s/query):\\t{0:.5f}\".format(annoy_time))\n", "print(\"Nmslib (s/query):\\t{0:.5f}\".format(nmslib_time))\n", "speed_improvement_gensim = gensim_time / nmslib_time\n", "speed_improvement_annoy = annoy_time / nmslib_time\n", "print (\"\\nNmslib is {0:.2f} times faster on average on this particular run\".format(speed_improvement_gensim))\n", "print (\"\\nNmslib is {0:.2f} times faster on average than annoy on this particular run\".format(speed_improvement_annoy))\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3. Construct Nmslib Index with model & make a similarity query\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Creating an indexer\n", "An instance of `NmslibIndexer` needs to be created in order to use Nmslib in gensim. The `NmslibIndexer` class is located in `gensim.similarities.nmslib`\n", "\n", "`NmslibIndexer()` takes three parameters:\n", "\n", "**`model`**: A `Word2Vec` or `Doc2Vec` model\n", "\n", "**`index_params`**: Parameters for building nmslib indexer. `index_params` effects the build time and the index size. The example is `{'M': 100, 'indexThreadQty': 1, 'efConstruction': 100}`. Increasing the value of `M` and `efConstruction` improves the accuracy of search. However this also leads to longer indexing times. `indexThreadQty` is the number of thread. \n", "\n", "**`query_time_params`**: Parameters for querying on nmslib indexer. `query_time_params` effects the query time and the search accuracy. The example is `{'efSearch': 100}`. A larger `efSearch` will give more accurate results, but larger query time. \n", "\n", "More information can be found [here](https://github.com/nmslib/nmslib/blob/master/manual/methods.md). The relationship between parameters, build/query time, and accuracy will be investigated later in the tutorial. \n", "\n", "Now that we are ready to make a query, lets find the top 5 most similar words to \"science\" in the Text8 corpus. To make a similarity query we call `Word2Vec.most_similar` like we would traditionally, but with an added parameter, `indexer`. The only supported indexerers in gensim as of now are Annoy and Nmslib. " ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Approximate Neighbors\n", "('science', 1.0000000596046448)\n", "('fiction', 0.8769421577453613)\n", "('protoscience', 0.8432635962963104)\n", "('multidisciplinary', 0.835610032081604)\n", "('sciences', 0.8348604440689087)\n", "('astrobiology', 0.8340338170528412)\n", "('actuarial', 0.8339103162288666)\n", "('interdisciplinary', 0.8327268362045288)\n", "('xenobiology', 0.8318319618701935)\n", "('criminology', 0.8261869251728058)\n", "('futurists', 0.82555091381073)\n", "\n", "Normal (not nmslib-indexed) Neighbors\n", "('science', 0.9999998807907104)\n", "('fiction', 0.7538841962814331)\n", "('protoscience', 0.6865270733833313)\n", "('multidisciplinary', 0.6712199449539185)\n", "('sciences', 0.6697208881378174)\n", "('astrobiology', 0.6680675148963928)\n", "('actuarial', 0.6678205132484436)\n", "('interdisciplinary', 0.6654534339904785)\n", "('xenobiology', 0.663663923740387)\n", "('vernor', 0.6569585800170898)\n", "('criminology', 0.652373731136322)\n" ] } ], "source": [ "# Building nmslib indexer\n", "nmslib_index = NmslibIndexer(model, {'M': 100, 'indexThreadQty': 1, 'efConstruction': 100}, {'efSearch': 10})\n", "# Derive the vector for the word \"science\" in our model\n", "vector = model[\"science\"]\n", "# The instance of AnnoyIndexer we just created is passed \n", "approximate_neighbors = model.most_similar([vector], topn=11, indexer=nmslib_index)\n", "\n", "# Neatly print the approximate_neighbors and their corresponding cosine similarity values\n", "print(\"Approximate Neighbors\")\n", "for neighbor in approximate_neighbors:\n", " print(neighbor)\n", "\n", "normal_neighbors = model.most_similar([vector], topn=11)\n", "print(\"\\nNormal (not nmslib-indexed) Neighbors\")\n", "for neighbor in normal_neighbors:\n", " print(neighbor)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Analyzing the results" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The closer the cosine similarity of a vector is to 1, the more similar that word is to our query, which was the vector for \"science\". In this case the results are almostly same." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 4. Verify & Evaluate performance" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Persisting Indexes\n", "You can save and load your indexes from/to disk to prevent having to construct them each time. This will create two files on disk, _fname_ and _fname.d_. Both files are needed to correctly restore all attributes. " ] }, { "cell_type": "code", "execution_count": 23, "metadata": {}, "outputs": [], "source": [ "import os\n", "\n", "fname = '/tmp/mymodel.index'\n", "\n", "# Persist index to disk\n", "nmslib_index.save(fname)\n", "\n", "# Load index back\n", "if os.path.exists(fname):\n", " nmslib_index2 = NmslibIndexer.load(fname)\n", " nmslib_index2.model = model" ] }, { "cell_type": "code", "execution_count": 24, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "('science', 1.0000000596046448)\n", "('fiction', 0.8769421577453613)\n", "('protoscience', 0.8432635962963104)\n", "('multidisciplinary', 0.835610032081604)\n", "('sciences', 0.8348604440689087)\n", "('astrobiology', 0.8340338170528412)\n", "('actuarial', 0.8339103162288666)\n", "('interdisciplinary', 0.8327268362045288)\n", "('xenobiology', 0.8318319618701935)\n", "('criminology', 0.8261869251728058)\n", "('futurists', 0.82555091381073)\n" ] } ], "source": [ "# Results should be identical to above\n", "vector = model[\"science\"]\n", "approximate_neighbors2 = model.most_similar([vector], topn=11, indexer=nmslib_index2)\n", "for neighbor in approximate_neighbors2:\n", " print(neighbor)\n", " \n", "assert approximate_neighbors == approximate_neighbors2" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Be sure to use the same model at load that was used originally, otherwise you will get unexpected behaviors." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Save memory by memory-mapping indices saved to disk\n", "\n", "Nmslib library has a useful feature that indices can be memory-mapped from disk. It saves memory when the same index is used by several processes.\n", "\n", "Below are two snippets of code. First one has a separate index for each process. The second snipped shares the index between two processes via memory-mapping. The second example uses less total RAM as it is shared." ] }, { "cell_type": "code", "execution_count": 26, "metadata": {}, "outputs": [], "source": [ "# Remove verbosity from code below (if logging active)\n", "\n", "if LOGS:\n", " logging.disable(logging.CRITICAL)" ] }, { "cell_type": "code", "execution_count": 27, "metadata": {}, "outputs": [], "source": [ "from multiprocessing import Process\n", "import psutil" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Bad Example: Two processes load the Word2vec model from disk and create there own Nmslib indices from that model. " ] }, { "cell_type": "code", "execution_count": 28, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Process Id: 36262\n", "\n", "Memory used by process 36262: pmem(rss=600539136, vms=6052294656, pfaults=170185, pageins=2)\n", "---\n", "Process Id: 36263\n", "\n", "Memory used by process 36263: pmem(rss=600539136, vms=6052294656, pfaults=170155, pageins=0)\n", "---\n", "CPU times: user 547 ms, sys: 308 ms, total: 856 ms\n", "Wall time: 59.2 s\n" ] } ], "source": [ "%%time\n", "\n", "model.save('/tmp/mymodel.pkl')\n", "\n", "def f(process_id):\n", " print('Process Id: {}'.format(os.getpid()))\n", " process = psutil.Process(os.getpid())\n", " new_model = Word2Vec.load('/tmp/mymodel.pkl')\n", " vector = new_model[\"science\"]\n", " nmslib_index = NmslibIndexer(new_model, {'M': 100, 'indexThreadQty': 1, 'efConstruction': 100}, {'efSearch': 10})\n", " approximate_neighbors = new_model.most_similar([vector], topn=5, indexer=nmslib_index)\n", " print('\\nMemory used by process {}: {}\\n---'.format(os.getpid(), process.memory_info()))\n", "\n", "# Creating and running two parallel process to share the same index file.\n", "p1 = Process(target=f, args=('1',))\n", "p1.start()\n", "p1.join()\n", "p2 = Process(target=f, args=('2',))\n", "p2.start()\n", "p2.join()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Good example. Two processes load both the Word2vec model and index from disk and memory-map the index\n" ] }, { "cell_type": "code", "execution_count": 29, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Process Id: 36265\n", "\n", "Memory used by process 36265: pmem(rss=417345536, vms=5981540352, pfaults=129428, pageins=0)\n", "---\n", "Process Id: 36266\n", "\n", "Memory used by process 36266: pmem(rss=417579008, vms=5981540352, pfaults=129528, pageins=0)\n", "---\n", "CPU times: user 581 ms, sys: 266 ms, total: 847 ms\n", "Wall time: 3.74 s\n" ] } ], "source": [ "%%time\n", "\n", "model.save('/tmp/mymodel.pkl')\n", "\n", "def f(process_id):\n", " print('Process Id: {}'.format(os.getpid()))\n", " process = psutil.Process(os.getpid())\n", " new_model = Word2Vec.load('/tmp/mymodel.pkl')\n", " vector = new_model[\"science\"]\n", " nmslib_index = NmslibIndexer.load('/tmp/mymodel.index')\n", " nmslib_index.model = new_model\n", " approximate_neighbors = new_model.most_similar([vector], topn=5, indexer=nmslib_index)\n", " print('\\nMemory used by process {}: {}\\n---'.format(os.getpid(), process.memory_info()))\n", "\n", "# Creating and running two parallel process to share the same index file.\n", "p1 = Process(target=f, args=('1',))\n", "p1.start()\n", "p1.join()\n", "p2 = Process(target=f, args=('2',))\n", "p2.start()\n", "p2.join()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 5. Evaluate relationship of parameters to initialization/query time and accuracy, compared with annoy\n" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Build dataset of Initialization times and accuracy measures" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [], "source": [ "exact_results = [element[0] for element in model.most_similar([model.wv.syn0norm[0]], topn=100)]" ] }, { "cell_type": "code", "execution_count": 48, "metadata": {}, "outputs": [], "source": [ "# For calculating query time\n", "queries = 1000" ] }, { "cell_type": "code", "execution_count": 49, "metadata": {}, "outputs": [], "source": [ "def create_evaluation_graph(x_values, y_values_init, y_values_accuracy, y_values_query, param_name):\n", " plt.figure(1, figsize=(12, 6))\n", " plt.subplot(231)\n", " plt.plot(x_values, y_values_init)\n", " plt.title(\"{} vs initalization time\".format(param_name))\n", " plt.ylabel(\"Initialization time (s)\")\n", " plt.xlabel(param_name)\n", " plt.subplot(232)\n", " plt.plot(x_values, y_values_accuracy)\n", " plt.title(\"{} vs accuracy\".format(param_name))\n", " plt.ylabel(\"% accuracy\")\n", " plt.xlabel(param_name)\n", " plt.tight_layout()\n", " plt.subplot(233)\n", " plt.plot(y_values_init, y_values_accuracy)\n", " plt.title(\"Initialization time vs accuracy\")\n", " plt.ylabel(\"% accuracy\")\n", " plt.xlabel(\"Initialization time (s)\")\n", " plt.tight_layout()\n", " plt.subplot(234)\n", " plt.plot(x_values, y_values_query)\n", " plt.title(\"{} vs query time\".format(param_name))\n", " plt.ylabel(\"query time\")\n", " plt.xlabel(param_name)\n", " plt.tight_layout()\n", " plt.subplot(235)\n", " plt.plot(y_values_query, y_values_accuracy)\n", " plt.title(\"query time vs accuracy\")\n", " plt.ylabel(\"% accuracy\")\n", " plt.xlabel(\"query time (s)\")\n", " plt.tight_layout()\n", " plt.show()" ] }, { "cell_type": "code", "execution_count": 50, "metadata": {}, "outputs": [], "source": [ "def evaluate_nmslib_performance(parameter, is_parameter_query, parameter_start, parameter_end, parameter_step):\n", " nmslib_x_values = []\n", " nmslib_y_values_init = []\n", " nmslib_y_values_accuracy = []\n", " nmslib_y_values_query = []\n", " index_params = {'M': 100, 'indexThreadQty': 10, 'efConstruction': 100, 'post': 0}\n", " query_params = {'efSearch': 100}\n", " \n", " for x in range(parameter_start, parameter_end, parameter_step):\n", " nmslib_x_values.append(x)\n", " start_time = time.time()\n", " if is_parameter_query:\n", " query_params[parameter] = x\n", " else:\n", " index_params[parameter] = x\n", " nmslib_index = NmslibIndexer(model\n", " , index_params\n", " , query_params)\n", " nmslib_y_values_init.append(time.time() - start_time)\n", " approximate_results = model.most_similar([model.wv.syn0norm[0]], topn=100, indexer=nmslib_index)\n", " top_words = [result[0] for result in approximate_results]\n", " nmslib_y_values_accuracy.append(len(set(top_words).intersection(exact_results)))\n", " nmslib_y_values_query.append(avg_query_time(nmslib_index, queries=queries))\n", " create_evaluation_graph(nmslib_x_values,\n", " nmslib_y_values_init, \n", " nmslib_y_values_accuracy, \n", " nmslib_y_values_query, \n", " parameter)" ] }, { "cell_type": "code", "execution_count": 51, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 864x432 with 5 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# Evaluate nmslib indexer, changing the parameter M\n", "evaluate_nmslib_performance(\"M\", False, 50, 401, 50)" ] }, { "cell_type": "code", "execution_count": 52, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 864x432 with 5 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# Evaluate nmslib indexer, changing the parameter efConstruction\n", "evaluate_nmslib_performance(\"efConstruction\", False, 50, 1001, 100)" ] }, { "cell_type": "code", "execution_count": 53, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 864x432 with 5 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# Evaluate nmslib indexer, changing the parameter efSearch\n", "evaluate_nmslib_performance(\"efSearch\", True, 50, 401, 100)" ] }, { "cell_type": "code", "execution_count": 54, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 864x432 with 5 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# Evaluate annoy indexer, changing the parameter num_tree\n", "annoy_x_values = []\n", "annoy_y_values_init = []\n", "annoy_y_values_accuracy = []\n", "annoy_y_values_query = []\n", "\n", "for x in range(100, 401, 50):\n", " annoy_x_values.append(x)\n", " start_time = time.time()\n", " annoy_index = AnnoyIndexer(model, x)\n", " annoy_y_values_init.append(time.time() - start_time)\n", " approximate_results = model.most_similar([model.wv.syn0norm[0]], topn=100, indexer=annoy_index)\n", " top_words = [result[0] for result in approximate_results]\n", " annoy_y_values_accuracy.append(len(set(top_words).intersection(exact_results)))\n", " annoy_y_values_query.append(avg_query_time(annoy_index, queries=queries))\n", "create_evaluation_graph(annoy_x_values,\n", " annoy_y_values_init, \n", " annoy_y_values_accuracy, \n", " annoy_y_values_query, \n", " \"num_tree\")" ] }, { "cell_type": "code", "execution_count": 55, "metadata": { "scrolled": true }, "outputs": [], "source": [ "# nmslib indexer changing the parameter M, efConstruction, efSearch\n", "nmslib_y_values_init = []\n", "nmslib_y_values_accuracy = []\n", "nmslib_y_values_query = []\n", "\n", "for M in [100, 200]:\n", " for efConstruction in [100, 200]:\n", " for efSearch in [100, 200]:\n", " start_time = time.time()\n", " nmslib_index = NmslibIndexer(model, \n", " {'M': M, 'indexThreadQty': 10, 'efConstruction': efConstruction, 'post': 0},\n", " {'efSearch': efSearch})\n", " nmslib_y_values_init.append(time.time() - start_time)\n", " approximate_results = model.most_similar([model.wv.syn0norm[0]], topn=100, indexer=nmslib_index)\n", " top_words = [result[0] for result in approximate_results]\n", " nmslib_y_values_accuracy.append(len(set(top_words).intersection(exact_results)))\n", " nmslib_y_values_query.append(avg_query_time(nmslib_index, queries=queries))\n" ] }, { "cell_type": "code", "execution_count": 56, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 864x432 with 2 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "# Make a comparison between annoy and nmslib indexer\n", "plt.figure(1, figsize=(12, 6))\n", "plt.subplot(121)\n", "plt.scatter(nmslib_y_values_init, nmslib_y_values_accuracy, label=\"nmslib\", color='r', marker='o')\n", "plt.scatter(annoy_y_values_init, annoy_y_values_accuracy, label=\"annoy\", color='b', marker='x')\n", "plt.legend()\n", "plt.title(\"Initialization time vs accuracy. Upper left is better.\")\n", "plt.ylabel(\"% accuracy\")\n", "plt.xlabel(\"Initialization time (s)\")\n", "plt.subplot(122)\n", "plt.scatter(nmslib_y_values_query, nmslib_y_values_accuracy, label=\"nmslib\", color='r', marker='o')\n", "plt.scatter(annoy_y_values_query, annoy_y_values_accuracy, label=\"annoy\", color='b', marker='x')\n", "plt.legend()\n", "plt.title(\"Query time vs accuracy. Upper left is better.\")\n", "plt.ylabel(\"% accuracy\")\n", "plt.xlabel(\"Query time (s)\")\n", "plt.xlim(min(nmslib_y_values_query+annoy_y_values_query), max(nmslib_y_values_query+annoy_y_values_query))\n", "plt.tight_layout()\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 6. Work with Google word2vec files\n", "\n", "Our model can be exported to a word2vec C format. There is a binary and a plain text word2vec format. Both can be read with a variety of other software, or imported back into gensim as a `KeyedVectors` object." ] }, { "cell_type": "code", "execution_count": 74, "metadata": {}, "outputs": [], "source": [ "# To export our model as text\n", "model.wv.save_word2vec_format('/tmp/vectors.txt', binary=False)" ] }, { "cell_type": "code", "execution_count": 37, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "71290 100\n", "the -0.20548718 0.19478682 -0.15149663 -0.31142342 0.014471135 -0.17996445 -0.07373469 0.09573618 -0.06328416 0.15571225 0.021413572 -0.12776679 -0.16940169 0.15807933 -0.21688043 0.074471496 0.08091913 0.07911484 0.31909388 -0.12297766 0.16993207 -0.02962172 0.08481803 0.12566781 0.02949822 -0.009697897 0.10780254 -0.102594994 -0.03935867 0.2679534 -0.061677158 -0.26071545 0.16285498 0.051780242 -0.1697231 0.24037386 0.0726078 -0.090416454 0.0776138 -0.06611322 0.057015926 0.07859522 -0.1910579 0.2974446 -0.033308737 -0.07360004 0.10797568 0.3595622 0.26797494 -0.062491674 0.21733648 -0.08524646 -0.06860078 -0.01714756 0.1305319 -0.09754544 -0.11249808 0.27328265 0.0041686473 -0.09874534 -0.30283058 0.111191 -0.026302295 -0.095534325 -0.0907799 -0.09120328 0.00068672217 0.31802058 -0.03345536 0.103762306 0.068564445 0.07402255 0.013657822 0.020439595 0.14985266 -0.13516407 0.36674532 0.0077319355 0.24709526 0.07666927 -0.11271039 0.02220251 -0.0235162 0.06409378 -0.10098407 0.23384795 0.094924204 0.061178204 0.19992544 0.29211295 0.004201066 0.12897494 -0.07837112 0.06269808 0.18545003 0.10452479 0.093281575 -0.24360427 0.01996208 0.35048977\n", "of -0.19580448 0.209091 -0.099757686 -0.21272708 0.022300478 -0.21946296 -0.19841547 0.09413904 0.08382151 0.20281556 0.12914163 -0.062828615 -0.24957581 0.29098126 -0.12465087 0.09803499 0.08116001 0.06726196 0.2615518 -0.035177294 0.06914535 -0.09427601 0.0011476864 0.23290296 0.0034714406 0.009549841 0.03912403 0.11168332 -0.10166585 0.34404838 -0.08050078 -0.3933344 0.17402974 -0.15764657 -0.21314052 0.20686424 0.034598276 0.16018851 0.14357902 0.046236232 0.075825214 0.029642927 -0.059190348 0.4163471 -0.1367429 -0.017528763 0.19181107 0.2601198 -0.020112848 -0.23402186 0.2841525 -0.10974068 -0.002565893 0.00070757867 0.13032512 -0.002393167 -0.14120881 0.22138755 0.027622899 0.06942904 -0.39498508 0.1133777 0.19053803 -0.062439334 -0.025348661 -0.11142109 0.015062763 0.3285828 -0.09184951 0.2661699 -0.11710489 -0.15770112 -0.12773664 0.15360866 0.08832063 -0.20914252 0.32392043 -0.023845093 0.3131217 0.08974748 -0.11354328 -0.2037927 -0.06780317 0.20184614 -0.13539118 0.2029387 0.07701099 -0.048417546 0.09797926 0.284204 0.036153372 0.17912139 -0.118080124 -0.025121484 0.10947146 0.09291596 0.1244357 0.006804844 0.025120731 0.28958535\n" ] } ], "source": [ "from smart_open import open\n", "# View the first 3 lines of the exported file\n", "\n", "# The first line has the total number of entries and the vector dimension count. \n", "# The next lines have a key (a string) followed by its vector.\n", "with open('/tmp/vectors.txt') as myfile:\n", " for i in range(3):\n", " print(myfile.readline().strip())" ] }, { "cell_type": "code", "execution_count": 38, "metadata": {}, "outputs": [], "source": [ "# To import a word2vec text model\n", "wv = KeyedVectors.load_word2vec_format('/tmp/vectors.txt', binary=False)" ] }, { "cell_type": "code", "execution_count": 39, "metadata": {}, "outputs": [], "source": [ "# To export our model as binary\n", "model.wv.save_word2vec_format('/tmp/vectors.bin', binary=True)" ] }, { "cell_type": "code", "execution_count": 40, "metadata": {}, "outputs": [], "source": [ "# To import a word2vec binary model\n", "wv = KeyedVectors.load_word2vec_format('/tmp/vectors.bin', binary=True)" ] }, { "cell_type": "code", "execution_count": 41, "metadata": {}, "outputs": [], "source": [ "# To create and save Nmslib Index from a loaded `KeyedVectors` object \n", "nmslib_index = NmslibIndexer(wv, \n", " {'M': 100, 'indexThreadQty': 1, 'efConstruction': 100}, {'efSearch': 100})\n", "nmslib_index.save('/tmp/mymodel.index')" ] }, { "cell_type": "code", "execution_count": 44, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Approximate Neighbors\n", "('cat', 1.0)\n", "('cats', 0.8335747122764587)\n", "('meow', 0.8298084139823914)\n", "('leopardus', 0.8215900659561157)\n", "('albino', 0.8207193315029144)\n", "('poodle', 0.8168523907661438)\n", "('saimiri', 0.8167656660079956)\n", "('squirrel', 0.8138661682605743)\n", "('sighthound', 0.8134040832519531)\n", "('proboscis', 0.8130573630332947)\n", "('eared', 0.812840610742569)\n", "\n", "Normal (not Nmslib-indexed) Neighbors\n", "('cat', 1.0)\n", "('cats', 0.6671494245529175)\n", "('meow', 0.6596168279647827)\n", "('leopardus', 0.6431801319122314)\n", "('albino', 0.6414386034011841)\n", "('poodle', 0.633704662322998)\n", "('saimiri', 0.633531391620636)\n", "('squirrel', 0.6277321577072144)\n", "('sighthound', 0.6268081665039062)\n", "('proboscis', 0.6261147260665894)\n", "('eared', 0.6256811618804932)\n" ] } ], "source": [ "# Load and test the saved word vectors and saved nmslib index\n", "wv = KeyedVectors.load_word2vec_format('/tmp/vectors.bin', binary=True)\n", "nmslib_index = NmslibIndexer.load('/tmp/mymodel.index')\n", "nmslib_index.model = wv\n", "\n", "vector = wv[\"cat\"]\n", "approximate_neighbors = wv.most_similar([vector], topn=11, indexer=nmslib_index)\n", "# Neatly print the approximate_neighbors and their corresponding cosine similarity values\n", "print(\"Approximate Neighbors\")\n", "for neighbor in approximate_neighbors:\n", " print(neighbor)\n", "\n", "normal_neighbors = wv.most_similar([vector], topn=11)\n", "print(\"\\nNormal (not Nmslib-indexed) Neighbors\")\n", "for neighbor in normal_neighbors:\n", " print(neighbor)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Recap\n", "In this notebook we used the Nmslib module to build an indexed approximation of our word embeddings. To do so, we did the following steps:\n", "1. Download Text8 Corpus\n", "2. Build Word2Vec Model\n", "3. Construct NmslibIndex with model & make a similarity query\n", "4. Verify & Evaluate performance\n", "5. Evaluate relationship of parameters to initialization/query time and accuracy, compared with annoy\n", "6. Work with Google's word2vec C formats" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
lgpl-2.1
dipanjanS/BerkeleyX-CS100.1x-Big-Data-with-Apache-Spark
Week 2 - Introduction to Apache Spark/lab1_word_count_student.ipynb
1
33229
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "#![Spark Logo](http://spark-mooc.github.io/web-assets/images/ta_Spark-logo-small.png) + ![Python Logo](http://spark-mooc.github.io/web-assets/images/python-logo-master-v3-TM-flattened_small.png)\n", "# **Word Count Lab: Building a word count application**\n", "#### This lab will build on the techniques covered in the Spark tutorial to develop a simple word count application. The volume of unstructured text in existence is growing dramatically, and Spark is an excellent tool for analyzing this type of data. In this lab, we will write code that calculates the most common words in the [Complete Works of William Shakespeare](http://www.gutenberg.org/ebooks/100) retrieved from [Project Gutenberg](http://www.gutenberg.org/wiki/Main_Page). This could also be scaled to find the most common words on the Internet.\n", "#### ** During this lab we will cover: **\n", "#### *Part 1:* Creating a base RDD and pair RDDs\n", "#### *Part 2:* Counting with pair RDDs\n", "#### *Part 3:* Finding unique words and a mean value\n", "#### *Part 4:* Apply word count to a file\n", "#### Note that, for reference, you can look up the details of the relevant methods in [Spark's Python API](https://spark.apache.org/docs/latest/api/python/pyspark.html#pyspark.RDD)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### ** Part 1: Creating a base RDD and pair RDDs **" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### In this part of the lab, we will explore creating a base RDD with `parallelize` and using pair RDDs to count words." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (1a) Create a base RDD **\n", "#### We'll start by generating a base RDD by using a Python list and the `sc.parallelize` method. Then we'll print out the type of the base RDD." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<class 'pyspark.rdd.RDD'>\n" ] } ], "source": [ "wordsList = ['cat', 'elephant', 'rat', 'rat', 'cat']\n", "wordsRDD = sc.parallelize(wordsList, 4)\n", "# Print out the type of wordsRDD\n", "print type(wordsRDD)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (1b) Pluralize and test **\n", "#### Let's use a `map()` transformation to add the letter 's' to each string in the base RDD we just created. We'll define a Python function that returns the word with an 's' at the end of the word. Please replace `<FILL IN>` with your solution. If you have trouble, the next cell has the solution. After you have defined `makePlural` you can run the third cell which contains a test. If you implementation is correct it will print `1 test passed`.\n", "#### This is the general form that exercises will take, except that no example solution will be provided. Exercises will include an explanation of what is expected, followed by code cells where one cell will have one or more `<FILL IN>` sections. The cell that needs to be modified will have `# TODO: Replace <FILL IN> with appropriate code` on its first line. Once the `<FILL IN>` sections are updated and the code is run, the test cell can then be run to verify the correctness of your solution. The last code cell before the next markdown section will contain the tests." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "cats\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "def makePlural(word):\n", " \"\"\"Adds an 's' to `word`.\n", "\n", " Note:\n", " This is a simple function that only adds an 's'. No attempt is made to follow proper\n", " pluralization rules.\n", "\n", " Args:\n", " word (str): A string.\n", "\n", " Returns:\n", " str: A string with 's' added to it.\n", " \"\"\"\n", " return word + 's'\n", "\n", "print makePlural('cat')" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "cats\n" ] } ], "source": [ "# One way of completing the function\n", "def makePlural(word):\n", " return word + 's'\n", "\n", "print makePlural('cat')" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# Load in the testing code and check to see if your answer is correct\n", "# If incorrect it will report back '1 test failed' for each failed test\n", "# Make sure to rerun any cell you change before trying the test again\n", "from test_helper import Test\n", "# TEST Pluralize and test (1b)\n", "Test.assertEquals(makePlural('rat'), 'rats', 'incorrect result: makePlural does not add an s')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (1c) Apply `makePlural` to the base RDD **\n", "#### Now pass each item in the base RDD into a [map()](http://spark.apache.org/docs/latest/api/python/pyspark.html#pyspark.RDD.map) transformation that applies the `makePlural()` function to each element. And then call the [collect()](http://spark.apache.org/docs/latest/api/python/pyspark.html#pyspark.RDD.collect) action to see the transformed RDD." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['cats', 'elephants', 'rats', 'rats', 'cats']\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "pluralRDD = wordsRDD.map(makePlural)\n", "print pluralRDD.collect()" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST Apply makePlural to the base RDD(1c)\n", "Test.assertEquals(pluralRDD.collect(), ['cats', 'elephants', 'rats', 'rats', 'cats'],\n", " 'incorrect values for pluralRDD')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (1d) Pass a `lambda` function to `map` **\n", "#### Let's create the same RDD using a `lambda` function." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['cats', 'elephants', 'rats', 'rats', 'cats']\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "pluralLambdaRDD = wordsRDD.map(lambda word: word + 's')\n", "print pluralLambdaRDD.collect()" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST Pass a lambda function to map (1d)\n", "Test.assertEquals(pluralLambdaRDD.collect(), ['cats', 'elephants', 'rats', 'rats', 'cats'],\n", " 'incorrect values for pluralLambdaRDD (1d)')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (1e) Length of each word **\n", "#### Now use `map()` and a `lambda` function to return the number of characters in each word. We'll `collect` this result directly into a variable." ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[4, 9, 4, 4, 4]\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "pluralLengths = (pluralRDD\n", " .map(lambda word: len(word))\n", " .collect())\n", "print pluralLengths" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST Length of each word (1e)\n", "Test.assertEquals(pluralLengths, [4, 9, 4, 4, 4],\n", " 'incorrect values for pluralLengths')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (1f) Pair RDDs **\n", "#### The next step in writing our word counting program is to create a new type of RDD, called a pair RDD. A pair RDD is an RDD where each element is a pair tuple `(k, v)` where `k` is the key and `v` is the value. In this example, we will create a pair consisting of `('<word>', 1)` for each word element in the RDD.\n", "#### We can create the pair RDD using the `map()` transformation with a `lambda()` function to create a new RDD." ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[('cat', 1), ('elephant', 1), ('rat', 1), ('rat', 1), ('cat', 1)]\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "wordPairs = wordsRDD.map(lambda word: (word, 1))\n", "print wordPairs.collect()" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST Pair RDDs (1f)\n", "Test.assertEquals(wordPairs.collect(),\n", " [('cat', 1), ('elephant', 1), ('rat', 1), ('rat', 1), ('cat', 1)],\n", " 'incorrect value for wordPairs')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### ** Part 2: Counting with pair RDDs **" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Now, let's count the number of times a particular word appears in the RDD. There are multiple ways to perform the counting, but some are much less efficient than others.\n", "#### A naive approach would be to `collect()` all of the elements and count them in the driver program. While this approach could work for small datasets, we want an approach that will work for any size dataset including terabyte- or petabyte-sized datasets. In addition, performing all of the work in the driver program is slower than performing it in parallel in the workers. For these reasons, we will use data parallel operations." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (2a) `groupByKey()` approach **\n", "#### An approach you might first consider (we'll see shortly that there are better ways) is based on using the [groupByKey()](http://spark.apache.org/docs/latest/api/python/pyspark.html#pyspark.RDD.groupByKey) transformation. As the name implies, the `groupByKey()` transformation groups all the elements of the RDD with the same key into a single list in one of the partitions. There are two problems with using `groupByKey()`:\n", " + #### The operation requires a lot of data movement to move all the values into the appropriate partitions.\n", " + #### The lists can be very large. Consider a word count of English Wikipedia: the lists for common words (e.g., the, a, etc.) would be huge and could exhaust the available memory in a worker.\n", " \n", "#### Use `groupByKey()` to generate a pair RDD of type `('word', iterator)`." ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "rat: [1, 1]\n", "elephant: [1]\n", "cat: [1, 1]\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "# Note that groupByKey requires no parameters\n", "wordsGrouped = wordPairs.groupByKey()\n", "for key, value in wordsGrouped.collect():\n", " print '{0}: {1}'.format(key, list(value))" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST groupByKey() approach (2a)\n", "Test.assertEquals(sorted(wordsGrouped.mapValues(lambda x: list(x)).collect()),\n", " [('cat', [1, 1]), ('elephant', [1]), ('rat', [1, 1])],\n", " 'incorrect value for wordsGrouped')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (2b) Use `groupByKey()` to obtain the counts **\n", "#### Using the `groupByKey()` transformation creates an RDD containing 3 elements, each of which is a pair of a word and a Python iterator.\n", "#### Now sum the iterator using a `map()` transformation. The result should be a pair RDD consisting of (word, count) pairs." ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[('rat', 2), ('elephant', 1), ('cat', 2)]\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "wordCountsGrouped = wordsGrouped.map(lambda (k,v): (k, sum(v)))\n", "print wordCountsGrouped.collect()" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST Use groupByKey() to obtain the counts (2b)\n", "Test.assertEquals(sorted(wordCountsGrouped.collect()),\n", " [('cat', 2), ('elephant', 1), ('rat', 2)],\n", " 'incorrect value for wordCountsGrouped')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (2c) Counting using `reduceByKey` **\n", "#### A better approach is to start from the pair RDD and then use the [reduceByKey()](http://spark.apache.org/docs/latest/api/python/pyspark.html#pyspark.RDD.reduceByKey) transformation to create a new pair RDD. The `reduceByKey()` transformation gathers together pairs that have the same key and applies the function provided to two values at a time, iteratively reducing all of the values to a single value. `reduceByKey()` operates by applying the function first within each partition on a per-key basis and then across the partitions, allowing it to scale efficiently to large datasets." ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[('rat', 2), ('elephant', 1), ('cat', 2)]\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "# Note that reduceByKey takes in a function that accepts two values and returns a single value\n", "\n", "wordCounts = wordPairs.reduceByKey(lambda a,b: a+b)\n", "print wordCounts.collect()" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST Counting using reduceByKey (2c)\n", "Test.assertEquals(sorted(wordCounts.collect()), [('cat', 2), ('elephant', 1), ('rat', 2)],\n", " 'incorrect value for wordCounts')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (2d) All together **\n", "#### The expert version of the code performs the `map()` to pair RDD, `reduceByKey()` transformation, and `collect` in one statement." ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[('rat', 2), ('elephant', 1), ('cat', 2)]\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "wordCountsCollected = (wordsRDD\n", " .map(lambda word: (word, 1))\n", " .reduceByKey(lambda a,b: a+b)\n", " .collect())\n", "print wordCountsCollected" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST All together (2d)\n", "Test.assertEquals(sorted(wordCountsCollected), [('cat', 2), ('elephant', 1), ('rat', 2)],\n", " 'incorrect value for wordCountsCollected')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### ** Part 3: Finding unique words and a mean value **" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (3a) Unique words **\n", "#### Calculate the number of unique words in `wordsRDD`. You can use other RDDs that you have already created to make this easier." ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "3\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "uniqueWords = wordsRDD.map(lambda word: (word, 1)).distinct().count()\n", "print uniqueWords" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST Unique words (3a)\n", "Test.assertEquals(uniqueWords, 3, 'incorrect count of uniqueWords')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (3b) Mean using `reduce` **\n", "#### Find the mean number of words per unique word in `wordCounts`.\n", "#### Use a `reduce()` action to sum the counts in `wordCounts` and then divide by the number of unique words. First `map()` the pair RDD `wordCounts`, which consists of (key, value) pairs, to an RDD of values." ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "5\n", "1.67\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "from operator import add\n", "\n", "totalCount = (wordCounts\n", " .map(lambda (a,b): b)\n", " .reduce(add))\n", "average = totalCount / float(wordCounts.distinct().count())\n", "print totalCount\n", "print round(average, 2)" ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST Mean using reduce (3b)\n", "Test.assertEquals(round(average, 2), 1.67, 'incorrect value of average')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### ** Part 4: Apply word count to a file **" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### In this section we will finish developing our word count application. We'll have to build the `wordCount` function, deal with real world problems like capitalization and punctuation, load in our data source, and compute the word count on the new data." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (4a) `wordCount` function **\n", "#### First, define a function for word counting. You should reuse the techniques that have been covered in earlier parts of this lab. This function should take in an RDD that is a list of words like `wordsRDD` and return a pair RDD that has all of the words and their associated counts." ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[('rat', 2), ('elephant', 1), ('cat', 2)]\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "def wordCount(wordListRDD):\n", " \"\"\"Creates a pair RDD with word counts from an RDD of words.\n", "\n", " Args:\n", " wordListRDD (RDD of str): An RDD consisting of words.\n", "\n", " Returns:\n", " RDD of (str, int): An RDD consisting of (word, count) tuples.\n", " \"\"\"\n", " return (wordListRDD\n", " .map(lambda a : (a,1))\n", " .reduceByKey(lambda a,b: a+b))\n", "print wordCount(wordsRDD).collect()" ] }, { "cell_type": "code", "execution_count": 53, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST wordCount function (4a)\n", "Test.assertEquals(sorted(wordCount(wordsRDD).collect()),\n", " [('cat', 2), ('elephant', 1), ('rat', 2)],\n", " 'incorrect definition for wordCount function')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (4b) Capitalization and punctuation **\n", "#### Real world files are more complicated than the data we have been using in this lab. Some of the issues we have to address are:\n", " + #### Words should be counted independent of their capitialization (e.g., Spark and spark should be counted as the same word).\n", " + #### All punctuation should be removed.\n", " + #### Any leading or trailing spaces on a line should be removed.\n", " \n", "#### Define the function `removePunctuation` that converts all text to lower case, removes any punctuation, and removes leading and trailing spaces. Use the Python [re](https://docs.python.org/2/library/re.html) module to remove any text that is not a letter, number, or space. Reading `help(re.sub)` might be useful." ] }, { "cell_type": "code", "execution_count": 54, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "hi you\n", "no underscore\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "import re\n", "def removePunctuation(text):\n", " \"\"\"Removes punctuation, changes to lower case, and strips leading and trailing spaces.\n", "\n", " Note:\n", " Only spaces, letters, and numbers should be retained. Other characters should should be\n", " eliminated (e.g. it's becomes its). Leading and trailing spaces should be removed after\n", " punctuation is removed.\n", "\n", " Args:\n", " text (str): A string.\n", "\n", " Returns:\n", " str: The cleaned up string.\n", " \"\"\"\n", " return re.sub(\"[^a-zA-Z0-9 ]\", \"\", text.strip(\" \").lower())\n", "print removePunctuation('Hi, you!')\n", "print removePunctuation(' No under_score!')" ] }, { "cell_type": "code", "execution_count": 55, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST Capitalization and punctuation (4b)\n", "Test.assertEquals(removePunctuation(\" The Elephant's 4 cats. \"),\n", " 'the elephants 4 cats',\n", " 'incorrect definition for removePunctuation function')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (4c) Load a text file **\n", "#### For the next part of this lab, we will use the [Complete Works of William Shakespeare](http://www.gutenberg.org/ebooks/100) from [Project Gutenberg](http://www.gutenberg.org/wiki/Main_Page). To convert a text file into an RDD, we use the `SparkContext.textFile()` method. We also apply the recently defined `removePunctuation()` function using a `map()` transformation to strip out the punctuation and change all text to lowercase. Since the file is large we use `take(15)`, so that we only print 15 lines." ] }, { "cell_type": "code", "execution_count": 56, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0: 1609\n", "1: \n", "2: the sonnets\n", "3: \n", "4: by william shakespeare\n", "5: \n", "6: \n", "7: \n", "8: 1\n", "9: from fairest creatures we desire increase\n", "10: that thereby beautys rose might never die\n", "11: but as the riper should by time decease\n", "12: his tender heir might bear his memory\n", "13: but thou contracted to thine own bright eyes\n", "14: feedst thy lights flame with selfsubstantial fuel\n" ] } ], "source": [ "# Just run this code\n", "import os.path\n", "baseDir = os.path.join('data')\n", "inputPath = os.path.join('cs100', 'lab1', 'shakespeare.txt')\n", "fileName = os.path.join(baseDir, inputPath)\n", "\n", "shakespeareRDD = (sc\n", " .textFile(fileName, 8)\n", " .map(removePunctuation))\n", "print '\\n'.join(shakespeareRDD\n", " .zipWithIndex() # to (line, lineNum)\n", " .map(lambda (l, num): '{0}: {1}'.format(num, l)) # to 'lineNum: line'\n", " .take(15))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (4d) Words from lines **\n", "#### Before we can use the `wordcount()` function, we have to address two issues with the format of the RDD:\n", " + #### The first issue is that that we need to split each line by its spaces.\n", " + #### The second issue is we need to filter out empty lines.\n", " \n", "#### Apply a transformation that will split each element of the RDD by its spaces. For each element of the RDD, you should apply Python's string [split()](https://docs.python.org/2/library/string.html#string.split) function. You might think that a `map()` transformation is the way to do this, but think about what the result of the `split()` function will be." ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[u'zwaggerd', u'zounds', u'zounds', u'zounds', u'zounds']\n", "928908\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "shakespeareWordsRDD = shakespeareRDD.flatMap(lambda a: a.split(\" \"))\n", "shakespeareWordCount = shakespeareWordsRDD.count()\n", "print shakespeareWordsRDD.top(5)\n", "print shakespeareWordCount" ] }, { "cell_type": "code", "execution_count": 61, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n", "1 test passed.\n" ] } ], "source": [ "# TEST Words from lines (4d)\n", "# This test allows for leading spaces to be removed either before or after\n", "# punctuation is removed.\n", "Test.assertTrue(shakespeareWordCount == 927631 or shakespeareWordCount == 928908,\n", " 'incorrect value for shakespeareWordCount')\n", "Test.assertEquals(shakespeareWordsRDD.top(5),\n", " [u'zwaggerd', u'zounds', u'zounds', u'zounds', u'zounds'],\n", " 'incorrect value for shakespeareWordsRDD')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (4e) Remove empty elements **\n", "#### The next step is to filter out the empty elements. Remove all entries where the word is `''`." ] }, { "cell_type": "code", "execution_count": 62, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "882996\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "shakeWordsRDD = shakespeareWordsRDD.filter(lambda word: len(word) > 0)\n", "shakeWordCount = shakeWordsRDD.count()\n", "print shakeWordCount" ] }, { "cell_type": "code", "execution_count": 63, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST Remove empty elements (4e)\n", "Test.assertEquals(shakeWordCount, 882996, 'incorrect value for shakeWordCount')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ** (4f) Count the words **\n", "#### We now have an RDD that is only words. Next, let's apply the `wordCount()` function to produce a list of word counts. We can view the top 15 words by using the `takeOrdered()` action; however, since the elements of the RDD are pairs, we need a custom sort function that sorts using the value part of the pair.\n", "#### You'll notice that many of the words are common English words. These are called stopwords. In a later lab, we will see how to eliminate them from the results.\n", "#### Use the `wordCount()` function and `takeOrdered()` to obtain the fifteen most common words and their counts." ] }, { "cell_type": "code", "execution_count": 66, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "the: 27361\n", "and: 26028\n", "i: 20681\n", "to: 19150\n", "of: 17463\n", "a: 14593\n", "you: 13615\n", "my: 12481\n", "in: 10956\n", "that: 10890\n", "is: 9134\n", "not: 8497\n", "with: 7771\n", "me: 7769\n", "it: 7678\n" ] } ], "source": [ "# TODO: Replace <FILL IN> with appropriate code\n", "top15WordsAndCounts = wordCount(shakeWordsRDD).takeOrdered(15, lambda (a,b): -b)\n", "print '\\n'.join(map(lambda (w, c): '{0}: {1}'.format(w, c), top15WordsAndCounts))" ] }, { "cell_type": "code", "execution_count": 67, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 test passed.\n" ] } ], "source": [ "# TEST Count the words (4f)\n", "Test.assertEquals(top15WordsAndCounts,\n", " [(u'the', 27361), (u'and', 26028), (u'i', 20681), (u'to', 19150), (u'of', 17463),\n", " (u'a', 14593), (u'you', 13615), (u'my', 12481), (u'in', 10956), (u'that', 10890),\n", " (u'is', 9134), (u'not', 8497), (u'with', 7771), (u'me', 7769), (u'it', 7678)],\n", " 'incorrect value for top15WordsAndCounts')" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.6" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
guillaume-chevalier/LSTM-Human-Activity-Recognition
LSTM.ipynb
1
213498
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# <a title=\"Activity Recognition\" href=\"https://github.com/guillaume-chevalier/LSTM-Human-Activity-Recognition\" > LSTMs for Human Activity Recognition</a>\n", "\n", "Human Activity Recognition (HAR) using smartphones dataset and an LSTM RNN. Classifying the type of movement amongst six categories:\n", "- WALKING,\n", "- WALKING_UPSTAIRS,\n", "- WALKING_DOWNSTAIRS,\n", "- SITTING,\n", "- STANDING,\n", "- LAYING.\n", "\n", "Compared to a classical approach, using a Recurrent Neural Networks (RNN) with Long Short-Term Memory cells (LSTMs) require no or almost no feature engineering. Data can be fed directly into the neural network who acts like a black box, modeling the problem correctly. [Other research](https://archive.ics.uci.edu/ml/machine-learning-databases/00240/UCI%20HAR%20Dataset.names) on the activity recognition dataset can use a big amount of feature engineering, which is rather a signal processing approach combined with classical data science techniques. The approach here is rather very simple in terms of how much was the data preprocessed. \n", "\n", "Let's use Google's neat Deep Learning library, TensorFlow, demonstrating the usage of an LSTM, a type of Artificial Neural Network that can process sequential data / time series. \n", "\n", "## Video dataset overview\n", "\n", "Follow this link to see a video of the 6 activities recorded in the experiment with one of the participants:\n", "\n", "<p align=\"center\">\n", " <a href=\"http://www.youtube.com/watch?feature=player_embedded&v=XOEN9W05_4A\n", "\" target=\"_blank\"><img src=\"http://img.youtube.com/vi/XOEN9W05_4A/0.jpg\" \n", "alt=\"Video of the experiment\" width=\"400\" height=\"300\" border=\"10\" /></a>\n", " <a href=\"https://youtu.be/XOEN9W05_4A\"><center>[Watch video]</center></a>\n", "</p>\n", "\n", "## Details about the input data\n", "\n", "I will be using an LSTM on the data to learn (as a cellphone attached on the waist) to recognise the type of activity that the user is doing. The dataset's description goes like this:\n", "\n", "> The sensor signals (accelerometer and gyroscope) were pre-processed by applying noise filters and then sampled in fixed-width sliding windows of 2.56 sec and 50% overlap (128 readings/window). The sensor acceleration signal, which has gravitational and body motion components, was separated using a Butterworth low-pass filter into body acceleration and gravity. The gravitational force is assumed to have only low frequency components, therefore a filter with 0.3 Hz cutoff frequency was used. \n", "\n", "That said, I will use the almost raw data: only the gravity effect has been filtered out of the accelerometer as a preprocessing step for another 3D feature as an input to help learning. If you'd ever want to extract the gravity by yourself, you could fork my code on using a [Butterworth Low-Pass Filter (LPF) in Python](https://github.com/guillaume-chevalier/filtering-stft-and-laplace-transform) and edit it to have the right cutoff frequency of 0.3 Hz which is a good frequency for activity recognition from body sensors.\n", "\n", "## What is an RNN?\n", "\n", "As explained in [this article](http://karpathy.github.io/2015/05/21/rnn-effectiveness/), an RNN takes many input vectors to process them and output other vectors. It can be roughly pictured like in the image below, imagining each rectangle has a vectorial depth and other special hidden quirks in the image below. **In our case, the \"many to one\" architecture is used**: we accept time series of [feature vectors](https://www.quora.com/What-do-samples-features-time-steps-mean-in-LSTM/answer/Guillaume-Chevalier-2) (one vector per [time step](https://www.quora.com/What-do-samples-features-time-steps-mean-in-LSTM/answer/Guillaume-Chevalier-2)) to convert them to a probability vector at the output for classification. Note that a \"one to one\" architecture would be a standard feedforward neural network. \n", "\n", "> <a href=\"https://www.dl-rnn-course.neuraxio.com/start?utm_source=github_lstm\" ><img src=\"https://raw.githubusercontent.com/Neuraxio/Machine-Learning-Figures/master/rnn-architectures.png\" /></a>\n", "> [Learn more on RNNs](https://www.dl-rnn-course.neuraxio.com/start?utm_source=github_lstm)\n", "\n", "## What is an LSTM?\n", "\n", "An LSTM is an improved RNN. It is more complex, but easier to train, avoiding what is called the vanishing gradient problem. I recommend [this course](https://www.dl-rnn-course.neuraxio.com/start?utm_source=github_lstm) for you to learn more on LSTMs.\n", "\n", "> [Learn more on LSTMs](https://www.dl-rnn-course.neuraxio.com/start?utm_source=github_lstm)\n", "\n", "\n", "## Results \n", "\n", "Scroll on! Nice visuals awaits. " ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# All Includes\n", "\n", "import numpy as np\n", "import matplotlib\n", "import matplotlib.pyplot as plt\n", "import tensorflow as tf # Version 1.0.0 (some previous versions are used in past commits)\n", "from sklearn import metrics\n", "\n", "import os" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "# Useful Constants\n", "\n", "# Those are separate normalised input features for the neural network\n", "INPUT_SIGNAL_TYPES = [\n", " \"body_acc_x_\",\n", " \"body_acc_y_\",\n", " \"body_acc_z_\",\n", " \"body_gyro_x_\",\n", " \"body_gyro_y_\",\n", " \"body_gyro_z_\",\n", " \"total_acc_x_\",\n", " \"total_acc_y_\",\n", " \"total_acc_z_\"\n", "]\n", "\n", "# Output classes to learn how to classify\n", "LABELS = [\n", " \"WALKING\", \n", " \"WALKING_UPSTAIRS\", \n", " \"WALKING_DOWNSTAIRS\", \n", " \"SITTING\", \n", " \"STANDING\", \n", " \"LAYING\"\n", "] \n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Let's start by downloading the data: " ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "/home/ubuntu/pynb/LSTM-Human-Activity-Recognition\n", "data\t LSTM_files LSTM_OLD.ipynb README.md\n", "LICENSE LSTM.ipynb lstm.py\t screenlog.0\n", "/home/ubuntu/pynb/LSTM-Human-Activity-Recognition/data\n", "download_dataset.py source.txt\n", "\n", "Downloading...\n", "--2017-05-24 01:49:53-- https://archive.ics.uci.edu/ml/machine-learning-databases/00240/UCI%20HAR%20Dataset.zip\n", "Resolving archive.ics.uci.edu (archive.ics.uci.edu)... 128.195.10.249\n", "Connecting to archive.ics.uci.edu (archive.ics.uci.edu)|128.195.10.249|:443... connected.\n", "HTTP request sent, awaiting response... 200 OK\n", "Length: 60999314 (58M) [application/zip]\n", "Saving to: ‘UCI HAR Dataset.zip’\n", "\n", "100%[======================================>] 60,999,314 1.69MB/s in 38s \n", "\n", "2017-05-24 01:50:31 (1.55 MB/s) - ‘UCI HAR Dataset.zip’ saved [60999314/60999314]\n", "\n", "Downloading done.\n", "\n", "Extracting...\n", "Extracting successfully done to /home/ubuntu/pynb/LSTM-Human-Activity-Recognition/data/UCI HAR Dataset.\n", "/home/ubuntu/pynb/LSTM-Human-Activity-Recognition/data\n", "download_dataset.py __MACOSX source.txt UCI HAR Dataset UCI HAR Dataset.zip\n", "/home/ubuntu/pynb/LSTM-Human-Activity-Recognition\n", "data\t LSTM_files LSTM_OLD.ipynb README.md\n", "LICENSE LSTM.ipynb lstm.py\t screenlog.0\n", "\n", "Dataset is now located at: data/UCI HAR Dataset/\n" ] } ], "source": [ "# Note: Linux bash commands start with a \"!\" inside those \"ipython notebook\" cells\n", "\n", "DATA_PATH = \"data/\"\n", "\n", "!pwd && ls\n", "os.chdir(DATA_PATH)\n", "!pwd && ls\n", "\n", "!python download_dataset.py\n", "\n", "!pwd && ls\n", "os.chdir(\"..\")\n", "!pwd && ls\n", "\n", "DATASET_PATH = DATA_PATH + \"UCI HAR Dataset/\"\n", "print(\"\\n\" + \"Dataset is now located at: \" + DATASET_PATH)\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Preparing dataset:" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [], "source": [ "TRAIN = \"train/\"\n", "TEST = \"test/\"\n", "\n", "\n", "# Load \"X\" (the neural network's training and testing inputs)\n", "\n", "def load_X(X_signals_paths):\n", " X_signals = []\n", " \n", " for signal_type_path in X_signals_paths:\n", " file = open(signal_type_path, 'r')\n", " # Read dataset from disk, dealing with text files' syntax\n", " X_signals.append(\n", " [np.array(serie, dtype=np.float32) for serie in [\n", " row.replace(' ', ' ').strip().split(' ') for row in file\n", " ]]\n", " )\n", " file.close()\n", " \n", " return np.transpose(np.array(X_signals), (1, 2, 0))\n", "\n", "X_train_signals_paths = [\n", " DATASET_PATH + TRAIN + \"Inertial Signals/\" + signal + \"train.txt\" for signal in INPUT_SIGNAL_TYPES\n", "]\n", "X_test_signals_paths = [\n", " DATASET_PATH + TEST + \"Inertial Signals/\" + signal + \"test.txt\" for signal in INPUT_SIGNAL_TYPES\n", "]\n", "\n", "X_train = load_X(X_train_signals_paths)\n", "X_test = load_X(X_test_signals_paths)\n", "\n", "\n", "# Load \"y\" (the neural network's training and testing outputs)\n", "\n", "def load_y(y_path):\n", " file = open(y_path, 'r')\n", " # Read dataset from disk, dealing with text file's syntax\n", " y_ = np.array(\n", " [elem for elem in [\n", " row.replace(' ', ' ').strip().split(' ') for row in file\n", " ]], \n", " dtype=np.int32\n", " )\n", " file.close()\n", " \n", " # Substract 1 to each output class for friendly 0-based indexing \n", " return y_ - 1\n", "\n", "y_train_path = DATASET_PATH + TRAIN + \"y_train.txt\"\n", "y_test_path = DATASET_PATH + TEST + \"y_test.txt\"\n", "\n", "y_train = load_y(y_train_path)\n", "y_test = load_y(y_test_path)\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Additionnal Parameters:\n", "\n", "Here are some core parameter definitions for the training. \n", "\n", "For example, the whole neural network's structure could be summarised by enumerating those parameters and the fact that two LSTM are used one on top of another (stacked) output-to-input as hidden layers through time steps. " ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Some useful info to get an insight on dataset's shape and normalisation:\n", "(X shape, y shape, every X's mean, every X's standard deviation)\n", "(2947, 128, 9) (2947, 1) 0.0991399 0.395671\n", "The dataset is therefore properly normalised, as expected, but not yet one-hot encoded.\n" ] } ], "source": [ "# Input Data \n", "\n", "training_data_count = len(X_train) # 7352 training series (with 50% overlap between each serie)\n", "test_data_count = len(X_test) # 2947 testing series\n", "n_steps = len(X_train[0]) # 128 timesteps per series\n", "n_input = len(X_train[0][0]) # 9 input parameters per timestep\n", "\n", "\n", "# LSTM Neural Network's internal structure\n", "\n", "n_hidden = 32 # Hidden layer num of features\n", "n_classes = 6 # Total classes (should go up, or should go down)\n", "\n", "\n", "# Training \n", "\n", "learning_rate = 0.0025\n", "lambda_loss_amount = 0.0015\n", "training_iters = training_data_count * 300 # Loop 300 times on the dataset\n", "batch_size = 1500\n", "display_iter = 30000 # To show test set accuracy during training\n", "\n", "\n", "# Some debugging info\n", "\n", "print(\"Some useful info to get an insight on dataset's shape and normalisation:\")\n", "print(\"(X shape, y shape, every X's mean, every X's standard deviation)\")\n", "print(X_test.shape, y_test.shape, np.mean(X_test), np.std(X_test))\n", "print(\"The dataset is therefore properly normalised, as expected, but not yet one-hot encoded.\")\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Utility functions for training:" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def LSTM_RNN(_X, _weights, _biases):\n", " # Function returns a tensorflow LSTM (RNN) artificial neural network from given parameters. \n", " # Moreover, two LSTM cells are stacked which adds deepness to the neural network. \n", " # Note, some code of this notebook is inspired from an slightly different \n", " # RNN architecture used on another dataset, some of the credits goes to \n", " # \"aymericdamien\" under the MIT license.\n", "\n", " # (NOTE: This step could be greatly optimised by shaping the dataset once\n", " # input shape: (batch_size, n_steps, n_input)\n", " _X = tf.transpose(_X, [1, 0, 2]) # permute n_steps and batch_size\n", " # Reshape to prepare input to hidden activation\n", " _X = tf.reshape(_X, [-1, n_input]) \n", " # new shape: (n_steps*batch_size, n_input)\n", " \n", " # ReLU activation, thanks to Yu Zhao for adding this improvement here:\n", " _X = tf.nn.relu(tf.matmul(_X, _weights['hidden']) + _biases['hidden'])\n", " # Split data because rnn cell needs a list of inputs for the RNN inner loop\n", " _X = tf.split(_X, n_steps, 0) \n", " # new shape: n_steps * (batch_size, n_hidden)\n", "\n", " # Define two stacked LSTM cells (two recurrent layers deep) with tensorflow\n", " lstm_cell_1 = tf.contrib.rnn.BasicLSTMCell(n_hidden, forget_bias=1.0, state_is_tuple=True)\n", " lstm_cell_2 = tf.contrib.rnn.BasicLSTMCell(n_hidden, forget_bias=1.0, state_is_tuple=True)\n", " lstm_cells = tf.contrib.rnn.MultiRNNCell([lstm_cell_1, lstm_cell_2], state_is_tuple=True)\n", " # Get LSTM cell output\n", " outputs, states = tf.contrib.rnn.static_rnn(lstm_cells, _X, dtype=tf.float32)\n", "\n", " # Get last time step's output feature for a \"many-to-one\" style classifier, \n", " # as in the image describing RNNs at the top of this page\n", " lstm_last_output = outputs[-1]\n", " \n", " # Linear activation\n", " return tf.matmul(lstm_last_output, _weights['out']) + _biases['out']\n", "\n", "\n", "def extract_batch_size(_train, step, batch_size):\n", " # Function to fetch a \"batch_size\" amount of data from \"(X|y)_train\" data. \n", " \n", " shape = list(_train.shape)\n", " shape[0] = batch_size\n", " batch_s = np.empty(shape)\n", "\n", " for i in range(batch_size):\n", " # Loop index\n", " index = ((step-1)*batch_size + i) % len(_train)\n", " batch_s[i] = _train[index] \n", "\n", " return batch_s\n", "\n", "\n", "def one_hot(y_, n_classes=n_classes):\n", " # Function to encode neural one-hot output labels from number indexes \n", " # e.g.: \n", " # one_hot(y_=[[5], [0], [3]], n_classes=6):\n", " # return [[0, 0, 0, 0, 0, 1], [1, 0, 0, 0, 0, 0], [0, 0, 0, 1, 0, 0]]\n", " \n", " y_ = y_.reshape(len(y_))\n", " return np.eye(n_classes)[np.array(y_, dtype=np.int32)] # Returns FLOATS\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Let's get serious and build the neural network:" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [], "source": [ "\n", "# Graph input/output\n", "x = tf.placeholder(tf.float32, [None, n_steps, n_input])\n", "y = tf.placeholder(tf.float32, [None, n_classes])\n", "\n", "# Graph weights\n", "weights = {\n", " 'hidden': tf.Variable(tf.random_normal([n_input, n_hidden])), # Hidden layer weights\n", " 'out': tf.Variable(tf.random_normal([n_hidden, n_classes], mean=1.0))\n", "}\n", "biases = {\n", " 'hidden': tf.Variable(tf.random_normal([n_hidden])),\n", " 'out': tf.Variable(tf.random_normal([n_classes]))\n", "}\n", "\n", "pred = LSTM_RNN(x, weights, biases)\n", "\n", "# Loss, optimizer and evaluation\n", "l2 = lambda_loss_amount * sum(\n", " tf.nn.l2_loss(tf_var) for tf_var in tf.trainable_variables()\n", ") # L2 loss prevents this overkill neural network to overfit the data\n", "cost = tf.reduce_mean(tf.nn.softmax_cross_entropy_with_logits(labels=y, logits=pred)) + l2 # Softmax loss\n", "optimizer = tf.train.AdamOptimizer(learning_rate=learning_rate).minimize(cost) # Adam Optimizer\n", "\n", "correct_pred = tf.equal(tf.argmax(pred,1), tf.argmax(y,1))\n", "accuracy = tf.reduce_mean(tf.cast(correct_pred, tf.float32))\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Hooray, now train the neural network:" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "WARNING:tensorflow:From <ipython-input-19-3339689e51f6>:9: initialize_all_variables (from tensorflow.python.ops.variables) is deprecated and will be removed after 2017-03-02.\n", "Instructions for updating:\n", "Use `tf.global_variables_initializer` instead.\n", "Training iter #1500: Batch Loss = 5.416760, Accuracy = 0.15266665816307068\n", "PERFORMANCE ON TEST SET: Batch Loss = 4.880829811096191, Accuracy = 0.05632847175002098\n", "Training iter #30000: Batch Loss = 3.031930, Accuracy = 0.607333242893219\n", "PERFORMANCE ON TEST SET: Batch Loss = 3.0515167713165283, Accuracy = 0.6067186594009399\n", "Training iter #60000: Batch Loss = 2.672764, Accuracy = 0.7386666536331177\n", "PERFORMANCE ON TEST SET: Batch Loss = 2.780435085296631, Accuracy = 0.7027485370635986\n", "Training iter #90000: Batch Loss = 2.378301, Accuracy = 0.8366667032241821\n", "PERFORMANCE ON TEST SET: Batch Loss = 2.6019773483276367, Accuracy = 0.7617915868759155\n", "Training iter #120000: Batch Loss = 2.127290, Accuracy = 0.9066667556762695\n", "PERFORMANCE ON TEST SET: Batch Loss = 2.3625404834747314, Accuracy = 0.8116728663444519\n", "Training iter #150000: Batch Loss = 1.929805, Accuracy = 0.9380000233650208\n", "PERFORMANCE ON TEST SET: Batch Loss = 2.306251049041748, Accuracy = 0.8276212215423584\n", "Training iter #180000: Batch Loss = 1.971904, Accuracy = 0.9153333902359009\n", "PERFORMANCE ON TEST SET: Batch Loss = 2.0835530757904053, Accuracy = 0.8771631121635437\n", "Training iter #210000: Batch Loss = 1.860249, Accuracy = 0.8613333702087402\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.9994492530822754, Accuracy = 0.8788597583770752\n", "Training iter #240000: Batch Loss = 1.626292, Accuracy = 0.9380000233650208\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.879166603088379, Accuracy = 0.8944689035415649\n", "Training iter #270000: Batch Loss = 1.582758, Accuracy = 0.9386667013168335\n", "PERFORMANCE ON TEST SET: Batch Loss = 2.0341007709503174, Accuracy = 0.8361043930053711\n", "Training iter #300000: Batch Loss = 1.620352, Accuracy = 0.9306666851043701\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.8185184001922607, Accuracy = 0.8639293313026428\n", "Training iter #330000: Batch Loss = 1.474394, Accuracy = 0.9693333506584167\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.7638503313064575, Accuracy = 0.8747878670692444\n", "Training iter #360000: Batch Loss = 1.406998, Accuracy = 0.9420000314712524\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.5946787595748901, Accuracy = 0.902273416519165\n", "Training iter #390000: Batch Loss = 1.362515, Accuracy = 0.940000057220459\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.5285792350769043, Accuracy = 0.9046487212181091\n", "Training iter #420000: Batch Loss = 1.252860, Accuracy = 0.9566667079925537\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.4635565280914307, Accuracy = 0.9107565879821777\n", "Training iter #450000: Batch Loss = 1.190078, Accuracy = 0.9553333520889282\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.442753553390503, Accuracy = 0.9093992710113525\n", "Training iter #480000: Batch Loss = 1.159610, Accuracy = 0.9446667432785034\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.4130011796951294, Accuracy = 0.8971834778785706\n", "Training iter #510000: Batch Loss = 1.100551, Accuracy = 0.9593333601951599\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.3075592517852783, Accuracy = 0.9117745757102966\n", "Training iter #540000: Batch Loss = 1.123470, Accuracy = 0.9240000247955322\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.2605488300323486, Accuracy = 0.9165251851081848\n", "Training iter #570000: Batch Loss = 1.103454, Accuracy = 0.909333348274231\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.2327136993408203, Accuracy = 0.9009160399436951\n", "Training iter #600000: Batch Loss = 1.083368, Accuracy = 0.8966666460037231\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.2683708667755127, Accuracy = 0.8890395164489746\n", "Training iter #630000: Batch Loss = 0.939185, Accuracy = 0.9700000882148743\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.2147629261016846, Accuracy = 0.8866642713546753\n", "Training iter #660000: Batch Loss = 0.881242, Accuracy = 0.9806667566299438\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.1068334579467773, Accuracy = 0.9151678681373596\n", "Training iter #690000: Batch Loss = 0.831674, Accuracy = 0.9853334426879883\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.0885852575302124, Accuracy = 0.9121139645576477\n", "Training iter #720000: Batch Loss = 0.866615, Accuracy = 0.9573334455490112\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.0513516664505005, Accuracy = 0.9158465266227722\n", "Training iter #750000: Batch Loss = 0.858979, Accuracy = 0.940000057220459\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.0598633289337158, Accuracy = 0.9063453674316406\n", "Training iter #780000: Batch Loss = 0.750040, Accuracy = 0.9593334197998047\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.010966420173645, Accuracy = 0.9155071973800659\n", "Training iter #810000: Batch Loss = 0.732136, Accuracy = 0.9620000123977661\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.9865696430206299, Accuracy = 0.9161858558654785\n", "Training iter #840000: Batch Loss = 0.758945, Accuracy = 0.9406667351722717\n", "PERFORMANCE ON TEST SET: Batch Loss = 1.0347753763198853, Accuracy = 0.8958262205123901\n", "Training iter #870000: Batch Loss = 0.710809, Accuracy = 0.9660000205039978\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.9786491990089417, Accuracy = 0.893111526966095\n", "Training iter #900000: Batch Loss = 0.705978, Accuracy = 0.9553333520889282\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.9204542636871338, Accuracy = 0.9002374410629272\n", "Training iter #930000: Batch Loss = 0.759181, Accuracy = 0.9066667556762695\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.9086415767669678, Accuracy = 0.9036307334899902\n", "Training iter #960000: Batch Loss = 0.705333, Accuracy = 0.9286667108535767\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.850454568862915, Accuracy = 0.9080419540405273\n", "Training iter #990000: Batch Loss = 0.599754, Accuracy = 0.9693333506584167\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.8451057076454163, Accuracy = 0.9114353656768799\n", "Training iter #1020000: Batch Loss = 0.585689, Accuracy = 0.9700000286102295\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.8170899152755737, Accuracy = 0.9110959768295288\n", "Training iter #1050000: Batch Loss = 0.553970, Accuracy = 0.984000027179718\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.8555561304092407, Accuracy = 0.9114352464675903\n", "Training iter #1080000: Batch Loss = 0.601349, Accuracy = 0.9693334102630615\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.8512595891952515, Accuracy = 0.8781810998916626\n", "Training iter #1110000: Batch Loss = 0.601967, Accuracy = 0.937999963760376\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.7551606297492981, Accuracy = 0.9087206721305847\n", "Training iter #1140000: Batch Loss = 0.597223, Accuracy = 0.9353333711624146\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.7431289553642273, Accuracy = 0.909060001373291\n", "Training iter #1170000: Batch Loss = 0.523300, Accuracy = 0.9500000476837158\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.745741605758667, Accuracy = 0.9093992710113525\n", "Training iter #1200000: Batch Loss = 0.500816, Accuracy = 0.9600000381469727\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.6978224515914917, Accuracy = 0.9138105511665344\n", "Training iter #1230000: Batch Loss = 0.495834, Accuracy = 0.9546667337417603\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.6866210699081421, Accuracy = 0.9178825616836548\n", "Training iter #1260000: Batch Loss = 0.480467, Accuracy = 0.9813334345817566\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.6883729100227356, Accuracy = 0.9100779294967651\n", "Training iter #1290000: Batch Loss = 0.516874, Accuracy = 0.9326666593551636\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.6925369501113892, Accuracy = 0.9032914042472839\n", "Training iter #1320000: Batch Loss = 0.570053, Accuracy = 0.9080000519752502\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.743996798992157, Accuracy = 0.8978621363639832\n", "Training iter #1350000: Batch Loss = 0.491792, Accuracy = 0.9580000638961792\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.6543726921081543, Accuracy = 0.8951475024223328\n", "Training iter #1380000: Batch Loss = 0.423705, Accuracy = 0.9760000705718994\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.6256207227706909, Accuracy = 0.91788250207901\n", "Training iter #1410000: Batch Loss = 0.399226, Accuracy = 0.9840000867843628\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.6232836246490479, Accuracy = 0.9205971360206604\n", "Training iter #1440000: Batch Loss = 0.415493, Accuracy = 0.972000002861023\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.6083709001541138, Accuracy = 0.9104173183441162\n", "Training iter #1470000: Batch Loss = 0.499316, Accuracy = 0.9306666851043701\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5882848501205444, Accuracy = 0.9117745757102966\n", "Training iter #1500000: Batch Loss = 0.478666, Accuracy = 0.9346666932106018\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5803182125091553, Accuracy = 0.91652512550354\n", "Training iter #1530000: Batch Loss = 0.366041, Accuracy = 0.968666672706604\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5783829689025879, Accuracy = 0.9114352464675903\n", "Training iter #1560000: Batch Loss = 0.377644, Accuracy = 0.9506667256355286\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5899279117584229, Accuracy = 0.9070240259170532\n", "Training iter #1590000: Batch Loss = 0.485060, Accuracy = 0.9133333563804626\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.7430599927902222, Accuracy = 0.8649473190307617\n", "Training iter #1620000: Batch Loss = 0.386228, Accuracy = 0.9633333683013916\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5264637470245361, Accuracy = 0.9070240259170532\n", "Training iter #1650000: Batch Loss = 0.416933, Accuracy = 0.9193333983421326\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5343363881111145, Accuracy = 0.914489209651947\n", "Training iter #1680000: Batch Loss = 0.421477, Accuracy = 0.9300000667572021\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5374469757080078, Accuracy = 0.9243297576904297\n", "Training iter #1710000: Batch Loss = 0.403527, Accuracy = 0.9300000071525574\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5439008474349976, Accuracy = 0.905666708946228\n", "Training iter #1740000: Batch Loss = 0.331851, Accuracy = 0.9753334522247314\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5405154228210449, Accuracy = 0.9093992710113525\n", "Training iter #1770000: Batch Loss = 0.337737, Accuracy = 0.9780000448226929\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5582258701324463, Accuracy = 0.9026126861572266\n", "Training iter #1800000: Batch Loss = 0.332086, Accuracy = 0.9600000381469727\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5655900835990906, Accuracy = 0.8995587825775146\n", "Training iter #1830000: Batch Loss = 0.400998, Accuracy = 0.9480000734329224\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.47865116596221924, Accuracy = 0.9144891500473022\n", "Training iter #1860000: Batch Loss = 0.364531, Accuracy = 0.9493333697319031\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.49166250228881836, Accuracy = 0.9158465266227722\n", "Training iter #1890000: Batch Loss = 0.316529, Accuracy = 0.9593334197998047\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5186017751693726, Accuracy = 0.9104173183441162\n", "Training iter #1920000: Batch Loss = 0.309109, Accuracy = 0.9626667499542236\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5222393274307251, Accuracy = 0.9002374410629272\n", "Training iter #1950000: Batch Loss = 0.427720, Accuracy = 0.9193333387374878\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5457150340080261, Accuracy = 0.9070240259170532\n", "Training iter #1980000: Batch Loss = 0.330174, Accuracy = 0.9526667594909668\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.5917137861251831, Accuracy = 0.8812350034713745\n", "Training iter #2010000: Batch Loss = 0.371541, Accuracy = 0.906000018119812\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.53951495885849, Accuracy = 0.8802171349525452\n", "Training iter #2040000: Batch Loss = 0.382413, Accuracy = 0.9206666946411133\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.42567864060401917, Accuracy = 0.9324736595153809\n", "Training iter #2070000: Batch Loss = 0.342763, Accuracy = 0.9326667189598083\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.4292983412742615, Accuracy = 0.9273836612701416\n", "Training iter #2100000: Batch Loss = 0.259442, Accuracy = 0.9873334169387817\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.44131210446357727, Accuracy = 0.9273836612701416\n", "Training iter #2130000: Batch Loss = 0.284630, Accuracy = 0.9593333601951599\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.46982717514038086, Accuracy = 0.9093992710113525\n", "Training iter #2160000: Batch Loss = 0.299012, Accuracy = 0.9686667323112488\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.48389002680778503, Accuracy = 0.9138105511665344\n", "Training iter #2190000: Batch Loss = 0.287106, Accuracy = 0.9700000286102295\n", "PERFORMANCE ON TEST SET: Batch Loss = 0.4670214056968689, Accuracy = 0.9216151237487793\n", "Optimization Finished!\n", "FINAL RESULT: Batch Loss = 0.45611169934272766, Accuracy = 0.9165252447128296\n" ] } ], "source": [ "# To keep track of training's performance\n", "test_losses = []\n", "test_accuracies = []\n", "train_losses = []\n", "train_accuracies = []\n", "\n", "# Launch the graph\n", "sess = tf.InteractiveSession(config=tf.ConfigProto(log_device_placement=True))\n", "init = tf.global_variables_initializer()\n", "sess.run(init)\n", "\n", "# Perform Training steps with \"batch_size\" amount of example data at each loop\n", "step = 1\n", "while step * batch_size <= training_iters:\n", " batch_xs = extract_batch_size(X_train, step, batch_size)\n", " batch_ys = one_hot(extract_batch_size(y_train, step, batch_size))\n", "\n", " # Fit training using batch data\n", " _, loss, acc = sess.run(\n", " [optimizer, cost, accuracy],\n", " feed_dict={\n", " x: batch_xs, \n", " y: batch_ys\n", " }\n", " )\n", " train_losses.append(loss)\n", " train_accuracies.append(acc)\n", " \n", " # Evaluate network only at some steps for faster training: \n", " if (step*batch_size % display_iter == 0) or (step == 1) or (step * batch_size > training_iters):\n", " \n", " # To not spam console, show training accuracy/loss in this \"if\"\n", " print(\"Training iter #\" + str(step*batch_size) + \\\n", " \": Batch Loss = \" + \"{:.6f}\".format(loss) + \\\n", " \", Accuracy = {}\".format(acc))\n", " \n", " # Evaluation on the test set (no learning made here - just evaluation for diagnosis)\n", " loss, acc = sess.run(\n", " [cost, accuracy], \n", " feed_dict={\n", " x: X_test,\n", " y: one_hot(y_test)\n", " }\n", " )\n", " test_losses.append(loss)\n", " test_accuracies.append(acc)\n", " print(\"PERFORMANCE ON TEST SET: \" + \\\n", " \"Batch Loss = {}\".format(loss) + \\\n", " \", Accuracy = {}\".format(acc))\n", "\n", " step += 1\n", "\n", "print(\"Optimization Finished!\")\n", "\n", "# Accuracy for test data\n", "\n", "one_hot_predictions, accuracy, final_loss = sess.run(\n", " [pred, accuracy, cost],\n", " feed_dict={\n", " x: X_test,\n", " y: one_hot(y_test)\n", " }\n", ")\n", "\n", "test_losses.append(final_loss)\n", "test_accuracies.append(accuracy)\n", "\n", "print(\"FINAL RESULT: \" + \\\n", " \"Batch Loss = {}\".format(final_loss) + \\\n", " \", Accuracy = {}\".format(accuracy))\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Training is good, but having visual insight is even better:\n", "\n", "Okay, let's plot this simply in the notebook for now." ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAv8AAALuCAYAAAA5cXkcAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nbwFNGxMAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fdfded7cdd8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# (Inline plots: )\n", "%matplotlib inline\n", "\n", "font = {\n", " 'family' : 'Bitstream Vera Sans',\n", " 'weight' : 'bold',\n", " 'size' : 18\n", "}\n", "matplotlib.rc('font', **font)\n", "\n", "width = 12\n", "height = 12\n", "plt.figure(figsize=(width, height))\n", "\n", "indep_train_axis = np.array(range(batch_size, (len(train_losses)+1)*batch_size, batch_size))\n", "plt.plot(indep_train_axis, np.array(train_losses), \"b--\", label=\"Train losses\")\n", "plt.plot(indep_train_axis, np.array(train_accuracies), \"g--\", label=\"Train accuracies\")\n", "\n", "indep_test_axis = np.append(\n", " np.array(range(batch_size, len(test_losses)*display_iter, display_iter)[:-1]),\n", " [training_iters]\n", ")\n", "plt.plot(indep_test_axis, np.array(test_losses), \"b-\", label=\"Test losses\")\n", "plt.plot(indep_test_axis, np.array(test_accuracies), \"g-\", label=\"Test accuracies\")\n", "\n", "plt.title(\"Training session's progress over iterations\")\n", "plt.legend(loc='upper right', shadow=True)\n", "plt.ylabel('Training Progress (Loss or Accuracy values)')\n", "plt.xlabel('Training iteration')\n", "\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## And finally, the multi-class confusion matrix and metrics!" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Testing Accuracy: 91.65252447128296%\n", "\n", "Precision: 91.76286479743305%\n", "Recall: 91.65252799457076%\n", "f1_score: 91.6437546304815%\n", "\n", "Confusion Matrix:\n", "[[466 2 26 0 2 0]\n", " [ 5 441 25 0 0 0]\n", " [ 1 0 419 0 0 0]\n", " [ 1 1 0 396 87 6]\n", " [ 2 1 0 87 442 0]\n", " [ 0 0 0 0 0 537]]\n", "\n", "Confusion matrix (normalised to % of total test data):\n", "[[ 15.81269073 0.06786563 0.88225317 0. 0.06786563 0. ]\n", " [ 0.16966406 14.96437073 0.84832031 0. 0. 0. ]\n", " [ 0.03393281 0. 14.21784878 0. 0. 0. ]\n", " [ 0.03393281 0.03393281 0. 13.43739319 2.95215464\n", " 0.20359688]\n", " [ 0.06786563 0.03393281 0. 2.95215464 14.99830341 0. ]\n", " [ 0. 0. 0. 0. 0. 18.22192001]]\n", "Note: training and testing data is not equally distributed amongst classes, \n", "so it is normal that more than a 6th of the data is correctly classifier in the last category.\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0UAAANGCAYAAAAyEyUbAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ntf8HGEwmAny82PIAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fdfd4635e10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Results\n", "\n", "predictions = one_hot_predictions.argmax(1)\n", "\n", "print(\"Testing Accuracy: {}%\".format(100*accuracy))\n", "\n", "print(\"\")\n", "print(\"Precision: {}%\".format(100*metrics.precision_score(y_test, predictions, average=\"weighted\")))\n", "print(\"Recall: {}%\".format(100*metrics.recall_score(y_test, predictions, average=\"weighted\")))\n", "print(\"f1_score: {}%\".format(100*metrics.f1_score(y_test, predictions, average=\"weighted\")))\n", "\n", "print(\"\")\n", "print(\"Confusion Matrix:\")\n", "confusion_matrix = metrics.confusion_matrix(y_test, predictions)\n", "print(confusion_matrix)\n", "normalised_confusion_matrix = np.array(confusion_matrix, dtype=np.float32)/np.sum(confusion_matrix)*100\n", "\n", "print(\"\")\n", "print(\"Confusion matrix (normalised to % of total test data):\")\n", "print(normalised_confusion_matrix)\n", "print(\"Note: training and testing data is not equally distributed amongst classes, \")\n", "print(\"so it is normal that more than a 6th of the data is correctly classifier in the last category.\")\n", "\n", "# Plot Results: \n", "width = 12\n", "height = 12\n", "plt.figure(figsize=(width, height))\n", "plt.imshow(\n", " normalised_confusion_matrix, \n", " interpolation='nearest', \n", " cmap=plt.cm.rainbow\n", ")\n", "plt.title(\"Confusion matrix \\n(normalised to % of total test data)\")\n", "plt.colorbar()\n", "tick_marks = np.arange(n_classes)\n", "plt.xticks(tick_marks, LABELS, rotation=90)\n", "plt.yticks(tick_marks, LABELS)\n", "plt.tight_layout()\n", "plt.ylabel('True label')\n", "plt.xlabel('Predicted label')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sess.close()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Conclusion\n", "\n", "Outstandingly, **the final accuracy is of 91%**! And it can peak to values such as 93.25%, at some moments of luck during the training, depending on how the neural network's weights got initialized at the start of the training, randomly. \n", "\n", "This means that the neural networks is almost always able to correctly identify the movement type! Remember, the phone is attached on the waist and each series to classify has just a 128 sample window of two internal sensors (a.k.a. 2.56 seconds at 50 FPS), so it amazes me how those predictions are extremely accurate given this small window of context and raw data. I've validated and re-validated that there is no important bug, and the community used and tried this code a lot. (Note: be sure to report something in the issue tab if you find bugs, otherwise [Quora](https://www.quora.com/), [StackOverflow](https://stackoverflow.com/questions/tagged/tensorflow?sort=votes&pageSize=50), and other [StackExchange](https://stackexchange.com/sites#science) sites are the places for asking questions.)\n", "\n", "I specially did not expect such good results for guessing between the labels \"SITTING\" and \"STANDING\". Those are seemingly almost the same thing from the point of view of a device placed at waist level according to how the dataset was originally gathered. Thought, it is still possible to see a little cluster on the matrix between those classes, which drifts away just a bit from the identity. This is great.\n", "\n", "It is also possible to see that there was a slight difficulty in doing the difference between \"WALKING\", \"WALKING_UPSTAIRS\" and \"WALKING_DOWNSTAIRS\". Obviously, those activities are quite similar in terms of movements. \n", "\n", "I also tried my code without the gyroscope, using only the 3D accelerometer's 6 features (and not changing the training hyperparameters), and got an accuracy of 87%. In general, gyroscopes consumes more power than accelerometers, so it is preferable to turn them off. \n", "\n", "\n", "## Improvements\n", "\n", "In [another open-source repository of mine](https://github.com/guillaume-chevalier/HAR-stacked-residual-bidir-LSTMs), the accuracy is pushed up to nearly 94% using a special deep LSTM architecture which combines the concepts of bidirectional RNNs, residual connections, and stacked cells. This architecture is also tested on another similar activity dataset. It resembles the nice architecture used in \"[Google’s Neural Machine Translation System: Bridging the Gap between Human and Machine Translation](https://arxiv.org/pdf/1609.08144.pdf)\", without an attention mechanism, and with just the encoder part - as a \"many to one\" architecture instead of a \"many to many\" to be adapted to the Human Activity Recognition (HAR) problem. I also worked more on the problem and came up with the [LARNN](https://github.com/guillaume-chevalier/Linear-Attention-Recurrent-Neural-Network), however it's complicated for just a little gain. Thus the current, original activity recognition project is simply better to use for its outstanding simplicity. \n", "\n", "If you want to learn more about deep learning, I have also built a list of the learning ressources for deep learning which have revealed to be the most useful to me [here](https://github.com/guillaume-chevalier/Awesome-Deep-Learning-Resources). \n", "\n", "\n", "## References\n", "\n", "The [dataset](https://archive.ics.uci.edu/ml/datasets/Human+Activity+Recognition+Using+Smartphones) can be found on the UCI Machine Learning Repository: \n", "\n", "> Davide Anguita, Alessandro Ghio, Luca Oneto, Xavier Parra and Jorge L. Reyes-Ortiz. A Public Domain Dataset for Human Activity Recognition Using Smartphones. 21th European Symposium on Artificial Neural Networks, Computational Intelligence and Machine Learning, ESANN 2013. Bruges, Belgium 24-26 April 2013.\n", "\n", "## Citation\n", "\n", "Copyright (c) 2016 Guillaume Chevalier. To cite my code, you can point to the URL of the GitHub repository, for example: \n", "\n", "> Guillaume Chevalier, LSTMs for Human Activity Recognition, 2016, \n", "> https://github.com/guillaume-chevalier/LSTM-Human-Activity-Recognition\n", "\n", "My code is available for free and even for private usage for anyone under the [MIT License](https://github.com/guillaume-chevalier/LSTM-Human-Activity-Recognition/blob/master/LICENSE), however I ask to cite for using the code. \n", "\n", "Here is the BibTeX citation code: \n", "```\n", "@misc{chevalier2016lstms,\n", " title={LSTMs for human activity recognition},\n", " author={Chevalier, Guillaume},\n", " year={2016}\n", "}\n", "```\n", "\n", "## Extra links\n", "\n", "### Connect with me\n", "\n", "- [LinkedIn](https://ca.linkedin.com/in/chevalierg)\n", "- [Twitter](https://twitter.com/guillaume_che)\n", "- [GitHub](https://github.com/guillaume-chevalier/)\n", "- [Quora](https://www.quora.com/profile/Guillaume-Chevalier-2)\n", "- [YouTube](https://www.youtube.com/c/GuillaumeChevalier)\n", "- [Dev/Consulting](http://www.neuraxio.com/en/)\n", "\n", "### Liked this project? Did it help you? Leave a [star](https://github.com/guillaume-chevalier/LSTM-Human-Activity-Recognition/stargazers), [fork](https://github.com/guillaume-chevalier/LSTM-Human-Activity-Recognition/network/members) and share the love!\n", "\n", "This activity recognition project has been seen in:\n", "\n", "- [Hacker News 1st page](https://news.ycombinator.com/item?id=13049143)\n", "- [Awesome TensorFlow](https://github.com/jtoy/awesome-tensorflow#tutorials)\n", "- [TensorFlow World](https://github.com/astorfi/TensorFlow-World#some-useful-tutorials)\n", "- And more.\n", "\n", "---\n" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[NbConvertApp] Converting notebook LSTM.ipynb to markdown\n", "[NbConvertApp] Support files will be in LSTM_files/\n", "[NbConvertApp] Making directory LSTM_files\n", "[NbConvertApp] Making directory LSTM_files\n", "[NbConvertApp] Writing 38654 bytes to LSTM.md\n" ] } ], "source": [ "# Let's convert this notebook to a README automatically for the GitHub project's title page:\n", "!jupyter nbconvert --to markdown LSTM.ipynb\n", "!mv LSTM.md README.md" ] } ], "metadata": { "hide_input": false, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.8" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
openai/openai-python
examples/embeddings/Get_embeddings.ipynb
1
2512
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## Get embeddings\n", "\n", "The function `get_embedding` will give us an embedding for an input text." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "12288" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import openai\n", "\n", "embedding = openai.Embedding.create(input=\"Sample document text goes here\", engine=\"text-similarity-davinci-001\")['data'][0]['embedding']\n", "len(embedding)" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1024\n" ] } ], "source": [ "import openai\n", "from tenacity import retry, wait_random_exponential, stop_after_attempt\n", "\n", "@retry(wait=wait_random_exponential(min=1, max=20), stop=stop_after_attempt(6))\n", "def get_embedding(text: str, engine=\"text-similarity-davinci-001\") -> List[float]:\n", "\n", " # replace newlines, which can negatively affect performance.\n", " text = text.replace(\"\\n\", \" \")\n", "\n", " return openai.Embedding.create(input=[text], engine=engine)[\"data\"][0][\"embedding\"]\n", "\n", "embedding = get_embedding(\"Sample query text goes here\", engine=\"text-search-ada-query-001\")\n", "print(len(embedding))" ] }, { "cell_type": "code", "execution_count": 53, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1024\n" ] } ], "source": [ "embedding = get_embedding(\"Sample document text goes here\", engine=\"text-search-ada-doc-001\")\n", "print(len(embedding))" ] } ], "metadata": { "interpreter": { "hash": "be4b5d5b73a21c599de40d6deb1129796d12dc1cc33a738f7bac13269cfcafe8" }, "kernelspec": { "display_name": "Python 3.7.3 64-bit ('base': conda)", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.3" }, "orig_nbformat": 4 }, "nbformat": 4, "nbformat_minor": 2 }
mit
telegraphic/allantools
examples/gradev-demo.ipynb
1
45517
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## GRADEV: gap robust allan deviation\n", "\n", "Notebook setup & package imports" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import pylab as plt\n", "import numpy as np" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Gap robust allan deviation comparison\n", "Compute the GRADEV of a white phase noise. Compares two different\n", "scenarios. 1) The original data and 2) ADEV estimate with gap robust ADEV." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def example1():\n", " \"\"\"\n", " Compute the GRADEV of a white phase noise. Compares two different \n", " scenarios. 1) The original data and 2) ADEV estimate with gap robust ADEV.\n", " \"\"\"\n", " N = 1000\n", " f = 1\n", " y = np.random.randn(1,N)[0,:]\n", " x = np.linspace(1,len(y),len(y))\n", " x_ax, y_ax, err_l,err_h, ns = allan.gradev(y,f,x)\n", " plt.errorbar(x_ax, y_ax,yerr=[err_l,err_h],label='GRADEV, no gaps')\n", " \n", " \n", " y[np.floor(0.4*N):np.floor(0.6*N)] = np.NaN # Simulate missing data\n", " x_ax, y_ax, err_l,err_h, ns = allan.gradev(y,f,x)\n", " plt.errorbar(x_ax, y_ax,yerr=[err_l,err_h], label='GRADEV, with gaps')\n", " plt.xscale('log')\n", " plt.yscale('log')\n", " plt.grid()\n", " plt.legend()\n", " plt.xlabel('Tau / s')\n", " plt.ylabel('Overlapping Allan deviation')\n", " plt.show()" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": [ "iVBORw0KGgoAAAANSUhEUgAAAY4AAAEWCAYAAABxMXBSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\n", "AAALEgAACxIB0t1+/AAAIABJREFUeJzt3Xl8VPW9+P/XOzt72BEEggKCgkSt4k6QqrjUpYqKK7bV\n", "W9fq7a3WW9uE299tbe2i1nu7WQXbq7j8rLuoVYO4Awoii4IQ9n0PYcny/v7xOUMmw0wy+8xJ3s/H\n", "Yx7JOXPO+XzCh8w7n11UFWOMMSZaOZnOgDHGGH+xwGGMMSYmFjiMMcbExAKHMcaYmFjgMMYYExML\n", "HMYYY2JigcMYY0xMLHAYY4yJSdYGDhEZJCKPiMgzmc6LMcaYRlkbOFR1uap+L9P5MMYY01RaA4eI\n", "PCoiG0Rkfsj58SKyWESWiMjd6cyTMcaY2KS7xvEYMD74hIjkAg97548EJorI8DTnyxhjTJTSGjhU\n", "dSawLeT0CcBSVa1S1VpgGnChiHQTkT8BpVYLMcaY7JGX6QwA/YBVQcergdGquhX4fnM3iogt7WuM\n", "MXFQVYn33mzoHE/ow19VJdMvYHI2PC/a+6K5rqVrIr0fy/lk/7tlQ/mluuySUX7xvJet5ee3371U\n", "ll+MZZeQbKhxrAH6Bx33x9U6oiIiFUClqlYmN1sxSXba8T4v2vuiua6layK9H8v5kijykQ6VWfCs\n", "WO6L5trmronnvXDnS6LIR6pVZsnzYrkvmmubuybSey2eF5EyoCyK9JslmuaNnESkBHhJVUd6x3nA\n", "l8A4YC3wCTBRVRdF8SxNRvQ0mSEiU1R1UqbzYeJj5edfiX52pns47pPAB8BQEVklIterah1wK/A6\n", "sBB4KpqgEfTMCi+KGv+ZkukMmIRMyXQGTGxEpMxrpUnsOemucSST1TiMMSZ2iX52ZkMfh2mjRKQs\n", "w31TaWGj/0wmpeKPa98HjizpHDemWa2xZtxWAr+fhf7R4tvO8WSypirjB/b/1GRKpP97vuocN8YY\n", "43/WVGUypq03dYhQRmOzQRmN4+0rVVse65/o/Ylq6+XnR9ZUhTUB+F1b+eCJ5v+pCKpK3P+XE70/\n", "vjTbRvn5mTVVmVbHPnSyh4hcISIfi0i1t/XBRyJyU9D7U0Rkn4jsEpEtIvIGsC7McypFZKuIFISc\n", "D9y/03vNF5FfiEjnoGsmiUi9l0bgtVNEDhGR6SIyOUx6F4rIOhGxz7I08v0/tk0ANCYxIvJD4AHg\n", "V0BvVe2NW2D0FBHJ9y5T4Feq2gm3MOka4G8hzykBTgMagAtCkgnc3xnoAVwPnAi8LyLtg657X1U7\n", "Bb06q+o63GTDq8Nk/xrgH6raENcP38YkawKg7wOHqlbYX67+ZAE/80SkCzAZuElVn1PV3QCqOldV\n", "r1a31UETqroXeAY4LuSta4EPganAdeGS8+7fr6qzccGlOy6INLkmjBeA7iJyWlDeuwLnAY+3+IO6\n", "6xtE5N9E5CsR2SYiDwe9JyJyr4hUeTWuqcG1oTDPuktE1orIahH5nvfsw7z3zhORz0Rkh4isFJHy\n", "oPtKvGtvEJE13jN+GPT+CSIy27t3vYj8NpqfLVqqWqmqFYk+x/eBwxiTkJOAQtwHc0sEQEQ6ABM5\n", "eDHSa4F/AP8HnC0ivZp7mKpWA2/iainNUtU9wNNeGgGXAYtUdX74u8I6D/gGcDRwmYic7Z2/Hhfs\n", "yoDDgI64DeYOIiLjgTtx6+sN4eDO5mrgalXt4qV3k4hcGHJNGTAYOAu4W0TGeecfBH7v3XsY7mfO\n", "OhY4TMZYTbEpETTeVwLJ9gA2Bzf1iMgH3l/kNSJyauA08B8isg3YCZwCXBx0z6nAAOBpVf0U+Bq4\n", "Mor01wHdgo5P9NIOvJYEvTcVuDSo/+Ra71ws7lPVnaq6CngHGOWdvwr4rboN5XYD9wBXROg7uQx4\n", "VFUXeQGtPPhNVZ2hqgu87+fjNqcbE/KMyaq6R1W/wO2MOtE7vx8YIiI9VLVGVT+O8edLCwscxmQJ\n", "VSTeVwLJbgF6BH9AqurJqtrVey9wXoH7vfMlwB5gWNBzrgPeULcBG8CThG+uCtXPSyfgI1XtGvQa\n", "EpSv94HNwMUicjhwPPBE9D8qAOuDvq/B1SwADgFWBL23EjddoXeYZxzCwZvPHSAio0XkHRHZKCLb\n", "gX/DNckFC75/JdDX+/67wFBgkYh8IiLntfwjpZ/vA4d1jvuXlVtW+BDYB1wUxbWBPopVwA+AP4pI\n", "oYi0w/0VPsYb4bQOuAMYJSJHB90fuvxFR+CbwMwY8vs4rqZxNTBdVTfFcG9z1tJ0f5EBQB2wIcy1\n", "6zh4D6FgTwDPA4eqajHwJw7+rB0Q8v0aAFVdqqpXqmpP3GCFZ71/36SwznGPdY4bEz9V3Y7rHP9f\n", "EblERDqJSI6IlAIdgi6VkPv+hasp/Bsu6NQBw3FNP6O872fS2CchNPaRFIrIcbgP1y24pppoPQ6c\n", "CXyPkGYqbzjv8hiedSBPuBrSnV7ndUfgF8C0CKO1ngauF5Fh3oiwn4a83xHYpqr7ReQEXJNdaHPi\n", "vSLSTkSOAiYBT3k/w9Ui0tO7Zod3X9JGjFnnuPE9C/jZQVXvB/4duAvXlLMe91fyXbgaCbgPsNAP\n", "v59519yAa/NfraobvdcGXOfylSKS6917l4jsxDU3TQVmASd7/QSBNE4KmcexywsygbyuAN4H2gMv\n", "huSnP/Becz9qmOPAuUeBvwPvAstwzVi3hX2I6nTgIVwfyVc0/hvt877eDPyX97P+FC8ohJgBLAX+\n", "hWsC/Jd3/mzgCxHZBfweuEJV94W5P6Ns5rgxKRbN/1M/zhzPNiLyOnC7qn6Z5nSHA/OBgpbmk3hz\n", "XZYBeemYexLp/16in50WOEzGSBtZsiLyL6+tVeVXInIx8Cqu5jMVqFPVb0dxXwmtIHDYIofGZIj3\n", "4V6ZqftNQm7E9c3U48rg5hjuzdhf62KLHFqNw/iD/T81mZKqGod1jhtjjImJBQ6TMTaPw9+s/Nou\n", "CxzGGGNiYn0cxqSY/T81mWKjqoxpZWRykxEuZQQPpy1veZRgovcbEy+rcZiMaSvzAKKaADhZVMsT\n", "mJCV4P1xpdkKy09EBgALgM4a4cNRRBqAwaq6LK2Zi4ONqorAFjk0JnES39axoYv7+X7rWFVdqW7n\n", "QQ36eb6bjGdnA1vk0GOLHPqXlVt2kPi3jv23kOeU0Pq2jvVvk0wYtsihMSZhktjWsaUhb2Xt1rEi\n", "MllEHvK+zxeR3SLya++4nYjsFZFiadzaNVdE/hsXCB/2aj8PBT3yTAmzBW2YdNuJ24Z2q4gsFLfl\n", "7Kqg938sIku9mtUCEbko6L1JIvK+iPxBRLaLyCIROSPk/a+9e5eJSDQbZyWFBQ6TMdbEmBUS2Tp2\n", "Xcj72bx1bCWNAwmO9/J+und8kvec7U2T1J/gloa/xav93B70fqQtaEOV4/bbGIRbDv5qmtZilgKn\n", "ejWxycA/RCR486gTvGu6e896zgtwHXDbzI737j0JmBvFv0NS2KgqY7KETJZMNIuE3ToWt59GIXCW\n", "qr5H49axtwKdcbvl/TzonuCtY7eKSGDr2AdaSH8dcGzQ8YnitqcN2By0C+BU4GURuUVV9xPb1rEf\n", "4bZk7YYLVH8DbvY+gMfgljmPJFwt6D5V3QnsFJF3cLWv18NcNwH4vqruAHaIyINAReBNVX026Pun\n", "ReQeYDSNS8ZvVNUHve+f9poVzweewzUJjhSR1d4y9uE2nUoJCxwmY6yPo6lER1XFeeuBrWMDwUNV\n", "TwbwmlRCt479mYj0B6YDu4KeE2nr2JYCR7itY8PWQFT1fREJbB07G1dziGbnQlR1j3fPGFxN479x\n", "H/aneMcPNXd7mHORtqAN1Zfmt5m9FriTxt0HO9J0m9k1Ic9bARyiqjUicjnwH8DfROR94IfpWlLe\n", "mqqMadsS2Tr2QfHX1rEzgHHAMbhNpGYA43HNQe9GuCfRWmDEbWZFZCDwF+AWoJu6/dy/oGkNp1/I\n", "8wbitrlFVd9Q1bOAPsBi4K8J5jVqFjhMxlgfR+ZpYlvH7sJfW8fO8PKzwOv0r/Ses0xVt0S4ZwNw\n", "eAt5aq6m+DRwj9cv0Q+4lcZg1MH7fjOQIyLXAyNC7u8lIrd7HfoTgCOAV0WklzcUuQNQC+zGLfGe\n", "FhY4jGnjNP6tY6fhr61jPwSKaKxdLAL2cHBtI/jnfBC41BsVFanZLdy/TcB/4ZqnlgNv4Eaj7fd+\n", "loXAb718rccFjdD8fwwMATbh+pQuVdVtuM/uO3FNWVtw/TY3kSZZO3Pci6T/i6tGV6rqE2GusZnj\n", "JutF8//UjzPHs41kaOvYWIibVHmZqo6N4tpJwHcj9flEmV5KZo5nc+C4Btiqqq+IyDRVvSLMNUoF\n", "gdmktj6PyUoRf3ltrapWT0T64Jq6PsTVHF4G/qCqzXXGB+6dhAUOEJFHceOfN6rqyKDz43GjL3KB\n", "R1T1VyLyY+BVVf1cRP5PVa8K8zyrcfiYtMK1jsJprf9P20r5JULc2lev4OZxbMeNNrtHVeuiuPc6\n", "XOA4vaVrm3lGSgJHuofjPgb8gaCZnl7758O40RVrgFki8iKuXbA/8DnN9MWIHBgTXentwWyMMVlB\n", "VVcCI1u8MPy9U4l+nkpatRg4ROQS4D6gN42jB9SbrRgTVZ0pbj2bYCcAS1W1yktvGnAhblz1wyJy\n", "Hgd3gjU6osM95HfcxB49W/rv/Ixd+17S7fqa96wyL91KO86+48C5bMlPqo6Df9ZsyI+VX9s6Dvp+\n", "kndYRYJabKoSNwP0fFVdlGhi3vNKgJcCTVUicilwtqre4B1fDYxW1duieJZyzF//P7otO40uK4bT\n", "fUkPen0h7Om6i929llBf8CFdVr1Kp3Ufablua+l5xqRCa22qMtkvk01V65MVNCJIrJPlsxvqgQpV\n", "rRShgI7rRjHo7XPptmQMxSsuoyHvZgp3ID/qXcPunkupL/qIzqtfo+OGj7RcNybnRzDxsDZyf7Py\n", "8x+v5lGW6HOiCRyzReQp3GSd/d45VdXnEk3cs4aDZ1aujnBtGApQJgKuj+OQWXDVrMC7IhSQVzOS\n", "wW+cQ49FZRRXXcy+TjeStwe5u/tedvf6mvrCj+m0djodNn0ErNXyLB1qZnxLJCPrUKWciFWk/MQL\n", "9JUiUp7Ic6JpqpoSSDMkA9cffHUUCR7cVJUHfIlbCmAt8AkwMZpaTrzDcUUoIGf/CEoqz6bXF2Pp\n", "unwk3b/qRd/ZSk5dLbt7LaO+cBYd179O+y0fAVWhwcSGQhpj/Mpvw3GfxC0y1h3YCPxMVR8TkXNo\n", "HI77N1X9ZZTPU9xyCZWJVplFKCSndgT9PhlHn7ln0HXZ0V4waaBwp7K7V5UXTN6gaMfH/HxPX+qL\n", "xgAwpqKcGRWNAcxGdxljslBQU1V5SgOHuJUwHwJO9U69C/xAVWNoTkqNVHc6ilAIjKTPZ2UcMucM\n", "un09iu5f9abfrHrabxJqeq6krnAOXVZcRl5tb+sziY21kfublZ9/pbzGISL/wm3M8g/v1FXAVap6\n", "ZryJJksyaxzRp+kFk+5fnU6/T86g21fH0GduXwZV1rOn63Z2DJzNnuJ/0mHz/+kjH1SnI09+ZR88\n", "/mbl5z/prHHMU9VRLZ3LhGwZ5ij3tlN+u/Y0jnz2YvrOHkefeUPpuaAdm4ftYNOR81lf+irzr3yK\n", "3b2Xq7auPYyNMf6TjhrH27gZ308AAlwBXK+q4+JNNFkyGThEKCPQOR6mj0NG/+FQes2/juIV59D9\n", "q6Mp2t6RlafuZ+03lrDi9LdZcdp0NG+WKpsB5Nzb76Djem9PBC0FcdtAVvd5Xl99qKXNcIwxJmrp\n", "CBwluGVCTvROfQDcpm4qfUZloqnqQNoxjqqSe9v3YdugCYheTKc1x1PXLo8l43NZMWYbVWM/YHvJ\n", "e7gRZZ9SIdVtYaVTa+rwNys//0lbU1U2y5amqljJZBFgGA05Z7Kvy4Xk7z6R6j41LD27jsUXd6W6\n", "ZyHrvzENmBf0Wtfamrnsg8ffrPz8K2U1DhG5W90qtX8I87aq6u3xJposfg0coWSy5OP2T/4mDTln\n", "AadQ3WcJK0/byoIJBSw5ZwB17RtoGkjmAYs45/ZbrInLGBOLVAaOb6nqS+LWhA++SHCBI+OrNraW\n", "wAEhfSZj/7Oc3Yf8nUFvDaLk3a4UbSuhrmg+60uXMe/aGuZdU0xtx5G4/Ye/xAWRuVx7xu94/O0e\n", "qkTaBtMYY9LSx3GZqj7d0rlMyGQfRyqF7uYmk6Ujbh5NGTAWOAqYRW2795h3zSr+dV8ue7sexYCZ\n", "t7DytF3ATprWTObiNr0PrOtfRnCfTIYmLFpTh79Z+flPOofjfqaqx7R0LhNaVY0jhs52mSydcYFk\n", "rPc6Arc38Tjq8ybw3j3rqfxZTzRvFOBeg946hKEvb6Fo2wZy9x9Lr4WP0m3pBgp2v5GpJVLsg8ff\n", "MlV+ttxP4lLZVHUOcC5wOW5T+kAinYAjVfWEeBNNltYUOOIlQhldVo7nqKcGMOTlibTfuoTiFYeQ\n", "t7eB3NqPgDnAHL469yumPd+FhvxSjv3rH/j0htm4mssq4DPgM45/uIT+HxxNbl2t9ZcYP7C91uOT\n", "ysAxCjgG+C/gpzQGjp3AO6qZ39/CAkdTwb9EMln6AseFvApxgeRMYAI7+s/jwaVFNBSU4sr6GKAU\n", "qGHwq31Zeu79wBfAAlxH/I3WEW+yiQWO+KSjj6NAVfc3e1GGtNY+jng190skQhm9Pj+fwW8cwpBX\n", "rqTd1i8prupL/h4lt/YTAjWTuoI5/HJHAxMuX860F34CjMDVTIbilsBfAHzBt6/8T557YhTwJefc\n", "flM8AcWaqvwtG8rPAkds0tnHMRT4BXAk0M47rap6WLyJJovVOOJr7w2pmfTm4JpJB6Ar8BdgCbCE\n", "mm7LeWwGbBoxFDiKI5+uYOFli4BBuK0oFwALmDDhZzzzzFHefadEzJtSmQ0fPCZ+2VB+Fjjik44d\n", "AB8DyoHf4X75r8ctf26ygBccKhO4fwPwKvDqgSHBPRd04JT7/oP9nQ6l6/Kj6TMXOq3vxi0jBwIb\n", "cEEBuPwR9nZZzie31PPhnZ3Z02MY868Et+lXfwa/uo7h/9xNu22bUMbQd87n1PTowqc3lIjcWAZa\n", "JpL50V0mPpkOGiZzoqlxfKqqx4rI/KDNlz5V1WPTksPm89bmaxzRinmJlDB/yUnR9nH0/vwCDvms\n", "G4e/fjU59R/TeU13ipe3o6CmJ24jrhLgp1T3nsU/XtvJ+mMGA0cx5OW7WXJ+FSVvH8LQl7dRtHMj\n", "OfuPptvXT1JfWMD8K6r59MYqL29V3nMCXxvzasHFBLEaR3zS0cfxAXAa8CzwFu7D4ZeqekS8iSaL\n", "BY7UaekXsklzl1BGXs0ZHPpxMcf96TYKqz+k54L+dFnVg5yGL4D3gR8A/ajQnbhmzxEcedPfWPjH\n", "1znsjeMYOLMzBdWbya/pS5+5syheXkTe/sWsK51AYfVUth1WwrJvVjHnxhIskGQFa6ryr3QEjhOA\n", "RUAx8HOgM/BrVf0o3kSTxQJHcsU4lyTsL2yTgNJ1+VkMevsKSioH0O+TcXRevYf6wn3s6zSb4pX/\n", "ZDn/wyDytVzrRCgGjuL8G9/j5b88hOuUH0G7zb0YOHMWI57aS6e1a9nV+3JEZgDw+VXFfHnh8wfy\n", "aEEkrSxw+Jevto5NNhtVlV7RBJbmAgqQw6sPXUPXZZfQd/YAeiwupXBnLbv6rae23Uf0Wvgc8CTQ\n", "Tst1L4D8sK/yu7UXAN8AjmPYc+fRd3YNRdvXkl8zmB5ffkrRtl7s6b6FfZ2OoMOmfQDs7lHI/i4f\n", "AzZcuBWzwBGblI+qEpEHVfUHIvJSmLdVVS+IN9FksRpHdog3oHjBpJhPbv4OhTvPo9cX/ei8ehhF\n", "OxrY3XM/1X22UJ/fj3XHvcLGEVvY3fslLrvkGSZrf+A4Tv/587z709dwQaWeIS/3Ycn5k4E5XDfm\n", "RWp6u5pJwc7RdNi0j/yaQpQC6trvIr+6E3n7dpBbu5/CnQUUVq/E9adsBnpE8bUKVwuv9L63WcsZ\n", "YIEjPqmcAHicqs7xIlQoVdUZ8SaaLBY4sltLAUUmieqUsMGkADfM9wjgRbYe/gowkg7ru5Nb24Hd\n", "vdaxq+8WOmwYQdcVN9KQs4aFlzSQX/MaT778C+AbdFh/Frv7bAM+55i/jeGz704GVnH1WY/wjzeO\n", "4spzFvDEa5OBEgZWXseKsnl0qRrFjpKv6VJ1ODtK5jGwchQryqYypuI6ZlSMpULe0XIVL49jgXeA\n", "qcB4YC8uoIALLkXAdFpxULGmKv9KRx/HJcDLqrov3kRSxQKH/zQJJou5iGE09lGUa2WYBR6bHn/n\n", "VGX2TY/Q+/MeHPrhRQif0W5LJ7qszKWwehCwHrdi8Bhquj/EumNyyN1/K6tOeZ49XbuSXz2GLcPX\n", "UlvQl/qi3YhupMeCQWwb/DxHvHgR2w7/NcOfvYsVZQ/TfuOtLDv7TaT2TJaPW0hO7ZFsPSIQWMIH\n", "mGHPwyHzJuOGsK+g6ciwKlpRELHA4V/pCBxTgDOAGcBTwHRVrYs3wWSywNE6NFczAd6JFEhksigV\n", "OvbAvaMeLWfV6R/R+/N2DH51FAU1b9NhYyd6fnE8nTb8H27e0uXAiygXUF9QSV1RBxpyj6eu3XIa\n", "cgext+sGatv1Zm+3XdQVdqJwZwOd1ubQfnMtRdvzqSvay/4ORdR2mEt+TSmbjnyabSWX8fX4z9nd\n", "42i2D4IdJSvoPXcgI6ZBt2UzGPDuGDptaNVBJFMscMQnLZ3jIlIAnANchhua+6aqfjfeRJPFAkfr\n", "FCaQVBF+Xkd5xKAyqUyZWun2gR81pZx5k2YAxfSeO4oNpTMYWDmGFWVTgSquKyvXKZUSuD/4KxXa\n", "nh8MquHB5Sdz2cUf8O7P7uHYv/6SDUfPoPuSMSDVdFrTke5fbqXXwm7UFsGmEfPZethIVpwO8659\n", "l/4fnM7g6TBw5jx6LhhFux0rvCxXea8pFkCiF/L/oxw3QAYsEEctbaOqvOBxNvAd4HRV7R5vosli\n", "gcPf4mnqiCeohNZStFwlKLDE1cfR5OuPeiu/2fBtTvr1c1T3hYLq/Qx9tYBD5kC7bbVsGJHPgkvh\n", "ox/OYMDMMQyeDoOnQ5fV82i/pdjLbxU+CyLWVOVf6WiqOhdX0xiL+6V8CngjG5qrLHD4W7I/eEKC\n", "ykXAdu/7Q4HVuFFQfYDFwDBcfwjeufUEj6ra32439QXd2Vtcw+6endkxcCc7D+3K0vFr2Tysb8QA\n", "U1IJUyvbM+n0GlacDrNu+ZCjnj6Jb/wJinbs46tzClk6Hmp6QtGOeZQ+Noo+c6Hrihn4bF8JCxz+\n", "lY7A8SQuWLyWbR3kNo/DpFpQMCrxvm4nNMDUdujJnq772duliD3dc9k6dAX72w/k0I/QKZUi93RW\n", "vj4bZn//LUZMG8dRT8Pa4+D9u+bSY1EpfeZBx/XQY9FeOm7cQf7eItworcX4rBaSbhY4YpO21XG9\n", "xEqAwar6LxFpD+Sp6s54E00Wq3GYbHAguGwbdCLUjyFvv9CQU8DerrCv835qehSy6Uh4677JTDy3\n", "nH2dYW83GDK9gf3tc1hwKaw6BRryXS3k5PtH0esLrC+kZRY44pOOGseNwA1AN1U93Ftm/Y+qOi7e\n", "RJPFAoe/ZUNTR6rIZClj26Af05A7jPr8HuzvUEROQzW7e3ZhfSnUFcH7d1/HObdNpfsS6LkQloyH\n", "t375Ad2Wnkyfua4fpLgKOm7cQLtte8myAJIlW8da53gc0hE45gEnAB+pt8948Eq5mWSBw99ac+AI\n", "diCI7G9fSn1+MQ15eeTW5rJj4AY2De9N77mwrxhQGDK9npUn57LoItg6FPrMcwGk50LouH4vebW5\n", "QA7QAOwHlgJzyUAwyYbysxpHfNIROD5R1RNE5DNVPUZE8oBPVfXoeBNNFgscxk8O/KW89rgStgwe\n", "T02vvRTsHMj6UlhfCl1WwOqT72f0gz9i2Auu6WrjcNgwEuqL3ENKKmFQk0Ub9uJm2ov3CvxC1wP7\n", "yGBgSQcLHPFJR+C4H9cheC1wK3AzsFBVfxJvosligcP4kRdAJlHTvZTqXoOpK8xDtJDqPjD7pnkU\n", "bhtF789hyzAY8hoc9hasPBmWj4VNw6H9ViidEhpAIqmjceM1wdVU6oGVwHu0EFC8vP4Y1+rQBVfb\n", "CUdxgWo+cFe6gpQFjvikI3DkAt8FzvJOvQ48olmwrK4FDn/LhqaOTDoQQKCEPV2HsatPR+ra1bG7\n", "ZxeWjod1pZC7H/Z0h6GvuCar3vPd8fpRsGUo1BXAwHeh5L1Yk2+gscmrAdjjnW/vnW/592o5bkWx\n", "8M/eAXwC3JfMIBJmHs8YAiMrW2GNKlXa/LLqFjj8q60HjmDN1kKWjIdNI2DHAJB66P8BdFsCPRdB\n", "jy+haxXsLYacWlcbiU890QaMgMiBI/C8atyw5Ye1XJO+rL232CRW44hdKlfHnd/MfZrqPg4RGQT8\n", "BOiiqhMiXGOBw7QqTQLI3s4l7mRDF/YWuxrIzkNh4WXQkAftN8LO/i5odFoD3ZZB96+g21L3tfsS\n", "aLcNtg+Emu7QZSUUr8rUj1YHbATuT1YQscARv1QGjhLv25u9r3/H/TVyFYCq3h1vorEQkWcscJi2\n", "5sBILBpOIG9vZzTH9S3Utheq+8DWw+GLibD6JBj0lquF9FwEnVdBp3WwYQTMuw7WHQMFu6FLlQss\n", "PRfBoHfccOClZ8PXZ7omr5qeUNsO2m9xQajrctdZ32Ul9FoIvb6A2vawcYR79u5ebtJin7nQd47r\n", "wC/cAb0XRvqRAh80NbhZ+nHVQsIMxQVrqopZOvo45qpqaci5zwJDc6PI4KPAecDG4CG8IjIeeADX\n", "cfeIqv4qwv0WOFopa6qKjpx7+x10X3wrxcv70G5re/JrhJwG0ByoL3BftwyBhZfC0nNgV1/Y39F9\n", "sHda2/jquA66fQ295rumrrwwqwYpbjRXbTvY18nVZmq6w77O0G47dF4NWw6Ht38B67dBwzehcCcM\n", "fw5G/cPVcuZe4wLZoHeb+7EU15T1AXH2g1iNI37pmsdxi6q+5x2fAvxPaDBp5v7TcP9BHg8EDq/D\n", "/Uvgm8CjnobSAAAbDUlEQVQaYBYwEbeT27HA/aq61rvWAkcrZYEjNiKU4TrTSxn01mBKHyug//u5\n", "dNyQQ+5+yKl3FwYCitS7D/5Nw2HDKNjVzwWYjSNd81XeXiioBsTds68zNOS65q2ibY1f8/eACuzu\n", "DTv6Q3UfVzMpfAG6esebh0B9exjwHpxzu5sZP/NuF6jOuy3SjxRzP4jVOJIjHYHjOOAx3FA8cENz\n", "r1fVT2PIZAnwUlDgOAm3Vsp47/jHAKp6X9A93YBfAOOIUCOxwGHaqqAgciowAFdzz+HE3yulU4WO\n", "66BgF+Tug5yGxhsb8iG31v29X9veBRYUpMHVHPL3tNw93pDjgsWO/rBmNCy8BDYc7fpWdvWFmi5w\n", "zN/hlPvdde//EFacDiOnhQsiCuzEzUd5Vsv11qj/DazGEbd0LqteDKCq21u6Nsy9JTQNHJcCZ6vq\n", "Dd7x1cBoVY34p0mE5ypu684q79R2YG7gr9jAtrd2bMdt5/i2UnioFCiFt46AnHwYm8OJv4ee/yN0\n", "3ACH7weAqgbXyd6vo+vreOM8qOkBA/Kg9HHIeRPab4bDal0wqXK3UeJ9DT1eLi6YfPVb2HYYbFkF\n", "/WbDWa+62tBLl8Oa46HoWLj8ImCmu28Q9cBmltPNO24AClhGDcp+DucJLddbZbTcQX/GM5IioITl\n", "DPSunwpMocI9LrvKIzuOve8nuX8hqkjHIoeJChM4LgHGJyNwWI3Dv6ypKv1EuIMhr9zK6N8PoM8X\n", "+RRud7WSSL9FEjQn4+PbpvPaQ+MJTAbs/UAOZ06Hfp9A/m7vBq/2sq8zfHQ7fHUh5O5xne2n/9Kd\n", "f/c/Ycm5cO5tMGqquzcw7/1ggeasr4AncEvjQ2MzFcBYa6aKjS/mcYQJHCcCFUFNVfcADZE6yJt5\n", "ri2r7mMWOPxN5PY7wAsk597ShSP//xzy9uJan9TVMvZ1hAWXw3s/drWX4/7sZsLv6wJPP+OGEx9Q\n", "Dx03wCVXhnasB6bIPx/oBwk0U4E1VcVC0rmseqLCBI48XOf4OGAtbobpRFVdFONzrcZhTBYQ4Q4O\n", "n/4jTvpdH/rNzmmsgeA63OvzXbCYfRMsvsCNwCqdAk8/DatPAM3nQJWjpNK9V1zlai8dNu6ix1ef\n", "4ma1F+CapMcEJX9nKiYYtmZpqXF4I6lKgDzvlKrq41Fm8ElcIXfHTQD6mao+JiLn0Dgc92+q+suY\n", "M281DmOyjox6/J+MfvBb9FycS07QkN+GPDeqa+tgt+pvdW836mrqW2501+FvuE72ZWVw6CwoCVqL\n", "q+uyWvp+uomei97EfRYdCBxW44he2mocIvIP4DDcCpv1gfOx9kekgtU4/M2aqvytpfKTyVLGlkHT\n", "6LCld5M36orcSKw374Px/w4jn3ATC786FzYPh6XjYdA7cMgcDqypWLwCipfDjv61tNuaz9DXDjzO\n", "Akfs0jEcdxFwpKajTStGFjj8zQKHv0VbfjJZHgaupCGnEw15eQfeqOkOH/zILdQ47l7I29c4Z2Rn\n", "X5hxr1uHqz6fJstoVZ3maian/zec9KAFjjikI3A8A/xAvQl52cSaqozxDy+AXAoUUVvUhfoC98aW\n", "ofDuT9zyKbt7ufknx/4FTv8F7DrETSYs3A5Fu9z1m4+A1ScCDS6ALJpwpyrWxxGFdDZVVQKluA7s\n", "fd5pVdUL4k00WazGYYy/yGS5AzefoBjoAxQCsG0AvPEbWHQxB7YP+c5pbtkT2Q+9FkFDAdAAe7u6\n", "iYuLL4CPfwCatxeYrsrFGfiRfCkdNY6ycOez4S98Cxz+Zk1V/hZv+UUMHgFPPufmefSdA5df4iYn\n", "Fq8A1O1P0pAH2wbB3EmwYgxoHrj+123Af1vto2WJfnbmtXRBtv9ii0gF1lRljG9ouT4gkwXgItws\n", "5lIalzSCid92X598zgWKoS81XTYFIG+PW1a+4zrY1R9cNaUH8HsRfo9bhfcxVaJewqQtCGqqSuw5\n", "UdQ4TgIeAobj/jLIBapVtXOiiSfKahzG+JfX53Et0IFIW9Lu6gVfXAGv/46mU8vr4ZJrYPsgeKvF\n", "kfw7gAqriTRK9LMz0v7BwdyICFgCFOG2kf3feBM0xhgALddbtVw7a7nmAmNxq2QHpp47nTbCSQ/B\n", "dWOhaIubECgNbl+RkU/Brj7RJNUFVxPZK8LDqfhZ2ppoahxzVPU4EflcvV3/JMweHZlgo6r8zfo4\n", "/C0V5ddkH3b3Gtjkgiefc30cV10AX0yAFx6B2pgaPxqA1cB1qlQmnmN/SeeoqneBM4FHgHW43buu\n", "U9VR8SaaLNZU5W8WOPwtVeXndZ5f5B2OOeiCvZ1h05HwxAtuqK622FUbTi3wSlsdiZWOpqprvetu\n", "xXU4HQpcEm+CxgRY0PC3FJbfXKDSe8046N2inVBX6DaTiv+zrwE4U4R/xvuAtiwtixymitU4jGmd\n", "Iuz0F5aWq4hwB1ABdCbyIvGh6nEB5GNVTosroz6VshqHiMxv5vV5vAkaExBpjpDxh2wqP1UeUKUY\n", "+HfcKKpo/iLOxQWOY6zmEZvmGge/lbZcJMDmcRjT6s0gXF9HGN6Q2we8rXWn4prWm2uSL8R9Dp4n\n", "wszWXvNI2zyObGZNVca0XtE2VzW3yKE3/PZ6oB3RNWEp8H4bCCApa6qqFpFdEV47403QGGPSxZs5\n", "fh5u47h9BG0NEUEDMFoksCG6CSdi4FDVjqraKcIr47PGjf9lUxu5iZ1fys+br3ETsByoo/ngUYfb\n", "lbST1+FuwohmOO4BItJBRK4RkVdSlSFjjAnj4GG5MfCCx5+BXS1cGlhwsRgYDCBCmQifiFArgoa8\n", "6tpi7aTFwCEihSLybW9fjnW4fcL/lPKcRUlEKvzyl49pygY0+Fuqy0/LtRIOzO7eG+k6mSxRjYjy\n", "Os4n4JZPaq7ZKrCOyaleUHgDOJ7wg4lyvesa/DAyS0TKvAFFCWmuj+NsEZkCLMNN+Ps7sFVVJ6nq\n", "S4kmnCyqWmEfQMa0euuDvt8BzAs6nud1pLcoqOZRx4GNPw5SiFu1dztunasaL81I9gE7gX7eaK6s\n", "paqVqlqR6HOaq3G8BgwCTlPVq1T1RaIbG21MVKym6G9pLL9zaVyCBNwkv5E0fh5Nwu0sGBVvzkdH\n", "4H9wQSHc59oY7zUSFzy6hLkmoNB7/3jgxWwPHsnQXOA4FvgIeFNE3hSR7xE5QhtjTKosxC1DssJ7\n", "fQ7MBx733p8CbI621hHgjbj6ife8FbgRVYnqBLzj9X+sScLzslI0ixwKcDIwEddkNRf4p6r+JfXZ\n", "a57N4zCmbZPJos3N44jqGW6ux/ne4cDmro1TvfdaBjyFm5tS6b1XmYlVelO+dWxIYrm4zvErVPU7\n", "8SaaLBY4jGl7wkwMnOx9X+l1qMf2PDfsdhKRtrJNrn1AoWrU62mlRFoDR7axwOFvtqy6v2VD+SWj\n", "xgEHgkfkpdyTIzCkeIzfA0dcC9kbY0ymhNQ4ZsjkA8NL46pxeAbjNo4C16wUqT93N02XL4nlw7fS\n", "+5qqwJQ2vq9xYDsAGmOSwJuzcTItz2/b7H3tSmwDhgJNauWZqnGkcwfAbmFO71LV2ngTTRZrqjLG\n", "JIvXXPUjoDtQgKtN7MMN2X3CG4UVuHYmMBoXZKINHq2mqSqawFEFDAC2eae64ibjrAduUNU58Sae\n", "KAsc/pYNbeQmfm29/ET4GjfXLdrPoFYTOKJZq+pN4BxV7a6q3YHxwMvALcAf403YGGP8TJXDgReI\n", "fv5HSeAbv08SjKbG8YWqjgg5N19VR4rIXFUtTWkOm8+b1TiMMRnnrVM11juMOMtcFRFB20KNY52I\n", "3C0iA0WkRETuAjZ4czqSMdPSGGP8bg1ubavtzV0kQoX3tSz1WUqdaALHlUB/4Hngn7j+jom4DqHL\n", "Upc109rZWlX+ZuXXxFKgLy3PPJ8U+Orn4NHiPA5V3QSNowlCLE1udowxxn8Ce51Di81WgcBSlZ6c\n", "pUY0fRxHAP+B69gJBBpV1TNSm7WWWR+HMSYbibAJ6NHMJWMzsUZVQDpmjj+DGz31CI0bn6Rl1qCI\n", "XIjbL7gz8DdVfTMd6RpjTIIm4JqlSoHDcKvmthrRBI5aVc3IsFtVfQF4QUSKgd/ghgabVqKtzwPw\n", "Oyu/yFSpFPf3fBlurse1IZeUiRzYWMp3oukcf0lEbhGRQ0SkW+AVSyIi8qiIbBCR+SHnx4vIYhFZ\n", "IiJ3N/OIe4GHY0nTGGMyrBS3cGK4takyspx6skQ7c/ygi1R1UNSJiJwGVAOPq+pI71wu8CXwTdxQ\n", "tlm40VrfwG0idT9uj/P7gDdU9a0wz7U+DmNM1vJGTpXhln8P1rr7OFS1JN6HBz1jpoiEPucEYKmq\n", "VgGIyDTgQlW9D7e/OSJyO27/j84iMlhV/5xoXowxJh1Clmpvws+1DWgmcIjIOFV9S0QuIXyN47kE\n", "0+4HrAo6Xo1bNCw4jYeAh5p7iIhMoXFo23ZgbqDdNTDO3I6z9vgOrLz8fGzl18wx3A6ctBcmFrlj\n", "7zRlXk3E/cGfjvx430/yMlBFgiI2VYnIZFUt9z6YwwWO62NKyNU4XgpqqroEGK+qN3jHVwOjVfW2\n", "GJ5pTVU+Zp2r/mbl17ygnQUH4BaHDTYPmOLN/0i7lDVVqWq593VSvA9vwRrcjPSA/rhaR0xEpALb\n", "j8OXrMz8zcqveSGTAjXkvYys8SeN+3Ek9pwoOsd74Dp2TsXVPGYC/6WqW2JK6OAaRx6uc3wcsBb4\n", "BJioqotieKbVOIwxWcnrGJ+Emzx9DG4+WoCvO8ejGY47DdgIfBu4FNgEPBVLIiLyJPABMFREVonI\n", "9apah1vK5HVgIfBULEEj6NkVgbY84y9Wbv5m5dc8LzBMwXVuZGzfomAiUua10iT2nChqHBGXVU80\n", "8URZjcPfrI3c36z8WubVOn4NjMDtVR6wAXg2eFfB9OYr9TWON0RkoojkeK/LgTfiTdCYAPvQ8Tcr\n", "v5Z5tY4ngJUhby3Gx4vERlPjqAba07j3Rg6w2/teVbVz2BvTQEQUtwG8dY4bY7JO0ATA4TTdhiIj\n", "fRxBnePlidQ4Wgwc2cyaqvzNmjr8zcoveiKcSVBLjd93AGxx5riICK5j/FRcreM9Vf1nvAkaY0xb\n", "EVTj6Bd63s+zx6NpqvojcDjwJG6q4+XA16p6c+qz1zxrqjLG+IEIw3GjR4HM1TjS1lQlIouBI1W1\n", "wTvOARaq6rB4E00Wa6oyxmSzoBpHJ+Dfg95q9fM4luKmzAcMwMejAUz2sHkA/mbl1zJVKlWpACpC\n", "z2ciP8kSzUZOnYFFIvIJbub4CcAsEXkJN6rqglRmsCW25IgxJlsF1Tgk9HyGR1Ul9pwomqqaS0RV\n", "dUaimYiXNVUZY7JZUOCApnty+LqpyobjGmNMGgQvdOj34bgt9nGIyEkiMktEqkWkVkQaRGRnvAka\n", "E2Bt5P5m5dd2RdPH8TBwBfA0blvXa4EjUpmpWFgfhzEmW4U0VTU539r7OOao6nEi8rmqHu2dm6uq\n", "GVlPPiRv1lRljPGF1tRUFU2NY7eIFALzROTXwHrI7A9tjDF+kG01jmSJpsZRglsCuAC4Ezc8939V\n", "NeNzOazG4W+21pG/WfnFpk3VOFS1yvt2DyGTWIwxxkQWUuP4ADg5cL5V1jhEZH4z92mgvyOTrMZh\n", "jPELEXrgdlBt1TWOb3lflSzu07BRVcYYn2ho+ZLUSsuoKhHJA95U1bGJJpQKVuPwN2sj9zcrv+iI\n", "cAdwEe4P9VO80zOA51V5IDN5SmEfh6rWeRP+ilV1e7yJGGNMW+UFhwdE6Azs8M6VZTRTCYpqOC4w\n", "X0TepOmWsbenLlumLbC/Vv3Nyi86QR3kBUHnKoBKv3aQRxM4nvNegTYtCfreGGNM8y4FzqdpX/Ek\n", "oAe00sChqlNEpD0wQFUXpyFPpo2wNnJ/s/KL2rPAZiAf+E/v3BR8GjQgukUOLwA+A6Z7x8eIyIup\n", "zpgxxrQyGR9VlSzR7ABYAYwGtgGo6mfAYSnMk2kj7K9Vf7Pyi1l9pjOQLNH0cdSq6naRJiO3siZy\n", "2jwOY0w28zrAKwFE3GZO3nayaZeseRzR1DgWiMhVQJ6IDBGRP+CmzmcFVa2woOFPtp+Dv1n5+Y+q\n", "VqpqRaLPiSZw3AYcBewDngR2AnckmrAxxhh/imZ13GNV9dM05ScmNnPcGOMngRVy/b5WVTQ1jt+J\n", "yGIR+bmIjIg3IWOMMa1Di4FDVcuAsbhxyH8Wkfki8tNUZ8y0ftZG7m9Wfm1XNDUOVHWdqj4IfB+Y\n", "B/wspbkyxhiTtaLp4zgSuAw3bX4L8BTwrKpuTH32mmd9HMYYP2ktfRzRzON4FJgGnKWqa+NNyBhj\n", "TOsQTVPVWOAtoJuIFKU4PweIyDAR+aOIPCMi309XuiZ9rI3c36z82q6IgUNE8kXk18AqYCrwOLBa\n", "RO4XkfxUZ0xVF6vqTcDlNG5+YowxJsOaq3HcD3QDBqnqsap6LG6NqmLgN9EmICKPisiG0D3MRWS8\n", "N8x3iYjcHeHebwEvA69Gm57xD5vx729WftEToczbgwNghggV3qssg9mKW8TOcRFZCgxV1YaQ87nA\n", "l6o6OKoERE4DqoHHVXVk8DOAbwJrgFnAROAbwLHA/cH9KSLysqqeH+bZ1jlujPENETTTHeMuH6nr\n", "HG8IDRoAqlovIlEvcqiqM0WkJOT0CcBSVa0CEJFpwIWqeh/wd+/cGODbQCHwSqTni8gUoMo73A7M\n", "DfwlFGiDteOsPb4DKy8/H1v5xXz8DoE1BtOZvvf9JJwqEtRcjeMF4DlVnRpy/hpggqpeEHUiLnC8\n", "FFTjuBQ4W1Vv8I6vBkar6m0xZd5qHL4mthGQr1n5RSdo61i8r5Xe9xnbOjaVNY5bgOdE5DvAHO/c\n", "cUB74OJ4E/QkbetZsWXVfcvKzN+s/KITvKx6pkmSllWPGDhUdbWIjAbOwK2Oq8ArqvpWooni+jX6\n", "Bx33B1bH8yBNwhLBxhjTFnjBvlJEyhN5TrMTANW1Y73lvZJpNjDEa8JaixtyOzHJaZgsZ00d/mbl\n", "13ZFtVZVIkTkSdzGT0NFZJWIXK+qdcCtwOvAQuApVV0U5/MrAp1AxhhjIhORMq95P7HnROoc9wPr\n", "HDfGmNilsnPcF6xz3BhjopOsznGrcZiMsTZyf7Py869EPztT3sdhjDGmdfF9jQOYjDVVGWNMi4Ka\n", "qsoTqXH4PnBYU5UxxsTGmqqMb9kwan+z8mu7LHAYY4yJie+bqrA+DmOMiYr1cWB9HMYYEw/r4zC+\n", "ZW3k/mbl13ZZ4DDGGBMT3zdVYX0cxhgTFevjwPo4jDEmHtbHYXzL2sj9zcqv7bLAYYwxJibWVGWM\n", "MW2MNVUZY4xJK98HDts61r+s3PzNys9/bOtYrKnK72wjIH+z8vOvRD87LXAYY0wbY30cxhhj0soC\n", "h8kYayP3Nyu/tssChzHGmJhYH4cxxrQx1sdhjDEmrXwfOGweh39ZufmblZ//2DwOrKnK72wegL9Z\n", "+fmXzeOwwGGMMTGxPg5jjDFpZYHDZIy1kfublV/bZYHDGGNMTKyPwxhj2hjr4zDGGJNWWR04RKSD\n", "iMwSkfMynReTfNZG7m9Wfm1XVgcO4C7gqUxnwqRMaaYzYBJi5ddGpTxwiMijIrJBROaHnB8vIotF\n", "ZImI3B3mvjOBhcCmVOfRZExxpjNgEmLl10alo8bxGDA++ISI5AIPe+ePBCaKyHARuUZEfi8ifYEx\n", "wInAlcANIpK1neDJrrLH+7xo74vmupauifR+rOezQTLzluqyi/ba5q6J571sLT+//e5Fe20yyygV\n", "ZZfywKGqM4FtIadPAJaqapWq1gLTgAtV9e+qeqeqrlXVe1X1TuAJ4C+a3cO/yrLkedHeF811LV0T\n", "6f1YzpdEkY90KMuCZ8VyXzTXNndNPO+FO18SRT5SrSxLnhfLfdFc29w1kd6L9Xzc0jIcV0RKgJdU\n", "daR3fClwtqre4B1fDYxW1dtifG42BxNjjMlaiQzHzUtmRmKQlA98m8NhjDHpl6lRVWuA/kHH/YHV\n", "GcqLMcaYGGQqcMwGhohIiYgUAJcDL2YoL8YYY2KQjuG4TwIfAENFZJWIXK+qdcCtwOu4IbdPqeqi\n", "VOfFGGNM4ny9VpUxxpj0y/aZ48YYY7JMqwoc3tpWU0XkLyJyZabzY2IjIoNE5BEReSbTeTGxEZEL\n", "vd+7ad6qD8ZHRGSYiPxRRJ4Rke+3eH1raqoSkWuArar6iohMU9UrMp0nEzsReUZVJ2Q6HyZ2IlIM\n", "/EZVv5fpvJjYiUgOMFVVr2nuuqyvccS41lU/YJX3fX1aM2rCinetMpN5cZbdvbjlhEyGxVp+IvIt\n", "4GXg1ZaenfWBgxjWusLNBQnMD/HDz9YWxFJ+JrvEss6ciMivgNdUdW76s2rCiOl3T1VfUtVzgata\n", "enCmZo5HTVVnekuWBDuw1hWAiEwDLgQeAh729u+weSFZIJbyE5ENwC+AUhG5W1V/lc68mqZi/N37\n", "JjAO6Cwig1X1z2nMqgkjxt+9XsC3gULglZaenfWBI4LgJilwNY3RqloDfCczWTIxiFR+W4EWO+ZM\n", "RkUqu9uAP2QmSyYGkcpvBjAj2of4tTmn9fTot01Wfv5lZedvSSk/vwYOW+vK36z8/MvKzt+SUn5+\n", "DRy21pW/Wfn5l5WdvyWl/LI+cNhaV/5m5edfVnb+lsrya1UTAI0xxqRe1tc4jDHGZBcLHMYYY2Ji\n", "gcMYY0xMLHAYY4yJiQUOY4wxMbHAYYwxJiYWOIwxxsTEr4scGpNyItId+Jd32Ae3x8sm3Ho/J3iT\n", "qeJ57p+Ax1X1g6Rk1Jg0swmAxkRBRMqBXar6uyQ86zPgWLVfPuNT1lRlTPRERL4nIp+IyFwReVZE\n", "2nlvTBGRS4IurI7wgOHAV6FBQ0QmiMh877lRL29tTCZY4DAmNs+p6gmqWgosAr7rnQ+tPUSqTZwD\n", "vBbm/E+Bs7znfispOTUmRSxwGBObkSIyU0Q+x22xeWSM958FTA9z/n1gqoh8D+t7NFnOAocxsXkM\n", "uFlVjwYmA+2883V4v08ikgMUhN4oIu2BYlVdH/qeqt4E3IvbH2GOiHRLTfaNSZwFDmNi0xFYLyL5\n", "wNU0NklVAcd5318A5Ie5dyzwdriHisjhqvqJqpbjRm4dmsxMG5NMViU2JjY/Az7Gfbh/jAskAH8F\n", "XhCRubimqHCd4+cAT0d47q9FZAggwL9U9fOk5tqYJLLhuMakiYjMwc3/qM90XoxJhAUOY4wxMbE+\n", "DmOMMTGxwGGMMSYmFjiMMcbExAKHMcaYmFjgMMYYExMLHMYYY2Ly/wA/blEyOCZA2AAAAABJRU5E\n", "rkJggg==\n" ], "text/plain": [ "<matplotlib.figure.Figure at 0x119bf7210>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "example1()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## White phase noise\n", "Compute the GRADEV of a nonstationary white phase noise." ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def example2():\n", " \"\"\"\n", " Compute the GRADEV of a nonstationary white phase noise.\n", " \"\"\"\n", " N=1000 # number of samples\n", " f = 1 # data samples per second\n", " s=1+5/N*np.arange(0,N)\n", " y=s*np.random.randn(1,N)[0,:]\n", " x = np.linspace(1,len(y),len(y))\n", " x_ax, y_ax, err_l, err_h, ns = allan.gradev(y,f,x)\n", " plt.loglog(x_ax, y_ax,'b.',label=\"No gaps\")\n", " y[int(0.4*N):int(0.6*N,)] = np.NaN # Simulate missing data\n", " x_ax, y_ax, err_l, err, ns = allan.gradev(y,f,x)\n", " plt.loglog(x_ax, y_ax,'g.',label=\"With gaps\")\n", " plt.grid()\n", " plt.legend()\n", " plt.xlabel('Tau / s')\n", " plt.ylabel('Overlapping Allan deviation')\n", " plt.show()" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": [ "iVBORw0KGgoAAAANSUhEUgAAAY4AAAEWCAYAAABxMXBSAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\n", "AAALEgAACxIB0t1+/AAAIABJREFUeJzt3XmcXGWd7/HPN+ksJiwJS1hkCSiLQRAhoAhoEEFAEAEB\n", "2UZREGfAfUauVzSJMs7AeB1n5DIXHAVFBQICCogg0TABRdlBSRSEsMUkQAgRQpJO+nf/OKfT1ZWq\n", "7trrnKrv+/XqV7rPOXXOkzyp+vXz/J5FEYGZmVmlRrS7AGZmli8OHGZmVhUHDjMzq4oDh5mZVcWB\n", "w8zMquLAYWZmVXHgMDOzqjhwmJlZVXraXYByJI0HLgZWAXMi4sdtLpKZmZHtFsexwKyI+Djw/nYX\n", "xszMEi0NHJK+J2mxpEeKjh8mab6kxySdmx5+PfBM+v3aVpbTzMzKa3WL4zLgsMIDkkYCF6XHpwAn\n", "SXoT8CywbXpZlltGZmZdpaUfyBExF3ip6PC+wOMRsSAieoGrgKOB64DjJF0M/KyV5TQzs/KykBwv\n", "7JKCpKXxtohYAXx0qBdK8tK+ZmY1iAjV+tosdAHV+eEft0BMjAi16wuY2e57VfO6Sq4d7ppy56s5\n", "3sh/tyzUXV7qr9pzWa27PNZfht57dclC4HiOgVwG6ffPVvzqU444nPGLvtfoQlVpTgbuVc3rKrl2\n", "uGvKna/m+OQKytFsczJyv2peV8m1Q11T7blSxyZXUIZWmJOR+1X6ukquG+6acuerPV4zRYs3cpI0\n", "GbgxInZPf+4B/gQcDCwEfg+cFBHzKrhXMAPoHXNDnL/ymGaV2ZpD0uUR8ZF2l8Oq57rLN0lRT8uj\n", "1cNxrwR+A+ws6RlJp0fEGuAc4FbgUeDqSoLGOmt77mfUqtObUmBrtsvbXQCr2eXtLoC1T8tbHI0k\n", "KRjDBaziFxExp93lMTPLMknTgGnA9HpaHLkPHI1I9Fh7SJrmgJ9PhXXn0Y3ZVuozst7PziwMxzWz\n", "nPMvcNnUrKDuFoeZ1cXvw+wqVze5So6bmVn+OXBY26SJOssh1113y32OQ9IMkv065rS5KGZmmVYw\n", "qqq++zjHYWb1yPL7UNIC4HXADpGsf4ekM4BTIuKgdpatFZzjMDOrzQjg0+0uRCdx4LC2cT95fuWo\n", "7gL4BvCPkjYudYGkd0i6R9IySb+XtF+5m0naS9IDkpZLmiXpaklfS89NlHSTpCWSlkq6UdLrC147\n", "R9K/SPqdpJcl3SBpYnpurKQfSnpB0ktpOSY19p+icRw4zKxpJC6VmCPxc4kJbbrHvSQL/f3j+vfW\n", "JsDNwLeATYBvAjenx4uvHQ1cD3wPmAhcCXyAgRW+BXwX2C79eo1kk7pCpwGnA1sBa4D/TI9/GNgI\n", "2CYtx1np6zPJgaNOmqlLNVNzNFM/10zV9MboVh7QkF9V1N3OwLuAw4FLanxcvfcI4CvAJyVtVnTu\n", "fcCfIuJHEdEXEVcB84GjStzn7cDIiPh2RKyNiOtJFmVNHhKxNCKuj4iVEfEK8PW03IXl+EFEPJrm\n", "W74MnCBpBLAa2BTYKRIPRMTfavi7tkTuA4ekGW1tNi+ZciT9/6l7x1zWtnKYZdOK9M97SH6Lbss9\n", "IuKPwE3A/2LwHkBbA08XXf4UyQZzxbYm2Qai0DMkLQ0kjZN0iaQFkl4G7gA2lqSi6/s9DYwiCRhX\n", "kCz0epWk5yRdkK4c3lCSpqUjUeuS+8ARETPa+pvraxPHAPDcVPjWgvwOUWuDHPWTW5Eq6u5kYBZw\n", "aATLanxcI+4BMB04k8FB4Tlg+6Lrtqf0nkB/Zf2Ash0DgejzJK2jfSNiY5JfKJV+FV5f+H0v8EJE\n", "rImIr0bEbsA7gCOBv6vw71WxiJgTETPqvU/uA0fbXX3dffzhePjhLffz6pZDbnVr1m0iWBbBifV8\n", "4DfiHsl94i/A1QweYXULyTYPJ0nqkXQisCtJ66TYb4C1ks5Jrz0a2Kfg/AYkeYmX0xzJ9KLXCzhV\n", "0pskjQO+ClwTEZG2BHaXNBL4G0lAWVvP37eZHDjqtWLSCVw7axavbXZwvf+xu41zHPmV47r7KjCO\n", "tJUQES+S/Hb/eeAFkgT6kRGxtPiFEdELHAt8DHgJOIUkwKxOL/kWyZyRF0iCzC0M7hYLki6py0la\n", "L6OBT6XntgSuAV4m2ZdoTnptJnkCoJnVpZvfh5J+B1wcEd+v4NpfA1dERMu2uvYEQOs4znHkV7fW\n", "naR3Stoy7ar6MPBm4BfV3KJJRWup3K9VZWbWQruQJOrHA38BPhgRi6t4fX67eAq4qypDNFOXkozK\n", "WAGcHNPDORPLvE57H3YSd1WV0fZ5HI3ViMlSZmYleR5Hqu3zOBpp4Vt3BOD5XV7mf770hTaXpuk6\n", "KOB3HdddPnkeRyf64a1P84fj4bt3b8yvzr+w3cUxMyvFOY4Mkfg5STfVPdQ/S9asJTrtfdhJnOPo\n", "Do1aWsHMrGkcODKkUUsr5IX7yfOrE+tO0oGS5g9xfrKkvnQ1267meRwdwMN4zdYn6YvAgRFxRMGx\n", "x4DHShz7UkTMIlmnqv/4AuCjEfGr1pU6H7o+cnaIXA7j7ZjRcF0oJ3V3B/CO/mXNJW1F8svynv2t\n", "hvTYG4D/KfH6oENmejda7gNHh83jqE2XDeM1q9C9JPtd7Jn+fCDwa+DPRccej4hF6RyHZwAkXUGy\n", "7PmNkv4mqXD3wFMlPSXpeUn/u9zDJW2abh/7croV7PmS5hac/w9JT6fn75V0QMG5GZKulXRVuk3t\n", "fZL2KDh/rqRn03PzJb27kn+QRs3jyH1XVSPGJOfeD299miPO3pabLt2YlRMuBE5sd5EqIWlaTn5z\n", "tSKV1l0julFrvUdErE4XIXwX8ADwTmAusDD9/v70z/VaGxFxWvpB/rH+ripJk9PT+6fl2QX4vaTr\n", "IqJUbuT/kiyRvgWwA8lGTQsKzv8emEGyIu5ngGskbR8R/avtvh/4EMkqvJ8BbpC0E/BG4Gxgahrw\n", "tqPCz/K0zuZIKl7yvSq5b3EYsGLz5Vw7C1ZOqGeXNbNmaPfWsXeQBAeAA0iCxNyCYwem11RjZkSs\n", "ioiHgYeAtxRfkO6rcSwwPd1Kdh7wfQq6vtLtal9Kt6z9JjCGJBj1uzcirouItSR7oY8l2b52bXrt\n", "bpJGRcTTEfFElX+HujhwdIaKh/FmaY90tzbyq4q6a/fWsf8DHCBpIrB5upnTb0lyHxOB3Sid3xjK\n", "oqKyjS9xzeYkrYDCrWIH7Soo6R8lPSppmaSXgI2BzUpdH8mEu2eBrSPicZIWyAxgsaQr01xNyzhw\n", "dICqhvF6j3RrrYFfamof7VfPPe4m+UA+E7gLICKWk3RXfRxYGBFPlXltPbOjnwfWANsWHFv3vaQD\n", "gX8Cjo+ICRExkaTLSmWuHwFsk5abiLgyIg4k2eY2gAvqKGvVHDi6TYb2SO/6QQ05VmndxfRYFtPj\n", "xHqGiNdzj4h4jSRJ/jkGtyzuTI8N1U21mGTE1XDWG3mVdi9dB8yQ9DpJuwKnMRCMNiQJLC9IGi3p\n", "K8BGRbfZW9IxknpIWhgrgbsl7Szp3ZLGAKvS4y3dZtaBo9t4j3TrPneQdB3dWXBsLkm3UHE3VeEv\n", "U/8CnCfpJUmfK3G+1GsKnUPS2llEkt+4koFtZn+Rfv2ZJGH+GvB00T1/SjLQZSlJgvzYNCCNScv2\n", "PMkWtJsBXyxThqbwWlVdRmICSYLxrHJdW55QaNXw+7Ayki4AJkXE6RVcOx14Y0ScVuczvVaV1a+i\n", "fIjzIGZ1k7SLpD2U2Bf4KHB9pS9vYtHq5sBh62tRHsQ5jvxy3VVkQ+AnwCvAVcA3IuJnFb42yPA2\n", "s+6qsvVo/JLbOOKcQ7j54vt5bbODm7XooicA5ldh3fl9mF3N6qoaNnBIOg74V5LZj/0PiogoHgHQ\n", "cpICmAnM8QdQ4wyXB3EOxAo5cGRXcd2kLcVpJBMTmxo4/gIcmc58zBT/h20Pnb3bQiY9mkw46h1z\n", "Q5y/8pg2F8nayO/D7GpncnxRFoOGtVGDciDuJ88v1113q2RhrHslXQ3cwMAY5IiI65pXLMu0q6+7\n", "ryAH4rkg1t9tbF2ikq6qy9NvB11YyVjkZnMTuT3K5UCc+zDLh6Ynx7PMgSNbnPswy4em5zgkbSvp\n", "+nTTkucl/UTSNrU+0DpYlbkP95Pnl+uuu1WSHL8M+Bmwdfp1Y3rMbDCvg2XWFSrJcTwUEW8Z7lg7\n", "uKsqW5z7MMuHVgzHfVHSaZJGSuqRdCrwQq0PtM5Vdh0sr31l1lEqCRwfBU4gWRr4r8DxQNtHVFmO\n", "lMl9uJ88v1x33W3YeRwRsQA4qvlFsY7leR9mHaVsjkPSuRFxgaRvlzgdEfGp5hZteM5x5EOp3Ifz\n", "HmbtU+9n51AtjkfTP+9j8OQ/keHlfi170mBx4qCDS6YcWTDn4zLAcz7McqJs4IiIG9NvV0TErMJz\n", "kk5oaqmqIGkGXh03f16bOIYngdFT4cc3Bue3u0BWDS+Jn08Fq+PWd58KhuM+EBFvHe5YO7irKr80\n", "fsltTD3hEO65tql7flhzOHDkW9OWHJF0OHAESRfDVQzsxbEhMCUi9q31oY3iwJFfxXkP5zzMWqeZ\n", "OY6FJPmNo9M/+x+yHPhsrQ80gxJ5D+c8zHJjqBzHQ8BDkn4cEavLXWdWq0HdHYVzPZzzyDx3VXW3\n", "SiYATpZ0raRHJT2Zfj3R9JJZd/E6V2a5UUly/C5gOvBNkomApwMjI+LLzS/e0Jzj6Bwl53qcevg8\n", "xi/ZirWjV/PkwfvE7POfam8pzTpDM3Mc/V4XEbcredJTwAxJ9wNtDxzWOUrO9Ri/ZCu2vn9jANaM\n", "mQts1/qSmVmxSrqqVkoaCTwu6RxJxwLjm1wu6wLDrne0dnSSW1u826s8c8CBLSiSVchrVXW3Sloc\n", "nwHGAZ8CvgZsBHy4mYUyA+DJg/dhzZi5PHPAgTH7/Kc8ZNcsG7x1rOWGt6Y1a4ym5Tgk/UdEfFrS\n", "jSVOR0S8v9aHmtXEQ3bNMmGomeN7R8R9ZfoyIyLuaGrJKuAWR75VOxdA45fctm559mM+vAEbLNrC\n", "I67aw/M48q1pLY6IuC/9dlPgpohYVetDzBpixaQTuHbWJcBZbLBogUdcmbVHJaOqjgIek3SFpCMl\n", "VZJQNxtWtb+xDtqatnDEVd+oVTpr72U6Y78lOvi87ZtRVhvMrY3uVskOgB+RNBo4HDgJuFjSLyPi\n", "Y00vnVk5BSOueOMtD7n1YdY6FbUeImK1pFuAPpKhuR8AHDisLvX0k6c5je0AdMZsz/doMec4utuw\n", "gUPSEcAJwEHAHOA7wPHNLZZZFYrne4jB8z2814dZQ1WyVtWVwNXALVlLkHtUlZWiE45fyPjnt6J3\n", "HNzwvevjlS2PbXeZzLKk6WtVRcRJkiYDBwK3SxoH9ETE8lofatZUmzw+hq0eTL7/zGTByvaWx6zD\n", "DDuqStLHgWtIVi4F2Aa4vpmFqoakGV43J5+aVm+bP5oMJV/bcz9P7z/FI64az++5fJI0TdKMuu9T\n", "QVfVQ8C+wN39+4xLeiQidq/34fVyV1W+NSvBqplat0Q7C/camO+xcqNVjF3+K7zOVd2cHM+3Viyr\n", "vioiVknqf2APkN8FriwzmvXBkwaFEwF0xn7JiKtV44Oxy8cAh3tr2vo5aHS3SgLHHZK+BIyTdAjw\n", "D0Cp9avMsqd/xFXPyg3Z9ncTeG4qrNh0T83UHLzKrllNKumqGkkyZ+PQ9NCtwH9HBpbVdVdVvrWy\n", "u2PQOlcfmfZ6tvjjFgD08QIjuAcHkKq4qyrfWjGqai1wafpllk+F61ytnPAXAFZtAGNe2Qx3X5lV\n", "ZajVcR8Z4nUREXs0p0iVc4vDarGu9THuhTXs+OuetPtqATvd+hTuvrIuUO9n51CBY3L67T+kf14B\n", "CDgFICLOrfWhjeLAYbWQSEZdjVuyOUecc5C7r6zbNC1wFDzgwYjYs+jYA/1Dc9vJgSPf2t1Pvi6A\n", "wFmcfsBf2P6uTdLuq/5LZsX0OLFd5cuydted1afez85KllWXpAMKftifpOVhlmuDlmm/+rr7+MPx\n", "sHDvZPjuaxutZm3Pdpqpn6fzQswsVUmLY2/gMmDj9NAy4PSIuL/JZRuWWxzWKAPdV89vxxFnv52N\n", "FsJ2dyUn3XVlHabpXVUFD5oAEJGdN44DhzWaxM+Bwzn1vWt44209g7quXpvQywu7LvNWtZZ3LQsc\n", "WeTAkW9Z7CdfL3HeP/KqMIC8ummwdKcXujmAZLHurHIOHA4cuZXlD5/yAWQ8jHk1uaiLA0iW686G\n", "58DhwGFNtC6A7P6j89nrOzczasU4trln00EBpHdM0DdqDSNX3UVP7zHOg1jWtSRwpCOpJjMw0zwi\n", "4ge1PrRRHDis1XTweduz7Z1zSwYQcCLdcqEV8zh+COwIPAis7T8eEZ+s9aGN4sCRb3nu7lgvgACD\n", "gkiHB5A81521JnDMA6ZkYVHDYg4c+dYJHz46+Lzt2eL++fSNGcuYl9dPpPdpMSNi104LHp1Qd92s\n", "FYHjGuDTEbGw1oc0iwOHZUHZRHq/Dm99WP60InDMAfYEfg+sSg9HRLy/1oc2igOHZcmgAPL3bzmI\n", "DRcxqPXRO+aGOH+lV+C1tmtF4JhW6ngWmqkOHPnWqd0dEhMYu3QeR35iy0HzQBbuvYpnDtilE4bu\n", "dmrddQsPx3XgyK1O/vAZ1Po4e7eDGP9CcqJD5n50ct11g6YvcihpP0n3SHpFUq+kPknLa32gWb9O\n", "/uDpX0CRFZOOZdFbki7eVeNh/Iti27s3Z/M/zE+DSy51ct3Z8CpZHfci4GTgMWAsyTayFzezUGad\n", "IoJlPPf2XXjyXc/w/JQXgSTvMfrVsYxdOi/PwcO6VyWBg4h4DBgZEWsj4jLgsOYWy7pBufxZp4nZ\n", "5z8Vl8/ZjicO3ZtXN+ljzCvwhtvh7N22ZOzSxyR+nrcA0i11Z6VVEjhelTQGeEjShZI+h/fjMKta\n", "zD7/Kca8MnvdgQ0XwZGfSPY8h0fzFjyse1USOP4uve4ckv2YtwGOa2ahrDt0ZT95z+oT6NNiAF7b\n", "eDUbLYRTjoCxy7YCFkvclocA0pV1Z+t4VJVZi6U7Cl7C2p7tGLnm7QD0Cfp6oHc8LHpLxwzbtWxq\n", "2nBcSY8M8bqIiD1qfWijOHDkW7cP6dRMJZtGlZLxYbvdXnd518zAMXmoF0bEglofWglJOwBfAjaO\n", "iOPLXOPAkWPd/uGTtjweBbYadKJwscS1I/sYuXY2cEKWlivp9rrLu46fACjpGgcO61Rp8PgeMJo1\n", "o3p4bp83M7J37LoVd/sFfYhekjzjvWQskFi+NLPF8QpQLqpERGxU0QOk7wHvA5ZExO4Fxw8DvgWM\n", "BP47Ii4o83oHDusqOvi87dnrO0+wwZKhBq+sBu7AAcRqkPkWh6QDgVeAH/QHDkkjgT8B7wGeA+4B\n", "TgKmAnsB/9a/Gq8DR+dyd0d5Gr/kNo78+CFs91vYYMlQl64FltPiVojrLt9aGjgkjQeOBT4UEe+r\n", "4nWTgRsLAsd+wPSIOCz9+X8BRMS/FrxmE+DrwMGUaZFICuD7wIL00DLgwf7/0P2TlPxzZn/+DK6v\n", "kj8nQ3Kv/RmjRryZY34wkZG9sPhF2OxPMCWNDU8mf7AD/T/3AWvZgRGAeJI+VvEHduWgmB7LGlu+\n", "gQmAWfj38s8V1ddHSCwg+fxt6uq4Y0i6mk4C3gtcB/wkIm6s+CHrB44PAu+NiDPTn08F3hZV7iro\n", "Fod1unROx0ACfewyeP9HqKAlUihIWibLgKkxPTI3Sstaq97PzrJ9qJLeK+ly4AmSCX9XAEsj4iPV\n", "BI0ysp2RN8uICJYBU4DrgZtZOWExs26Ai/4Ejx4Nr2xeyW0E9ACbAQs0U89rprZvXqmt0/UMce4W\n", "YC5wYEQ8ASDpPxr03OeAbQt+3hZ4tkH3tpxwP3ll0uBxLBS0QFZO2IpZNwy0QEb2wsjelUyecwc9\n", "vQC7k7y/J5W45WbAk5qpZcBoYBRJcLkLOKaSPInrrrsNFTj2Iume+qWkJ4CrSUZANcK9wE5pF9ZC\n", "4MT0WWY2hAiWSUwhGcL7DlZO2IJZN/SfHksy4CRJlr/7vDN55z//OzAOeFd6vp+AiUW3nwYs0UzN\n", "waO1bAiV5DgEvIPkg/044EHg+oi4tKIHSFeS/KfdFFgCfCUiLpN0OAPDcb8bEf9SdeGT5PhMYI5/\n", "+7Fuk7Y+5gNblLkkSIbsHsMMQdLd/B4GB5ByVgNPA5un398OHJ2+di1VtE4sO9Ik+TSanRwveuhI\n", "klFOH4qIj9b60EZxcty6XRo8ktbH0AEkSZCPe/53fHablxm1eh8GurH6qHCLhbL3dSDJlczP42gm\n", "B458cz954xQEkNHAIemf5axi9x/tw3GnziTpsvoM8O/A/pTOiazvSQaGAQ9Y18JxAMk2Bw4Hjtxy\n", "4GgOie2BO0mCR7lAsBLYKk28J69Llj8ZrjsrSOaIlAoc/RYDuzp4ZFfThuOaNZuDRnNE8FQE2wK7\n", "kAzjvZVkEMrqgsvGUrT/R0yPZTE9jiKZM3ITyejH/dM/FwE3k4SLm9iBVel9+koUYQuS1o91qEqS\n", "45uUOPy3iOhtTpEq5xaHWeXSlsh81m9NXB+RDPet+F79e4rAF4CLSLrHxhRccnNMjyPrKK41UdO7\n", "qiQtALYDXkoPTST57WMRcGZE3Ffrw+vlUVX55q6q1ltvJnoigBeBqRFUNKu8uO7SQLKEZE4IJK2b\n", "nT1LPVsaNaqqkq6qXwKHR8SmEbEpcBhJM/Zs4L9qfXCjRMQMf/iYVaZgJvrigsMimRT4RNoqqf6+\n", "ST5jTsGh0cD8NKBYRkTEnIiYUe99Kgkc+0XErQUPvi099luGHrlhNiQH/PZIg8euwF+LTo0gCR7D\n", "7ntepu5OgHW5D0i6xJzr6ECVBI6/SjpX0vaSJkv6ArA4ndNRKjFmZhlX0PK4icHv4xEk+YqlEn0F\n", "Xy8O1xpJWx27FN3POcgOVEngOJlkLakbSEZobEcyi3wkyW8YZjUpXJrbWi+CZREcBexIMjy3kIq+\n", "NgEWDASR95xY8p5JTmNh+uNykjki1mE8j8Paxsnx7Khg+ZIic4Bpa0jmixxTNB/kTpJhvJB0h03x\n", "nI5safo8Dkm7SPqOpF9K+nX69ataH2jWz0EjOwryHjeRtBhmk3zoL6Zkl/Q0SBZJnUbSrfXrgrzI\n", "8oILt8J5jo5TyXDch0lGT91PsiYNJHuOt20Ybj8PxzVrvjS3cRdJoNic8r9wrgZ2ZoZeJgk4/YNn\n", "PJM8I1q2yKGk+yJi71of0Ezuqso3d1Xlz0AQ+dUkePeoEpf0ATsyQ98hSbL3uymdlW4Z0IolR26U\n", "dLakrSRt0v9V6wPNLL/S5Uy2gbOPJenWWlV0yQjgCX7yw88zeKfPI7zrYOeodOb4ehdFRPklzlrE\n", "LQ6z9krzGlcARzD4F9FnmaGxJBML+yULK7rLqu2a3uKIiMkRsUPxV60PNLPOUTSkt9Ak5n7haAYn\n", "1j0hsEOUDRySDk7/PE7SscVfrSuidSrP48iv4rpL17iaU3BoNLMvmM38o/dkcI+FV5voAEPtOf5O\n", "kiF5R1Giqwq4riklMrO8OoZk8dP+VXLHctUNX2eGljGwv/khmqkfA1sDK4CT3XWVP7mfAIiH45pl\n", "RjrqakHBoZWcN/puenqnlXnJEmAXB4/WaOVw3M2A6cABJC2PucBXI+LFWh/aKE6Om2WPxPMUJsXH\n", "L76Jf9qyeL+OQh6q22KtGI57FclvBccCHwSeB66u9YFm/ZzjyK9h6m4qhUnxV7fYh/lH7zPE9R6q\n", "mzOVBI4tI+JrEfFkRDwREedT8Xo2ZtZt0kT57IJDW3DVDV8H9iDJa6xk/RV572xdCVtP4qV0gciQ\n", "WFrrvidZUUlX1TeBexhoZRwP7BsRn29y2YblriqzbErndyxl8LLqc0gXRExbGAsKznX0joESfQz+\n", "t3gumUjZrvI0f+vYV4BxDPyGMAJ4Nf0+ImKjWh9eLwcOs+xaL9eRWLe/uWbq16SrJaaejemxbYuK\n", "11LSeiNTF0UM2r63pVoxAXCDiBgRET3p14iI2DD9alvQsPxzjiO/Kqy7wbmOxP4Fq+geQ9Ecjy7a\n", "avahdhegHkPN4wBAkkgS4weQ/Ce4MyKub3bBzCzfInhKYkfgCQZ+SZ0EzJfYNSKWaabuYKDVMYlk\n", "ZnnZCcaaqVUMTCL8LXBE1ofySuut5wWwpuUFaaBKkuMXA2cBDwN/BD4h6eKmlsq6gufe5FeldVci\n", "UQ7J4Jor0u+PYWC7BhhiZrlmal7R+f2ASyopR5t13Gz5YVscwEHAlIjoA5B0OfBoMwtVDUkz8ARA\n", "syw7gfV3FzwySRjHXUzX3xjovuotdQPN1KUk+5kXegX4QsNL2xol/57NVjABsL77VJAcvwk4JyIW\n", "pD9PBi6KiCPrfXi9nBzPN+/HkV/V1l2a1yjc3GnAaYfAG24fyHYk7+hekmG7GzB4NFKxWTE9Su5/\n", "ngUSLwHFeZvlwB5pa6wtWjEBcCNgnqQ7JM0haW1sKOlGST+r9cFm1j3SrWl3LnnymmuSoCEKQ8Qo\n", "gg0pHTT6Q8zLZL/FUSrZvxFwYasL0kiVdFV9ZYhz+V3oytrOrY38qqXu0mT5HsDdJEP8EysnwNpR\n", "0FPUe1P+9+H+RRM3JvkAzmyLo0gvMIpkXtxZbS5LXXK/yKG7qszyJ505/RtgE2A0kx4ewRlTQQE9\n", "awYHjf7WCMCaUfPp6d0A2IakxfGWLE8aLJq/8Tzwa+CstAXWNk3vqpK0n6R7JL0iqVdSn6TltT7Q\n", "rJ/nceRXvXWXbkH7+gheB2zKkj1+wddXB//cC69NHLhw7Qj47lx49GiY9wH4xuLJrO15Nj3b3+LI\n", "JIl5RYfGR3Biu4NGI1SS47gIOBl4jGQHr4+RDNE1M6tbuovg4cAOwEIuuW8lL28Br266mv98fC3P\n", "HgCzboCrr4eVE8eycOob0pdmvctn16Kf396WUjRBJaOq7ouIvSU9HBF7pMcejIg9W1LCocvmriqz\n", "DlZyNNbYl4J/mvQMI9c8QzJCKZObQRV1U62NqCin3BKtGFX1qqQxwEOSLpT0OYYeHmdm1hAlR2Ot\n", "nCieecdY0TQbAAAK9klEQVR2wP7A4WRwEmA6DLdQqdnjuVVJ4Pi79LpzSJZE3gY4rpmFsu7gHEd+\n", "tbLu0vkOeww62Du+/7u2dVdJrEmXSS/8OjM9XTwMt2O6qcCjqqyNPAEwv9pRdxIDq+mOXQZHnRnM\n", "/8Bb4uFTHmllOdKyFC+TXmh/4K6Cn5dGsGnzS1W5pi2rLmmoyoj+fEc7ec9xs+4xKN9x1Mdh0z/D\n", "mrF9LJy6Y8w+vyVDciUuBc5g6O76KDr/WkTBvJU2avqe4+nSIrD+P0JyMF2CpJ3c4jDrLun8jwV8\n", "ZBpMviM5OO8Dq7j6+i1bMcx1mJZGOb9IR41lRtOS42lgeBa4PCIWFH/V+kCzfs5x5Fe76i7Ndyyl\n", "N/0F/rmp8NPLxjCw2m7TpAnv4g/bAN47xMv6gJOaVqg2GTI5HhFrgD6pazZXMbPs24tXN4dXN0uW\n", "K0kcUe8+3kX7gofEoUWXFH8OrgE2ieA2krxGKX2dMOGvWCXzOH4GvBX4JYO3jP1Uk8s2LHdVmXUn\n", "fXHjexi7fCoAfzgerp3VfyrZ3ClYJrEGGFn00nuAQ9Pz80iWah/qM2QNsBfwQIl77RHBulywxCPA\n", "m4uu2T+C31T+N2uNej87K5mQcl36VbjocX6HYplZ/o1dnuztsXIj+OW/FZ7ZD1iq5COx1AfjPsBL\n", "qvwjs4dkm9fiV5xaGDRSBwK3A3uTdFEdmMWg0QgVDceVNA7YLiLmN79IlXOLI988HDe/2l13mqk7\n", "6e8eGtziaIUXIti8lQ9stFYscvh+kmbaL9Kf3+p9OMyszZKFVleP/wM3XbJimGur6SEJkhbGUKZW\n", "cb+OVMnM8RnA2yCZQh8RDwA7NrFM1iXc2sivDNTdycAsRr96YLw2cTzJzPJSAeLTEYwgaZ2sKbim\n", "/89VwP3p98dGMCKCPSmf7D61nTv3ZUUlyfHfRcTbJD0QEW9Nj61b8LCd3FVlZv3SUVW/BSalh95Z\n", "T45BYjXJxkv9/jWCL9ZRxMxoxSKHf5R0CtAjaSdJ34bOTPhYa3keR35lse7SPT62jqAn/ar3c2pv\n", "kvX5IGlpdETQaIRKAscngd1ImnRXkvQtfqaZhTIza7cIHolgfASK4EftLk+WVNJVtVdE3D/kRW3i\n", "riqz7qWZupRkyfUVZHRPjqxqRVfVNyXNl/Q1ScWTW8zM2mVn4F1kdE+OTjZs4IiIacBBwAvAJZIe\n", "kfTlZhesUpJmZLG/1YbnesuvjNRdf/4h61vIZoakaZJm1H2favbjkLQ7cC5wYkSMGu76ZnNXVb61\n", "exKZ1S4LdaeZmkDS0jjL3VTVadp+HAUPmAKcAHwQeBG4Grg2IpbU+tBGceAwM6teKwLH3cBVwKyI\n", "WFjrg5rBgcPMrHqtSI4fBMwGNpE0ttYHmRXLSD+51cB1193KBg5JoyRdCDwDfB/4AfCspH+T1Pb8\n", "hpmZtcdQW8d+C9gA+GxE/C09thHwf4AVEfHplpWyDHdVmZlVr2k5DkmPAztHRF/R8ZHAnyLijbU+\n", "tFEcOMzMqtfMHEdfcdAAiIi1JJuUmNXF/eT55brrbkMFjnmSPlx8UNJpQKY2dDIzs9YZqqtqG5It\n", "Y18D7ksP7w2MA46JiGdbUsIhuKvKrHt5raraNW3P8Yh4VtLbgHeTrI4bwM0RMbvWh5mZNVD/WlWQ\n", "zCA/sY1l6SplAwdAJM2R2emXWUNlYdkKq01G6s5rVbVJJRMAzcyyKNk+Fg51N1VrVbXIYdY4x2Fm\n", "Vr1WLDliZma2jgOHtY3nAuSX6667OXCYmVlVnOMwM+syTZvHkRfpNohzMjA00Mws09Iuxml138ct\n", "DmuXjMwFsBq47vLNo6rMzKyl3OIwM+sybnGYmVlLOXBY23guQH657rqbA4eZmVXFOQ4zsy7jHIeZ\n", "mbWUA4e1jfvJ88t1190cOMzMrCrOcZiZdRnnOMzMrKUcOKxt3E+eX6677ubAYWZmVXGOw8ysyzjH\n", "YWZmLeXAYW3jfvL8ct11NwcOMzOrinMcZmZdxjkOMzNrKQcOaxv3k+eX6667OXCYmVlVnOMwM+sy\n", "znGYmVlLOXBY27ifPL9cd93NgcPMzKriHIeZWZdxjsPMzFoqs4FD0tGSLpV0laRD2l0eazz3k+eX\n", "6667ZTZwRMRPI+LjwCeAE9tdHmuKPdtdAKuZ666LNT1wSPqepMWSHik6fpik+ZIek3TuELc4D7io\n", "uaW0NpnQ7gJYzVx3XawVLY7LgMMKD0gaSRIMDgOmACdJepOk0yT9u6StlbgAuCUiHmxBOWvWyGZ7\n", "rfeq5nWVXDvcNeXOV3u83RpdrjzUX7Xnslp3kL/665T3XtMDR0TMBV4qOrwv8HhELIiIXuAq4OiI\n", "uCIiPhsRC4FPAgcDH5R0VrPLWadpGbhXNa+r5Nrhril3vprjkysoR7NNy8j9qnldJdcOdU2150od\n", "m1xBGVphWkbuV+nrKrluuGvKna/2eM1aMhxX0mTgxojYPf35g8B7I+LM9OdTgbdFxCervG9+xxKb\n", "mbVRPcNxexpZkCo05APfczjMzFqvXaOqngO2Lfh5W+DZNpXFzMyq0K7AcS+wk6TJkkaTDLf9WZvK\n", "YmZmVWjFcNwrgd8AO0t6RtLpEbEGOAe4FXgUuDoi5jW7LGZmVr9cr1VlZmatl9mZ42Zmlk0dFTgk\n", "jZf0/XSNq5PbXR6rjqQdJP23pGvaXRarjteWyzdJu0r6L0nXSPrEsNd3UleVpNOApRFxs6SrIuJD\n", "7S6TVU/SNRFxfLvLYdWTNAH4RkSc0e6yWPUkjQC+HxGnDXVd5lscVa519XrgmfT7tS0tqJXUgLXK\n", "rE1qrDuvLZcR1dafpKOAm4CfD3fvzAcOqljrimQuSP/8kDz83bpBNfVn2VLNOnO5WVuui1T13ouI\n", "GyPiCOCU4W7crpnjFYuIuemSJYXWrXUFIOkq4GjgP4GLJL0PzwvJhGrqT9Ji4OvAnpLOjYgLWllW\n", "G6zK9957SNaW20jSGyPikhYW1Uqo8r03CTgWGAPcPNy9Mx84yijskoKkpfG2iFgBfLQ9RbIqlKu/\n", "pST7r1h2lau7TwLfbk+RrArl6u8O4I5Kb5LX7pzOyeh3J9dffrnu8q0h9ZfXwOG1rvLN9Zdfrrt8\n", "a0j95TVweK2rfHP95ZfrLt8aUn+ZDxxe6yrfXH/55brLt2bWX0dNADQzs+bLfIvDzMyyxYHDzMyq\n", "4sBhZmZVceAwM7OqOHCYmVlVHDjMzKwqDhxmZlaVvC5yaNZ0kjYFbk9/3JJkj5fnSdb72TedTFXL\n", "ff8f8IOI+E1DCmrWYp4AaFYBSdOBv0XENxtwrweAvcJvPsspd1WZVU6SzpD0e0kPSrpW0uvSE5dL\n", "Oq7gwlfK3OBNwJ+Lg4ak4yU9kt634uWtzdrBgcOsOtdFxL4RsScwD/hYery49VCuNXE4cEuJ418G\n", "Dk3ve1RDSmrWJA4cZtXZXdJcSQ+TbLE5pcrXHwr8osTxu4DvSzoD5x4t4xw4zKpzGfAPEbEHMBN4\n", "XXp8Den7SdIIYHTxCyWNAyZExKLicxHx98B5JPsj3Cdpk+YU36x+Dhxm1dkAWCRpFHAqA11SC4C9\n", "0+/fD4wq8dqDgF+VuqmkN0TE7yNiOsnIrW0aWWizRnKT2Kw6XwF+R/Lh/juSQALwHeCnkh4k6Yoq\n", "lRw/HJhV5r4XStoJEHB7RDzc0FKbNZCH45q1iKT7SOZ/rG13Wczq4cBhZmZVcY7DzMyq4sBhZmZV\n", "ceAwM7OqOHCYmVlVHDjMzKwqDhxmZlaV/w9v46gM0mVapwAAAABJRU5ErkJggg==\n" ], "text/plain": [ "<matplotlib.figure.Figure at 0x115b13dd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "example2()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.9" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
badlands-model/BayesLands
Examples/etopo/etopoGen.ipynb
1
5162950
null
gpl-3.0
tonyfast/tidy-harness
harness/ext/base.ipynb
1
4259
{ "cells": [ { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "try:\n", " from ..base import AttributeObject\n", "except:\n", " from harness.python.base import AttributeObject\n", "\n", "from toolz.curried import *\n", "import importlib, inspect, jinja2.ext\n", "\n", "__all__ = ['HarnessExtension']" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "class HarnessExtension(jinja2.ext.Extension):\n", " \"\"\"Use the jinja2 extension framework to create build Harness templates.\n", " imports - string object that can load a module.\n", " module_ - a derived object containing the main module of the extension.\n", " \"\"\"\n", " \n", " imports = None \n", " mixin = None\n", " alias = \"\"\n", " \n", " def __init__(self, environment):\n", " self.function = identity\n", " if self.imports:\n", " self.module_= importlib.import_module(self.imports)\n", "\n", " def pipe(self, dataframe, attr,):\n", " function = None\n", " try: # to access the attribute from the module\n", " function = getattr(self.module_, attr)\n", " except AttributeError: pass\n", "\n", " try: # to access the attriubte from the class superceding the module\n", " function = getattr(self, attr)\n", " except AttributeError: pass\n", "\n", " if not(function is None):\n", " if not callable(function):\n", " return function # which is a non-callable datatype\n", " value = AttributeObject(\n", " func=function, \n", " callback=partial(self.callback, dataframe),\n", " arguments=self.arguments(function),\n", " keywords=self.keywords(dataframe),\n", " )\n", " return value\n", " \n", " \n", " def callback(self, dataframe, value):\n", " \n", " return value\n", " \n", " def arguments(self, function):\n", " try:\n", " return inspect.getfullargspec(function).args\n", " except TypeError: pass\n", " return []\n", " \n", " def keywords(self, dataframe):\n", " return {}\n", " \n", " def __dir__(self):\n", " return list(\n", " concatv(\n", " super().__dir__(), \n", " dir(self.module_) if hasattr(self, 'module_') else []\n", " )\n", " )" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "class JinjaExtension(HarnessExtension):\n", " alias = 'jinja2'\n", " def __init__(self, environment):\n", " super().__init__(environment)\n", " self.env = environment\n", " self.module_ = environment\n", " \n", " def callback(self, dataframe, value):\n", " if isinstance(value, jinja2.Template):\n", " value = value.render(df=dataframe)\n", " if isinstance(value, jinja2.BaseLoader):\n", " return dataframe\n", " return value\n", " \n", " def add_template(self, **kwargs):\n", " # This will break with a custom environment\n", " first(self.env.loader.loaders).mapping.update(**kwargs)\n", " return self.env.loader" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
bsd-3-clause
ethen8181/machine-learning
model_selection/partial_dependence/partial_dependence.ipynb
1
342015
{ "cells": [ { "cell_type": "markdown", "metadata": { "toc": "true" }, "source": [ "<h1>Table of Contents<span class=\"tocSkip\"></span></h1>\n", "<div class=\"toc\"><ul class=\"toc-item\"><li><span><a href=\"#Partial-Dependence-Plot\" data-toc-modified-id=\"Partial-Dependence-Plot-1\"><span class=\"toc-item-num\">1&nbsp;&nbsp;</span>Partial Dependence Plot</a></span><ul class=\"toc-item\"><li><span><a href=\"#Individual-Conditional-Expectation-(ICE)-Plot\" data-toc-modified-id=\"Individual-Conditional-Expectation-(ICE)-Plot-1.1\"><span class=\"toc-item-num\">1.1&nbsp;&nbsp;</span>Individual Conditional Expectation (ICE) Plot</a></span></li><li><span><a href=\"#Implementation\" data-toc-modified-id=\"Implementation-1.2\"><span class=\"toc-item-num\">1.2&nbsp;&nbsp;</span>Implementation</a></span></li></ul></li><li><span><a href=\"#Reference\" data-toc-modified-id=\"Reference-2\"><span class=\"toc-item-num\">2&nbsp;&nbsp;</span>Reference</a></span></li></ul></div>" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "data": { "text/html": [ "\n", "<style>\n", " html {\n", " font-size: 18px !important;\n", " }\n", "\n", " body {\n", " background-color: #FFF !important;\n", " font-weight: 1rem;\n", " font-family: 'Source Sans Pro', \"Helvetica Neue\", Helvetica, Arial, sans-serif;\n", " }\n", "\n", " body .notebook-app {\n", " background-color: #FFF !important;\n", " }\n", "\n", " #header {\n", " box-shadow: none !important;\n", " }\n", "\n", " #notebook {\n", " padding-top: 0px;\n", " }\n", "\n", " #notebook-container {\n", " box-shadow: none;\n", " -webkit-box-shadow: none;\n", " padding: 10px;\n", " }\n", "\n", " div.cell {\n", " width: 1000px;\n", " margin-left: 0% !important;\n", " margin-right: auto;\n", " }\n", "\n", " div.cell.selected {\n", " border: 1px dashed #CCCCCC;\n", " }\n", "\n", " .edit_mode div.cell.selected {\n", " border: 1px dashed #828282;\n", " }\n", "\n", " div.output_wrapper {\n", " margin-top: 8px;\n", " }\n", "\n", " a {\n", " color: #383838;\n", " }\n", "\n", " code,\n", " kbd,\n", " pre,\n", " samp {\n", " font-family: 'Menlo', monospace !important;\n", " font-size: 0.75rem !important;\n", " }\n", "\n", " h1 {\n", " font-size: 2rem !important;\n", " font-weight: 500 !important;\n", " letter-spacing: 3px !important;\n", " text-transform: uppercase !important;\n", " }\n", "\n", " h2 {\n", " font-size: 1.8rem !important;\n", " font-weight: 400 !important;\n", " letter-spacing: 3px !important;\n", " text-transform: none !important;\n", " }\n", "\n", " h3 {\n", " font-size: 1.5rem !important;\n", " font-weight: 400 !important;\n", " font-style: italic !important;\n", " display: block !important;\n", " }\n", "\n", " h4,\n", " h5,\n", " h6 {\n", " font-size: 1rem !important;\n", " font-weight: 400 !important;\n", " display: block !important;\n", " }\n", "\n", " .prompt {\n", " font-family: 'Menlo', monospace !important;\n", " font-size: 0.75rem;\n", " text-align: right;\n", " line-height: 1.21429rem;\n", " }\n", "\n", " /* INTRO PAGE */\n", "\n", " .toolbar_info,\n", " .list-container {\n", " ;\n", " }\n", " /* NOTEBOOK */\n", "\n", " div#header-container {\n", " display: none !important;\n", " }\n", "\n", " div#notebook {\n", " border-top: none;\n", " font-size: 1rem;\n", " }\n", "\n", " div.input_prompt {\n", " color: #C74483;\n", " }\n", "\n", " .code_cell div.input_prompt:after,\n", " div.output_prompt:after {\n", " content: '\\25b6';\n", " }\n", "\n", " div.output_prompt {\n", " color: #2B88D9;\n", " }\n", "\n", " div.input_area {\n", " border-radius: 0px;\n", " border: 1px solid #d8d8d8;\n", " }\n", "\n", " div.output_area pre {\n", " font-weight: normal;\n", " }\n", "\n", " div.output_subarea {\n", " font-weight: normal;\n", " }\n", "\n", " .rendered_html pre,\n", " .rendered_html table,\n", " .rendered_html th,\n", " .rendered_html tr,\n", " .rendered_html td {\n", " border: 1px #828282 solid;\n", " font-size: 0.75rem;\n", " font-family: 'Menlo', monospace;\n", " }\n", "\n", " .rendered_html th,\n", " .rendered_html tr,\n", " .rendered_html td {\n", " padding: 5px 10px;\n", " }\n", "\n", " .rendered_html th {\n", " font-weight: normal;\n", " background: #f8f8f8;\n", " }\n", "\n", " a:link{\n", " font-weight: bold;\n", " color:#447adb;\n", " }\n", " a:visited{\n", " font-weight: bold;\n", " color: #1d3b84;\n", " }\n", " a:hover{\n", " font-weight: bold;\n", " color: #1d3b84;\n", " }\n", " a:focus{\n", " font-weight: bold;\n", " color:#447adb;\n", " }\n", " a:active{\n", " font-weight: bold;\n", " color:#447adb;\n", " }\n", " .rendered_html :link {\n", " text-decoration: underline; \n", " }\n", "\n", " div.output_html {\n", " font-weight: 1rem;\n", " font-family: 'Source Sans Pro', \"Helvetica Neue\", Helvetica, Arial, sans-serif;\n", " }\n", "\n", " table.dataframe tr {\n", " border: 1px #CCCCCC;\n", " }\n", "\n", " div.cell.selected {\n", " border-radius: 0px;\n", " }\n", "\n", " div.cell.edit_mode {\n", " border-radius: 0px;\n", " border: thin solid #CF5804;\n", " }\n", "\n", " span.ansiblue {\n", " color: #00A397;\n", " }\n", "\n", " span.ansigray {\n", " color: #d8d8d8;\n", " }\n", "\n", " span.ansigreen {\n", " color: #688A0A;\n", " }\n", "\n", " span.ansipurple {\n", " color: #975DDE;\n", " }\n", "\n", " span.ansired {\n", " color: #D43132;\n", " }\n", "\n", " span.ansiyellow {\n", " color: #D9AA00;\n", " }\n", "\n", " div.output_stderr {\n", " background-color: #D43132;\n", " }\n", "\n", " div.output_stderr pre {\n", " color: #e8e8e8;\n", " }\n", "\n", " .cm-s-ipython.CodeMirror {\n", " background: #F8F8F8;\n", " }\n", "\n", " .cm-s-ipython div.CodeMirror-selected {\n", " background: #e8e8e8 !important;\n", " }\n", "\n", " .cm-s-ipython .CodeMirror-gutters {\n", " background: #F8F8F8;\n", " border-right: 0px;\n", " }\n", "\n", " .cm-s-ipython .CodeMirror-linenumber {\n", " color: #b8b8b8;\n", " }\n", "\n", " .cm-s-ipython .CodeMirror-cursor {\n", " border-left: 1px solid #585858 !important;\n", " }\n", "\n", " .cm-s-ipython span.cm-atom {\n", " color: #C74483;\n", " }\n", "\n", " .cm-s-ipython span.cm-number {\n", " color: #C74483;\n", " }\n", "\n", " .cm-s-ipython span.cm-property,\n", " .cm-s-ipython span.cm-attribute {\n", " color: #688A0A;\n", " }\n", "\n", " .cm-s-ipython span.cm-keyword {\n", " font-weight: normal;\n", " color: #D43132;\n", " }\n", "\n", " .cm-s-ipython span.cm-string {\n", " color: #D9AA00;\n", " }\n", "\n", " .cm-s-ipython span.cm-operator {\n", " font-weight: normal;\n", " }\n", "\n", " .cm-s-ipython span.cm-builtin {\n", " color: #2B88D9;\n", " }\n", "\n", " .cm-s-ipython span.cm-variable {\n", " color: #00A397;\n", " }\n", "\n", " .cm-s-ipython span.cm-variable-2 {\n", " color: #2B88D9;\n", " }\n", "\n", " .cm-s-ipython span.cm-def {\n", " color: #00A397;\n", " }\n", "\n", " .cm-s-ipython span.cm-error {\n", " background: #FFBDBD;\n", " color: #D43132;\n", " }\n", "\n", " .cm-s-ipython span.cm-tag {\n", " color: #D43132;\n", " }\n", "\n", " .cm-s-ipython span.cm-link {\n", " color: #975DDE;\n", " }\n", "\n", " .cm-s-ipython .CodeMirror-matchingbracket {\n", " text-decoration: underline;\n", " !important;\n", " }\n", "</style>\n", "\n", "<script>\n", " MathJax.Hub.Config({\n", " TeX: {\n", " extensions: [\"AMSmath.js\"]\n", " },\n", " tex2jax: {\n", " inlineMath: [ ['$','$'], [\"\\\\(\",\"\\\\)\"] ],\n", " displayMath: [ ['$$','$$'], [\"\\\\[\",\"\\\\]\"] ]\n", " },\n", " displayAlign: 'center', // Change this to 'center' to center equations.\n", " \"HTML-CSS\": {\n", " scale:100,\n", " availableFonts: [],\n", " preferredFont:null,\n", " webFont: \"TeX\",\n", " styles: {'.MathJax_Display': {\"margin\": 4}}\n", " }\n", " });\n", "</script>" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# code for loading the format for the notebook\n", "import os\n", "\n", "# path : store the current path to convert back to it later\n", "path = os.getcwd()\n", "os.chdir(os.path.join('..', '..', 'notebook_format'))\n", "\n", "from formats import load_style\n", "load_style(css_style = 'custom2.css', plot_style = False)" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Ethen 2018-01-24 16:15:24 \n", "\n", "CPython 3.5.2\n", "IPython 6.2.1\n", "\n", "numpy 1.13.3\n", "pandas 0.21.1\n", "matplotlib 2.1.0\n", "sklearn 0.19.1\n" ] } ], "source": [ "os.chdir(path)\n", "\n", "# 1. magic for inline plot\n", "# 2. magic to print version\n", "# 3. magic so that the notebook will reload external python modules\n", "# 4. magic to enable retina (high resolution) plots\n", "# https://gist.github.com/minrk/3301035\n", "%matplotlib inline\n", "%load_ext watermark\n", "%load_ext autoreload\n", "%autoreload 2\n", "%config InlineBackend.figure_format = 'retina'\n", "\n", "import numpy as np\n", "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "from pathlib import Path\n", "from sklearn.ensemble import RandomForestClassifier\n", "from sklearn.model_selection import train_test_split\n", "\n", "%watermark -a 'Ethen' -d -t -v -p numpy,pandas,matplotlib,sklearn" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Partial Dependence Plot" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "During the talk, [Youtube: PyData - Random Forests Best Practices for the Business World](https://www.youtube.com/watch?v=E7VLE-U07x0&index=51&list=PLGVZCDnMOq0oqs6RTJk4zZde86DZrgnzm), one of the best practices that the speaker mentioned when using tree-based models is to check for directional relationships. When using non-linear machine learning algorithms, such as popular tree-based models random forest and gradient boosted trees, it can be hard to understand the relations between predictors and model outcome as they do not give us handy coefficients like linear-based models. For example, in terms of random forest, all we get is the feature importance. Although based on that information, we can tell which feature is significantly influencing the outcome based on the importance calculation, it does not inform us in which direction is the predictor influencing outcome. In this notebook, we'll be exploring **Partial dependence plot (PDP)**, a model agnostic technique that gives us an approximate directional influence for a given feature that was used in the model. Note much of the explanation is \"borrowed\" from the blog post at the following link, [Blog: Introducing PDPbox](https://towardsdatascience.com/introducing-pdpbox-2aa820afd312), this documentation aims to improve upon it by giving a cleaner implementation.\n", "\n", "**Partial dependence plot (PDP)** aims to visualize the marginal effect of a given predictor towards the model outcome by plotting out the average model outcome in terms of different values of the predictor. Let's first gain some intuition of how it works with a made up example. Assume we have a data set that only contains three data points and three features (A, B, C) as shown below.\n", "\n", "<img src=\"img/pd1.png\" width=\"30%\" height=\"30%\">\n", "\n", "If we wish to see how feature A is influencing the prediction Y, what PDP does is to generate a new data set as follow. (here we assume that feature A only has three unique values: A1, A2, A3)\n", "\n", "<img src=\"img/pd2.png\" width=\"30%\" height=\"30%\">\n", "\n", "We then perform the prediction as usual with this new set of data. As we can imagine, PDP would generate **num_rows * num_grid_points** (here, the number of grid point equals the number of unique values of the target feature, more on this later) number of predictions and average them for each unique value of Feature A.\n", "\n", "<img src=\"img/pd3.png\" width=\"30%\" height=\"30%\">\n", "\n", "In the end, PDP would only plot out the average predictions for each unique value of our target feature.\n", "\n", "<img src=\"img/pd4.png\" width=\"30%\" height=\"30%\">\n", "\n", "Let's now formalize this idea with some notation. The partial dependence function is defined as:\n", "\n", "$$\n", "\\begin{align}\n", "\\hat{f}_{x_S}(x_S) = E_{x_C} \\left[ f(x_S, x_C) \\right]\n", "\\end{align}\n", "$$\n", "\n", "The term $x_S$ denotes the set of features for which the partial dependence function should be plotting and $x_C$ are all other features that were used in the machine learning model $f$. In other words, if there were $p$ predictors, $S$ is a subset of our $p$ predictors, $S \\subset \\left\\{ x_1, x_2, \\ldots, x_p \\right\\}$, $C$ would be complementing $S$ such that $S \\cup C = \\left\\{x_1, x_2, \\ldots, x_p\\right\\}$. The function above is then estimated by calculating averages in the training data, which is also known as Monte Carlo method:\n", "\n", "$$\n", "\\begin{align}\n", "\\hat{f}_{x_S}(x_S) = \\frac{1}{n} \\sum_{i=1}^n f(x_S, x_{Ci})\n", "\\end{align}\n", "$$\n", "\n", "Where $\\left\\{x_{C1}, x_{C2}, \\ldots, x_{CN}\\right\\}$ are the values of $X_C$ occurring over all observations in the training data. In other words, in order to calculate the partial dependence of a given variable (or variables), the entire training set must be utilized for every set of joint values. For classification, where the machine learning model outputs probabilities, the partial dependence function displays the probability for a certain class given different values for features $x_s$, a straightforward way to handle multi-class problems is to plot one line per class." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Individual Conditional Expectation (ICE) Plot" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As an extension of a PDP, ICE plot visualizes the relationship between a feature and the predicted responses for each observation. While a PDP visualizes the averaged relationship between features and predicted responses, a set of ICE plots disaggregates the averaged information and visualizes an individual dependence for each observation. Hence, instead of only plotting out the average predictions, ICEbox displays all individual lines. (three lines in total in this case)\n", "\n", "<img src=\"img/pd5.png\" width=\"30%\" height=\"30%\">\n", "\n", "The authors of the [Paper: A. Goldstein, A. Kapelner, J. Bleich, E. Pitkin Peeking Inside the Black Box: Visualizing Statistical Learning with Plots of Individual Conditional Expectation](https://arxiv.org/abs/1309.6392) claims with everything displayed in its raw state, any interesting discovers wouldn’t be shielded because of the averaging inherented with PDP. A vivid example from the paper is shown below:\n", "\n", "<img src=\"img/pd6.png\" width=\"50%\" height=\"50%\">\n", "\n", "In this example, if we only look at the PDP in Figure b, we would think that on average, the feature X2 is not meaningfully associated with the our target response variable Y. However, if judging from the scatter plot showed in Figure a, this conclusion is plainly wrong. Now if we were to plot out the individual estimated conditional expectation curves, everything becomes more obvious.\n", "\n", "<img src=\"img/pd7.png\" width=\"30%\" height=\"30%\">\n", "\n", "After having an understand of the procedure for PDP and ICE plot, we can observe that:\n", "\n", "- PDP is a global method, it takes into account all instances and makes a statement about the global relationship of a feature with the predicted outcome.\n", "- One of the main advantage of PDP is that it can be used to interpret the result of any \"black box\" learning methods.\n", "- PDP can be quite computationally expensive when the data set becomes large.\n", "- Owing to the limitations of computer graphics, and human perception, the size of the subsets $x_S$ must be small (l ≈ 1,2,3). There are of course a large number of such subsets, but only those chosen from among the usually much smaller set of highly relevant predictors are likely to be informative.\n", "- PDP can obfuscate relationship that comes from interactions. PDPs show us how the average relationship between feature $x_S$ and $\\hat{y}$ looks like. This works well only in cases where the interactions between $x_S$ and the remaining features $x_C$ are weak. In cases where interactions do exist, the ICE plot may give a lot more insight of the underlying relationship." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Implementation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We'll be using the [titanic dataset](https://www.kaggle.com/c/titanic/data) (details of the dataset is listed in the link) to test our implementation." ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "dimension: (891, 12)\n", "features: Index(['PassengerId', 'Survived', 'Pclass', 'Name', 'Sex', 'Age', 'SibSp',\n", " 'Parch', 'Ticket', 'Fare', 'Cabin', 'Embarked'],\n", " dtype='object')\n" ] }, { "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>PassengerId</th>\n", " <th>Survived</th>\n", " <th>Pclass</th>\n", " <th>Name</th>\n", " <th>Sex</th>\n", " <th>Age</th>\n", " <th>SibSp</th>\n", " <th>Parch</th>\n", " <th>Ticket</th>\n", " <th>Fare</th>\n", " <th>Cabin</th>\n", " <th>Embarked</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>3</td>\n", " <td>Braund, Mr. Owen Harris</td>\n", " <td>male</td>\n", " <td>22.0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>A/5 21171</td>\n", " <td>7.2500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Cumings, Mrs. John Bradley (Florence Briggs Th...</td>\n", " <td>female</td>\n", " <td>38.0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>PC 17599</td>\n", " <td>71.2833</td>\n", " <td>C85</td>\n", " <td>C</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>3</td>\n", " <td>Heikkinen, Miss. Laina</td>\n", " <td>female</td>\n", " <td>26.0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>STON/O2. 3101282</td>\n", " <td>7.9250</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Futrelle, Mrs. Jacques Heath (Lily May Peel)</td>\n", " <td>female</td>\n", " <td>35.0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>113803</td>\n", " <td>53.1000</td>\n", " <td>C123</td>\n", " <td>S</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>5</td>\n", " <td>0</td>\n", " <td>3</td>\n", " <td>Allen, Mr. William Henry</td>\n", " <td>male</td>\n", " <td>35.0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>373450</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " PassengerId Survived Pclass \\\n", "0 1 0 3 \n", "1 2 1 1 \n", "2 3 1 3 \n", "3 4 1 1 \n", "4 5 0 3 \n", "\n", " Name Sex Age SibSp \\\n", "0 Braund, Mr. Owen Harris male 22.0 1 \n", "1 Cumings, Mrs. John Bradley (Florence Briggs Th... female 38.0 1 \n", "2 Heikkinen, Miss. Laina female 26.0 0 \n", "3 Futrelle, Mrs. Jacques Heath (Lily May Peel) female 35.0 1 \n", "4 Allen, Mr. William Henry male 35.0 0 \n", "\n", " Parch Ticket Fare Cabin Embarked \n", "0 0 A/5 21171 7.2500 NaN S \n", "1 0 PC 17599 71.2833 C85 C \n", "2 0 STON/O2. 3101282 7.9250 NaN S \n", "3 0 113803 53.1000 C123 S \n", "4 0 373450 8.0500 NaN S " ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# we download the training data and store it\n", "# under the `data` directory\n", "data_dir = Path('data')\n", "data_path = data_dir / 'train.csv'\n", "data = pd.read_csv(data_path)\n", "print('dimension: ', data.shape)\n", "print('features: ', data.columns)\n", "data.head()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# some naive feature engineering\n", "data['Age'] = data['Age'].fillna(data['Age'].median())\n", "data['Embarked'] = data['Embarked'].fillna('S')\n", "data['Sex'] = data['Sex'].apply(lambda x: 1 if x == 'male' else 0)\n", "data = pd.get_dummies(data, columns = ['Embarked'])\n", "\n", "# features/columns that are used\n", "label = data['Survived']\n", "features = [\n", " 'Pclass', 'Sex',\n", " 'Age', 'SibSp',\n", " 'Parch', 'Fare',\n", " 'Embarked_C', 'Embarked_Q', 'Embarked_S']\n", "data = data[features]\n", "\n", "X_train, X_test, y_train, y_test = train_test_split(\n", " data, label, test_size = 0.2, random_state = 1234, stratify = label)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "top 2 important features:\n", "Fare\n", "Sex\n" ] } ], "source": [ "# fit a baseline random forest model and show its top 2 most important features\n", "rf = RandomForestClassifier(n_estimators = 50, random_state = 1234)\n", "rf.fit(X_train, y_train)\n", "\n", "print('top 2 important features:')\n", "imp_index = np.argsort(rf.feature_importances_)\n", "print(features[imp_index[-1]])\n", "print(features[imp_index[-2]])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Aforementioned, tree-based models lists out the top important features, but it is not clear whether they have a positive or negative impact on the result. This is where tools such as partial dependence plots can aid us communicate the results better to others." ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10e6ddf28>" ] }, "metadata": { "image/png": { "height": 535, "width": 944 } }, "output_type": "display_data" } ], "source": [ "from partial_dependence import PartialDependenceExplainer\n", "plt.rcParams['figure.figsize'] = 16, 9\n", "\n", "\n", "# we specify the feature name and its type to fit the partial dependence\n", "# result, after fitting the result, we can call .plot to visualize it\n", "# since this is a binary classification model, when we call the plot\n", "# method, we tell it which class are we targeting, in this case 1 means\n", "# the passenger did indeed survive (more on centered argument later)\n", "pd_explainer = PartialDependenceExplainer(estimator = rf, verbose = 0)\n", "pd_explainer.fit(data, feature_name = 'Sex', feature_type = 'cat')\n", "pd_explainer.plot(centered = False, target_class = 1)\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Hopefully, we can agree that the partial dependence plot makes intuitive sense, as for the categorical feature `Sex`, 1 indicates that the passenger was a male. And we know that during the titanic accident, the majority of the survivors were female passenger, thus the plot is telling us male passengers will on average have around 40% chance lower of surviving when compared with female passengers. Also instead of only plotting the \"partial dependence\" plot, the plot also fills between the standard deviation range. This is essentially borrowing the idea from ICE plot that only plotting the average may obfuscate the relationship.\n", "\n", "Centered plot can be useful when we are not interested in seeing the absolute change of a predicted value, but rather the difference in prediction compared to a fixed point of the feature range." ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10e59ac18>" ] }, "metadata": { "image/png": { "height": 535, "width": 944 } }, "output_type": "display_data" } ], "source": [ "# centered = True is actually the default\n", "pd_explainer.plot(centered = True, target_class = 1)\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can perform the same process for numerical features such as `Fare`. We know that more people from the upper class survived, and people from the upper class generally have to pay more Fare to get onboard the titanic. The partial dependence plot below also depicts this trend." ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10e7b4e48>" ] }, "metadata": { "image/png": { "height": 535, "width": 944 } }, "output_type": "display_data" } ], "source": [ "pd_explainer.fit(data, feature_name = 'Fare', feature_type = 'num')\n", "pd_explainer.plot(target_class = 1)\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If you prefer to create your own visualization, you can call the `results_` attribute to access the partial dependence result. And for those that are interested in the implementation details, the code can be obtained at the following [link](https://github.com/ethen8181/machine-learning/tree/master/model_selection/partial_dependence/partial_dependence.py).\n", "\n", "We'll conclude our discussion on parital dependence plot by providing a link to another blog that showcases this method's usefulness in ensuring the behavior of the new machine learning model does intuitively and logically match our intuition and does not differ significantly from a baseline model. [Blog: Using Partial Dependence to Compare Sort Algorithms](http://techblog.hotwire.com/2016/06/13/partial-dependence-compare-sort/)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Reference" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "- [Blog: Introducing PDPbox](https://towardsdatascience.com/introducing-pdpbox-2aa820afd312)\n", "- [Online Book: Partial Dependence Plot (PDP)](https://christophm.github.io/interpretable-ml-book/pdp.html)\n", "- [Mathworks Documentation: plotPartialDependence](https://www.mathworks.com/help/stats/regressiontree.plotpartialdependence.html?requestedDomain=true#mw_79dadf51-f451-45a9-a801-2e9ccec37aae)\n", "- [Github: PDPbox - python partial dependence plot toolbox](https://github.com/SauceCat/PDPbox)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.4" }, "toc": { "nav_menu": { "height": "12px", "width": "252px" }, "number_sections": true, "sideBar": true, "skip_h1_title": false, "title_cell": "Table of Contents", "title_sidebar": "Contents", "toc_cell": true, "toc_position": {}, "toc_section_display": "block", "toc_window_display": true }, "varInspector": { "cols": { "lenName": 16, "lenType": 16, "lenVar": 40 }, "kernels_config": { "python": { "delete_cmd_postfix": "", "delete_cmd_prefix": "del ", "library": "var_list.py", "varRefreshCmd": "print(var_dic_list())" }, "r": { "delete_cmd_postfix": ") ", "delete_cmd_prefix": "rm(", "library": "var_list.r", "varRefreshCmd": "cat(var_dic_list()) " } }, "types_to_exclude": [ "module", "function", "builtin_function_or_method", "instance", "_Feature" ], "window_display": false } }, "nbformat": 4, "nbformat_minor": 2 }
mit
Elucidation/ChessboardDetect
Chessboard Detect.ipynb
1
1672098
null
mit
eshlykov/mipt-day-after-day
statistics/hw-13/hw-13.3.ipynb
1
38520
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## Теоретическое домашнее задание 13\n", "### Задача 3" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "_Используя метод линейной регрессии, постройте приближение функции $f$ многочленом третьей степени по следующим данным:_\n", "\n", "|$f$|3.9|5.0|5.7|6.5|7.1|7.6|7.8|8.1|8.4| \n", "|---|---|---|---|---|---|---|---|---|---|\n", "|$x$|4.0|5.2|6.1|7.0|7.9|8.6|8.9|9.5|9.9|" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy\n", "import scipy\n", "from scipy.linalg import inv\n", "import matplotlib.pyplot\n", "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "_**Решение.**_" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "_Ясно, что нам нужна модель $y=\\theta_0 + \\theta_1 x + \\theta_2 x^2 + \\theta_3 x^3$_." ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "n = 9 # Размер выборки\n", "k = 4 # Количество параметров" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "_Рассмотрим отклик._" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[ 3.9]\n", " [ 5. ]\n", " [ 5.7]\n", " [ 6.5]\n", " [ 7.1]\n", " [ 7.6]\n", " [ 7.8]\n", " [ 8.1]\n", " [ 8.4]]\n" ] } ], "source": [ "Y = numpy.array([3.9, 5.0, 5.7, 6.5, 7.1, 7.6, 7.8, 8.1, 8.4]).reshape(n, 1)\n", "print(Y)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "_Рассмотрим регрессор._" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[ 1. 4. 16. 64. ]\n", " [ 1. 5.2 27.04 140.608]\n", " [ 1. 6.1 37.21 226.981]\n", " [ 1. 7. 49. 343. ]\n", " [ 1. 7.9 62.41 493.039]\n", " [ 1. 8.6 73.96 636.056]\n", " [ 1. 8.9 79.21 704.969]\n", " [ 1. 9.5 90.25 857.375]\n", " [ 1. 9.9 98.01 970.299]]\n" ] } ], "source": [ "x = numpy.array([4.0, 5.2, 6.1, 7.0, 7.9, 8.6, 8.9, 9.5, 9.9])\n", "X = numpy.ones((n, k))\n", "X[:, 1] = x\n", "X[:, 2] = x ** 2\n", "X[:, 3] = x ** 3\n", "print(X)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "_Воспользуемся классической формулой для получения оценки._" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[ -1.37289441e-01]\n", " [ 1.07154906e+00]\n", " [ -1.14849960e-02]\n", " [ -1.00145913e-03]]\n" ] } ], "source": [ "Theta = inv(X.T @ X) @ X.T @ Y\n", "print(Theta)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "_Построим график полученной функции и нанесем точки выборки._" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false }, "outputs": [], "source": [ "x = numpy.linspace(3.5, 10.4, 1000)\n", "y = Theta[0] + x * Theta[1] + x ** 2 * Theta[2] + x ** 3 * Theta[3]" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABHsAAAHkCAYAAACuWSPfAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nLmZ+KQPJVR8AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x18a4e0cc390>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "matplotlib.pyplot.figure(figsize=(20, 8))\n", "matplotlib.pyplot.plot(x, y, color='turquoise', label='Предсказание', linewidth=2.5)\n", "matplotlib.pyplot.scatter(X[:, 1], Y, s=40.0, label='Выборка', color='blue', alpha=0.5)\n", "matplotlib.pyplot.legend()\n", "matplotlib.pyplot.title('Функция $f(x)$')\n", "matplotlib.pyplot.grid()\n", "matplotlib.pyplot.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "_**Вывод**. Кубический многочлен, полученный методом линейной регресии, отлично приближает данную функцию. По графику видно, однако, что ее может хорошо приблизить и линейный многочлен._" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "***" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<font color=\"#808080\">_ Странно, что в этом задании ничего больше не требуют, но что просили, то я и сделал. Даже график построил._</font>" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
unlicense
zaqwes8811/micro-apps
self_driving/deps/Kalman_and_Bayesian_Filters_in_Python_master/Supporting_Notebooks/Converting-Multivariate-Equations-to-Univariate.ipynb
1
8125
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "data": { "text/html": [ "\n", " <style>\n", " .output_wrapper, .output {\n", " height:auto !important;\n", " max-height:100000px; \n", " }\n", " .output_scroll {\n", " box-shadow:none !important;\n", " webkit-box-shadow:none !important;\n", " }\n", " </style>\n", " " ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#format the book\n", "from __future__ import division, print_function\n", "%matplotlib inline\n", "import sys\n", "sys.path.insert(0, '..')\n", "import book_format\n", "book_format.set_style()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Converting the Multivariate Equations to the Univariate Case\n", "\n", "The multivariate Kalman filter equations do not resemble the equations for the univariate filter. However, if we use one dimensional states and measurements the equations do reduce to the univariate equations. This section will provide you with a strong intuition into what the Kalman filter equations are actually doing. While reading this section is not required to understand the rest of the book, I recommend reading this section carefully as it should make the rest of the material easier to understand.\n", "\n", "Here are the multivariate equations for the prediction. \n", "\n", "$$\n", "\\begin{aligned}\n", "\\mathbf{\\bar{x}} &= \\mathbf{F x} + \\mathbf{B u} \\\\\n", "\\mathbf{\\bar{P}} &= \\mathbf{FPF}^\\mathsf{T} + \\mathbf Q\n", "\\end{aligned}\n", "$$\n", "\n", "For a univariate problem the state $\\mathbf x$ only has one variable, so it is a $1\\times 1$ matrix. Our motion $\\mathbf{u}$ is also a $1\\times 1$ matrix. Therefore, $\\mathbf{F}$ and $\\mathbf B$ must also be $1\\times 1$ matrices. That means that they are all scalars, and we can write\n", "\n", "$$\\bar{x} = Fx + Bu$$\n", "\n", "Here the variables are not bold, denoting that they are not matrices or vectors. \n", "\n", "Our state transition is simple - the next state is the same as this state, so $F=1$. The same holds for the motion transition, so, $B=1$. Thus we have\n", "\n", "$$x = x + u$$\n", "\n", "which is equivalent to the Gaussian equation from the last chapter\n", "\n", "$$ \\mu = \\mu_1+\\mu_2$$\n", "\n", "Hopefully the general process is clear, so now I will go a bit faster on the rest. We have\n", "\n", "$$\\mathbf{\\bar{P}} = \\mathbf{FPF}^\\mathsf{T} + \\mathbf Q$$\n", "\n", "Again, since our state only has one variable $\\mathbf P$ and $\\mathbf Q$ must also be $1\\times 1$ matrix, which we can treat as scalars, yielding \n", "\n", "$$\\bar{P} = FPF^\\mathsf{T} + Q$$\n", "\n", "We already know $F=1$. The transpose of a scalar is the scalar, so $F^\\mathsf{T} = 1$. This yields\n", "\n", "$$\\bar{P} = P + Q$$\n", "\n", "which is equivalent to the Gaussian equation of \n", "\n", "$$\\sigma^2 = \\sigma_1^2 + \\sigma_2^2$$\n", "\n", "This proves that the multivariate prediction equations are performing the same math as the univariate equations for the case of the dimension being 1.\n", "\n", "These are the equations for the update step:\n", "\n", "$$\n", "\\begin{aligned}\n", "\\mathbf{K}&= \\mathbf{\\bar{P}H}^\\mathsf{T} (\\mathbf{H\\bar{P}H}^\\mathsf{T} + \\mathbf R)^{-1} \\\\\n", "\\textbf{y} &= \\mathbf z - \\mathbf{H \\bar{x}}\\\\\n", "\\mathbf x&=\\mathbf{\\bar{x}} +\\mathbf{K\\textbf{y}} \\\\\n", "\\mathbf P&= (\\mathbf{I}-\\mathbf{KH})\\mathbf{\\bar{P}}\n", "\\end{aligned}\n", "$$\n", "\n", "As above, all of the matrices become scalars. $H$ defines how we convert from a position to a measurement. Both are positions, so there is no conversion, and thus $H=1$. Let's substitute in our known values and convert to scalar in one step. The inverse of a 1x1 matrix is the reciprocal of the value so we will convert the matrix inversion to division.\n", "\n", "$$\n", "\\begin{aligned}\n", "K &=\\frac{\\bar{P}}{\\bar{P} + R} \\\\\n", "y &= z - \\bar{x}\\\\\n", "x &=\\bar{x}+Ky \\\\\n", "P &= (1-K)\\bar{P}\n", "\\end{aligned}\n", "$$\n", "\n", "Before we continue with the proof, I want you to look at those equations to recognize what a simple concept these equations implement. The residual $y$ is nothing more than the measurement minus the prediction. The gain $K$ is scaled based on how certain we are about the last prediction vs how certain we are about the measurement. We choose a new state $x$ based on the old value of $x$ plus the scaled value of the residual. Finally, we update the uncertainty based on how certain we are about the measurement. Algorithmically this should sound exactly like what we did in the last chapter.\n", "\n", "Let's finish off the algebra to prove this. Recall that the univariate equations for the update step are:\n", "\n", "$$\n", "\\begin{aligned}\n", "\\mu &=\\frac{\\sigma_1^2 \\mu_2 + \\sigma_2^2 \\mu_1} {\\sigma_1^2 + \\sigma_2^2}, \\\\\n", "\\sigma^2 &= \\frac{1}{\\frac{1}{\\sigma_1^2} + \\frac{1}{\\sigma_2^2}}\n", "\\end{aligned}\n", "$$\n", "\n", "Here we will say that $\\mu_1$ is the state $x$, and $\\mu_2$ is the measurement $z$. Thus it follows that that $\\sigma_1^2$ is the state uncertainty $P$, and $\\sigma_2^2$ is the measurement noise $R$. Let's substitute those in.\n", "\n", "$$\\begin{aligned} \\mu &= \\frac{Pz + Rx}{P+R} \\\\\n", "\\sigma^2 &= \\frac{1}{\\frac{1}{P} + \\frac{1}{R}}\n", "\\end{aligned}$$\n", "\n", "I will handle $\\mu$ first. The corresponding equation in the multivariate case is\n", "\n", "$$\n", "\\begin{aligned}\n", "x &= x + Ky \\\\\n", "&= x + \\frac{P}{P+R}(z-x) \\\\\n", "&= \\frac{P+R}{P+R}x + \\frac{Pz - Px}{P+R} \\\\\n", "&= \\frac{Px + Rx + Pz - Px}{P+R} \\\\\n", "&= \\frac{Pz + Rx}{P+R}\n", "\\end{aligned}\n", "$$\n", "\n", "Now let's look at $\\sigma^2$. The corresponding equation in the multivariate case is\n", "\n", "$$ \n", "\\begin{aligned}\n", "P &= (1-K)P \\\\\n", "&= (1-\\frac{P}{P+R})P \\\\\n", "&= (\\frac{P+R}{P+R}-\\frac{P}{P+R})P \\\\\n", "&= (\\frac{P+R-P}{P+R})P \\\\\n", "&= \\frac{RP}{P+R}\\\\\n", "&= \\frac{1}{\\frac{P+R}{RP}}\\\\\n", "&= \\frac{1}{\\frac{R}{RP} + \\frac{P}{RP}} \\\\\n", "&= \\frac{1}{\\frac{1}{P} + \\frac{1}{R}}\n", "\\quad\\blacksquare\n", "\\end{aligned}\n", "$$\n", "\n", "We have proven that the multivariate equations are equivalent to the univariate equations when we only have one state variable. I'll close this section by recognizing one quibble - I hand waved my assertion that $H=1$ and $F=1$. In general we know this is not true. For example, a digital thermometer may provide measurement in volts, and we need to convert that to temperature, and we use $H$ to do that conversion. I left that issue out to keep the explanation as simple and streamlined as possible. It is very straightforward to add that generalization to the equations above, redo the algebra, and still have the same results.\\\\\\" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.1" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
idemello/idemello.github.io
proj2.ipynb
1
1654031
null
mit
wcmckee/wcmckee-notebook
sortbooks.ipynb
1
11660
{ "metadata": { "name": "", "signature": "sha256:475345588e89763d8e94a7840429503651a555e2e7fd35c8f58cd71be69df87a" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "This is a script to get all notebooks from a github user and download, turning them into rst pages." ] }, { "cell_type": "code", "collapsed": false, "input": [ "ls" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "9BAAdt json.html riftplz.py\r\n", "Game Of Thrones.html json.ipynb salecus.ipynb\r\n", "Game Of Thrones.ipynb jsonBehind.html savPrn\r\n", "LICENSE jsonBehind.ipynb scrapnot.html\r\n", "LICENSE.save karen.html scrapnot.ipynb\r\n", "NumPyExplore.html karen.ipynb scrapnot.py\r\n", "NumPyExplore.ipynb linkz skins.html\r\n", "README.md loop.html skins.ipynb\r\n", "Untitled0.html loop.ipynb sortbooks.html\r\n", "Untitled0.ipynb markdown.html sortbooks.ipynb\r\n", "Untitled1.html markdown.ipynb spellcheckin.html\r\n", "Untitled1.ipynb markdown.py spellcheckin.ipynb\r\n", "Untitled2.ipynb master.html tarpipe.html\r\n", "Untitled2.py metatime.html tarpipe.ipynb\r\n", "adypost.html metatime.ipynb tarpipe.py\r\n", "adypost.ipynb myfile.txt test-wp.html\r\n", "adypost.py nbconv.html test-wp.ipynb\r\n", "aklmcam.html nbconv.ipynb test.html\r\n", "aklmcam.ipynb nbconv.py test.ipynb\r\n", "aklmcam.py netcafe.html testing.txt\r\n", "artcontrol.html netcafe.ipynb tlc.html\r\n", "artcontrol.ipynb opche.html tlc.ipynb\r\n", "artcontrol.py opche.ipynb tlc.py\r\n", "bugit.html openimg.html tlchome.html\r\n", "bugit.ipynb openimg.ipynb tpb.html\r\n", "bugit.py output tpb.ipynb\r\n", "compohub.ipynb outpuz.txt url redirect.html\r\n", "compohub.py pyEmailz.html url redirect.ipynb\r\n", "cpuclu.json pyEmailz.ipynb utils.html\r\n", "csae__ pyEmailz.py utils.ipynb\r\n", "digzocean.html pyatakl.html wallpapersav.html\r\n", "digzocean.ipynb pyatakl.ipynb wallpapersav.ipynb\r\n", "digzocean.py pyatakl.py wcmckee.rst\r\n", "flask-test.html pydog.html wcmdocker.html\r\n", "flask-test.ipynb pydog.ipynb wcmdocker.ipynb\r\n", "flask-test.py pydog.py wcmgit.html\r\n", "ftpWCM.html pynztacam.html wcmgit.ipynb\r\n", "ftpWCM.ipynb pynztacam.ipynb wcmgit.py\r\n", "ftpWCM.py pyssh.html webData.html\r\n", "getdrawn.html pyssh.ipynb webData.ipynb\r\n", "getdrawn.ipynb pywgit.ipynb webData.py\r\n", "getdrawn.py redTube.html whai.html\r\n", "hackbrobeur.html redTube.ipynb whai.ipynb\r\n", "hackbrobeur.ipynb redTube.py whai.py\r\n", "hackbrobeur.py reddit.html wiki.md\r\n", "hamgar.html reddit.ipynb wirePIL.html\r\n", "hamgar.ipynb reddit.py wirePIL.ipynb\r\n", "htmldoc result wordpress.html\r\n", "imgedit.ipynb rgdsnatch.html wordpress.ipynb\r\n", "imgedit.py rgdsnatch.ipynb yoga.ipynb\r\n", "index.html rgdsnatch.py yoga.py\r\n", "index.ipynb rgdsnatch.tex\r\n", "index.py riftplz.ipynb\r\n" ] } ], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "import os" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "listkindy = list(os.listdir(os.getcwd()))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "os.listdir(os.getcwd)" ], "language": "python", "metadata": {}, "outputs": [ { "ename": "TypeError", "evalue": "coercing to Unicode: need string or buffer, builtin_function_or_method found", "output_type": "pyerr", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m\n\u001b[1;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-7-277901f21ef6>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mos\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mlistdir\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mos\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mgetcwd\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mTypeError\u001b[0m: coercing to Unicode: need string or buffer, builtin_function_or_method found" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "gdir = ('/home/public/github/')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "os.listdir('/home/public/github/')" ], "language": "python", "metadata": {}, "outputs": [ { "ename": "OSError", "evalue": "[Errno 2] No such file or directory: '/home/public/github/'", "output_type": "pyerr", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m\n\u001b[1;31mOSError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-9-ee89d493c687>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mos\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mlistdir\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'/home/public/github/'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mOSError\u001b[0m: [Errno 2] No such file or directory: '/home/public/github/'" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "dabook = []" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "os.mkdir('notebooks')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 11 }, { "cell_type": "code", "collapsed": false, "input": [ "import shutil" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 12 }, { "cell_type": "code", "collapsed": false, "input": [ "os.getcwd()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 13, "text": [ "'/var/www/wcmckee-notebook'" ] } ], "prompt_number": 13 }, { "cell_type": "code", "collapsed": false, "input": [ "for filz in os.listdir(gdir):\n", " print filz\n", " for fila in os.listdir(gdir + filz):\n", " print fila\n", " if 'ipynb' in fila:\n", " dabook.append(os.getcwd() + '/' + filz + '/' + fila)\n", " # shutil.copy(fila, '/home/public/notebooks')\n", " \n", " " ], "language": "python", "metadata": {}, "outputs": [ { "ename": "OSError", "evalue": "[Errno 2] No such file or directory: '/home/public/github/'", "output_type": "pyerr", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m\n\u001b[1;31mOSError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-14-390feb717b63>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[1;32mfor\u001b[0m \u001b[0mfilz\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mos\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mlistdir\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mgdir\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2\u001b[0m \u001b[1;32mprint\u001b[0m \u001b[0mfilz\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0mfila\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mos\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mlistdir\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mgdir\u001b[0m \u001b[1;33m+\u001b[0m \u001b[0mfilz\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[1;32mprint\u001b[0m \u001b[0mfila\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[1;32mif\u001b[0m \u001b[1;34m'ipynb'\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mfila\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mOSError\u001b[0m: [Errno 2] No such file or directory: '/home/public/github/'" ] } ], "prompt_number": 14 }, { "cell_type": "code", "collapsed": false, "input": [ "#for da in dabook:\n", "# if 'checkpoints' or '.html' in da:\n", "# dabook.remove(da) # removes too many, filter so it only \n", " #removes files i don't want. if they dont end\n", " #with .ipynb, i dont want to see them. " ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 15 }, { "cell_type": "code", "collapsed": false, "input": [ "dabook" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 16, "text": [ "[]" ] } ], "prompt_number": 16 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 86 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 54 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
gpl-2.0
zipeiyang/liupengyuan.github.io
chapter2/homework/computer/5-17/201611680890-5.17.ipynb
13
4450
{ "cells": [ { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import random\n", "\n", "def win():\n", " print(\n", " '''\n", " ======恭喜你,你赢了=======\n", " \n", " \n", " .\"\". .\"\",\n", " | | / /\n", " | | / /\n", " | | / /\n", " | |/ ;-._ \n", " } ` _/ / ;\n", " | /` ) / /\n", " | / /_/\\_/\\\n", " |/ / |\n", " ( ' \\ '- |\n", " \\ `. /\n", " | |\n", " | |\n", " \n", " ======恭喜你,你赢了=======\n", " '''\n", " )\n", " return\n", " \n", "def lose():\n", " print(\n", " '''\n", " ======YOU LOSE=======\n", " \n", " \n", " \n", "\n", " .-\" \"-.\n", " / \\\n", " | |\n", " |, .-. .-. ,|\n", " | )(__/ \\__)( |\n", " |/ /\\ \\|\n", " (@_ (_ ^^ _)\n", " _ ) \\_______\\__|IIIIII|__/__________________________\n", " (_)@8@8{}<________|-\\IIIIII/-|___________________________>\n", " )_/ \\ /\n", " (@ `--------`\n", " \n", " \n", " \n", " ======YOU LOSE=======\n", " '''\n", " )\n", " return\n", " \n", "def get_ch_table(line):\n", " ch_table = []\n", " for ch in line:\n", " if ch not in ch_table:\n", " ch_table.append(ch)\n", " return ch_table\n", "\n", "def idiom_robot(file_name):\n", " with open(file_name) as fh:\n", " text = fh.read()\n", " idioms = text.split()\n", " idiom = random.choice(idioms)\n", " chs = get_ch_table(text.replace(r'\\n', ''))\n", "\n", " guess_ch_table = [ch for ch in idiom]\n", " while len(guess_ch_table) < 6:\n", " ch = random.choice(chs)\n", " if ch not in guess_ch_table:\n", " guess_ch_table.append(ch)\n", " \n", " random.shuffle(guess_ch_table)\n", " \n", " for i in range(0,6,2):\n", " print(guess_ch_table[i], guess_ch_table[i+1])\n", " \n", " return idiom\n", "\n", "def main():\n", " filename = r'd:\\temp\\idioms_correct.txt'\n", " score = 10\n", " while score >= 0:\n", " real_idiom = idiom_robot(filename)\n", " answer_idiom = input('请输入猜测成语,回车结束,直接回车表示退出游戏:')\n", " if answer_idiom == real_idiom:\n", " print('答对了,加十分')\n", " score += 10\n", " print('你当前的分数是:', score)\n", " if score == 100:\n", " win()\n", " return\n", " elif answer_idiom == '':\n", " print('退出游戏。')\n", " print('你最后的分数是:', score)\n", " return\n", " else:\n", " score -= 10\n", " print('答错了,减十分')\n", " print('成语其实是:', real_idiom)\n", " print('你当前的分数是:', score)\n", " else:\n", " lose()\n", " return\n", "\n", "if __name__ == '__main()__':\n", " main()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
ecabreragranado/OpticaFisicaII
OCT/OCT.ipynb
1
12016
{ "cells": [ { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "# Tomografía de coherencia óptica. Puntos básicos." ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "*La tomografía de coherencia óptica (TCO) es una técnica de imagen tomográfica óptica, no invasiva e interferométrica, que ofrece una penetración de milímetros (aproximadamente 2-3 mm en el tejido o material de que se trate) con resolución axial y lateral de escala micrométrica. La técnica fue demostrada por primera vez en 1991 con una resolución axial de ~30µm. En 2001 la TCO alcanzó una resolución submicrométrica debido a la introducción de fuentes de luz de banda amplia (fuentes que emiten longitudes de onda sobre un rango de ~100 nm). Ahora la TCO es una técnica de imagen ampliamente aceptada, especialmente en oftalmología, otras aplicaciones biomédicas, y la conservación de obras de arte.*\n", "\n", "Esta es la definición que [aparece en la Wikipedia](https://es.wikipedia.org/wiki/Tomograf%C3%ADa_de_coherencia_%C3%B3ptica), pero ¿en qué consiste?. ¿Y en qué se relaciona con lo estudiado durante el curso hasta ahora?" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "### Interferencia de baja coherencia" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "Cuando hemos estudiado la interferencia entre dos haces, hemos hecho hincapié en que para que poder visualizarla la diferencia de camino $\\Delta$ entre los dos haces que interfieren, ha de ser menor que la longitud de coherencia $l_c$ de la radiación. En caso contrario, el desfase relativo entre las dos ondas varía aleatoriamente y el promedio temporal en el tiempo de observación es nulo. Hasta ahora hemos visto una longitud de coherencia pequeña como un factor limitante en experimentos de interferometría. Sin embargo, puede ser un elemento que podemos utilizar para alcanzar una gran resolución en nuestras medidas.\n", "\n", "Antes de ver la interferencia de baja coherencia primeramente hay que recordar la relación entre la longitud de coherencia y la anchura espectral de la fuente. Pulsos de luz muy anchos espectralmente poseen una longitud de coherencia pequeña, mientras que el caso límite de radiación monocromática tendría una longitud de coherencia infinita. En particular, la relación entre ambas magnitudes es la siguiente:\n", "\n", "$$ l_c = \\frac{\\lambda^2 }{\\Delta \\lambda}$$\n", "\n", "Se observa así que cuando hablamos de interferencia con radiación de baja coherencia, hablamos igualmente de interferencia con fuentes de espectro ancho, como pueden ser diodos superluminiscentes o pulsos láser de fs.\n", "\n", "\n", "En la interferometría de baja coherencia, efectivamente la luz utilizada posee una longitud de coherencia pequeña, del orden de micras o incluso menor. Esto tiene como consecuencia que si construimos un interferómetro utilizando esta radiación, la diferencia de camino entre los haces que interfieren ha de ser como máximo de ese orden para observar dicha interferencia. O dicho de otro modo, cuando obtenemos interferencia sabemos que la diferencia de camino entre los dos haces es del orden de micras o menor. Por tanto, podemos utilizar este método para medir de forma muy precisa distancias.\n", "\n", "\n", "Además, utilizando radiación en la región del infrarrojo ($\\sim 800$ nm) la longitud de penetración en los medios biológicos es del orden de mm. En concreto, en el caso del ojo se consigue penetrar hasta la retina ya que esta radiación no es absorbida por el agua presente en la córnea y en los humores acuoso y vítreo." ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "### ¿En qué consiste?" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "El principio y montaje para la tomografía de coherencia óptica se puede observar en la siguiente figura:\n", "\n", "![oct](https://upload.wikimedia.org/wikipedia/commons/thumb/e/e6/OCT_B-Scan_Setup.GIF/375px-OCT_B-Scan_Setup.GIF)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "Como se observa en la figura, la radiación con una longitud de coherencia baja (ancho espectro) ilumina un interferómetro de Michelson, en donde en uno de sus brazos colocamos la muestra (o el ojo) y en el otro un espejo móvil. Las diferencias de camino entre el haz reflejado en el espejo y cada uno de los haces reflejados en las superficies de la muestra serán:\n", "\n", "$$\\Delta_1 = 2 z - 2 H$$\n", "\n", "$$\\Delta_2 = 2 z - 2 (H+ n L_1)$$\n", "\n", "$$\\Delta_3 = 2 z - 2 (H + n L_2)$$\n", "\n", "donde se ha supuesto que $z$ es la distancia entre el espejo móvil y el divisor de haz, $H$ la distancia entre la primera superficie de la muestra y el divisor, $n$ el índice de refracción dentro de la muestra, y $L_{1,2}$ las distancias de las superficies maś alejadas de la muestra con respecto a la primera.\n", "\n", "El movimiento de este espejo permitirá igualar los caminos ópticos de los haces reflejados en el espejo móvil y en cada una de las superficies de las que consta la muestra. La irradiancia medida por el detector en función del desplazamiento del espejo se puede apreciar en la figura superior derecha. Se puede observar que aparecen, en el ejemplo mostrado, 3 zonas de interferencia, con máximos y mínimos, correspondientes a cada una de las 3 superficies de las que consta la muestra. La altura de cada zona depende de la reflectancia de esa superficie mientras que la anchura es proporcional a la longitud de coherencia de la fuente.\n", "\n", "Si pensamos en que movemos el espejo desde una zona cercana al divisor de haz del interferómetro, veríamos primero que al mover el espejo la irradiancia se mantiene constante. Esto es debido a que el camino óptico recorrido por el haz reflejado en el espejo es muy diferente a los caminos ópticos de los haces reflejados por cualquiera de las 3 superficies de la muestra, y por tanto no vemos interferencia ($I_{total} = I_1 + I_2$). \n", "\n", "Al seguir moviendo el espejo, llegará un punto en el que la diferencia de camino entre el haz reflejado en el espejo y el haz reflejado por la primera superficie de la muestra sea menor que $l_c$. Entonces veremos interferencia y la irradiancia detectada oscilará entre unos valores máximos y mínimos a medida que movemos el espejo. \n", "\n", "Si continuamos moviendo el espejo alejándonos del divisor de haz, nos saldremos de la zona en la que la diferencia de camino entre el haz reflejado en el espejo y el haz reflejado por la primera superficie de la muestra es menor que $l_c$, volviendo a una irradiancia constante. Un posterior movimiento del espejo provocará que se cumpla esta condición (diferencia de camino menor que $l_c$) pero entre el haz reflejado por el espejo y el reflejado por la segunda superficie. Entonces veremos la segunda zona de interferencia en la señal captada por el detector. Lo mismo ocurrirá con la tercera superficie." ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "----\n", "\n", "Veamos un ejemplo numérico en donde definimos dos trenes de pulsos con una diferencia de camino que depende de su posición" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "### Figura para visualizar la interferencia en OCT" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<function __main__.oct>" ] }, "execution_count": 4, "metadata": { }, "output_type": "execute_result" }, { "data": { "image/png": "011e5515ded4db60bd77910d366510367d4a8b59" } } ], "source": [ "import numpy as np\n", "import matplotlib\n", "import matplotlib.pyplot as plt\n", "plt.style.use('ggplot')\n", "\n", "%matplotlib inline\n", "from scipy.interpolate import interp1d\n", "import ipywidgets as widg\n", "\n", "num_pulsos = 2\n", "c0 = 3e8\n", "twidth = 2e-14\n", "t = np.linspace(0,twidth*25,15000)\n", "t01 = np.linspace(2,5,num_pulsos)*4*twidth\n", "A01 = np.linspace(10,4,num_pulsos)\n", "t02 = [8*twidth]\n", "Lambda = 9.5e-7\n", "wpulse = 2*np.pi*c0/Lambda\n", "k = 2.0*np.pi/Lambda\n", "\n", "z = 3.2*Lambda #lo que se mueve un espejo. Luego, en tren2, vendrá multiplicado por 2\n", "tren1 = np.sum(np.asarray([A01[i]*np.exp(-((t-t01[i])/twidth)**2)*np.exp(1.0j*(-wpulse*t)) \n", " for i in range(len(t01))]),axis=0)\n", "zshow = np.linspace(0,c0*twidth*10,900)\n", "\n", "int_array = np.zeros(np.shape(zshow))\n", "dt = t[1]-t[0]\n", "t_int = t[-1]\n", "i1 = np.sum(np.real(tren1)**2)*dt/t_int #+ np.sum(np.real(tren2)**2)*dt/t_int)\n", "print(i1*2) # i1 + i2\n", "for i,zz in enumerate(zshow):\n", " tren2 = np.sum(np.asarray([np.exp(-((t-(t00 + 2*zz/c0 - twidth*4.5))/twidth)**2)*np.exp(1.0j*(k*2*zz-wpulse*t))\n", " for t00 in t02]),axis=0)\n", " #Interferencia\n", " etotal = tren1+tren2\n", " int_array[i] = np.sum(np.real(etotal)**2)*dt/t_int\n", "fun_int = interp1d(zshow,int_array)\n", "\n", "def oct(z,zshow,int_array,tren1,fun_int):\n", " z=z*1e-6\n", " tren2 = np.sum(np.asarray([A01[0]*np.exp(-((t-(t00 + 2*z/c0 - twidth*4.5))/twidth)**2)*np.exp(1.0j*(k*2*z-wpulse*t))\n", " for t00 in t02]),axis=0)\n", " fig, ax = plt.subplots(1,3,figsize=(21,6))\n", " ax[0].plot(t*1e15,np.real(tren1),t*1e15,np.real(tren2))\n", " ax[0].set_title('Solapamiento temporal pulsos')\n", " ax[0].set_xlabel('t (fs)')\n", " ax[0].set_ylabel('E (u.a)')\n", " ax[1].plot(zshow*1e6,int_array)\n", " ax[1].set_title('Solapamiento temporal pulsos')\n", " ax[1].set_xlabel(r'z ($\\mu$m)')\n", " ax[1].set_ylabel('I (u.a)')\n", " ax[2].plot(z*1e6,fun_int(z),'go',alpha=0.5)\n", " ax[2].plot(zshow*1e6,int_array)\n", " ax[2].set_xlim(0,25)\n", " ax[2].set_title('Zoom')\n", " ax[2].set_xlabel(r'z ($\\mu$m)')\n", " ax[2].set_ylabel('I (u.a)')\n", " \n", "\n", "widg.interact(oct,z=(0,25,1),zshow=widg.fixed(zshow),int_array=widg.fixed(int_array),tren1=widg.fixed(tren1),fun_int=widg.fixed(fun_int))" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**De la figura**\n", "\n", "* Relacionar la interfranja con la longitud de onda (Nota: $Int$ = 2 $\\lambda$) \n", "\n", "* Relacionar anchura de la zona de interferencia con l$_c$\n", "\n", "* Relacionar valor cte con i1 + i2 + i3\n", "\n", "* ¿Por qué la segunda zona tiene un valor menor? " ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "collapsed": false }, "outputs": [ ], "source": [ ] } ], "metadata": { "kernelspec": { "display_name": "T - Python 3 (Ubuntu Linux)", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3+" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
dsevilla/bdge
pig-hive/hive.ipynb
1
1606
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# NoSQL (Hive)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!pip install apache-airflow[async]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!pip install ppextensions" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!pip install --upgrade pip\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!pip install pyhive[hive]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%load_ext ppextensions.ppmagics" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
MMaus/mutils
mmnotebooks/old/AnkleSlip - temporary NB.ipynb
1
86853
{ "metadata": { "name": "AnkleSlip - temporary NB" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## load data from temporary file" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import mutils.io as mio\n", "dat = mio.mload('tmp.dat')\n", "sdt_kin_r = dat['sdt_kin_r']\n", "sdt_kin_r_noIC = dat['sdt_kin_r_noIC']\n", "sdt_param_r = dat['sdt_param_r']\n", "\n" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "## TESTING - DELETE ME!\n", "#sdt_kin_r.shape\n", "s_kin_reord_r = hstack([sdt_kin_r[:, [0,20,21]], sdt_kin_r_noIC])\n", "p1 = dot(sdt_param_r.T, pinv(s_kin_reord_r.T))\n", "# alternatively, compute predictors using the lstsq-function\n", "#p2, _,_, _ = lstsq(sdt_kin_r, sdt_param_r, )\n", "#p2 = p2.T\n", "#allclose(p1, p2) # results in \"True\"\n", "\n", "u,s,v = svd(p1, full_matrices = False)\n", "# the rows of v span the space where our features live" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "qv,rv = qr(v) # rv spans the same subspace as v\n", "# TEST:\n", "#prv = dot(rv.T, rv) # projector on \"rv\" subspace\n", "#print allclose(prv, dot(prv, prv)) # True -> is a projector\n", "#print allclose(dot(prv, v.T), v.T) # True -> projects into the same subspace as v\n", "rv2 = rv.copy()\n", "# make \"identity\" 3x3 block\n", "rv2[1,:] -= rv2[2, :] * rv2[1,2] / rv2[2,2]\n", "rv2[0,:] -= rv2[1, :] * rv2[0,1] / rv2[1,1]\n", "rv2[0,:] -= rv2[2, :] * rv2[0,2] / rv2[2,2]\n", "\n", "# projector on last two rows (-space), and projector to orth. kompl.\n", "p2 = dot(rv2[3:,:].T, rv2[3:, :])\n", "ap = eye(41) - p2\n", "\n", "# can we remove anything from the first 3 components?\n", "rv3 = rv2.copy()\n", "rv3[:3,:] = dot(ap,rv3[:3,:].T).T\n", "print \"are data unchanged?\", allclose(rv2, rv3)\n", "\n", "# visualize factors\n", "figure()\n", "pcolor(rv2)\n", "colorbar()\n", "clim(-1,1)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "are data unchanged? True\n" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAVoAAAD9CAYAAAD9P7+UAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n" } ], "prompt_number": 7 }, { "cell_type": "markdown", "metadata": {}, "source": [ "# alternative approach:\n", "\n", "* first: compute everything that can be predicted using CoM state information\n", "* secondly: compute two \"best\" remaining predictors\n", "* (third): analyze these predictors" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# this variant does not incorporate bootstrap!\n", "#dat = mio.mload('tmp.dat')\n", "#sdt_kin_r = dat['sdt_kin_r']\n", "#sdt_kin_r_noIC = dat['sdt_kin_r_noIC']\n", "#sdt_param_r = dat['sdt_param_r']\n", "#s_kin_reord_r\n", "s_kin_CoM = s_kin_reord_r[:, :3]\n", "s_kin_noCoM = s_kin_reord_r[:, 3:]\n", "\n", "p_c = dot(sdt_param_r.T, pinv(s_kin_CoM.T)) # predictor matrix for CoM state\n", "\n", "prediction_c = dot(p_c, s_kin_CoM.T).T\n", "remainder = sdt_param_r - prediction_c\n", "\n", "# compute the annihilator matrix for prediction_c\n", "# this \n", "#M = dot(p_c, pinv(p_c)) # projector on columnspace of p_c\n", "#remainder2 = dot((eye(5) - M), sdt_param_r.T).T\n" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 50 }, { "cell_type": "code", "collapsed": false, "input": [ "# TODO: use constrained least squares!\n", "# Here: use the version from wikipedia :)\n", "Y = sdt_param_r\n", "X = s_kin_reord_r\n", "print Y.shape, X.shape\n", "beta = dot(pinv(X), Y)\n", "# formulate constraint in this syntax:\n", "# H0: Q.T beta = c\n", "Q = vstack([zeros(3,3), one((38,3))])\n", "print dot(Q.T, beta)\n", "betaC = 0\n", "#beta1 = hstack([eye(3), zeros(3,38)])\n", "#beta2 = " ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "(1957, 5) (1957, 41)\n", "[[-0.07578836 0.1006066 0.65345936 0.18273519 -0.46722666]\n", " [ 0.15721321 0.05710575 -0.1216022 -0.40659151 0.13378005]\n", " [ 0.06111183 -0.12740712 0.11897112 0.04168046 -0.0549717 ]]\n" ] } ], "prompt_number": 59 }, { "cell_type": "code", "collapsed": false, "input": [ "import mutils.statistics as st\n", "figure(12, figsize=(16,8))\n", "clf()\n", "fac_vars = st.find_factors(s_kin_noCoM.T, remainder.T)\n", "facs = st.find_factors(s_kin_noCoM.T, remainder.T, 2)\n", "subplot(2,1,1)\n", "plot(fac_vars, 'kd--')\n", "title('how much of the predictable variance\\ncan be predicted using k factors')\n", "xlabel('# of factors')\n", "ylabel('relative predictable variance')\n", "ylim(0,1)\n", "gca().set_xticks(arange(5))\n", "gca().set_xticklabels(arange(5) + 1)\n", "subplot(2,1,2)\n", "pcolor(facs.T), colorbar(), clim(-1,1)\n", "noCoM_lbls = ['r_anl_y - com_y', 'r_anl_x - com_x', 'r_mtv_z - r_anl_z', 'r_mtv_x - r_anl_x', 'r_kne_y - com_y',\n", " 'r_elb_y - com_y', 'r_elb_x - com_x', 'r_wrl_z - com_z', 'r_wrl_x - com_x', 'cvii_y - com_y',\n", " 'l_anl_y - com_y', 'l_anl_x - com_x', 'l_mtv_z - l_anl_z', 'l_mtv_x - l_anl_x', 'l_kne_y - com_y',\n", " 'l_elb_y - com_y', 'l_elb_x - com_x', 'l_wrl_z - com_z', 'l_wrl_x - com_x', ]\n", "all_lbls = noCoM_lbls + ['v_' + x for x in noCoM_lbls]\n", "gca().set_yticks([.5, 1.5])\n", "gca().set_xticks(arange(len(all_lbls)) + .5)\n", "_ = gca().set_xticklabels(all_lbls, rotation=90)\n", "title('weight on factors')\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 51, "text": [ "<matplotlib.text.Text at 0x9e4a0d0>" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAA68AAAJOCAYAAABY9zF6AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nEAgEAsUjnFeBQCAQCAQCgUAgECie/weZFJtWfD1/bAAAAABJRU5ErkJggg==\n" } ], "prompt_number": 51 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
gpl-2.0
pycrystem/pycrystem
doc/demos/02 GaAs Nanowire - Phase Mapping - Orientation Mapping.ipynb
1
198354
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Phase/Orientation Mapping" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This tutorial demonstrates how to achieve phase and orientation mapping via scanning electron diffraction using both pattern and vector matching." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The data was acquired from a GaAs nanowire displaying polymorphism between zinc blende and wurtzite structures." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This functionaility has been checked to run in pyxem-0.13.0 (Feb 2021). Bugs are always possible, do not trust the code blindly, and if you experience any issues please report them here: https://github.com/pyxem/pyxem-demos/issues" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "1. <a href='#loa'> Load & Inspect Data</a>\n", "2. <a href='#pre'> Pre-processing</a>\n", "3. <a href='#tem'> Template matching</a>\n", " 1. <a href='#tema'> [Build Template Library]</a>\n", " 2. <a href='#temb'>[Indexing]</a>\n", "4. <a href='#vec'> Vector Matching</a>\n", " 1. <a href='#veca'> [Build Vector Library]</a>\n", " 2. <a href='#vecb'>[Indexing Vectors]</a>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Import pyxem and other required libraries" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "WARNING:hyperspy.api:The ipywidgets GUI elements are not available, probably because the hyperspy_gui_ipywidgets package is not installed.\n", "WARNING:hyperspy_gui_traitsui:The module://ipykernel.pylab.backend_inline matplotlib backend is not supported by the installed traitsui version and the ETS toolkit has been set to null. To set the ETS toolkit independently from the matplotlib backend, set it before importing matplotlib. See http://hyperspy.readthedocs.io/en/stable/user_guide/getting_started.html for more information.\n", "WARNING:hyperspy_gui_traitsui:The traitsui GUI elements are not available.\n", "WARNING:silx.opencl.common:Unable to import pyOpenCl. Please install it from: http://pypi.python.org/pypi/pyopencl\n" ] } ], "source": [ "%matplotlib inline\n", "\n", "import numpy as np\n", "import diffpy.structure\n", "import pyxem as pxm\n", "import hyperspy.api as hs\n", "\n", "accelarating_voltage = 200 # kV\n", "camera_length = 0.2 # m\n", "diffraction_calibration = 0.032 # px / Angstrom" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='loa'></a>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 1. Loading and Inspection" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Load the demo data" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<ElectronDiffraction2D, title: GaAs NW (110 zone), dimensions: (20, 45|144, 144)>" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dp = hs.load('./data/02/polymorphic_nanowire.hdf5')\n", "dp" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Set data type, scale intensity range and set calibration" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "dp.data = dp.data.astype('float64')\n", "dp.data *= 1 / dp.data.max()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Inspect metadata" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<ul style=\"margin: 0px; list-style-position: outside;\">\n", " <details open>\n", " <summary style=\"display: list-item;\">\n", " <li style=\"display: inline;\">\n", " Acquisition_instrument\n", " </li></summary>\n", " <ul style=\"margin: 0px; list-style-position: outside;\">\n", " <details closed>\n", " <summary style=\"display: list-item;\">\n", " <li style=\"display: inline;\">\n", " TEM\n", " </li></summary>\n", " <ul style=\"margin: 0px; list-style-position: outside;\">\n", " <details closed>\n", " <summary style=\"display: list-item;\">\n", " <li style=\"display: inline;\">\n", " Detector\n", " </li></summary>\n", " <ul style=\"margin: 0px; list-style-position: outside;\">\n", " <details closed>\n", " <summary style=\"display: list-item;\">\n", " <li style=\"display: inline;\">\n", " Diffraction\n", " </li></summary>\n", " \n", " <ul style=\"margin: 0px; list-style-position: outside;\">\n", " <li style='margin-left:1em; padding-left: 0.5em'>camera_length = 10.0</li></ul>\n", " \n", " <ul style=\"margin: 0px; list-style-position: outside;\">\n", " <li style='margin-left:1em; padding-left: 0.5em'>exposure_time = 10.0</li></ul>\n", " </details></ul></details></ul>\n", " <ul style=\"margin: 0px; list-style-position: outside;\">\n", " <li style='margin-left:1em; padding-left: 0.5em'>rocking_angle = 12.217304763960307</li></ul>\n", " \n", " <ul style=\"margin: 0px; list-style-position: outside;\">\n", " <li style='margin-left:1em; padding-left: 0.5em'>rocking_frequency = 100.0</li></ul>\n", " \n", " <ul style=\"margin: 0px; list-style-position: outside;\">\n", " <li style='margin-left:1em; padding-left: 0.5em'>scan_rotation = 1.0</li></ul>\n", " </details></ul></details></ul><ul style=\"margin: 0px; list-style-position: outside;\">\n", " <details open>\n", " <summary style=\"display: list-item;\">\n", " <li style=\"display: inline;\">\n", " General\n", " </li></summary>\n", " \n", " <ul style=\"margin: 0px; list-style-position: outside;\">\n", " <li style='margin-left:1em; padding-left: 0.5em'>title = GaAs NW (110 zone)</li></ul>\n", " </details></ul><ul style=\"margin: 0px; list-style-position: outside;\">\n", " <details open>\n", " <summary style=\"display: list-item;\">\n", " <li style=\"display: inline;\">\n", " Signal\n", " </li></summary>\n", " \n", " <ul style=\"margin: 0px; list-style-position: outside;\">\n", " <li style='margin-left:1em; padding-left: 0.5em'>binned = False</li></ul>\n", " \n", " <ul style=\"margin: 0px; list-style-position: outside;\">\n", " <li style='margin-left:1em; padding-left: 0.5em'>signal_type = electron_diffraction</li></ul>\n", " </details></ul>" ], "text/plain": [ "├── Acquisition_instrument\n", "│ └── TEM\n", "│ ├── Detector\n", "│ │ └── Diffraction\n", "│ │ ├── camera_length = 10.0\n", "│ │ └── exposure_time = 10.0\n", "│ ├── rocking_angle = 12.217304763960307\n", "│ ├── rocking_frequency = 100.0\n", "│ └── scan_rotation = 1.0\n", "├── General\n", "│ └── title = GaAs NW (110 zone)\n", "└── Signal\n", " ├── binned = False\n", " └── signal_type = electron_diffraction" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dp.metadata" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Plot an interactive virtual image to inspect data" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 211.2x432 with 2 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x392.727 with 2 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 211.2x432 with 2 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "roi = hs.roi.CircleROI(cx=72, cy=72, r_inner=0, r=2)\n", "dp.plot_integrated_intensity(roi=roi, cmap='viridis')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='pre'></a>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 2. Pre-processing" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Apply affine transformation to correct for off axis camera geometry" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "f6f016ec4dbc40e6bf3660cbc3617014", "version_major": 2, "version_minor": 0 }, "text/plain": [ "HBox(children=(IntProgress(value=0, max=900), HTML(value='')))" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "\n" ] } ], "source": [ "scale_x = 0.995\n", "scale_y = 1.031\n", "offset_x = 0.631\n", "offset_y = -0.351\n", "dp.apply_affine_transformation(np.array([[scale_x, 0, offset_x],\n", " [0, scale_y, offset_y],\n", " [0, 0, 1]]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Perform difference of gaussian background subtraction with various parameters on one selected diffraction pattern and plot to identify good parameters" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [], "source": [ "from pyxem.utils.expt_utils import investigate_dog_background_removal_interactive" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ " \r" ] }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 144x432 with 2 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" }, { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x392.727 with 2 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "dp_test_area = dp.inav[0, 0]\n", "\n", "gauss_stddev_maxs = np.arange(2, 12, 0.2) # min, max, step\n", "gauss_stddev_mins = np.arange(1, 4, 0.2) # min, max, step\n", "\n", "investigate_dog_background_removal_interactive(dp_test_area,\n", " gauss_stddev_maxs,\n", " gauss_stddev_mins)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Remove background using difference of gaussians method with parameters identified above" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[########################################] | 100% Completed | 0.4s\n" ] } ], "source": [ "dp = dp.subtract_diffraction_background('difference of gaussians',\n", " min_sigma=2, max_sigma=8,\n", " lazy_result=False)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Perform further adjustments to the data ranges" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [], "source": [ "dp.data -= dp.data.min()\n", "dp.data *= 1 / dp.data.max()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Set diffraction calibration and scan calibration" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [], "source": [ "dp = pxm.signals.ElectronDiffraction2D(dp) #this is needed because of a bug in the code\n", "dp.set_diffraction_calibration(diffraction_calibration)\n", "dp.set_scan_calibration(10)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='tem'></a>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3. Pattern Matching" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Pattern matching generates a database of simulated diffraction patterns and then compares all simulated patterns against each experimental pattern to find the best match" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Import generators required for simulation and indexation" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [], "source": [ "from diffsims.libraries.structure_library import StructureLibrary\n", "from diffsims.generators.diffraction_generator import DiffractionGenerator\n", "from diffsims.generators.library_generator import DiffractionLibraryGenerator\n", "\n", "from diffsims.generators.zap_map_generator import get_rotation_from_z_to_direction\n", "from diffsims.generators.rotation_list_generators import get_grid_around_beam_direction\n", "\n", "from pyxem.generators.indexation_generator import TemplateIndexationGenerator" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 3.1. Define Library of Structures & Orientations" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Define the crystal phases to be included in the simulated library" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [], "source": [ "structure_zb = diffpy.structure.loadStructure('./data/02/GaAs_mp-2534_conventional_standard.cif')\n", "structure_wz = diffpy.structure.loadStructure('./data/02/GaAs_mp-8883_conventional_standard.cif')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Create a basic rotations list. " ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [], "source": [ "za110c = get_rotation_from_z_to_direction(structure_zb, [1,1,0])\n", "rot_list_cubic = get_grid_around_beam_direction(beam_rotation=za110c, resolution=1, angular_range=(0,180))" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [], "source": [ "za110h = get_rotation_from_z_to_direction(structure_wz, [1,1,0])\n", "rot_list_hex = get_grid_around_beam_direction(beam_rotation=za110h, resolution=1, angular_range=(0,180))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Construct a StructureLibrary defining crystal structures and orientations for which diffraction will be simulated " ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [], "source": [ "struc_lib = StructureLibrary(['ZB','WZ'],\n", " [structure_zb,structure_wz],\n", " [rot_list_cubic,rot_list_hex])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='temb'></a>\n", "### 3.2. Simulate Diffraction for all Structures & Orientations" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Define a diffsims DiffractionGenerator with diffraction simulation parameters" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [], "source": [ "diff_gen = DiffractionGenerator(accelerating_voltage=accelarating_voltage)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Initialize a diffsims DiffractionLibraryGenerator" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [], "source": [ "lib_gen = DiffractionLibraryGenerator(diff_gen)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Calulate library of diffraction patterns for all phases and unique orientations" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ " \r" ] } ], "source": [ "target_pattern_dimension_pixels = dp.axes_manager.signal_shape[0]\n", "half_size = target_pattern_dimension_pixels // 2\n", "reciprocal_radius = diffraction_calibration*(half_size - 1)\n", "\n", "diff_lib = lib_gen.get_diffraction_library(struc_lib,\n", " calibration=diffraction_calibration,\n", " reciprocal_radius=reciprocal_radius,\n", " half_shape=(half_size, half_size),\n", " max_excitation_error=1/10,\n", " with_direct_beam=False)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Optionally, save the library for later use." ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [], "source": [ "#diff_lib.pickle_library('./GaAs_cubic_hex.pickle')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If saved, the library can be loaded as follows" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [], "source": [ "#from diffsims.libraries.diffraction_library import load_DiffractionLibrary\n", "#diff_lib = load_DiffractionLibrary('./GaAs_cubic_hex.pickle', safety=True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='temb'></a>\n", "### 3.3. Pattern Matching Indexation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Initialize `TemplateIndexationGenerator` with the experimental data and diffraction library and perform correlation, returning the `n_largest` matches with highest correlation." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<div class=\"alert alert-block alert-warning\"><b>Note:</b> This workflow has been changed from previous version, make sure you have pyxem 0.13.0 or later installed</div>" ] }, { "cell_type": "code", "execution_count": 22, "metadata": {}, "outputs": [ { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "0cf69059248a48e4ba8d3854ce4fea3a", "version_major": 2, "version_minor": 0 }, "text/plain": [ "HBox(children=(IntProgress(value=0, max=900), HTML(value='')))" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "\n" ] } ], "source": [ "indexer = TemplateIndexationGenerator(dp, diff_lib)\n", "indexation_results = indexer.correlate(n_largest=3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Check the solutions via a plotting (can be slow, so we don't run by default)" ] }, { "cell_type": "code", "execution_count": 23, "metadata": {}, "outputs": [], "source": [ "if False:\n", " indexation_results.plot_best_matching_results_on_signal(dp, diff_lib)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Get crystallographic map from indexation results" ] }, { "cell_type": "code", "execution_count": 24, "metadata": {}, "outputs": [ { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "833156e2ed494f3cbe28a6d5ecf16a68", "version_major": 2, "version_minor": 0 }, "text/plain": [ "HBox(children=(IntProgress(value=0, max=900), HTML(value='')))" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "\n" ] }, { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "8936c79ea191474b824e3cfa63618e18", "version_major": 2, "version_minor": 0 }, "text/plain": [ "HBox(children=(IntProgress(value=0, max=900), HTML(value='')))" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "\n" ] }, { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "974bb32cf36747bab7ab0f588328b569", "version_major": 2, "version_minor": 0 }, "text/plain": [ "HBox(children=(IntProgress(value=0, max=900), HTML(value='')))" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stdout", "output_type": "stream", "text": [ "\n" ] } ], "source": [ "crystal_map = indexation_results.to_crystal_map()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "crystal_map is now a CrystalMap object, which comes from orix, see their documentation for details. Below we lift their code to plot a phase map" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "tags": [ "nbsphinx-thumbnail" ] }, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "from matplotlib import pyplot as plt\n", "from orix import plot\n", "\n", "fig, ax = plt.subplots(subplot_kw=dict(projection=\"plot_map\"))\n", "im = ax.plot_map(crystal_map)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='vec'></a>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4. Vector Matching" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<div class=\"alert alert-block alert-danger\"><b>Note:</b> This workflow is less well developed than the template matching one, and may well be broken</div>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Vector matching generates a database of vector pairs (magnitues and inter-vector angles) and then compares all theoretical values against each measured diffraction vector pair to find the best match" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Import generators required for simulation and indexation" ] }, { "cell_type": "code", "execution_count": 26, "metadata": {}, "outputs": [], "source": [ "from diffsims.generators.library_generator import VectorLibraryGenerator\n", "from diffsims.libraries.structure_library import StructureLibrary\n", "from diffsims.libraries.vector_library import load_VectorLibrary\n", "\n", "from pyxem.generators.indexation_generator import VectorIndexationGenerator\n", "\n", "from pyxem.generators.subpixelrefinement_generator import SubpixelrefinementGenerator\n", "from pyxem.signals.diffraction_vectors import DiffractionVectors" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='veca'></a>\n", "### 4.1. Define Library of Structures" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Define crystal structure for which to determine theoretical vector pairs" ] }, { "cell_type": "code", "execution_count": 27, "metadata": {}, "outputs": [], "source": [ "structure_zb = diffpy.structure.loadStructure('./data/02/GaAs_mp-2534_conventional_standard.cif')\n", "structure_wz = diffpy.structure.loadStructure('./data/02/GaAs_mp-8883_conventional_standard.cif')\n", "\n", "structure_library = StructureLibrary(['ZB', 'WZ'],\n", " [structure_zb, structure_wz],\n", " [[], []])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Initialize VectorLibraryGenerator with structures to be considered" ] }, { "cell_type": "code", "execution_count": 28, "metadata": {}, "outputs": [], "source": [ "vlib_gen = VectorLibraryGenerator(structure_library)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Determine VectorLibrary with all vectors within given reciprocal radius" ] }, { "cell_type": "code", "execution_count": 29, "metadata": {}, "outputs": [], "source": [ "reciprocal_radius = diffraction_calibration*(half_size - 1)/2" ] }, { "cell_type": "code", "execution_count": 30, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "1.1360000000000001" ] }, "execution_count": 30, "metadata": {}, "output_type": "execute_result" } ], "source": [ "reciprocal_radius" ] }, { "cell_type": "code", "execution_count": 31, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "100%|██████████| 2/2 [00:01<00:00, 1.56it/s]\n" ] } ], "source": [ "vec_lib = vlib_gen.get_vector_library(reciprocal_radius)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Optionally, save the library for later use" ] }, { "cell_type": "code", "execution_count": 32, "metadata": {}, "outputs": [], "source": [ "#vec_lib.pickle_library('./GaAs_cubic_hex_vectors.pickle')" ] }, { "cell_type": "code", "execution_count": 33, "metadata": {}, "outputs": [], "source": [ "#vec_lib = load_VectorLibrary('./GaAs_cubic_hex_vectors.pickle',safety=True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 4.2. Find Diffraction Peaks" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Tune peak finding parameters interactively" ] }, { "cell_type": "code", "execution_count": 34, "metadata": {}, "outputs": [ { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "6efa804175834775be959ef848ddaffc", "version_major": 2, "version_minor": 0 }, "text/plain": [ "HBox(children=(IntProgress(value=0, max=900), HTML(value='')))" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "<BaseSignal, title: , dimensions: (20, 45|)>" ] }, "execution_count": 34, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dp.find_peaks(interactive=False)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Perform peak finding on the data with parameters from above" ] }, { "cell_type": "code", "execution_count": 35, "metadata": {}, "outputs": [ { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "792949da33a846bba837b578f48f898a", "version_major": 2, "version_minor": 0 }, "text/plain": [ "HBox(children=(IntProgress(value=0, max=900), HTML(value='')))" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "peaks = dp.find_peaks(method='difference_of_gaussian',\n", " min_sigma=0.005,\n", " max_sigma=5.0,\n", " sigma_ratio=2.0,\n", " threshold=0.06,\n", " overlap=0.8,\n", " interactive=False)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "coaxing peaks back into a DiffractionVectors" ] }, { "cell_type": "code", "execution_count": 36, "metadata": {}, "outputs": [], "source": [ "peaks = DiffractionVectors(peaks).T" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "`peaks` now contain the 2D positions of the diffraction spots on the detector. The vector matching method works in 3D coordinates, which are found by projecting the detector positions back onto the Ewald sphere. Because the methods that follow are slow, we constrain ourselves to looking at a smaller subset of the data" ] }, { "cell_type": "code", "execution_count": 37, "metadata": {}, "outputs": [], "source": [ "peaks = peaks.inav[:2,:2]" ] }, { "cell_type": "code", "execution_count": 38, "metadata": {}, "outputs": [], "source": [ "peaks.calculate_cartesian_coordinates?" ] }, { "cell_type": "code", "execution_count": 39, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "WARNING:hyperspy.signal:The function you applied does not take into account the difference of units and of scales in-between axes.\n" ] }, { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "81bbfbb23cd44f17acb793469e7aa52f", "version_major": 2, "version_minor": 0 }, "text/plain": [ "HBox(children=(IntProgress(value=0, max=4), HTML(value='')))" ] }, "metadata": {}, "output_type": "display_data" }, { "name": "stderr", "output_type": "stream", "text": [ "/home/carter/anaconda3/lib/python3.7/site-packages/pyxem/utils/vector_utils.py:57: RuntimeWarning: invalid value encountered in sqrt\n", " k_z = np.sqrt(1 / (wavelength ** 2) - np.sum(k_xy ** 2, axis=1)) - 1 / wavelength\n" ] } ], "source": [ "peaks.calculate_cartesian_coordinates(accelerating_voltage=accelarating_voltage,\n", " camera_length=camera_length)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<a id='vecb'></a>\n", "### 4.3. Vector Matching Indexation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Initialize `VectorIndexationGenerator` with the experimental data and vector library and perform indexation using `n_peaks_to_index` and returning the `n_best` indexation results." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<div class=\"alert alert-block alert-danger\"><b>Alert: This code no longer works on this example, and may even be completely broken. Caution is advised.</b> </div>" ] }, { "cell_type": "code", "execution_count": 40, "metadata": {}, "outputs": [], "source": [ "#indexation_generator = VectorIndexationGenerator(peaks, vec_lib)" ] }, { "cell_type": "code", "execution_count": 41, "metadata": {}, "outputs": [], "source": [ "#indexation_results = indexation_generator.index_vectors(mag_tol=3*diffraction_calibration,\n", "# angle_tol=4, # degree\n", "# index_error_tol=0.2,\n", "# n_peaks_to_index=7,\n", "# n_best=5,\n", "# show_progressbar=True)" ] }, { "cell_type": "code", "execution_count": 42, "metadata": {}, "outputs": [], "source": [ "#indexation_results.data" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Refine all crystal orientations for improved phase reliability and orientation reliability maps." ] }, { "cell_type": "code", "execution_count": 43, "metadata": {}, "outputs": [], "source": [ "#refined_results = indexation_generator.refine_n_best_orientations(indexation_results,\n", "# accelarating_voltage=accelarating_voltage,\n", "# camera_length=camera_length,\n", "# index_error_tol=0.2,\n", "# vary_angles=True,\n", "# vary_scale=True,\n", "# method=\"leastsq\")\"\"\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Get crystallographic map from optimized indexation results." ] }, { "cell_type": "code", "execution_count": 44, "metadata": {}, "outputs": [], "source": [ "#crystal_map = refined_results.get_crystallographic_map()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "See the objections documentation for further details" ] }, { "cell_type": "code", "execution_count": 45, "metadata": {}, "outputs": [], "source": [ "#crystal_map?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.3" } }, "nbformat": 4, "nbformat_minor": 4 }
gpl-3.0
zpenoyre/illustris
apiTestNotebook.ipynb
1
47392
{ "cells": [ { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "The autoreload extension is already loaded. To reload it, use:\n", " %reload_ext autoreload\n" ] } ], "source": [ "import illustrisAPI.data as iApi\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import matplotlib\n", "%load_ext autoreload\n", "%autoreload 2" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "#example of getting subhalo data from z=1 in illustris 3\n", "haloFirstSub=iApi.getHaloField('GroupFirstSub',snapshot=85,simulation='Illustris-3')\n", "haloMass=iApi.getHaloField('GroupMass',snapshot=85,simulation='Illustris-3')\n", "subVelDisp=iApi.getSubhaloField('SubhaloVelDisp',snapshot=85,simulation='Illustris-3')\n", "subBhMass=iApi.getSubhaloField('SubhaloBHMass',snapshot=85,simulation='Illustris-3')" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/Users/Zephyr/Astro/anaconda/lib/python3.5/site-packages/matplotlib/scale.py:103: RuntimeWarning: divide by zero encountered in log\n", " return np.divide(np.log(a, out=a), np.log(self.base), out=a)\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYAAAAECCAYAAAD3vwBsAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nyqj/D7UBXIQFPAlQAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10c879f60>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#example plots of stellar component of the black hole sigma relation for central galaxies\n", "bigGalaxies=np.argwhere(haloMass>100)\n", "mSigma=plt.gca()\n", "mSigma.scatter(subVelDisp[haloFirstSub[bigGalaxies]],subBhMass[haloFirstSub[bigGalaxies]])\n", "mSigma.set_yscale('log')\n", "mSigma.set_xscale('log')\n", "mSigma.set_ylim(1e-5,1)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 39, "metadata": {}, "outputs": [], "source": [ "#example of getting data from a particular galaxy (from online)\n", "fields=[\n", " [4,'Coordinates'],\n", " [4,'Velocities'],\n", " [4,'Masses']\n", "]\n", "data=iApi.getGalaxy(150,fields)\n", "rStar=data[0][:,:]\n", "vStar=data[1][:,:]\n", "mStar=data[2][:]" ] }, { "cell_type": "code", "execution_count": 40, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/Users/Zephyr/Astro/anaconda/lib/python3.5/site-packages/ipykernel/__main__.py:46: RuntimeWarning: divide by zero encountered in log\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAQQAAAKvCAYAAACMO7G6AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nEfGUEETEU0IQEe//AxvxdL8yJ7pvAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11286a588>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#example plots of stellar component of the galaxy\n", "fig = plt.figure(figsize=(4,12))\n", "grid=matplotlib.gridspec.GridSpec(3,1)\n", "#moves to center of mass frame\n", "com=findCenterMass(rStar,mStar)\n", "rStar=rStar-com\n", "vom=findCenterVelocity(vStar,mStar)\n", "vStar=vStar-vom\n", "#reorients with z-axis along direction of galaxy angular momentum\n", "jUnit=findPlane(rStar,vStar)\n", "rStar=projectPos(jUnit,rStar)\n", "vStar=projectPos(jUnit,vStar)\n", "#plot map of projected density\n", "nPixels=32\n", "edgeDist=10\n", "plotMap(rStar,mStar,mStar,nPixels,edgeDist,grid,0,0,useMean=0,projection=0)\n", "plotMap(rStar,mStar,mStar,nPixels,edgeDist,grid,0,1,useMean=0,projection=1)\n", "plotMap(rStar,mStar,mStar,nPixels,edgeDist,grid,0,2,useMean=0)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# routines used for plotting and data handling in the examples\n", "def findCenterMass(rStar,mStar):\n", " com=np.zeros(3)\n", " for i in range(3):\n", " com[i]=np.sum(rStar[:,i]*mStar[:])/np.sum(mStar[:])\n", " return com\n", "def findCenterVelocity(vStar,mStar):\n", " vom=np.zeros(3)\n", " for i in range(3):\n", " vom[i]=np.sum(vStar[:,i]*mStar[:])/np.sum(mStar[:])\n", " return vom\n", "def findPlane(rStar,vStar):\n", " jStar=np.cross(rStar,vStar)\n", " j=np.sum(jStar,axis=0)\n", " j=j/np.linalg.norm(j)\n", " return j\n", "def projectPos(j,r):\n", " z=j[0]*r[:,0]+j[1]*r[:,1]+j[2]*r[:,2]\n", " n=np.array([0,-j[2],j[1]])\n", " n=n/np.linalg.norm(n)\n", " m=np.cross(j,n)\n", " x=n[0]*r[:,0]+n[1]*r[:,1]+n[2]*r[:,2]\n", " y=m[0]*r[:,0]+m[1]*r[:,1]+m[2]*r[:,2]\n", " return np.vstack((x,y,z)).T\n", "def findMap(r,m,data,nPixels,edgeDist,mean=1,projectAxis=2):\n", " pixels=np.zeros((nPixels,nPixels))\n", " vertAxis=(projectAxis+1)%3\n", " horzAxis=(projectAxis+2)%3\n", " for i in range(nPixels):\n", " for j in range(nPixels):\n", " inPix=np.argwhere((edgeDist*(((2*i)/nPixels)-1)<r[:,vertAxis])\n", " &(edgeDist*(((2*j)/nPixels)-1)<r[:,horzAxis])\n", " &(edgeDist*(((2*(i+1))/nPixels)-1)>r[:,vertAxis])\n", " &(edgeDist*(((2*(j+1))/nPixels)-1)>r[:,horzAxis]))\n", " if inPix.size==0:\n", " continue\n", " if mean==1: #return the mass weighted mean\n", " pixels[i,j]=np.sum(data[inPix]*m[inPix])/np.sum(m[inPix])\n", " if mean==0:\n", " pixels[i,j]=np.sum(data[inPix])\n", " return pixels\n", "def plotMap(r,m,data,nPixels,edgeDist,grid,iPlot,jPlot,logPlot=1,useMean=1,projection=2):\n", " pixels = findMap(r,m,data,nPixels,edgeDist,mean=useMean,projectAxis=projection)\n", " plot = plt.subplot(grid[jPlot,iPlot])\n", " if logPlot==1:\n", " plot.imshow(np.log(pixels), interpolation ='none', aspect = 'auto')\n", " else:\n", " plot.imshow(pixels, interpolation ='none', aspect = 'auto')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
evanbiederstedt/RRBSfun
QC_filtered50K/regression_methylation_weighted_10August2016_avgReadCpgs_gtreql3.8CpG_filter50K.ipynb
1
4918858
null
mit
Tahsin-Mayeesha/Udacity-Machine-Learning-Nanodegree
projects/boston_housing/boston_housing.ipynb
1
201477
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Machine Learning Engineer Nanodegree\n", "## Model Evaluation & Validation\n", "## Project 1: Predicting Boston Housing Prices\n", "\n", "Welcome to the first project of the Machine Learning Engineer Nanodegree! In this notebook, some template code has already been provided for you, and you will need to implement additional functionality to successfully complete this project. You will not need to modify the included code beyond what is requested. Sections that begin with **'Implementation'** in the header indicate that the following block of code will require additional functionality which you must provide. Instructions will be provided for each section and the specifics of the implementation are marked in the code block with a 'TODO' statement. Please be sure to read the instructions carefully!\n", "\n", "In addition to implementing code, there will be questions that you must answer which relate to the project and your implementation. Each section where you will answer a question is preceded by a **'Question X'** header. Carefully read each question and provide thorough answers in the following text boxes that begin with **'Answer:'**. Your project submission will be evaluated based on your answers to each of the questions and the implementation you provide. \n", "\n", ">**Note:** Code and Markdown cells can be executed using the **Shift + Enter** keyboard shortcut. In addition, Markdown cells can be edited by typically double-clicking the cell to enter edit mode." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Getting Started\n", "In this project, you will evaluate the performance and predictive power of a model that has been trained and tested on data collected from homes in suburbs of Boston, Massachusetts. A model trained on this data that is seen as a *good fit* could then be used to make certain predictions about a home — in particular, its monetary value. This model would prove to be invaluable for someone like a real estate agent who could make use of such information on a daily basis.\n", "\n", "The dataset for this project originates from the [UCI Machine Learning Repository](https://archive.ics.uci.edu/ml/datasets/Housing). The Boston housing data was collected in 1978 and each of the 506 entries represent aggregated data about 14 features for homes from various suburbs in Boston, Massachusetts. For the purposes of this project, the following preprocessing steps have been made to the dataset:\n", "- 16 data points have an `'MDEV'` value of 50.0. These data points likely contain **missing or censored values** and have been removed.\n", "- 1 data point has an `'RM'` value of 8.78. This data point can be considered an **outlier** and has been removed.\n", "- The features `'RM'`, `'LSTAT'`, `'PTRATIO'`, and `'MDEV'` are essential. The remaining **non-relevant features** have been excluded.\n", "- The feature `'MDEV'` has been **multiplicatively scaled** to account for 35 years of market inflation.\n", "\n", "Run the code cell below to load the Boston housing dataset, along with a few of the necessary Python libraries required for this project. You will know the dataset loaded successfully if the size of the dataset is reported." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Boston housing dataset has 489 data points with 4 variables each.\n" ] } ], "source": [ "# Import libraries necessary for this project\n", "import numpy as np\n", "import pandas as pd\n", "import seaborn as sns\n", "import visuals as vs # Supplementary code\n", "from sklearn.cross_validation import ShuffleSplit\n", "\n", "# Pretty display for notebooks\n", "%matplotlib inline\n", "\n", "# Load the Boston housing dataset\n", "data = pd.read_csv('housing.csv')\n", "prices = data['MDEV']\n", "features = data.drop('MDEV', axis = 1)\n", " \n", "# Success\n", "print \"Boston housing dataset has {} data points with {} variables each.\".format(*data.shape)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Data Exploration\n", "In this first section of this project, you will make a cursory investigation about the Boston housing data and provide your observations. Familiarizing yourself with the data through an explorative process is a fundamental practice to help you better understand and justify your results.\n", "\n", "Since the main goal of this project is to construct a working model which has the capability of predicting the value of houses, we will need to separate the dataset into **features** and the **target variable**. The **features**, `'RM'`, `'LSTAT'`, and `'PTRATIO'`, give us quantitative information about each data point. The **target variable**, `'MDEV'`, will be the variable we seek to predict. These are stored in `features` and `prices`, respectively." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Implementation: Calculate Statistics\n", "For your very first coding implementation, you will calculate descriptive statistics about the Boston housing prices. Since `numpy` has already been imported for you, use this library to perform the necessary calculations. These statistics will be extremely important later on to analyze various prediction results from the constructed model.\n", "\n", "In the code cell below, you will need to implement the following:\n", "- Calculate the minimum, maximum, mean, median, and standard deviation of `'MDEV'`, which is stored in `prices`.\n", " - Store each calculation in their respective variable." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Statistics for Boston housing dataset:\n", "\n", "Minimum price: $105,000.00\n", "Maximum price: $1,024,800.00\n", "Mean price: $454,342.94\n", "Median price $438,900.00\n", "Standard deviation of prices: $165,171.13\n" ] } ], "source": [ "# TODO: Minimum price of the data\n", "minimum_price = prices.min()\n", "\n", "# TODO: Maximum price of the data\n", "maximum_price = prices.max()\n", "\n", "# TODO: Mean price of the data\n", "mean_price = prices.mean()\n", "\n", "# TODO: Median price of the data\n", "median_price = prices.median()\n", "\n", "# TODO: Standard deviation of prices of the data\n", "std_price = prices.std(ddof=0)\n", "\n", "# Show the calculated statistics\n", "print \"Statistics for Boston housing dataset:\\n\"\n", "print \"Minimum price: ${:,.2f}\".format(minimum_price)\n", "print \"Maximum price: ${:,.2f}\".format(maximum_price)\n", "print \"Mean price: ${:,.2f}\".format(mean_price)\n", "print \"Median price ${:,.2f}\".format(median_price)\n", "print \"Standard deviation of prices: ${:,.2f}\".format(std_price)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Question 1 - Feature Observation\n", "As a reminder, we are using three features from the Boston housing dataset: `'RM'`, `'LSTAT'`, and `'PTRATIO'`. For each data point (neighborhood):\n", "- `'RM'` is the average number of rooms among homes in the neighborhood.\n", "- `'LSTAT'` is the percentage of all Boston homeowners who have a greater net worth than homeowners in the neighborhood.\n", "- `'PTRATIO'` is the ratio of students to teachers in primary and secondary schools in the neighborhood.\n", "\n", "_Using your intuition, for each of the three features above, do you think that an increase in the value of that feature would lead to an **increase** in the value of `'MDEV'` or a **decrease** in the value of `'MDEV'`? Justify your answer for each._ \n", "**Hint:** Would you expect a home that has an `'RM'` value of 6 be worth more or less than a home that has an `'RM'` value of 7?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer: **\n", "\n", "* RM is the average number of rooms among homes in the neighborhood. The price of a three room house is likely to be less than the price of a five/six room house. It's likely that the increase in room number will lead to an increase in the house price.\n", "\n", "* LSTAT is the percentage of the Boston homeowners who had a greater networth than the homeowners in the neighborhood. If the neighborhood is poor, then it's likely the LSTAT will be high as more Boston homeowners will have a higher networth than the homeowners of the respective neighborhood. In a poor neighborhood, the house price is low. It's likely that increase in LSTAT will lead to a decrease in the house price MDEV.\n", "\n", "* PTRATIO is the ratio of students to teachers in the primary and secondary school in the neighborhood. If PTRATIO is 12/1 it means 1 teacher has to attend to at least 10 students. In poor neighborhoods there's often not enough fund in schools to hire more teachers. Thus I'd assume higher PTRATIO will correspond to a poor neighborhood where the house prices will be low.\n", "\n", "To confirm my intuition I created a pairplot of MDEV against the three values, RM, LSTAT and PTRATIO. RM shows a positive relationship, LSTAT shows a negative one and PTRATIO also shows a strict negative relationship after 20 and moderative negative relationship before 20 with some occassional upper end prices." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<seaborn.axisgrid.PairGrid at 0x39ca048>" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAi0AAACtCAYAAAB8xk+DAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x74effd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "sns.pairplot(data,x_vars = [\"RM\",\"LSTAT\",\"PTRATIO\"], y_vars = [\"MDEV\"],kind = \"scatter\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "----\n", "\n", "## Developing a Model\n", "In this second section of the project, you will develop the tools and techniques necessary for a model to make a prediction. Being able to make accurate evaluations of each model's performance through the use of these tools and techniques helps to greatly reinforce the confidence in your predictions." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Implementation: Define a Performance Metric\n", "It is difficult to measure the quality of a given model without quantifying its performance over training and testing. This is typically done using some type of performance metric, whether it is through calculating some type of error, the goodness of fit, or some other useful measurement. For this project, you will be calculating the [*coefficient of determination*](http://stattrek.com/statistics/dictionary.aspx?definition=coefficient_of_determination), R<sup>2</sup>, to quantify your model's performance. The coefficient of determination for a model is a useful statistic in regression analysis, as it often describes how \"good\" that model is at making predictions. \n", "\n", "The values for R<sup>2</sup> range from 0 to 1, which captures the percentage of squared correlation between the predicted and actual values of the **target variable**. A model with an R<sup>2</sup> of 0 always fails to predict the target variable, whereas a model with an R<sup>2</sup> of 1 perfectly predicts the target variable. Any value between 0 and 1 indicates what percentage of the target variable, using this model, can be explained by the **features**. *A model can be given a negative R<sup>2</sup> as well, which indicates that the model is no better than one that naively predicts the mean of the target variable.*\n", "\n", "For the `performance_metric` function in the code cell below, you will need to implement the following:\n", "- Use `r2_score` from `sklearn.metrics` to perform a performance calculation between `y_true` and `y_predict`.\n", "- Assign the performance score to the `score` variable." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# TODO: Import 'r2_score'\n", "\n", "from sklearn.metrics import r2_score\n", "\n", "def performance_metric(y_true, y_predict):\n", " \"\"\" Calculates and returns the performance score between \n", " true and predicted values based on the metric chosen. \"\"\"\n", " \n", " # TODO: Calculate the performance score between 'y_true' and 'y_predict'\n", " score = r2_score(y_true,y_predict)\n", " \n", " # Return the score\n", " return score" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Question 2 - Goodness of Fit\n", "Assume that a dataset contains five data points and a model made the following predictions for the target variable:\n", "\n", "| True Value | Prediction |\n", "| :-------------: | :--------: |\n", "| 3.0 | 2.5 |\n", "| -0.5 | 0.0 |\n", "| 2.0 | 2.1 |\n", "| 7.0 | 7.8 |\n", "| 4.2 | 5.3 |\n", "*Would you consider this model to have successfully captured the variation of the target variable? Why or why not?* \n", "\n", "Run the code cell below to use the `performance_metric` function and calculate this model's coefficient of determination." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Model has a coefficient of determination, R^2, of 0.923.\n" ] } ], "source": [ "# Calculate the performance of this model\n", "score = performance_metric([3, -0.5, 2, 7, 4.2], [2.5, 0.0, 2.1, 7.8, 5.3])\n", "print \"Model has a coefficient of determination, R^2, of {:.3f}.\".format(score)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer:** \n", "\n", "Given R^2 captures the percentage of squared correlation between the predicted and actual values of the target variable and it ranges from 0 to 1 where close to 1 means better performance, and given this model had a R^2 score of 0.923, it appears that the model has been able to successfully capture the variation of the target variable.\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Implementation: Shuffle and Split Data\n", "Your next implementation requires that you take the Boston housing dataset and split the data into training and testing subsets. Typically, the data is also shuffled into a random order when creating the training and testing subsets to remove any bias in the ordering of the dataset.\n", "\n", "For the code cell below, you will need to implement the following:\n", "- Use `train_test_split` from `sklearn.cross_validation` to shuffle and split the `features` and `prices` data into training and testing sets.\n", " - Split the data into 80% training and 20% testing.\n", " - Set the `random_state` for `train_test_split` to a value of your choice. This ensures results are consistent.\n", "- Assign the train and testing splits to `X_train`, `X_test`, `y_train`, and `y_test`." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Training and testing split was successful.\n" ] } ], "source": [ "# TODO: Import 'train_test_split'\n", "\n", "from sklearn.cross_validation import train_test_split\n", "\n", "# TODO: Shuffle and split the data into training and testing subsets\n", "X_train, X_test, y_train, y_test = train_test_split(features, prices, test_size = 0.2, random_state = 0)\n", "\n", "# Success\n", "print \"Training and testing split was successful.\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Question 3 - Training and Testing\n", "*What is the benefit to splitting a dataset into some ratio of training and testing subsets for a learning algorithm?* \n", "**Hint:** What could go wrong with not having a way to test your model?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer: **\n", "\n", "If we train and test our model on the same data set, the model will most likely to be good at predicting as it has already seen the data. But it would give a false sense of high performance(with respect to the evaluation metric we choose). The end goal of a machine learning model is to make accurate predictions on the data it has not seen before. \n", "\n", "If we split the dataset into training and testing sets, then we can train the model on the training data and test it on the independent testing dataset. After assessing the model's performance on the testing data set that the model has not seen before, we can be more confident about it's performance. \n", "\n", "So we split the data set into training and testing subsets to avoid overfitting and to test the model's performance against a data set it has not seen before." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "----\n", "\n", "## Analyzing Model Performance\n", "In this third section of the project, you'll take a look at several models' learning and testing performances on various subsets of training data. Additionally, you'll investigate one particular algorithm with an increasing `'max_depth'` parameter on the full training set to observe how model complexity affects performance. Graphing your model's performance based on varying criteria can be beneficial in the analysis process, such as visualizing behavior that may not have been apparent from the results alone." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Learning Curves\n", "The following code cell produces four graphs for a decision tree model with different maximum depths. Each graph visualizes the learning curves of the model for both training and testing as the size of the training set is increased. Note that the shaded region of a learning curve denotes the uncertainty of that curve (measured as the standard deviation). The model is scored on both the training and testing sets using R<sup>2</sup>, the coefficient of determination. \n", "\n", "Run the code cell below and use these graphs to answer the following question." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAz4AAAILCAYAAAApC0lyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\njoxAAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x39ca390>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Produce learning curves for varying training set sizes and maximum depths\n", "vs.ModelLearning(features, prices)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Question 4 - Learning the Data\n", "*Choose one of the graphs above and state the maximum depth for the model. What happens to the score of the training curve as more training points are added? What about the testing curve? Would having more training points benefit the model?* \n", "**Hint:** Are the learning curves converging to particular scores?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer: **\n", "\n", "###### Chosen graph = max depth 1.\n", "\n", "It appears that both training and testing curve is showing lower scores compared to other graphs. \n", "\n", "* *What happens to the score of the training curve as more training points are added?*\n", "\n", "As more training points are being added, the score of the training curve goes down significantly. At first it went down to around 0.6 when there was about 50 data points, but after adding more data points the score went down even furture and levelled off near 0.4 after 100 data points.\n", "\n", "* *What about the testing curve?*\n", "\n", "In the graph with max depth 1, the testing accuracy is near 0.4 at maximum and then mostly stays there. It achieves the maximum accuracy with 50 data points, but after that as more data gets added it does nothing to improve the performance of the testing curve.\n", "\n", "* *Would having more training points benefit the model?*\n", "\n", "It seems like a classic case of underfitting as the model is too simple to capture the complexities inherent in the data set. This model has high bias. Adding more training points will not benefit the model as it will consistently under fit the data set because the model is just too simple to capture complexities of the process that developed the data.\n", "\n", "* *Are the learning curves converging to particular scores?*\n", "\n", "In this graph the scores seem to be converging to 0.4. In models with high bias, training and testing scores tend to converge to same score because the model fails to capture complexities above that level systematically. This is yet another evidence to claim that this model has high bias even adding lots of data points will not improve the performance of the model significantly." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Complexity Curves\n", "The following code cell produces a graph for a decision tree model that has been trained and validated on the training data using different maximum depths. The graph produces two complexity curves — one for training and one for validation. Similar to the **learning curves**, the shaded regions of both the complexity curves denote the uncertainty in those curves, and the model is scored on both the training and validation sets using the `performance_metric` function. \n", "\n", "Run the code cell below and use this graph to answer the following two questions." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAb4AAAFRCAYAAAALn8i+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0xbc29978>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "vs.ModelComplexity(X_train, y_train)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Question 5 - Bias-Variance Tradeoff\n", "*When the model is trained with a maximum depth of 1, does the model suffer from high bias or from high variance? How about when the model is trained with a maximum depth of 10? What visual cues in the graph justify your conclusions?* \n", "**Hint:** How do you know when a model is suffering from high bias or high variance?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer: **\n", "\n", "\n", "* *When the model is trained with a maximum depth of 1, does the model suffer from high bias or from high variance?* \n", "\n", "When the model is trained with a maximum depth of one, the model suffers from high bias because the accuracy for both training and testing score is relatively low,around 0.4 for the testing score and 0.5 for the training score. \n", "\n", "This suggests the model failed to capture the complex relationships inherent in the data set and suffers from underfitting. This is a classic case of high bias. \n", "\n", "\n", "\n", "* *How about when the model is trained with a maximum depth of 10?* \n", "\n", "When the model is trained with a maximum depth of 10 the difference between training score and testing score is pretty high. Training score is showing as 'near accurate'/very close to one while testing score is around 0.7. \n", "\n", "This suggests that the model suffers from high variance consdering it has very good performance on training score because it has learnt the quirks of the training dataset and overfitted to it. \n", "\n", "However, it fails to generalize it's predictions to the testing dataset because of overfitting. This is a classic case of suffering from high variance. \n", "\n", "\n", "* *What visual cues in the graph justify your conclusions?*\n", "\n", "In max depth one the training score and testing score is pretty close and both of them are low scores. This was the visual cue for high bias because models with high bias converge to similar training and testing scores and underfits the data.\n", "\n", "In max depth 10 the visual cue was the big gap between training and testing score and the training score being close to one. This suggested the model has overfitted the training data and scored very high on it, while it failed to generalize to the testing data.\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Question 6 - Best-Guess Optimal Model\n", "*Which maximum depth do you think results in a model that best generalizes to unseen data? What intuition lead you to this answer?*" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer: **\n", "\n", "I think the model with max depth 4 best generalizes to the unseen data because it has the highest testing score which is very close to 0.8 and that's also the depth where the difference between the training score and the testing score is really small. Until the depth of four, the testing score keeps rising.\n", "\n", "Above the depth of four, the training score of the model keeps increasing and goes to one but the testing score keeps decreasing while the gap between the training and testing scores also increases.This signals overfitting and a high variance model. Those models will not be able to generalize over independent data sets.\n", "\n", "Under the depth of four the gap between training and testing score is low, but the score it self is really low which signals underfitting and high bias. Those models will not be able to capture the complexities of the data sets for both training and testing sets." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "-----\n", "\n", "## Evaluating Model Performance\n", "In this final section of the project, you will construct a model and make a prediction on the client's feature set using an optimized model from `fit_model`." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Question 7 - Grid Search\n", "*What is the grid search technique and how it can be applied to optimize a learning algorithm?*" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer: **\n", "\n", "Many Learning algorithms tend to have parameters that can be tuned for optimal model performance over a particular data set. However, figuring out the best combination of the parameters manually can be a lengthy process. \n", "\n", "Grid Search automates this process by trying out multiple combinations of the given parameters over an estimator/model to find out the best combination for those parameters under the chosen evaluation metric to determine the best model. This way we can optimize a learning algorithm by choosing the model with the best parameter combination returned by the grid search. Note that Grid Search will exhaustively try all combinations of the given parameters instead of a random sample of the parameters." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Question 8 - Cross-Validation\n", "*What is the k-fold cross-validation training technique? What benefit does this technique provide for grid search when optimizing a model?* \n", "**Hint:** Much like the reasoning behind having a testing set, what could go wrong with using grid search without a cross-validated set?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer: **\n", "\n", "* What is the k-fold cross-validation training technique? \n", "\n", "When we train a model we want to use as much data as possible, but we also want to test our model using as much data as possible. As we split the data into training and testing sets, we have to make a trade off when we pick the amount of data that will go into the training set vs the amount of the data that will go to the testing set.\n", "\n", "K-Ford cross validation overcomes this problem by randomly splitting the data into k subsets. For k iterations, each time one subset of the data set is hold out for the testing and the rest goes into training the model. This way we can evaluate the model's performance k times and the average score over the k-iterations is likely to be a good predictor of the model's actual performance over new data sets when it will have to generalize it's predictions compared to the situation where we only split the data set into two subsets, training and testing and evaluate performance only once. This way the model learns from the whole data set and performs testing on the entire data sets leading to better performance and more accurate evaluations.\n", "\n", "* What benefit does this technique provide for grid search when optimizing a model?* \n", "\n", "When Grid Search technique is trying out different combinations of the given parameters for the model, if it evaluates the model only by splitting the data into two subsets, training and testing it can easily return an overfitted model which has learnt only the quirks of the training subset. Not to mention in this case we will not be using the whole data set for training and testing either, k-fold cross validation can be combined the grid search technique to ensure that the grid-search is choosing the model that best generalizes instead of the model that overfits.\n", "\n", "In this case we will provide the grid search with some parameters and a given model and the data set. The k-fold cross validation will evaluate the grid search's chosen model with some combination of the parameters in each iteration and return the average score. Grid Search will choose the model that performed best on k-fold cross validation and return that model with the chosen combination of the parameters.\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Implementation: Fitting a Model\n", "Your final implementation requires that you bring everything together and train a model using the **decision tree algorithm**. To ensure that you are producing an optimized model, you will train the model using the grid search technique to optimize the `'max_depth'` parameter for the decision tree. The `'max_depth'` parameter can be thought of as how many questions the decision tree algorithm is allowed to ask about the data before making a prediction. Decision trees are part of a class of algorithms called *supervised learning algorithms*.\n", "\n", "For the `fit_model` function in the code cell below, you will need to implement the following:\n", "- Use [`DecisionTreeRegressor`](http://scikit-learn.org/stable/modules/generated/sklearn.tree.DecisionTreeRegressor.html) from `sklearn.tree` to create a decision tree regressor object.\n", " - Assign this object to the `'regressor'` variable.\n", "- Create a dictionary for `'max_depth'` with the values from 1 to 10, and assign this to the `'params'` variable.\n", "- Use [`make_scorer`](http://scikit-learn.org/stable/modules/generated/sklearn.metrics.make_scorer.html) from `sklearn.metrics` to create a scoring function object.\n", " - Pass the `performance_metric` function as a parameter to the object.\n", " - Assign this scoring function to the `'scoring_fnc'` variable.\n", "- Use [`GridSearchCV`](http://scikit-learn.org/stable/modules/generated/sklearn.grid_search.GridSearchCV.html) from `sklearn.grid_search` to create a grid search object.\n", " - Pass the variables `'regressor'`, `'params'`, `'scoring_fnc'`, and `'cv_sets'` as parameters to the object. \n", " - Assign the `GridSearchCV` object to the `'grid'` variable." ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# TODO: Import 'make_scorer', 'DecisionTreeRegressor', and 'GridSearchCV'\n", "from sklearn.tree import DecisionTreeRegressor\n", "from sklearn.metrics import make_scorer\n", "from sklearn.grid_search import GridSearchCV\n", "\n", "def fit_model(X, y):\n", " \"\"\" Performs grid search over the 'max_depth' parameter for a \n", " decision tree regressor trained on the input data [X, y]. \"\"\"\n", " \n", " # Create cross-validation sets from the training data\n", " cv_sets = ShuffleSplit(X.shape[0], n_iter = 10, test_size = 0.20, random_state = 0)\n", "\n", " # TODO: Create a decision tree regressor object\n", " regressor = DecisionTreeRegressor()\n", "\n", " # TODO: Create a dictionary for the parameter 'max_depth' with a range from 1 to 10\n", " params = {\"max_depth\":(1,2,3,4,5,6,7,8,9,10)}\n", "\n", " # TODO: Transform 'performance_metric' into a scoring function using 'make_scorer' \n", " scoring_fnc = make_scorer(performance_metric) # as performance metric is R^2 which is a scoring function, not a loss function\n", " # greater_is_better defaults to true\n", "\n", " # TODO: Create the grid search object\n", " grid = GridSearchCV(regressor,params,cv = cv_sets, scoring = scoring_fnc)\n", "\n", " # Fit the grid search object to the data to compute the optimal model\n", " grid = grid.fit(X, y)\n", "\n", " # Return the optimal model after fitting the data\n", " return grid.best_estimator_\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Making Predictions\n", "Once a model has been trained on a given set of data, it can now be used to make predictions on new sets of input data. In the case of a *decision tree regressor*, the model has learned *what the best questions to ask about the input data are*, and can respond with a prediction for the **target variable**. You can use these predictions to gain information about data where the value of the target variable is unknown — such as data the model was not trained on." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Question 9 - Optimal Model\n", "_What maximum depth does the optimal model have? How does this result compare to your guess in **Question 6**?_ \n", "\n", "Run the code block below to fit the decision tree regressor to the training data and produce an optimal model." ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Parameter 'max_depth' is 4 for the optimal model.\n" ] } ], "source": [ "# Fit the training data to the model using grid search\n", "reg = fit_model(X_train, y_train)\n", "\n", "# Produce the value for 'max_depth'\n", "print \"Parameter 'max_depth' is {} for the optimal model.\".format(reg.get_params()['max_depth'])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer: **\n", "\n", "It appears that the optimal model has the max depth of four. My initial guess was that choosing the model with max depth of four would be the optimal choice because the difference between training and testing score was small and the testing score was the highest near 0.8 at the max depth of four in the model complexity graph. \n", "\n", "However, since the grid search has choosen the model with max depth of four it verifies my assumption. " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Question 10 - Predicting Selling Prices\n", "Imagine that you were a real estate agent in the Boston area looking to use this model to help price homes owned by your clients that they wish to sell. You have collected the following information from three of your clients:\n", "\n", "| Feature | Client 1 | Client 2 | Client 3 |\n", "| :---: | :---: | :---: | :---: |\n", "| Total number of rooms in home | 5 rooms | 4 rooms | 8 rooms |\n", "| Household net worth (income) | Top 34th percent | Bottom 45th percent | Top 7th percent |\n", "| Student-teacher ratio of nearby schools | 15-to-1 | 22-to-1 | 12-to-1 |\n", "*What price would you recommend each client sell his/her home at? Do these prices seem reasonable given the values for the respective features?* \n", "**Hint:** Use the statistics you calculated in the **Data Exploration** section to help justify your response. \n", "\n", "Run the code block below to have your optimized model make predictions for each client's home." ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Predicted selling price for Client 1's home: $324,240.00\n", "Predicted selling price for Client 2's home: $189,123.53\n", "Predicted selling price for Client 3's home: $942,666.67\n" ] } ], "source": [ "# Produce a matrix for client data\n", "client_data = [[5, 34, 15], # Client 1\n", " [4, 55, 22], # Client 2\n", " [8, 7, 12]] # Client 3\n", "\n", "# Show predictions\n", "for i, price in enumerate(reg.predict(client_data)):\n", " print \"Predicted selling price for Client {}'s home: ${:,.2f}\".format(i+1, price)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer: **\n", "\n", "### Stats from the data exploration section :\n", "\n", "The statistics from the data exploration section looks like(all in USD) : \n", "* Minimum price: 105,000.00 \n", "* Maximum price: 1,024,800.00\n", "* Mean price: 454,342.94\n", "* Median price 438,900.00\n", "* Standard deviation of prices: 165,171.13\n", "\n", "### Interpretation :\n", "\n", "* The first client's house has 5 rooms, the household networth is top 34 percent and the student teacher ratio of nearby schools is 15 to 1. The model predicted his house should be sold for $324,240,00. The house has average number of rooms and similar to average PTRATIO, I believe the LSTAT number 34th percentile got this houses price down. The predicted price is pretty close to the median price though ( 438,900.00) so it's more or less reasonable.\n", "\n", "* The second client's house has four rooms, the household networth is around bottom 45 percent and the student teacher ratio of nearby schools is 22 to 1. From the data exploratory section we have noticed that the low household networth and high student teacher ratio is negatively correlated with the house price. The model has predicted the house price around 189,123.53 USD which is near the minimum. The maximum PTRATIO in this data set is also 22 and the maximum LSTAT is around 37. Given the house does seem to be owned by poor owners(with top 55% household networth greater than this household owners) and the PTRATIO is around the maximum(22), the model's predictions that this house will sale below the average house price( mean house price = 438,900.00 USD) and near the minimum price( 105,000.00) seems reasonable to me.\n", "\n", "* The third client's house has eight rooms, the house hold networth is around top 7 percent and PTRATIO is at the data set's minimum which is 12 to one. The maximum number of rooms in this data set also happens to be eight. Since the number of rooms is positively correlated with the price, the number of household networth and PTRATIO is negatively correlated, it appears that the models prediction this house will sale at the price of 942,666.57, which is near the maximum price (1,024,800.00) is a reasonable guess.\n", "\n", "* From the nearest neighbors algorithm(below) we can see that the numbers for the predictions made by the decision-tree-regressor are pretty close, at least between one standard deviation above/below the predictions made by nearest neighbors. Note that the nearest neighbors part was added from the pro-tips part of the last review." ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "280980.0\n", "The predicted 5 nearest neighbors price for home 1 is: $315,840.00\n", "The predicted 5 nearest neighbors price for home 2 is: $280,980.00\n", "The predicted 5 nearest neighbors price for home 3 is: $808,920.00\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "C:\\Users\\User\\Anaconda2\\lib\\site-packages\\sklearn\\utils\\validation.py:386: DeprecationWarning: Passing 1d arrays as data is deprecated in 0.17 and willraise ValueError in 0.19. Reshape your data either using X.reshape(-1, 1) if your data has a single feature or X.reshape(1, -1) if it contains a single sample.\n", " DeprecationWarning)\n", "C:\\Users\\User\\Anaconda2\\lib\\site-packages\\sklearn\\utils\\validation.py:386: DeprecationWarning: Passing 1d arrays as data is deprecated in 0.17 and willraise ValueError in 0.19. Reshape your data either using X.reshape(-1, 1) if your data has a single feature or X.reshape(1, -1) if it contains a single sample.\n", " DeprecationWarning)\n", "C:\\Users\\User\\Anaconda2\\lib\\site-packages\\sklearn\\utils\\validation.py:386: DeprecationWarning: Passing 1d arrays as data is deprecated in 0.17 and willraise ValueError in 0.19. Reshape your data either using X.reshape(-1, 1) if your data has a single feature or X.reshape(1, -1) if it contains a single sample.\n", " DeprecationWarning)\n", "C:\\Users\\User\\Anaconda2\\lib\\site-packages\\sklearn\\utils\\validation.py:386: DeprecationWarning: Passing 1d arrays as data is deprecated in 0.17 and willraise ValueError in 0.19. Reshape your data either using X.reshape(-1, 1) if your data has a single feature or X.reshape(1, -1) if it contains a single sample.\n", " DeprecationWarning)\n" ] } ], "source": [ "from sklearn.neighbors import NearestNeighbors\n", "num_neighbors=5\n", "def nearest_neighbor_price(x):\n", " def find_nearest_neighbor_indexes(x, X): # x is your vector and X is the data set.\n", " neigh = NearestNeighbors( num_neighbors )\n", " neigh.fit(X)\n", " distance, indexes = neigh.kneighbors( x )\n", " return indexes\n", " indexes = find_nearest_neighbor_indexes(x, features)\n", " sum_prices = []\n", " for i in indexes:\n", " sum_prices.append(prices[i])\n", " neighbor_avg = np.mean(sum_prices)\n", " return neighbor_avg\n", "print nearest_neighbor_price( [4, 55, 22])\n", "index = 0 \n", "for i in client_data:\n", " val=nearest_neighbor_price(i)\n", " index += 1\n", " print \"The predicted {} nearest neighbors price for home {} is: ${:,.2f}\".format(num_neighbors,index, val)\n", " \n" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>RM</th>\n", " <th>LSTAT</th>\n", " <th>PTRATIO</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>489.000000</td>\n", " <td>489.000000</td>\n", " <td>489.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>6.240288</td>\n", " <td>12.939632</td>\n", " <td>18.516564</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>0.643650</td>\n", " <td>7.081990</td>\n", " <td>2.111268</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>3.561000</td>\n", " <td>1.980000</td>\n", " <td>12.600000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>5.880000</td>\n", " <td>7.370000</td>\n", " <td>17.400000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>6.185000</td>\n", " <td>11.690000</td>\n", " <td>19.100000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>6.575000</td>\n", " <td>17.120000</td>\n", " <td>20.200000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>8.398000</td>\n", " <td>37.970000</td>\n", " <td>22.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " RM LSTAT PTRATIO\n", "count 489.000000 489.000000 489.000000\n", "mean 6.240288 12.939632 18.516564\n", "std 0.643650 7.081990 2.111268\n", "min 3.561000 1.980000 12.600000\n", "25% 5.880000 7.370000 17.400000\n", "50% 6.185000 11.690000 19.100000\n", "75% 6.575000 17.120000 20.200000\n", "max 8.398000 37.970000 22.000000" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "data[[\"RM\",\"LSTAT\",\"PTRATIO\"]].describe()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Sensitivity\n", "An optimal model is not necessarily a robust model. Sometimes, a model is either too complex or too simple to sufficiently generalize to new data. Sometimes, a model could use a learning algorithm that is not appropriate for the structure of the data given. Other times, the data itself could be too noisy or contain too few samples to allow a model to adequately capture the target variable — i.e., the model is underfitted. Run the code cell below to run the `fit_model` function ten times with different training and testing sets to see how the prediction for a specific client changes with the data it's trained on." ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Trial 1: $324,240.00\n", "Trial 2: $324,450.00\n", "Trial 3: $346,500.00\n", "Trial 4: $420,622.22\n", "Trial 5: $413,334.78\n", "Trial 6: $411,931.58\n", "Trial 7: $344,750.00\n", "Trial 8: $407,232.00\n", "Trial 9: $352,315.38\n", "Trial 10: $316,890.00\n", "\n", "Range in prices: $103,732.22\n" ] } ], "source": [ "vs.PredictTrials(features, prices, fit_model, client_data)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Question 11 - Applicability\n", "*In a few sentences, discuss whether the constructed model should or should not be used in a real-world setting.* \n", "**Hint:** Some questions to answering:\n", "- *How relevant today is data that was collected from 1978?*\n", "- *Are the features present in the data sufficient to describe a home?*\n", "- *Is the model robust enough to make consistent predictions?*\n", "- *Would data collected in an urban city like Boston be applicable in a rural city?*" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Answer: **\n", "\n", "I believe this model should not be used in a real world setting. \n", "\n", "Some reasons :\n", "\n", "* The data is most probably not relevant today anymore given the house prices in US is reaching sky-high these days in urban areas. Having a housing data set from 2015-2016 would have made me more confident about the results.\n", "* The features seem insufficient to describe a home. The neighborhood zip codes, the last buying price of the house, market trends, when the house was built etc can be better predictors of the price.\n", "* The model doesn't seem robust to me given it's predictions ranged around 103,732.22. In a real world setting this model can undersale a house for 100,000 which might hurt the house owners.\n", "* The data collected in an urban city like Boston will definitely not be applicable in a rural city. I'd argue this model will not be able to predict similar urban city's like San Fransico's house prices because of the current trend of housing price going higher. \n", "\n", "The model, decision tree regressor is a reasonable selection to predict housing prices, but the data set we have trained the model on, is 20 years old and is not a good refletion of the current trends. Perhaps we should collect better data and train another model before making any prediction in this situation." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
csdms/pymt
notebooks/sedflux3d_and_child.ipynb
1
444006
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## Sedflux3D + CHILD\n", "* Link to this notebook: https://github.com/csdms/pymt/blob/master/notebooks/sedflux3d_and_child.ipynb\n", "* Install command: `$ conda install notebook pymt_sedflux pymt_child`\n", "\n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "# Some magic to make plots appear within the notebook\n", "%matplotlib inline\n", "import numpy as np # In case we need to use numpy\n", "import pymt.models" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/Users/huttone/anaconda/envs/child_sedflux/lib/python3.6/site-packages/pymt/utils/decorators.py:60: UserWarning: Call to deprecated function get_grid_size.\n", " name=func.__name__\n" ] } ], "source": [ "child = pymt.models.Child()\n", "sedflux = pymt.models.Sedflux3D()\n", "\n", "child_in, child_dir = child.setup(\n", " \"_child\",\n", " grid_node_spacing=500.0,\n", " grid_x_size=40000.0,\n", " grid_y_size=20000.0,\n", " run_duration=1e6,\n", ")\n", "sedflux_in, sedflux_dir = sedflux.setup(\n", " \"_sedflux\",\n", " river_bed_load_flux=0.0,\n", " river_suspended_load_concentration_0=0.1,\n", " river_suspended_load_concentration_1=0.1,\n", " run_duration=1e6 * 365.0,\n", ")\n", "\n", "child.initialize(child_in, dir=child_dir)\n", "sedflux.initialize(sedflux_in, dir=sedflux_dir)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "gid = child.var[\"land_surface__elevation\"].grid\n", "x, y = child.get_grid_x(gid), child.get_grid_y(gid)\n", "z = child.get_value(\"land_surface__elevation\")" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "array([-100. , -100. , -100. , ..., 99.99964955,\n", " 99.56988064, 100.34489894])" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x_shore = 20000.0\n", "z[np.where(x > x_shore)] += 100.0\n", "z[np.where(x <= x_shore)] -= 100.0\n", "\n", "child.set_value(\"land_surface__elevation\", z)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [ "sedflux.set_value(\n", " \"bedrock_surface__elevation\", mapfrom=(\"land_surface__elevation\", child)\n", ")" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 2 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "child.quick_plot(\n", " \"land_surface__elevation\", edgecolors=\"k\", vmin=-200, vmax=200, cmap=\"BrBG_r\"\n", ")" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 2 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "sedflux.quick_plot(\"bedrock_surface__elevation\", vmin=-200, vmax=200, cmap=\"BrBG_r\")" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "array([ 4.])" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "sedflux.set_value(\"channel_exit_water_flow__speed\", 1.2)\n", "sedflux.set_value(\"channel_exit_x-section__mean_of_width\", 400.)\n", "sedflux.set_value(\"channel_exit_x-section__mean_of_depth\", 4.)" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [], "source": [ "now = child.time\n", "times = np.arange(now, now + 1000, 1.0)\n", "sedflux.update()\n", "child.update()\n", "for t in times:\n", " child.update_until(t, units=\"years\")\n", "\n", " sedflux.set_value(\"channel_water_sediment~bedload__mass_flow_rate\", mapfrom=child)\n", " sedflux.update_until(t, units=\"years\")\n", "\n", " z = child.get_value(\"land_surface__elevation\")\n", " child.set_value(\n", " \"land_surface__elevation\",\n", " mapfrom=(\"land-or-seabed_sediment_surface__elevation\", sedflux),\n", " nomap=np.where(z > 0.0),\n", " )" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 2 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "child.quick_plot(\n", " \"land_surface__elevation\", edgecolors=\"k\", vmin=-200, vmax=200, cmap=\"BrBG_r\"\n", ")" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "image/png": "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\n", "text/plain": [ "<Figure size 432x288 with 2 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" } ], "source": [ "child.quick_plot(\n", " \"land_surface__elevation\", edgecolors=\"k\", vmin=-200, vmax=200, cmap=\"BrBG_r\"\n", ")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.4" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
davofis/computational_seismology
05_pseudospectral/cheby_derivative_solution.ipynb
1
8155
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<div style='background-image: url(\"../../share/images/header.svg\") ; padding: 0px ; background-size: cover ; border-radius: 5px ; height: 250px'>\n", " <div style=\"float: right ; margin: 50px ; padding: 20px ; background: rgba(255 , 255 , 255 , 0.7) ; width: 50% ; height: 150px\">\n", " <div style=\"position: relative ; top: 50% ; transform: translatey(-50%)\">\n", " <div style=\"font-size: xx-large ; font-weight: 900 ; color: rgba(0 , 0 , 0 , 0.8) ; line-height: 100%\">Computational Seismology</div>\n", " <div style=\"font-size: large ; padding-top: 20px ; color: rgba(0 , 0 , 0 , 0.5)\">Numerical derivatives based on a derivative matrix</div>\n", " </div>\n", " </div>\n", "</div>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Seismo-Live: http://seismo-live.org\n", "\n", "##### Authors:\n", "* Fabian Linder ([@fablindner](https://github.com/fablindner))\n", "* Heiner Igel ([@heinerigel](https://github.com/heinerigel))\n", "* David Vargas ([@dvargas](https://github.com/davofis))\n", "---" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Basic Equations\n", "\n", "Calculating a derivative using the differentation theorem of the Fourier Transform is in the mathematical sense a convolution of the function $f(x)$ with $ik$, where $k$ is the wavenumber and $i$ the imaginary unit. This can also be formulated as a matrix-vector product involving so-called Toeplitz matrices. An elegant (but inefficient) way of performing a derivative operation on a space-dependent function described on the Chebyshev collocation points is by defining a derivative matrix $D_{ij}$\n", "\n", "$$ D_{ij} \\ = \\ -\\frac{2 N^2 + 1}{6} \\hspace{1.5cm} \\text{for i = j = N} $$\n", "$$ D_{ij} \\ = \\ -\\frac{1}{2} \\frac{x_i}{1-x_i^2} \\hspace{1.5cm} \\text{for i = j = 1,2,...,N-1} $$\n", "$$ D_{ij} \\ = \\ \\frac{c_i}{c_j} \\frac{(-1)^{i+j}}{x_i - x_j} \\hspace{1.5cm} \\text{for i $\\neq$ j =\n", "0,1,...,N}$$\n", "\n", "where $N+1$ is the number of Chebyshev collocation points $ \\ x_i = cos(i\\pi / N)$, $ \\ i=0,...,N$ and the $c_i$ are given as\n", "\n", "$$ c_i = 2 \\hspace{1.5cm} \\text{for i = 0 or N} $$\n", "$$ c_i = 1 \\hspace{1.5cm} \\text{otherwise} $$\n", "\n", "This differentiation matrix allows us to write the derivative of the function $f_i = f(x_i)$ (possibly depending on time) simply as\n", "\n", "$$\\partial_x u_i = D_{ij} \\ u_j$$\n", "\n", "where the right-hand side is a matrix-vector product, and the Einstein summation convention applies." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# This is a configuration step for the exercise. Please run it before calculating the derivative!\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "\n", "# Show the plots in the Notebook.\n", "plt.switch_backend(\"nbagg\")" ] }, { "cell_type": "markdown", "metadata": { "solution2": "hidden", "solution2_first": true }, "source": [ "#### Exercise 1\n", "\n", "Define a python function call \"get_cheby_matrix(nx)\" that initializes the Chebyshev derivative matrix $D_{ij}$ " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "solution2": "hidden" }, "outputs": [], "source": [ "# Function for setting up the Chebyshev derivative matrix\n", "def get_cheby_matrix(nx):\n", " cx = np.zeros(nx+1)\n", " x = np.zeros(nx+1)\n", " for ix in range(0,nx+1):\n", " x[ix] = np.cos(np.pi * ix / nx)\n", " \n", " cx[0] = 2.\n", " cx[nx] = 2.\n", " cx[1:nx] = 1.\n", " \n", " D = np.zeros((nx+1,nx+1))\n", " for i in range(0, nx+1):\n", " for j in range(0, nx+1):\n", " if i==j and i!=0 and i!=nx:\n", " D[i,i]=-x[i]/(2.0*(1.0-x[i]*x[i]))\n", " else:\n", " D[i,j]=(cx[i]*(-1)**(i+j))/(cx[j]*(x[i]-x[j]))\n", " \n", " D[0,0] = (2.*nx**2+1.)/6.\n", " D[nx,nx] = -D[0,0]\n", " return D " ] }, { "cell_type": "markdown", "metadata": { "solution2": "hidden", "solution2_first": true }, "source": [ "#### Exercise 2\n", "\n", "Calculate the numerical derivative by applying the differentiation matrix $D_{ij}$. Define an arbitrary function (e.g. a Gaussian) and initialize its analytical derivative on the Chebyshev collocation points. Calculate the numerical derivative and the difference to the analytical solution. Vary the wavenumber content of the analytical function. Does it make a difference? Why is the numerical result not entirely exact?" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "solution2": "hidden" }, "outputs": [], "source": [ "# Initialize arbitrary test function on Chebyshev collocation points\n", "nx = 200 # Number of grid points\n", "x = np.zeros(nx+1)\n", "for ix in range(0,nx+1):\n", " x[ix] = np.cos(ix * np.pi / nx) \n", "dxmin = min(abs(np.diff(x)))\n", "dxmax = max(abs(np.diff(x)))\n", "\n", "# Function example: Gaussian\n", "# Width of Gaussian\n", "s = .2 \n", "# Gaussian function (modify!)\n", "f = np.exp(-1/s**2 * x**2)\n", "\n", "# Initialize differentiation matrix\n", "D = get_cheby_matrix(nx)\n", "\n", "# Analytical derivative\n", "df_ana = -2/s**2 * x * np.exp(-1/s**2 * x**2)\n", "\n", "# Calculate numerical derivative using differentiation matrix\n", "df_num = D @ f\n", "\n", "# To make the error visible, it is multiply by 10^12\n", "df_err = 1e12*(df_ana - df_num)\n", "\n", "# Calculate error between analytical and numerical solution\n", "err = np.sum((df_num - df_ana)**2) / np.sum(df_ana**2) * 100\n", "print('Error: %s' %err)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true, "solution2": "hidden", "solution2_first": true }, "source": [ "#### Exercise 3\n", "\n", "Now that the numerical derivative is available, we can visually inspect our results. Make a plot of both, the analytical and numerical derivatives together with the difference error. " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "solution2": "hidden" }, "outputs": [], "source": [ "# Plot analytical and numerical derivatives\n", "# ---------------------------------------------------------------\n", "\n", "plt.subplot(2,1,1)\n", "plt.plot(x, f, \"g\", lw = 1.5, label='Gaussian')\n", "plt.legend(loc='upper right', shadow=True)\n", "plt.xlabel('$x$') \n", "plt.ylabel('$f(x)$')\n", "\n", "plt.subplot(2,1,2)\n", "plt.plot(x, df_ana, \"b\", lw = 1.5, label='Analytical')\n", "plt.plot(x, df_num, 'k--', lw = 1.5, label='Numerical')\n", "plt.plot(x, df_err, \"r\", lw = 1.5, label='Difference')\n", "plt.legend(loc='upper right', shadow=True)\n", "plt.xlabel('$x$') \n", "plt.ylabel('$\\partial_x f(x)$')\n", "\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
CoderDojoTC/python-minecraft
classroom-code/examples/hello_world.ipynb
1
480
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#!/usr/bin/python\n", "import mcpi.minecraft as minecraft\n", "import mcpi.block as block\n", "\n", "# Connect to the Minecraft server\n", "world = minecraft.Minecraft.create()\n", "\n", "world.postToChat(\"Hello Minecraft!\")" ] } ], "metadata": {}, "nbformat": 4, "nbformat_minor": 0 }
mit
ES-DOC/esdoc-jupyterhub
notebooks/cccr-iitm/cmip6/models/sandbox-1/ocean.ipynb
1
164419
{ "nbformat_minor": 0, "nbformat": 4, "cells": [ { "source": [ "# ES-DOC CMIP6 Model Properties - Ocean \n", "**MIP Era**: CMIP6 \n", "**Institute**: CCCR-IITM \n", "**Source ID**: SANDBOX-1 \n", "**Topic**: Ocean \n", "**Sub-Topics**: Timestepping Framework, Advection, Lateral Physics, Vertical Physics, Uplow Boundaries, Boundary Forcing. \n", "**Properties**: 133 (101 required) \n", "**Model descriptions**: [Model description details](https://specializations.es-doc.org/cmip6/ocean?client=jupyter-notebook) \n", "**Initialized From**: -- \n", "\n", "**Notebook Help**: [Goto notebook help page](https://es-doc.org/cmip6-models-documenting-with-ipython) \n", "**Notebook Initialised**: 2018-02-15 16:53:48" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### Document Setup \n", "**IMPORTANT: to be executed each time you run the notebook** " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# DO NOT EDIT ! \n", "from pyesdoc.ipython.model_topic import NotebookOutput \n", "\n", "# DO NOT EDIT ! \n", "DOC = NotebookOutput('cmip6', 'cccr-iitm', 'sandbox-1', 'ocean')" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Authors \n", "*Set document authors*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_author(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Contributors \n", "*Specify document contributors* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_contributor(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Publication \n", "*Specify document publication status* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set publication status: \n", "# 0=do not publish, 1=publish. \n", "DOC.set_publication_status(0)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Table of Contents \n", "[1. Key Properties](#1.-Key-Properties) \n", "[2. Key Properties --&gt; Seawater Properties](#2.-Key-Properties---&gt;-Seawater-Properties) \n", "[3. Key Properties --&gt; Bathymetry](#3.-Key-Properties---&gt;-Bathymetry) \n", "[4. Key Properties --&gt; Nonoceanic Waters](#4.-Key-Properties---&gt;-Nonoceanic-Waters) \n", "[5. Key Properties --&gt; Software Properties](#5.-Key-Properties---&gt;-Software-Properties) \n", "[6. Key Properties --&gt; Resolution](#6.-Key-Properties---&gt;-Resolution) \n", "[7. Key Properties --&gt; Tuning Applied](#7.-Key-Properties---&gt;-Tuning-Applied) \n", "[8. Key Properties --&gt; Conservation](#8.-Key-Properties---&gt;-Conservation) \n", "[9. Grid](#9.-Grid) \n", "[10. Grid --&gt; Discretisation --&gt; Vertical](#10.-Grid---&gt;-Discretisation---&gt;-Vertical) \n", "[11. Grid --&gt; Discretisation --&gt; Horizontal](#11.-Grid---&gt;-Discretisation---&gt;-Horizontal) \n", "[12. Timestepping Framework](#12.-Timestepping-Framework) \n", "[13. Timestepping Framework --&gt; Tracers](#13.-Timestepping-Framework---&gt;-Tracers) \n", "[14. Timestepping Framework --&gt; Baroclinic Dynamics](#14.-Timestepping-Framework---&gt;-Baroclinic-Dynamics) \n", "[15. Timestepping Framework --&gt; Barotropic](#15.-Timestepping-Framework---&gt;-Barotropic) \n", "[16. Timestepping Framework --&gt; Vertical Physics](#16.-Timestepping-Framework---&gt;-Vertical-Physics) \n", "[17. Advection](#17.-Advection) \n", "[18. Advection --&gt; Momentum](#18.-Advection---&gt;-Momentum) \n", "[19. Advection --&gt; Lateral Tracers](#19.-Advection---&gt;-Lateral-Tracers) \n", "[20. Advection --&gt; Vertical Tracers](#20.-Advection---&gt;-Vertical-Tracers) \n", "[21. Lateral Physics](#21.-Lateral-Physics) \n", "[22. Lateral Physics --&gt; Momentum --&gt; Operator](#22.-Lateral-Physics---&gt;-Momentum---&gt;-Operator) \n", "[23. Lateral Physics --&gt; Momentum --&gt; Eddy Viscosity Coeff](#23.-Lateral-Physics---&gt;-Momentum---&gt;-Eddy-Viscosity-Coeff) \n", "[24. Lateral Physics --&gt; Tracers](#24.-Lateral-Physics---&gt;-Tracers) \n", "[25. Lateral Physics --&gt; Tracers --&gt; Operator](#25.-Lateral-Physics---&gt;-Tracers---&gt;-Operator) \n", "[26. Lateral Physics --&gt; Tracers --&gt; Eddy Diffusity Coeff](#26.-Lateral-Physics---&gt;-Tracers---&gt;-Eddy-Diffusity-Coeff) \n", "[27. Lateral Physics --&gt; Tracers --&gt; Eddy Induced Velocity](#27.-Lateral-Physics---&gt;-Tracers---&gt;-Eddy-Induced-Velocity) \n", "[28. Vertical Physics](#28.-Vertical-Physics) \n", "[29. Vertical Physics --&gt; Boundary Layer Mixing --&gt; Details](#29.-Vertical-Physics---&gt;-Boundary-Layer-Mixing---&gt;-Details) \n", "[30. Vertical Physics --&gt; Boundary Layer Mixing --&gt; Tracers](#30.-Vertical-Physics---&gt;-Boundary-Layer-Mixing---&gt;-Tracers) \n", "[31. Vertical Physics --&gt; Boundary Layer Mixing --&gt; Momentum](#31.-Vertical-Physics---&gt;-Boundary-Layer-Mixing---&gt;-Momentum) \n", "[32. Vertical Physics --&gt; Interior Mixing --&gt; Details](#32.-Vertical-Physics---&gt;-Interior-Mixing---&gt;-Details) \n", "[33. Vertical Physics --&gt; Interior Mixing --&gt; Tracers](#33.-Vertical-Physics---&gt;-Interior-Mixing---&gt;-Tracers) \n", "[34. Vertical Physics --&gt; Interior Mixing --&gt; Momentum](#34.-Vertical-Physics---&gt;-Interior-Mixing---&gt;-Momentum) \n", "[35. Uplow Boundaries --&gt; Free Surface](#35.-Uplow-Boundaries---&gt;-Free-Surface) \n", "[36. Uplow Boundaries --&gt; Bottom Boundary Layer](#36.-Uplow-Boundaries---&gt;-Bottom-Boundary-Layer) \n", "[37. Boundary Forcing](#37.-Boundary-Forcing) \n", "[38. Boundary Forcing --&gt; Momentum --&gt; Bottom Friction](#38.-Boundary-Forcing---&gt;-Momentum---&gt;-Bottom-Friction) \n", "[39. Boundary Forcing --&gt; Momentum --&gt; Lateral Friction](#39.-Boundary-Forcing---&gt;-Momentum---&gt;-Lateral-Friction) \n", "[40. Boundary Forcing --&gt; Tracers --&gt; Sunlight Penetration](#40.-Boundary-Forcing---&gt;-Tracers---&gt;-Sunlight-Penetration) \n", "[41. Boundary Forcing --&gt; Tracers --&gt; Fresh Water Forcing](#41.-Boundary-Forcing---&gt;-Tracers---&gt;-Fresh-Water-Forcing) \n", "\n" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "# 1. Key Properties \n", "*Ocean key properties*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 1.1. Model Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of ocean model.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.model_overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.2. Model Name\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Name of ocean model code (NEMO 3.6, MOM 5.0,...)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.model_name') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.3. Model Family\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of ocean model.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.model_family') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"OGCM\" \n", "# \"slab ocean\" \n", "# \"mixed layer ocean\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.4. Basic Approximations\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Basic approximations made in the ocean.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.basic_approximations') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Primitive equations\" \n", "# \"Non-hydrostatic\" \n", "# \"Boussinesq\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.5. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *List of prognostic variables in the ocean component.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.prognostic_variables') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Potential temperature\" \n", "# \"Conservative temperature\" \n", "# \"Salinity\" \n", "# \"U-velocity\" \n", "# \"V-velocity\" \n", "# \"W-velocity\" \n", "# \"SSH\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 2. Key Properties --&gt; Seawater Properties \n", "*Physical properties of seawater in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 2.1. Eos Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of EOS for sea water*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.seawater_properties.eos_type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Linear\" \n", "# \"Wright, 1997\" \n", "# \"Mc Dougall et al.\" \n", "# \"Jackett et al. 2006\" \n", "# \"TEOS 2010\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.2. Eos Functional Temp\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Temperature used in EOS for sea water*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.seawater_properties.eos_functional_temp') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Potential temperature\" \n", "# \"Conservative temperature\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.3. Eos Functional Salt\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Salinity used in EOS for sea water*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.seawater_properties.eos_functional_salt') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Practical salinity Sp\" \n", "# \"Absolute salinity Sa\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.4. Eos Functional Depth\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Depth or pressure used in EOS for sea water ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.seawater_properties.eos_functional_depth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Pressure (dbars)\" \n", "# \"Depth (meters)\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.5. Ocean Freezing Point\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Equation used to compute the freezing point (in deg C) of seawater, as a function of salinity and pressure*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.seawater_properties.ocean_freezing_point') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"TEOS 2010\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.6. Ocean Specific Heat\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Specific heat in ocean (cpocean) in J/(kg K)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.seawater_properties.ocean_specific_heat') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.7. Ocean Reference Density\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Boussinesq reference density (rhozero) in kg / m3*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.seawater_properties.ocean_reference_density') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 3. Key Properties --&gt; Bathymetry \n", "*Properties of bathymetry in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 3.1. Reference Dates\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Reference date of bathymetry*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.bathymetry.reference_dates') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Present day\" \n", "# \"21000 years BP\" \n", "# \"6000 years BP\" \n", "# \"LGM\" \n", "# \"Pliocene\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.2. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is the bathymetry fixed in time in the ocean ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.bathymetry.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.3. Ocean Smoothing\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe any smoothing or hand editing of bathymetry in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.bathymetry.ocean_smoothing') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.4. Source\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe source of bathymetry in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.bathymetry.source') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 4. Key Properties --&gt; Nonoceanic Waters \n", "*Non oceanic waters treatement in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 4.1. Isolated Seas\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe if/how isolated seas is performed*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.nonoceanic_waters.isolated_seas') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 4.2. River Mouth\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe if/how river mouth mixing or estuaries specific treatment is performed*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.nonoceanic_waters.river_mouth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 5. Key Properties --&gt; Software Properties \n", "*Software properties of ocean code*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 5.1. Repository\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Location of code for this component.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.software_properties.repository') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 5.2. Code Version\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Code version identifier.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.software_properties.code_version') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 5.3. Code Languages\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Code language(s).*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.software_properties.code_languages') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 6. Key Properties --&gt; Resolution \n", "*Resolution in the ocean grid*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 6.1. Name\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *This is a string usually used by the modelling group to describe the resolution of this grid, e.g. ORCA025, N512L180, T512L70 etc.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.resolution.name') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 6.2. Canonical Horizontal Resolution\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Expression quoted for gross comparisons of resolution, eg. 50km or 0.1 degrees etc.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.resolution.canonical_horizontal_resolution') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 6.3. Range Horizontal Resolution\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Range of horizontal resolution with spatial details, eg. 50(Equator)-100km or 0.1-0.5 degrees etc.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.resolution.range_horizontal_resolution') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 6.4. Number Of Horizontal Gridpoints\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Total number of horizontal (XY) points (or degrees of freedom) on computational grid.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.resolution.number_of_horizontal_gridpoints') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 6.5. Number Of Vertical Levels\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Number of vertical levels resolved on computational grid.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.resolution.number_of_vertical_levels') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 6.6. Is Adaptive Grid\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Default is False. Set true if grid resolution changes during execution.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.resolution.is_adaptive_grid') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 6.7. Thickness Level 1\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Thickness of first surface ocean level (in meters)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.resolution.thickness_level_1') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 7. Key Properties --&gt; Tuning Applied \n", "*Tuning methodology for ocean component*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 7.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General overview description of tuning: explain and motivate the main targets and metrics retained. &amp;Document the relative weight given to climate performance metrics versus process oriented metrics, &amp;and on the possible conflicts with parameterization level tuning. In particular describe any struggle &amp;with a parameter value that required pushing it to its limits to solve a particular model deficiency.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.tuning_applied.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 7.2. Global Mean Metrics Used\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *List set of metrics of the global mean state used in tuning model/component*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.tuning_applied.global_mean_metrics_used') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 7.3. Regional Metrics Used\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *List of regional metrics of mean state (e.g THC, AABW, regional means etc) used in tuning model/component*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.tuning_applied.regional_metrics_used') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 7.4. Trend Metrics Used\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *List observed trend metrics used in tuning model/component*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.tuning_applied.trend_metrics_used') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 8. Key Properties --&gt; Conservation \n", "*Conservation in the ocean component*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 8.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Brief description of conservation methodology*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.conservation.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.2. Scheme\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Properties conserved in the ocean by the numerical schemes*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.conservation.scheme') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Energy\" \n", "# \"Enstrophy\" \n", "# \"Salt\" \n", "# \"Volume of ocean\" \n", "# \"Momentum\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.3. Consistency Properties\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Any additional consistency properties (energy conversion, pressure gradient discretisation, ...)?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.conservation.consistency_properties') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.4. Corrected Conserved Prognostic Variables\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Set of variables which are conserved by *more* than the numerical scheme alone.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.conservation.corrected_conserved_prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.5. Was Flux Correction Used\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Does conservation involve flux correction ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.key_properties.conservation.was_flux_correction_used') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 9. Grid \n", "*Ocean grid*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 9.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of grid in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.grid.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 10. Grid --&gt; Discretisation --&gt; Vertical \n", "*Properties of vertical discretisation in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 10.1. Coordinates\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of vertical coordinates in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.grid.discretisation.vertical.coordinates') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Z-coordinate\" \n", "# \"Z*-coordinate\" \n", "# \"S-coordinate\" \n", "# \"Isopycnic - sigma 0\" \n", "# \"Isopycnic - sigma 2\" \n", "# \"Isopycnic - sigma 4\" \n", "# \"Isopycnic - other\" \n", "# \"Hybrid / Z+S\" \n", "# \"Hybrid / Z+isopycnic\" \n", "# \"Hybrid / other\" \n", "# \"Pressure referenced (P)\" \n", "# \"P*\" \n", "# \"Z**\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.2. Partial Steps\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Using partial steps with Z or Z* vertical coordinate in ocean ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.grid.discretisation.vertical.partial_steps') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 11. Grid --&gt; Discretisation --&gt; Horizontal \n", "*Type of horizontal discretisation scheme in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 11.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Horizontal grid type*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.grid.discretisation.horizontal.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Lat-lon\" \n", "# \"Rotated north pole\" \n", "# \"Two north poles (ORCA-style)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.2. Staggering\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Horizontal grid staggering type*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.grid.discretisation.horizontal.staggering') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Arakawa B-grid\" \n", "# \"Arakawa C-grid\" \n", "# \"Arakawa E-grid\" \n", "# \"N/a\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.3. Scheme\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Horizontal discretisation scheme in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.grid.discretisation.horizontal.scheme') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Finite difference\" \n", "# \"Finite volumes\" \n", "# \"Finite elements\" \n", "# \"Unstructured grid\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 12. Timestepping Framework \n", "*Ocean Timestepping Framework*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 12.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of time stepping in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.timestepping_framework.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 12.2. Diurnal Cycle\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Diurnal cycle type*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.timestepping_framework.diurnal_cycle') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"None\" \n", "# \"Via coupling\" \n", "# \"Specific treatment\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 13. Timestepping Framework --&gt; Tracers \n", "*Properties of tracers time stepping in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 13.1. Scheme\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Tracers time stepping scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.timestepping_framework.tracers.scheme') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Leap-frog + Asselin filter\" \n", "# \"Leap-frog + Periodic Euler\" \n", "# \"Predictor-corrector\" \n", "# \"Runge-Kutta 2\" \n", "# \"AM3-LF\" \n", "# \"Forward-backward\" \n", "# \"Forward operator\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 13.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Tracers time step (in seconds)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.timestepping_framework.tracers.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 14. Timestepping Framework --&gt; Baroclinic Dynamics \n", "*Baroclinic dynamics in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 14.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Baroclinic dynamics type*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.timestepping_framework.baroclinic_dynamics.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Preconditioned conjugate gradient\" \n", "# \"Sub cyling\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.2. Scheme\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Baroclinic dynamics scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.timestepping_framework.baroclinic_dynamics.scheme') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Leap-frog + Asselin filter\" \n", "# \"Leap-frog + Periodic Euler\" \n", "# \"Predictor-corrector\" \n", "# \"Runge-Kutta 2\" \n", "# \"AM3-LF\" \n", "# \"Forward-backward\" \n", "# \"Forward operator\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.3. Time Step\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Baroclinic time step (in seconds)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.timestepping_framework.baroclinic_dynamics.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 15. Timestepping Framework --&gt; Barotropic \n", "*Barotropic time stepping in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 15.1. Splitting\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time splitting method*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.timestepping_framework.barotropic.splitting') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"None\" \n", "# \"split explicit\" \n", "# \"implicit\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.2. Time Step\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Barotropic time step (in seconds)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.timestepping_framework.barotropic.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 16. Timestepping Framework --&gt; Vertical Physics \n", "*Vertical physics time stepping in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 16.1. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Details of vertical time stepping in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.timestepping_framework.vertical_physics.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 17. Advection \n", "*Ocean advection*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 17.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of advection in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 18. Advection --&gt; Momentum \n", "*Properties of lateral momemtum advection scheme in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 18.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of lateral momemtum advection scheme in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.momentum.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Flux form\" \n", "# \"Vector form\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.2. Scheme Name\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Name of ocean momemtum advection scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.momentum.scheme_name') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.3. ALE\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Using ALE for vertical advection ? (if vertical coordinates are sigma)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.momentum.ALE') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 19. Advection --&gt; Lateral Tracers \n", "*Properties of lateral tracer advection scheme in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 19.1. Order\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Order of lateral tracer advection scheme in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.lateral_tracers.order') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.2. Flux Limiter\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Monotonic flux limiter for lateral tracer advection scheme in ocean ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.lateral_tracers.flux_limiter') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.3. Effective Order\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Effective order of limited lateral tracer advection scheme in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.lateral_tracers.effective_order') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.4. Name\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Descriptive text for lateral tracer advection scheme in ocean (e.g. MUSCL, PPM-H5, PRATHER,...)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.lateral_tracers.name') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.5. Passive Tracers\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Passive tracers advected*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.lateral_tracers.passive_tracers') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Ideal age\" \n", "# \"CFC 11\" \n", "# \"CFC 12\" \n", "# \"SF6\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.6. Passive Tracers Advection\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Is advection of passive tracers different than active ? if so, describe.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.lateral_tracers.passive_tracers_advection') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 20. Advection --&gt; Vertical Tracers \n", "*Properties of vertical tracer advection scheme in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 20.1. Name\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Descriptive text for vertical tracer advection scheme in ocean (e.g. MUSCL, PPM-H5, PRATHER,...)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.vertical_tracers.name') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 20.2. Flux Limiter\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Monotonic flux limiter for vertical tracer advection scheme in ocean ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.advection.vertical_tracers.flux_limiter') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 21. Lateral Physics \n", "*Ocean lateral physics*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 21.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of lateral physics in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 21.2. Scheme\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of transient eddy representation in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.scheme') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"None\" \n", "# \"Eddy active\" \n", "# \"Eddy admitting\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 22. Lateral Physics --&gt; Momentum --&gt; Operator \n", "*Properties of lateral physics operator for momentum in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 22.1. Direction\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Direction of lateral physics momemtum scheme in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.momentum.operator.direction') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Horizontal\" \n", "# \"Isopycnal\" \n", "# \"Isoneutral\" \n", "# \"Geopotential\" \n", "# \"Iso-level\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 22.2. Order\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Order of lateral physics momemtum scheme in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.momentum.operator.order') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Harmonic\" \n", "# \"Bi-harmonic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 22.3. Discretisation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Discretisation of lateral physics momemtum scheme in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.momentum.operator.discretisation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Second order\" \n", "# \"Higher order\" \n", "# \"Flux limiter\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 23. Lateral Physics --&gt; Momentum --&gt; Eddy Viscosity Coeff \n", "*Properties of eddy viscosity coeff in lateral physics momemtum scheme in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 23.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Lateral physics momemtum eddy viscosity coeff type in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.momentum.eddy_viscosity_coeff.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Constant\" \n", "# \"Space varying\" \n", "# \"Time + space varying (Smagorinsky)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 23.2. Constant Coefficient\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If constant, value of eddy viscosity coeff in lateral physics momemtum scheme (in m2/s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.momentum.eddy_viscosity_coeff.constant_coefficient') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 23.3. Variable Coefficient\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If space-varying, describe variations of eddy viscosity coeff in lateral physics momemtum scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.momentum.eddy_viscosity_coeff.variable_coefficient') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 23.4. Coeff Background\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe background eddy viscosity coeff in lateral physics momemtum scheme (give values in m2/s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.momentum.eddy_viscosity_coeff.coeff_background') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 23.5. Coeff Backscatter\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is there backscatter in eddy viscosity coeff in lateral physics momemtum scheme ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.momentum.eddy_viscosity_coeff.coeff_backscatter') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 24. Lateral Physics --&gt; Tracers \n", "*Properties of lateral physics for tracers in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 24.1. Mesoscale Closure\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is there a mesoscale closure in the lateral physics tracers scheme ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.mesoscale_closure') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 24.2. Submesoscale Mixing\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is there a submesoscale mixing parameterisation (i.e Fox-Kemper) in the lateral physics tracers scheme ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.submesoscale_mixing') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 25. Lateral Physics --&gt; Tracers --&gt; Operator \n", "*Properties of lateral physics operator for tracers in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 25.1. Direction\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Direction of lateral physics tracers scheme in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.operator.direction') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Horizontal\" \n", "# \"Isopycnal\" \n", "# \"Isoneutral\" \n", "# \"Geopotential\" \n", "# \"Iso-level\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 25.2. Order\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Order of lateral physics tracers scheme in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.operator.order') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Harmonic\" \n", "# \"Bi-harmonic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 25.3. Discretisation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Discretisation of lateral physics tracers scheme in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.operator.discretisation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Second order\" \n", "# \"Higher order\" \n", "# \"Flux limiter\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 26. Lateral Physics --&gt; Tracers --&gt; Eddy Diffusity Coeff \n", "*Properties of eddy diffusity coeff in lateral physics tracers scheme in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 26.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Lateral physics tracers eddy diffusity coeff type in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.eddy_diffusity_coeff.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Constant\" \n", "# \"Space varying\" \n", "# \"Time + space varying (Smagorinsky)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.2. Constant Coefficient\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If constant, value of eddy diffusity coeff in lateral physics tracers scheme (in m2/s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.eddy_diffusity_coeff.constant_coefficient') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.3. Variable Coefficient\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If space-varying, describe variations of eddy diffusity coeff in lateral physics tracers scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.eddy_diffusity_coeff.variable_coefficient') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.4. Coeff Background\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe background eddy diffusity coeff in lateral physics tracers scheme (give values in m2/s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.eddy_diffusity_coeff.coeff_background') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.5. Coeff Backscatter\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is there backscatter in eddy diffusity coeff in lateral physics tracers scheme ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.eddy_diffusity_coeff.coeff_backscatter') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 27. Lateral Physics --&gt; Tracers --&gt; Eddy Induced Velocity \n", "*Properties of eddy induced velocity (EIV) in lateral physics tracers scheme in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 27.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of EIV in lateral physics tracers in the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.eddy_induced_velocity.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"GM\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.2. Constant Val\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If EIV scheme for tracers is constant, specify coefficient value (M2/s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.eddy_induced_velocity.constant_val') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.3. Flux Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of EIV flux (advective or skew)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.eddy_induced_velocity.flux_type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.4. Added Diffusivity\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of EIV added diffusivity (constant, flow dependent or none)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.lateral_physics.tracers.eddy_induced_velocity.added_diffusivity') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 28. Vertical Physics \n", "*Ocean Vertical Physics*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 28.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of vertical physics in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 29. Vertical Physics --&gt; Boundary Layer Mixing --&gt; Details \n", "*Properties of vertical physics in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 29.1. Langmuir Cells Mixing\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is there Langmuir cells mixing in upper ocean ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.boundary_layer_mixing.details.langmuir_cells_mixing') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 30. Vertical Physics --&gt; Boundary Layer Mixing --&gt; Tracers \n", "*Properties of boundary layer (BL) mixing on tracers in the ocean *" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 30.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of boundary layer mixing for tracers in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.boundary_layer_mixing.tracers.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Constant value\" \n", "# \"Turbulent closure - TKE\" \n", "# \"Turbulent closure - KPP\" \n", "# \"Turbulent closure - Mellor-Yamada\" \n", "# \"Turbulent closure - Bulk Mixed Layer\" \n", "# \"Richardson number dependent - PP\" \n", "# \"Richardson number dependent - KT\" \n", "# \"Imbeded as isopycnic vertical coordinate\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.2. Closure Order\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If turbulent BL mixing of tracers, specific order of closure (0, 1, 2.5, 3)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.boundary_layer_mixing.tracers.closure_order') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.3. Constant\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If constant BL mixing of tracers, specific coefficient (m2/s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.boundary_layer_mixing.tracers.constant') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.4. Background\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Background BL mixing of tracers coefficient, (schema and value in m2/s - may by none)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.boundary_layer_mixing.tracers.background') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 31. Vertical Physics --&gt; Boundary Layer Mixing --&gt; Momentum \n", "*Properties of boundary layer (BL) mixing on momentum in the ocean *" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 31.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of boundary layer mixing for momentum in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.boundary_layer_mixing.momentum.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Constant value\" \n", "# \"Turbulent closure - TKE\" \n", "# \"Turbulent closure - KPP\" \n", "# \"Turbulent closure - Mellor-Yamada\" \n", "# \"Turbulent closure - Bulk Mixed Layer\" \n", "# \"Richardson number dependent - PP\" \n", "# \"Richardson number dependent - KT\" \n", "# \"Imbeded as isopycnic vertical coordinate\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 31.2. Closure Order\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If turbulent BL mixing of momentum, specific order of closure (0, 1, 2.5, 3)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.boundary_layer_mixing.momentum.closure_order') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 31.3. Constant\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If constant BL mixing of momentum, specific coefficient (m2/s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.boundary_layer_mixing.momentum.constant') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 31.4. Background\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Background BL mixing of momentum coefficient, (schema and value in m2/s - may by none)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.boundary_layer_mixing.momentum.background') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 32. Vertical Physics --&gt; Interior Mixing --&gt; Details \n", "*Properties of interior mixing in the ocean *" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 32.1. Convection Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of vertical convection in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.details.convection_type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Non-penetrative convective adjustment\" \n", "# \"Enhanced vertical diffusion\" \n", "# \"Included in turbulence closure\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.2. Tide Induced Mixing\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe how tide induced mixing is modelled (barotropic, baroclinic, none)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.details.tide_induced_mixing') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.3. Double Diffusion\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is there double diffusion*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.details.double_diffusion') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.4. Shear Mixing\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is there interior shear mixing*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.details.shear_mixing') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 33. Vertical Physics --&gt; Interior Mixing --&gt; Tracers \n", "*Properties of interior mixing on tracers in the ocean *" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 33.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of interior mixing for tracers in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.tracers.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Constant value\" \n", "# \"Turbulent closure / TKE\" \n", "# \"Turbulent closure - Mellor-Yamada\" \n", "# \"Richardson number dependent - PP\" \n", "# \"Richardson number dependent - KT\" \n", "# \"Imbeded as isopycnic vertical coordinate\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.2. Constant\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If constant interior mixing of tracers, specific coefficient (m2/s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.tracers.constant') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.3. Profile\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is the background interior mixing using a vertical profile for tracers (i.e is NOT constant) ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.tracers.profile') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.4. Background\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Background interior mixing of tracers coefficient, (schema and value in m2/s - may by none)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.tracers.background') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 34. Vertical Physics --&gt; Interior Mixing --&gt; Momentum \n", "*Properties of interior mixing on momentum in the ocean *" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 34.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of interior mixing for momentum in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.momentum.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Constant value\" \n", "# \"Turbulent closure / TKE\" \n", "# \"Turbulent closure - Mellor-Yamada\" \n", "# \"Richardson number dependent - PP\" \n", "# \"Richardson number dependent - KT\" \n", "# \"Imbeded as isopycnic vertical coordinate\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 34.2. Constant\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If constant interior mixing of momentum, specific coefficient (m2/s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.momentum.constant') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 34.3. Profile\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is the background interior mixing using a vertical profile for momentum (i.e is NOT constant) ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.momentum.profile') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 34.4. Background\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Background interior mixing of momentum coefficient, (schema and value in m2/s - may by none)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.vertical_physics.interior_mixing.momentum.background') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 35. Uplow Boundaries --&gt; Free Surface \n", "*Properties of free surface in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 35.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of free surface in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.uplow_boundaries.free_surface.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 35.2. Scheme\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Free surface scheme in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.uplow_boundaries.free_surface.scheme') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Linear implicit\" \n", "# \"Linear filtered\" \n", "# \"Linear semi-explicit\" \n", "# \"Non-linear implicit\" \n", "# \"Non-linear filtered\" \n", "# \"Non-linear semi-explicit\" \n", "# \"Fully explicit\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 35.3. Embeded Seaice\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is the sea-ice embeded in the ocean model (instead of levitating) ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.uplow_boundaries.free_surface.embeded_seaice') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 36. Uplow Boundaries --&gt; Bottom Boundary Layer \n", "*Properties of bottom boundary layer in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 36.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of bottom boundary layer in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.uplow_boundaries.bottom_boundary_layer.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 36.2. Type Of Bbl\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of bottom boundary layer in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.uplow_boundaries.bottom_boundary_layer.type_of_bbl') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Diffusive\" \n", "# \"Acvective\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 36.3. Lateral Mixing Coef\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If bottom BL is diffusive, specify value of lateral mixing coefficient (in m2/s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.uplow_boundaries.bottom_boundary_layer.lateral_mixing_coef') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 36.4. Sill Overflow\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe any specific treatment of sill overflows*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.uplow_boundaries.bottom_boundary_layer.sill_overflow') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 37. Boundary Forcing \n", "*Ocean boundary forcing*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 37.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of boundary forcing in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 37.2. Surface Pressure\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe how surface pressure is transmitted to ocean (via sea-ice, nothing specific,...)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.surface_pressure') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 37.3. Momentum Flux Correction\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe any type of ocean surface momentum flux correction and, if applicable, how it is applied and where.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.momentum_flux_correction') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 37.4. Tracers Flux Correction\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe any type of ocean surface tracers flux correction and, if applicable, how it is applied and where.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.tracers_flux_correction') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 37.5. Wave Effects\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe if/how wave effects are modelled at ocean surface.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.wave_effects') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 37.6. River Runoff Budget\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe how river runoff from land surface is routed to ocean and any global adjustment done.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.river_runoff_budget') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 37.7. Geothermal Heating\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe if/how geothermal heating is present at ocean bottom.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.geothermal_heating') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 38. Boundary Forcing --&gt; Momentum --&gt; Bottom Friction \n", "*Properties of momentum bottom friction in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 38.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of momentum bottom friction in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.momentum.bottom_friction.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Linear\" \n", "# \"Non-linear\" \n", "# \"Non-linear (drag function of speed of tides)\" \n", "# \"Constant drag coefficient\" \n", "# \"None\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 39. Boundary Forcing --&gt; Momentum --&gt; Lateral Friction \n", "*Properties of momentum lateral friction in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 39.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of momentum lateral friction in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.momentum.lateral_friction.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"None\" \n", "# \"Free-slip\" \n", "# \"No-slip\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 40. Boundary Forcing --&gt; Tracers --&gt; Sunlight Penetration \n", "*Properties of sunlight penetration scheme in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 40.1. Scheme\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of sunlight penetration scheme in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.tracers.sunlight_penetration.scheme') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"1 extinction depth\" \n", "# \"2 extinction depth\" \n", "# \"3 extinction depth\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 40.2. Ocean Colour\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is the ocean sunlight penetration scheme ocean colour dependent ?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.tracers.sunlight_penetration.ocean_colour') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 40.3. Extinction Depth\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe and list extinctions depths for sunlight penetration scheme (if applicable).*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.tracers.sunlight_penetration.extinction_depth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 41. Boundary Forcing --&gt; Tracers --&gt; Fresh Water Forcing \n", "*Properties of surface fresh water forcing in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 41.1. From Atmopshere\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of surface fresh water forcing from atmos in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.tracers.fresh_water_forcing.from_atmopshere') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Freshwater flux\" \n", "# \"Virtual salt flux\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 41.2. From Sea Ice\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of surface fresh water forcing from sea-ice in ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.tracers.fresh_water_forcing.from_sea_ice') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Freshwater flux\" \n", "# \"Virtual salt flux\" \n", "# \"Real salt flux\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 41.3. Forced Mode Restoring\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Type of surface salinity restoring in forced mode (OMIP)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.ocean.boundary_forcing.tracers.fresh_water_forcing.forced_mode_restoring') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### \u00a92017 [ES-DOC](https://es-doc.org) \n" ], "cell_type": "markdown", "metadata": {} } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2", "language": "python" }, "language_info": { "mimetype": "text/x-python", "nbconvert_exporter": "python", "name": "python", "file_extension": ".py", "version": "2.7.10", "pygments_lexer": "ipython2", "codemirror_mode": { "version": 2, "name": "ipython" } } } }
gpl-3.0
RobbieNesmith/PandasTutorial
Tutorial/Exercises-4.ipynb
2
218081
{ "cells": [ { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "import pandas as pd\n", "import seaborn as sbn\n", "sbn.set()" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<style>body {\n", " margin: 0;\n", " font-family: Helvetica;\n", "}\n", "table.dataframe {\n", " border-collapse: collapse;\n", " border: none;\n", "}\n", "table.dataframe tr {\n", " border: none;\n", "}\n", "table.dataframe td, table.dataframe th {\n", " margin: 0;\n", " border: 1px solid white;\n", " padding-left: 0.25em;\n", " padding-right: 0.25em;\n", "}\n", "table.dataframe th:not(:empty) {\n", " background-color: #fec;\n", " text-align: left;\n", " font-weight: normal;\n", "}\n", "table.dataframe tr:nth-child(2) th:empty {\n", " border-left: none;\n", " border-right: 1px dashed #888;\n", "}\n", "table.dataframe td {\n", " border: 2px solid #ccf;\n", " background-color: #f4f4ff;\n", "}\n", "h3 {\n", " color: white;\n", " background-color: black;\n", " padding: 0.5em;\n", "}\n", "</style>" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from IPython.core.display import HTML\n", "css = open('style-table.css').read() + open('style-notebook.css').read()\n", "HTML('<style>{}</style>'.format(css))" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>title</th>\n", " <th>year</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>The Rising Son</td>\n", " <td>1990</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Ashes of Kukulcan</td>\n", " <td>2016</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>The Thousand Plane Raid</td>\n", " <td>1969</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>Crucea de piatra</td>\n", " <td>1993</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>The 86</td>\n", " <td>2015</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " title year\n", "0 The Rising Son 1990\n", "1 Ashes of Kukulcan 2016\n", "2 The Thousand Plane Raid 1969\n", "3 Crucea de piatra 1993\n", "4 The 86 2015" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titles = pd.DataFrame.from_csv('data/titles.csv', index_col=None)\n", "titles.head()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>title</th>\n", " <th>year</th>\n", " <th>name</th>\n", " <th>type</th>\n", " <th>character</th>\n", " <th>n</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>Suuri illusioni</td>\n", " <td>1985</td>\n", " <td>Homo $</td>\n", " <td>actor</td>\n", " <td>Guests</td>\n", " <td>22</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Gangsta Rap: The Glockumentary</td>\n", " <td>2007</td>\n", " <td>Too $hort</td>\n", " <td>actor</td>\n", " <td>Himself</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Menace II Society</td>\n", " <td>1993</td>\n", " <td>Too $hort</td>\n", " <td>actor</td>\n", " <td>Lew-Loc</td>\n", " <td>27</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>Porndogs: The Adventures of Sadie</td>\n", " <td>2009</td>\n", " <td>Too $hort</td>\n", " <td>actor</td>\n", " <td>Bosco</td>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>Stop Pepper Palmer</td>\n", " <td>2014</td>\n", " <td>Too $hort</td>\n", " <td>actor</td>\n", " <td>Himself</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " title year name type character n\n", "0 Suuri illusioni 1985 Homo $ actor Guests 22\n", "1 Gangsta Rap: The Glockumentary 2007 Too $hort actor Himself NaN\n", "2 Menace II Society 1993 Too $hort actor Lew-Loc 27\n", "3 Porndogs: The Adventures of Sadie 2009 Too $hort actor Bosco 3\n", "4 Stop Pepper Palmer 2014 Too $hort actor Himself NaN" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cast = pd.DataFrame.from_csv('data/cast.csv', index_col=None)\n", "cast.head()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Define a year as a \"Superman year\" whose films feature more Superman characters than Batman. How many years in film history have been Superman years?" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Superman: 12\n" ] } ], "source": [ "both = cast[(cast.character=='Superman') | (cast.character == 'Batman')].groupby(['year','character']).size().unstack().fillna(0)\n", "diff = both.Superman - both.Batman\n", "print(\"Superman: \" + str(len(diff[diff>0])))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### How many years have been \"Batman years\", with more Batman characters than Superman characters?" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Batman: 24\n" ] } ], "source": [ "both = cast[(cast.character=='Superman') | (cast.character == 'Batman')].groupby(['year','character']).size().unstack().fillna(0)\n", "diff = both.Batman - both.Superman\n", "print(\"Batman: \" + str(len(diff[diff>0])))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Plot the number of actor roles each year and the number of actress roles each year over the history of film." ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x7f71c41e4c50>" ] }, "execution_count": 51, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAf0AAAFmCAYAAABnbUMIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSZKkNCGTviRJkiSlif8PtHa2Du7/NbUAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f71c46b6320>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "cast.groupby(['year','type']).size().unstack().plot()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Plot the number of actor roles each year and the number of actress roles each year, but this time as a kind='area' plot." ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x7f71c4166748>" ] }, "execution_count": 52, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAf0AAAFmCAYAAABnbUMIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f71c416d7f0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "cast.groupby(['year','type']).size().unstack().plot(kind='area')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Plot the difference between the number of actor roles each year and the number of actress roles each year over the history of film." ] }, { "cell_type": "code", "execution_count": 55, "metadata": { "collapsed": true }, "outputs": [], "source": [ "foo = cast.groupby(['year','type']).size().unstack().fillna(0)" ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x7f71c40f48d0>" ] }, "execution_count": 60, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfcAAAFmCAYAAABwT9PBAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f71c45e7cf8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "foo['diff'] = foo['actor']-foo['actress']\n", "foo['diff'].plot()" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Plot the fraction of roles that have been 'actor' roles each year in the hitsory of film." ] }, { "cell_type": "code", "execution_count": 61, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x7f71c408a278>" ] }, "execution_count": 61, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAe0AAAFmCAYAAABAw8LgAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f71c403f2b0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "foo['totalRoles'] = foo['actor']+foo['actress']\n", "foo['manFrac'] = foo['actor']/foo['totalRoles']\n", "foo['manFrac'].plot()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Plot the fraction of supporting (n=2) roles that have been 'actor' roles each year in the history of film." ] }, { "cell_type": "code", "execution_count": 68, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x7f71c3fd87b8>" ] }, "execution_count": 68, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAe4AAAFmCAYAAACr9HnjAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRCxEwS0iImIhCm4RERELUXCLiIhYyP8BzZeBGXEMDuYAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f71c40261d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "support = cast[cast.n==2]\n", "bar = support.groupby(['year','type']).size().unstack().fillna(0)\n", "bar['totalRoles'] = bar['actor']+bar['actress']\n", "bar['manFrac'] = bar['actor']/bar['totalRoles']\n", "bar['manFrac'].plot()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "### Build a plot with a line for each rank n=1 through n=3, where the line shows what fraction of that rank's roles were 'actor' roles for each year in the history of film." ] }, { "cell_type": "code", "execution_count": 84, "metadata": { "collapsed": false }, "outputs": [ { "ename": "TypeError", "evalue": "unsupported operand type(s) for +: 'AxesSubplot' and 'AxesSubplot'", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-84-69299e995b5c>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[0;32m 3\u001b[0m \u001b[0mbaz\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'totalRoles'\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mbaz\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'actor'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m+\u001b[0m\u001b[0mbaz\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'actress'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[0mbaz\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'manFrac'\u001b[0m\u001b[1;33m]\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mbaz\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'actor'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m/\u001b[0m\u001b[0mbaz\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'totalRoles'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 5\u001b[1;33m \u001b[0mfoo\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'manFrac'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mplot\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m+\u001b[0m \u001b[1;33m(\u001b[0m\u001b[0mbar\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'manFrac'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mplot\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m+\u001b[0m \u001b[0mbaz\u001b[0m\u001b[1;33m[\u001b[0m\u001b[1;34m'manFrac'\u001b[0m\u001b[1;33m]\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mplot\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;31mTypeError\u001b[0m: unsupported operand type(s) for +: 'AxesSubplot' and 'AxesSubplot'" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAecAAAFmCAYAAABX4VIpAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nP/NvVMQYo3OB5tkAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f71c3d31080>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "thirdWheel = cast[cast.n==3]\n", "baz = thirdWheel.groupby(['year','type']).size().unstack().fillna(0)\n", "baz['totalRoles'] = baz['actor']+baz['actress']\n", "baz['manFrac'] = baz['actor']/baz['totalRoles']\n", "foo['manFrac'].plot() + (bar['manFrac'].plot() + baz['manFrac'].plot())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.0" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
FerdinandKlingenberg/TestAvSentinel-2Python
Resample.ipynb
1
8516
{ "cells": [ { "cell_type": "code", "execution_count": 70, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Import modules\n", "import ndvi_algo\n", "import snappy\n", "from snappy import ProductIO\n", "from snappy import GPF\n", "from snappy import jpy\n", "import sys\n", "\n", "import numpy as np\n", "import string" ] }, { "cell_type": "code", "execution_count": 32, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Reading...\n", "Product: S2A_MSIL1C_20170523T104031_N0205_R008_T32VNM_20170523T104025, 10980 x 10980 pixels, None\n", "Bands: ['B1', 'B2', 'B3', 'B4', 'B5', 'B6', 'B7', 'B8', 'B8A', 'B9', 'B10', 'B11', 'B12', 'view_zenith_mean', 'view_azimuth_mean', 'sun_zenith', 'sun_azimuth', 'view_zenith_B1', 'view_azimuth_B1', 'view_zenith_B2', 'view_azimuth_B2', 'view_zenith_B3', 'view_azimuth_B3', 'view_zenith_B4', 'view_azimuth_B4', 'view_zenith_B5', 'view_azimuth_B5', 'view_zenith_B6', 'view_azimuth_B6', 'view_zenith_B7', 'view_azimuth_B7', 'view_zenith_B8', 'view_azimuth_B8', 'view_zenith_B8A', 'view_azimuth_B8A', 'view_zenith_B9', 'view_azimuth_B9', 'view_zenith_B10', 'view_azimuth_B10', 'view_zenith_B11', 'view_azimuth_B11', 'view_zenith_B12', 'view_azimuth_B12']\n" ] } ], "source": [ "# Import files\n", "print(\"Reading...\")\n", "product = ProductIO.readProduct('/home/fklingenberg/Documents/Mosaicing_python/Data/S2A_MSIL1C_20170523T104031_N0205_R008_T32VNM_20170523T104025.SAFE/MTD_MSIL1C.xml')\n", "width = product.getSceneRasterWidth()\n", "height = product.getSceneRasterHeight()\n", "name = product.getName()\n", "description = product.getDescription()\n", "band_names = product.getBandNames()\n", "\n", "print(\"Product: %s, %d x %d pixels, %s\" % (name, width, height, description))\n", "print(\"Bands: %s\" % (list(band_names)))" ] }, { "cell_type": "code", "execution_count": 65, "metadata": {}, "outputs": [ { "ename": "ValueError", "evalue": "Java class 'org.esa.snap.core.dataop.resamp' not found", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mValueError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-65-81bedccde91b>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 3\u001b[0m \u001b[0mBandDescriptor\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mjpy\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mget_type\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m'org.esa.snap.core.gpf.common.BandMathsOp$BandDescriptor'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 4\u001b[0m \u001b[0mResampling\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mjpy\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mget_type\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m'org.esa.snap.core.dataop.resamp.Resampling'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 5\u001b[0;31m \u001b[0mresamp\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mjpy\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mget_type\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m'org.esa.snap.core.dataop.resamp'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;31mValueError\u001b[0m: Java class 'org.esa.snap.core.dataop.resamp' not found" ] } ], "source": [ "GPF.getDefaultInstance().getOperatorSpiRegistry().loadOperatorSpis()\n", "HashMap = jpy.get_type('java.util.HashMap')\n", "BandDescriptor = jpy.get_type('org.esa.snap.core.gpf.common.BandMathsOp$BandDescriptor')\n", "Resampling = jpy.get_type('org.esa.snap.core.dataop.resamp.Resampling')\n", "resamp = jpy.get_type('org.esa.snap.core.dataop.resamp')\n" ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": true }, "outputs": [], "source": [ "resamplingMethod = Resampling.NEAREST_NEIGHBOUR.getName();\n", "#bruktIndex = Resampling.createIndex()\n", "indexxxx=Resample.computeIndex()" ] }, { "cell_type": "code", "execution_count": 63, "metadata": {}, "outputs": [ { "ename": "NameError", "evalue": "name 'targetBand11index' is not defined", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-63-9c1dd7e80a36>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[0;32m----> 1\u001b[0;31m \u001b[0mtargetBand11index\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mcomputeIndex\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m;\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;31mNameError\u001b[0m: name 'targetBand11index' is not defined" ] } ], "source": [ "targetBand11index.computeIndex();" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "ename": "NameError", "evalue": "name 'BandDescriptor' is not defined", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-1-17a25204b9c2>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[0;31m# NDSI\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 2\u001b[0;31m \u001b[0mtargetBand1\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mBandDescriptor\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 3\u001b[0m \u001b[0mtargetBand1\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mname\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;34m'band_1'\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 4\u001b[0m \u001b[0mtargetBand1\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mtype\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;34m'float32'\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 5\u001b[0m \u001b[0mtargetBand1\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mexpression\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;34m'(B3 - B11) / (B3 + B11)'\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mNameError\u001b[0m: name 'BandDescriptor' is not defined" ] } ], "source": [ "# NDSI\n", "targetBand1 = BandDescriptor()\n", "targetBand1.name = 'band_1'\n", "targetBand1.type = 'float32'\n", "targetBand1.expression = '(B3 - B11) / (B3 + B11)'" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# NDVI\n", "targetBand2 = BandDescriptor()\n", "targetBand2.name = 'band_2'\n", "targetBand2.type = 'float32'\n", "targetBand2.expression = '(B8 - B4) / (B8 + B4)'" ] }, { "cell_type": "code", "execution_count": 40, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Writing...\n", "Done.\n" ] } ], "source": [ "# Til fil\n", "targetBands = jpy.array('org.esa.snap.core.gpf.common.BandMathsOp$BandDescriptor', 1)\n", "targetBands[0] = targetBand2\n", "#targetBands[1] = targetBand1\n", "\n", "parameters = HashMap()\n", "parameters.put('targetBands', targetBands)\n", "\n", "result = GPF.createProduct('BandMaths', parameters, product)\n", "\n", "print(\"Writing...\")\n", "\n", "ProductIO.writeProduct(result, 'snappy_bmaths_output.dim', 'BEAM-DIMAP')\n", "\n", "print(\"Done.\")\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.13" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
Ledoux/ShareYourSystem
Ouvaton/Hdformater.ipynb
1
7247
{ "nbformat": 3, "worksheets": [ { "cells": [ { "source": "\n<!--\nFrozenIsBool False\n-->\n\n#Hdformater\n\n##Doc\n----\n\n\n> \n> An Hdformater instance maps an apply and so \"grinds\" a MappingArgDictsList \n> to a method.\n> \n> \n\n----\n\n<small>\nView the Hdformater notebook on [NbViewer](http://nbviewer.ipython.org/url/shareyoursystem.ouvaton.org/Hdformater.ipynb)\n</small>\n\n", "cell_type": "markdown", "prompt_number": 0, "metadata": { "slideshow": { "slide_type": "slide" } } }, { "source": "\n<!--\nFrozenIsBool False\n-->\n\n##Code\n\n----\n\n<ClassDocStr>\n\n----\n\n```python\n# -*- coding: utf-8 -*-\n\"\"\"\n\n\n<DefineSource>\n@Date : Fri Nov 14 13:20:38 2014 \\n\n@Author : Erwan Ledoux \\n\\n\n</DefineSource>\n\n\nAn Hdformater instance maps an apply and so \"grinds\" a MappingArgDictsList \nto a method.\n\n\"\"\"\n\n#<DefineAugmentation>\nimport ShareYourSystem as SYS\nBaseModuleStr=\"ShareYourSystem.Interfacers.Writer\"\nDecorationModuleStr=\"ShareYourSystem.Classors.Classer\"\nSYS.setSubModule(globals())\n#</DefineAugmentation>\n\n#<ImportSpecificModules>\nimport collections\nimport importlib\nimport os\nimport sys\n#from ShareYourSystem.Functers import Alerter\n#</ImportSpecificModules>\n\n#<DefineClass>\n@DecorationClass(**{'SwitchingUnboundMethodStr':'hdformat'})\nclass HdformaterClass(BaseClass):\n\t\n\t#Definition\n\tRepresentingKeyStrsList=[\n\t\t\t\t\t\t\t\t\t'HdformatingModuleStr',\n\t\t\t\t\t\t\t\t\t'HdformatingFileKeyStr',\n\t\t\t\t\t\t\t\t\t'HdformatedFileVariable',\n\t\t\t\t\t\t\t\t\t'HdformatedStr'\n\t\t\t\t\t\t\t\t]\n\n\t#@Hooker.HookerClass(**{'HookingAfterVariablesList':[{'CallingVariable':BaseClass.__init__}]})\n\tdef default_init(self,\n\t\t\t_HdformatingModuleStr=\"tables\",\n\t\t\t_HdformatingFileKeyStr=\"\", \t\t\t\n\t\t\t_HdformatedFileVariable=None, \n\t\t\t_HdformatedFilePathStr=\"\",\t\t\n\t\t\t_HdformatedStr=\"\", \t\t\t\n\t\t\t**_KwargVariablesDict\n\t\t):\n\n\t\t#Call the parent __init__ method\n\t\tBaseClass.__init__(self,**_KwargVariablesDict)\n\n\t#@Alerter.AlerterClass()\t\t\n\t#@Switcher.SwitcherClass()\n\tdef do_hdformat(self):\n\n\t\t#debug\n\t\t'''\n\t\tself.debug(('self.',self,[\n\t\t\t\t\t\t\t\t\t'HdformatingFileKeyStr'\n\t\t\t\t\t\t\t\t]))\n\t\t'''\n\t\t\n\t\t#Check\n\t\tif self.HdformatedFileVariable==None:\n\n\t\t\t#folder first\n\t\t\tself.folder()\n\n\t\t\t#set\n\t\t\tself.HdformatedFilePathStr=self.FolderingPathStr+self.HdformatingFileKeyStr\n\t\t\t\n\t\t\t#Maybe we have to import\n\t\t\tif self.HdformatingModuleStr not in sys.modules:\n\n\t\t\t\t#debug\n\t\t\t\t'''\n\t\t\t\tself.debug('We import first the hdf module')\n\t\t\t\t'''\n\n\t\t\t\t#Import\n\t\t\t\timportlib.import_module(self.HdformatingModuleStr)\n\n\t\t\t#Check\n\t\t\tif self.HdformatingFileKeyStr!=\"\":\n\n\t\t\t\t#Check for first write\n\t\t\t\tif os.path.isfile(self.HdformatedFilePathStr)==False:\n\n\t\t\t\t\t#debug\n\t\t\t\t\t'''\n\t\t\t\t\tself.debug('We create the file first')\n\t\t\t\t\t'''\n\n\t\t\t\t\t#Create the file \n\t\t\t\t\tself.HdformatedFileVariable=sys.modules[self.HdformatingModuleStr].File(\n\t\t\t\t\t\t\t\t\t\tself.HdformatedFilePathStr,'w')\n\t\t\t\t\t\t\n\t\t\t\t\t#Close it\n\t\t\t\t\tself.HdformatedFileVariable.close()\n\n\t\t\t\tif self.HdformatedFileVariable==None or ( \n\t\t\t\t\t(self.HdformatingModuleStr=='tables' and self.HdformatedFileVariable.isopen==0\n\t\t\t\t\t\t) or (self.HdformatingModuleStr=='h5py' and self.HdformatedFileVariable.mode=='c') ):\n\n\t\t\t\t\t#debug\n\t\t\t\t\t'''\n\t\t\t\t\tself.debug('We open the file')\n\t\t\t\t\t'''\n\n\t\t\t\t\t#Open the HdformatedFileVariable\n\t\t\t\t\tself.HdformatedFileVariable=sys.modules[self.HdformatingModuleStr].File(\n\t\t\t\t\t\tself.HdformatedFilePathStr,'r+')\n\n\t\t#Return self\n\t\t#return self\n\n\tdef hdfview(self):\n\n\t\t#debug\n\t\t'''\n\t\tself.debug(('self.',self,['HdformatingFilePathStr']))\n\t\t'''\n\n\t\tif self.HdformatedFilePathStr!=\"\":\n\t\t\n\t\t\t#set the HdformatedStr\n\t\t\tself.HdformatedStr=os.popen(\n\t\t\t\t\t\t\t\t\t\tSYS.h5lsPathStr+' -dlr '+self.HdformatedFilePathStr\n\t\t\t\t\t\t\t\t).read()\n\t\t\n\t\t#Return self\n\t\treturn self\n\n\tdef hdfclose(self):\n\n\t\t#Close the HdformatedFileVariable\n\t\tif self.HdformatedFileVariable!=None:\n\t\t\tself.HdformatedFileVariable.close()\n\n\t\t#Return self\n\t\treturn self\n\n#</DefineClass>\n\n\n```\n\n<small>\nView the Hdformater sources on <a href=\"https://github.com/Ledoux/ShareYourSystem/tree/master/Pythonlogy/ShareYourSystem/Interfacers/Hdformater\" target=\"_blank\">Github</a>\n</small>\n\n", "cell_type": "markdown", "prompt_number": 1, "metadata": { "slideshow": { "slide_type": "subslide" } } }, { "source": "\n<!---\nFrozenIsBool True\n-->\n\n##Example\n\nLet's create an empty class, which will automatically receive\nspecial attributes from the decorating ClassorClass,\nspecially the NameStr, that should be the ClassStr\nwithout the TypeStr in the end.", "cell_type": "markdown", "prompt_number": 2, "metadata": { "slideshow": { "slide_type": "subslide" } } }, { "cell_type": "code", "prompt_number": 3, "language": "python", "input": [ "\n", "#ImportModules\n", "import ShareYourSystem as SYS\n", "from ShareYourSystem.Interfacers import Hdformater\n", "\n", "#Definition a Hdformater that writes an empty hdf file\n", "MyHdformater=Hdformater.HdformaterClass().hdformat(\n", " _FileKeyStr='Hdformats.hdf5',\n", " **{\n", " 'FolderingPathStr':Hdformater.LocalFolderPathStr\n", "}\n", ").hdfview().hdfclose()\n", "\n", "#Definition the AttestedStr\n", "SYS._attest(\n", " [\n", " 'MyHdformater.HdformatedStr is '+str(\n", " MyHdformater.HdformatedStr)\n", " ]\n", ") \n", "\n", "#Print\n", "\n", "\n", "\n", "\n" ], "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "\n", "\n", "*****Start of the Attest *****\n", "\n", "MyHdformater.HdformatedStr is / Group\n", "\n", "\n", "*****End of the Attest *****\n", "\n", "\n" ] } ], "collapsed": false, "metadata": { "slideshow": { "slide_type": "-" } } } ] } ], "metadata": { "name": "", "signature": "" }, "nbformat_minor": 0 }
mit
dsg-bielefeld/deep_disfluency
demos/demo.ipynb
1
11674
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "no installed deep_disfluency package, pathing to source\n" ] } ], "source": [ "try:\n", " import deep_disfluency\n", "except ImportError:\n", " print \"no installed deep_disfluency package, pathing to source\"\n", " import sys\n", " sys.path.append(\"../\")\n", "from deep_disfluency.tagger.deep_tagger import DeepDisfluencyTagger" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1. Disfluency tagging on pre-segmented utterances\n", "tags repair structure incrementally and other edit terms <e/>\n", "(Hough and Schlangen Interspeech 2015 with an RNN)\n", "\n", "Initializing Tagger\n", "Processing args from config number 21 ...\n", "Intializing model from args...\n", "Using the cpu\n", "Warning: not using GPU, might be a bit slow\n", "\tAdjust Theano config file ($HOME/.theanorc)\n", "loading tag to index maps...\n", "Initializing model of type elman ...\n", "Loading saved weights from ../deep_disfluency/experiments/021/epoch_40\n", "No POS tagger specified,loading default CRF switchboard one\n", "Not using timing data\n", "Loading decoder...\n", "loading swbd_disf1_021 Markov model\n", "No timing model given\n", "Markov Model ready mode:\n", "constraint only\n" ] } ], "source": [ "# Initialize the tagger from the config file with a config number\n", "# and saved model directory\n", "MESSAGE = \"\"\"1. Disfluency tagging on pre-segmented utterances\n", "tags repair structure incrementally and other edit terms <e/>\n", "(Hough and Schlangen Interspeech 2015 with an RNN)\n", "\"\"\"\n", "print MESSAGE\n", "disf = DeepDisfluencyTagger(\n", " config_file=\"../deep_disfluency/experiments/experiment_configs.csv\",\n", " config_number=21,\n", " saved_model_dir=\"../deep_disfluency/experiments/021/epoch_40\"\n", " )" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "tagging...\n", "['<f/>']\n", "['<f/>']\n", "['<e/>']\n", "['<rms id=\"3\"/>', '<i id=\"3\"/><e/>', '<rps id=\"3\"/><rpnsub id=\"3\"/>']\n", "['<f/>']\n", "final tags:\n", "john \t<f/>\n", "likes \t<rms id=\"3\"/>\n", "uh \t<i id=\"3\"/><e/>\n", "loves \t<rps id=\"3\"/><rpnsub id=\"3\"/>\n", "mary \t<f/>\n" ] } ], "source": [ "# Tag each word incrementally\n", "# Notice the incremental diff\n", "# Set diff_only to False if you want the whole utterance's tag each time\n", "with_pos = False\n", "print \"tagging...\"\n", "if with_pos:\n", " # if POS is provided use this:\n", " print disf.tag_new_word(\"john\", pos=\"NNP\")\n", " print disf.tag_new_word(\"likes\", pos=\"VBP\")\n", " print disf.tag_new_word(\"uh\", pos=\"UH\")\n", " print disf.tag_new_word(\"loves\", pos=\"VBP\")\n", " print disf.tag_new_word(\"mary\", pos=\"NNP\")\n", "else:\n", " # else the internal POS tagger tags the words incrementally\n", " print disf.tag_new_word(\"john\")\n", " print disf.tag_new_word(\"likes\")\n", " print disf.tag_new_word(\"uh\")\n", " print disf.tag_new_word(\"loves\")\n", " print disf.tag_new_word(\"mary\")\n", "print \"final tags:\"\n", "for w, t in zip(\"john likes uh loves mary\".split(), disf.output_tags):\n", " print w, \"\\t\", t\n", "disf.reset() # resets the whole tagger for new utterance" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n", "******************************\n", "2. Joint disfluency tagger and utterance semgenter\n", "Simple disf tags <e/>, <i/> and repair onsets <rps\n", "LSTM simple from Hough and Schlangen EACL 2017\n", "Initializing Tagger\n", "Processing args from config number 35 ...\n", "Intializing model from args...\n", "Using the cpu\n", "Warning: not using GPU, might be a bit slow\n", "\tAdjust Theano config file ($HOME/.theanorc)\n", "loading tag to index maps...\n", "Initializing model of type lstm ...\n", "Loading saved weights from ../deep_disfluency/experiments/035/epoch_6\n", "No POS tagger specified,loading default CRF switchboard one\n", "No timer specified, using default switchboard one\n", "Loading decoder...\n", "loading swbd_disf1_uttseg_simple_033 Markov model\n", "Markov Model ready mode:\n", "constraint only\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/home/julian/.local/lib/python2.7/site-packages/sklearn/base.py:311: UserWarning: Trying to unpickle estimator LogisticRegression from version 0.18.1 when using version 0.19.1. This might lead to breaking code or invalid results. Use at your own risk.\n", " UserWarning)\n", "/home/julian/.local/lib/python2.7/site-packages/sklearn/base.py:311: UserWarning: Trying to unpickle estimator StandardScaler from version 0.18.1 when using version 0.19.1. This might lead to breaking code or invalid results. Use at your own risk.\n", " UserWarning)\n" ] } ], "source": [ "# More complex set-up:\n", "print \"\\n\", \"*\" * 30\n", "MESSAGE = \"\"\"2. Joint disfluency tagger and utterance semgenter\n", "Simple disf tags <e/>, <i/> and repair onsets <rps\n", "LSTM simple from Hough and Schlangen EACL 2017\"\"\"\n", "print MESSAGE\n", "disf = DeepDisfluencyTagger(\n", " config_file=\"../deep_disfluency/experiments/experiment_configs.csv\",\n", " config_number=35,\n", " saved_model_dir=\"../deep_disfluency/experiments/035/epoch_6\",\n", " use_timing_data=True\n", " )\n" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "tagging...\n", "['<f/><tc/>']\n", "['<f/><cc/>']\n", "['<e/><i/><cc/>']\n", "['<rps id=\"3\"/><cc/>']\n", "['<f/><cc/>']\n", "['<f/><ct/>', '<f/><tc/>']\n", "final tags:\n", "john \t<f/><tc/>\n", "likes \t<f/><cc/>\n", "uh \t<e/><i/><cc/>\n", "loves \t<rps id=\"3\"/><cc/>\n", "mary \t<f/><ct/>\n", "yeah \t<f/><tc/>\n" ] } ], "source": [ "print \"tagging...\"\n", "print disf.tag_new_word(\"john\", pos=\"NNP\", timing=0.3)\n", "print disf.tag_new_word(\"likes\", pos=\"VBP\", timing=0.3)\n", "print disf.tag_new_word(\"uh\", pos=\"UH\", timing=0.3)\n", "print disf.tag_new_word(\"loves\", pos=\"VBP\", timing=0.3)\n", "print disf.tag_new_word(\"mary\", pos=\"NNP\", timing=0.3)\n", "print disf.tag_new_word(\"yeah\", pos=\"UH\", timing=2.0)\n", "print \"final tags:\"\n", "for w, t in zip(\"john likes uh loves mary yeah\".split(), disf.output_tags):\n", " print w, \"\\t\", t\n", "disf.reset() # resets the whole tagger for next dialogue or turn" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n", "******************************\n", "3. Joint disfluency tagger and utterance semgenter\"\n", "Full complex tag set with disfluency structure\"\n", "LSTM complex from Hough and Schlangen EACL 2017\n", "Initializing Tagger\n", "Processing args from config number 36 ...\n", "Intializing model from args...\n", "Using the cpu\n", "Warning: not using GPU, might be a bit slow\n", "\tAdjust Theano config file ($HOME/.theanorc)\n", "loading tag to index maps...\n", "Initializing model of type lstm ...\n", "Loading saved weights from ../deep_disfluency/experiments/036/epoch_15\n", "No POS tagger specified,loading default CRF switchboard one\n", "No timer specified, using default switchboard one\n", "Loading decoder...\n", "loading swbd_disf1_uttseg_034 Markov model\n", "Markov Model ready mode:\n", "constraint only\n" ] } ], "source": [ "print \"\\n\", \"*\" * 30\n", "MESSAGE = \"\"\"3. Joint disfluency tagger and utterance semgenter\"\n", "Full complex tag set with disfluency structure\"\n", "LSTM complex from Hough and Schlangen EACL 2017\"\"\"\n", "print MESSAGE\n", "disf = DeepDisfluencyTagger(\n", " config_file=\"../deep_disfluency/experiments/experiment_configs.csv\",\n", " config_number=36,\n", " saved_model_dir=\"../deep_disfluency/experiments/036/epoch_15\",\n", " use_timing_data=True\n", " )" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "tagging...\n", "['<f/><tc/>']\n", "['<e/><cc/>']\n", "['<rms id=\"2\"/><rms id=\"1\"/><tc/>', '<rm id=\"2\"/><rps id=\"1\"/><rpnsub id=\"1\"/><cc/>', '<rps id=\"2\"/><rpnsub id=\"2\"/><cc/>']\n", "['<f/><cc/>']\n", "['<f/><cc/>']\n", "['<f/><ct/>', '<f/><tt/>']\n", "final tags:\n", "i \t<rms id=\"2\"/><rms id=\"1\"/><tc/>\n", "uh \t<rm id=\"2\"/><rps id=\"1\"/><rpnsub id=\"1\"/><cc/>\n", "i \t<rps id=\"2\"/><rpnsub id=\"2\"/><cc/>\n", "love \t<f/><cc/>\n", "mary \t<f/><ct/>\n", "yeah \t<f/><tt/>\n" ] } ], "source": [ "print \"tagging...\"\n", "print disf.tag_new_word(\"i\", pos=\"PRP\", timing=0.3)\n", "print disf.tag_new_word(\"uh\", pos=\"UH\", timing=0.3)\n", "print disf.tag_new_word(\"i\", pos=\"PRP\", timing=0.3)\n", "print disf.tag_new_word(\"love\", pos=\"VBP\", timing=0.3)\n", "print disf.tag_new_word(\"mary\", pos=\"NNP\", timing=0.3)\n", "print disf.tag_new_word(\"yeah\", pos=\"UH\", timing=2.0)\n", "print \"final tags:\"\n", "for w, t in zip(\"i uh i love mary yeah\".split(), disf.output_tags):\n", " print w, \"\\t\", t\n", "disf.reset() # resets the whole tagger" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
cbpygit/pypmj
examples/Post process management.ipynb
1
5014
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Preparations" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Imports and configuration" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import os\n", "import sys\n", "sys.path.append('..')\n", "import pypmj as jpy\n", "import numpy as np" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Simulation" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Project set-up" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "project = jpy.JCMProject('scattering/mie/mie2D_post_processes')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Prepare the simulation set" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "mie_keys = {'constants' :{},\n", " 'parameters': {},\n", " 'geometry': {'radius':np.linspace(0.3, 0.5, 40)}}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now, the `SimulationSet` can be initialized." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "simuset = jpy.SimulationSet(project, mie_keys, duplicate_path_levels=0,\n", " storage_folder='mie2D_test_pp',\n", " storage_base=os.path.abspath('tmp_storage_folder'))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "simuset.make_simulation_schedule()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "simuset.use_only_resources('localhost')\n", "simuset.resource_manager.resources.set_m_n_for_all(4,1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Running a single simulation with additional post process(es)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "pp_file = os.path.join(project.working_dir, 'flux_computation.jcmp')\n", "results, logs = simuset.solve_single_simulation(0, run_post_process_files=pp_file)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print simuset.simulations[0].logs['Out']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Using a processing function" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def read_scs(pp):\n", " results = {} #must be a dict\n", " results['SCS'] = pp[0]['ElectromagneticFieldEnergyFlux'][0][0].real\n", " return results" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "simuset.simulations[0].process_results(processing_func=read_scs, overwrite=True)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "'SCS' in simuset.simulations[0]._results_dict" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Running all simulations with (an) additional post process(es)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "simuset.run(N=10, processing_func=read_scs, run_post_process_files=pp_file)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "import seaborn as sns\n", "sns.set_context('notebook')\n", "\n", "data = simuset.get_store_data().sort_values(by='radius')\n", "data.plot(x='radius', y='SCS', title='Results of the simulation')\n", "plt.show()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.6" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
camilogavo/Colombian_Energy_Forecasting
Model_over_all_serie.ipynb
1
344983
{ "cells": [ { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "#Carga de paquetes a utilizar en este documento\n", "library(forecast)\n", "library(dplyr)\n", "library(ggplot2)\n", "library(readr)\n", "library(tidyr)\n", "library(lubridate)\n", "library(XML)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Carga datos iniciales\n", "\n", "datos<-read.table('files/MEM2.csv',header=TRUE,sep=',') #cargo la serie de datos\n", "\n", "current_price<-datos$Precio_Corriente \n", "current_price<-ts(current_price,frequency = 7,start=c(1,4)) #construyo la serie corriente // start=c(num_semana,num_dia)\n", "\n", "\n", "constant_price<-datos$Precio_Constante_2008\n", "constant_price<-ts(constant_price,frequency = 7,start=c(1,4)) #construyo la serie constante // start=c(num_semana,num_dia)\n", "\n", "\n" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#Construir un vector con la fechas de los precios\n", "b<-strsplit(as.character(datos$Fecha),split = \"/\")\n", "\n", "date<-c()#construyo un vector para almacenar las fechas\n", "for(i in seq(1:(length(datos$Fecha)))){\n", " \n", " date<-c(date,paste(b[[i]][3],b[[i]][2],b[[i]][1],sep = \"-\")) #Lleno elvector en el orden YYYY-mm-dd\n", "\n", "}\n", "datos<-cbind(date,datos) #Uno el resultado a mi dataframe de datos" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Identificar los anos bisciestos y el total de semanas\n", "last_year<-as.Date(datos$date[length(datos$date)-1]) #Doy formato de fecha al ultimo dato\n", "last_year<-as.numeric(format(last_year,\"%Y\")) #extraer el año del ultimo dato\n", "leap_years<-length(seq(from = 1996,to = last_year,by = 4)) #Total dias bisiestos de la serie\n", "\n", "total_weeks<-round(length(constant_price+leap_years)/7,digits = 0)+1 #Totalde semanas, sabiendo que la serie empieza un miercoles" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#Remover outliers de la serie de precios constantes\n", "const_price_wo<-tsclean(constant_price) #Se remueven los outliers bajo el metodo de sumpsmu\n", "\n", "#A continuacion vamos a identificar los datos que fueron tratados para esta transformacion\n", "outliers_const_price<-rep(0,length(constant_price)) #construyo el vector para almacenar los outliers\n", "for(item in 1:length((constant_price))){\n", " if(constant_price[item]!=const_price_wo[item]){\n", " outliers_const_price[item]<-constant_price[item]\n", " } \n", " \n", "}\n", "\n", "#Agregar datos constantes sin outliers al dataset\n", "\n", "datos$Precios_Const_wo<-const_price_wo\n", "\n", "\n", "\n", "\n", "\n", "# Transformacion Logaritmo Natural y Remocion de outliers. \n", "ln_const_price<-log(constant_price) # Se transforman los datos en log natural\n", "ln_const_wo<-tsclean(ln_const_price) #Se remueven los outliers bajo el metodo de sumpsmu\n", "## A continuacion vamos a identificar los datos que fueron tratados para esta transformacion\n", "outliers_ln<-rep(0,length(ln_const_price)) #construyo el vector para almacenar los outliers\n", "for(item in 1:length((ln_const_price))){\n", " if(ln_const_price[item]!=ln_const_wo[item]){\n", " outliers_ln[item]<-ln_const_price[item]\n", " } \n", " \n", "}\n", "\n", "#Agregar la transformacion Ln al dataset\n", "datos$Ln_P<-ln_const_price\n", "datos$Ln_Pwo<-ln_const_wo\n", "\n", "\n", "#--------------------------------------------------------\n", "\n", "#Transformacion Log10 y remocion outliers\n", "log10_const_price<-log10(constant_price) # Se transforman los datos en log10\n", "log10_const_wo<-tsclean(log10_const_price) #Se remueven los outliers bajo el metodo de sumpsmu\n", "##A continuacion vamos a identificar los datos que fueron tratados para esta transformacion\n", "outliers_log10<-rep(0,length(log10_const_price)) #construyo el vector para almacenar los outliers\n", "for(item in 1:length((log10_const_price))){\n", " if(log10_const_price[item]!=log10_const_wo[item]){\n", " outliers_log10[item]<-log10_const_price[item]\n", " } \n", " \n", "}\n", "\n", "#Agregar la transformacion Logaritmo Natural al dataset\n", "datos$Log10_P<-log10_const_price\n", "datos$Log10_Pwo<-log10_const_wo\n", "\n", "#--------------------------------------------------------\n", "\n", "#Transformacion raiz cuadrada y remocion outliers\n", "sqrt_const_price<-sqrt(constant_price) # Se transforman los datos en raiz cuadrada\n", "sqrt_const_wo<-tsclean(sqrt_const_price) #Se remueven los outliers bajo el metodo de sumpsmu\n", "\n", "#A continuacion vamos a identificar los datos que fueron tratados para esta transformacion\n", "outliers_sqrt<-rep(0,length(sqrt_const_price)) #construyo el vector para almacenar los outliers\n", "for(item in 1:length((sqrt_const_price))){\n", " if(sqrt_const_price[item]!=sqrt_const_wo[item]){\n", " outliers_sqrt[item]<-sqrt_const_price[item]\n", " } \n", " \n", "}\n", "\n", "#Agregar la transformacion Raiz Cuadrada al dataset\n", "datos$Sqrt_P<-sqrt_const_price\n", "datos$Sqrt_Pwo<-sqrt_const_wo\n", "\n", "\n", "#-------------------------------------------------------------------\n", "trescuartos_const_price<-(constant_price)^(3/4) # Se transforman los datos en raiz cuadrada\n", "trescuartos_const_wo<-tsclean(trescuartos_const_price) #Se remueven los outliers bajo el metodo de sumpsmu\n", "\n", "#A continuacion vamos a identificar los datos que fueron tratados para esta transformacion\n", "outliers_trescuartos<-rep(0,length(trescuartos_const_price)) #construyo el vector para almacenar los outliers\n", "for(item in 1:length((trescuartos_const_price))){\n", " if(trescuartos_const_price[item]!=trescuartos_const_wo[item]){\n", " outliers_trescuartos[item]<-trescuartos_const_price[item]\n", " } \n", " \n", "}\n", "\n", "#Agregar la transformacion Elevado a la 3/4 al dataset\n", "datos$Root4_P3<-trescuartos_const_price\n", "datos$Root4_Pwo3<-trescuartos_const_wo\n", "\n", "\n", "\n", "\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Modelo sobre toda la serie" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": true }, "outputs": [], "source": [ "m1<-auto.arima(const_price_wo,allowdrift = TRUE)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Series: const_price_wo \n", "ARIMA(2,1,1)(2,0,0)[7] \n", "\n", "Coefficients:\n", " ar1 ar2 ma1 sar1 sar2\n", " 0.8014 0.0826 -0.9634 0.1451 0.1172\n", "s.e. 0.0128 0.0118 0.0061 0.0115 0.0114\n", "\n", "sigma^2 estimated as 122.9: log likelihood=-30542.12\n", "AIC=61096.25 AICc=61096.26 BIC=61138.16" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<dl class=dl-horizontal>\n", "\t<dt>ar1</dt>\n", "\t\t<dd>0.801356796785399</dd>\n", "\t<dt>ar2</dt>\n", "\t\t<dd>0.0825550741650454</dd>\n", "\t<dt>ma1</dt>\n", "\t\t<dd>-0.963421406155061</dd>\n", "\t<dt>sar1</dt>\n", "\t\t<dd>0.14508348805542</dd>\n", "\t<dt>sar2</dt>\n", "\t\t<dd>0.11724252702671</dd>\n", "</dl>\n" ], "text/latex": [ "\\begin{description*}\n", "\\item[ar1] 0.801356796785399\n", "\\item[ar2] 0.0825550741650454\n", "\\item[ma1] -0.963421406155061\n", "\\item[sar1] 0.14508348805542\n", "\\item[sar2] 0.11724252702671\n", "\\end{description*}\n" ], "text/markdown": [ "ar1\n", ": 0.801356796785399ar2\n", ": 0.0825550741650454ma1\n", ": -0.963421406155061sar1\n", ": 0.14508348805542sar2\n", ": 0.11724252702671\n", "\n" ], "text/plain": [ " ar1 ar2 ma1 sar1 sar2 \n", " 0.80135680 0.08255507 -0.96342141 0.14508349 0.11724253 " ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "m1\n", "coefficients(m1)" ] }, { "cell_type": "code", "execution_count": 30, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAYAAAD958/bAAAEDWlDQ1BJQ0MgUHJvZmlsZQAA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\nQmCC", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot(x=const_price_wo,y=fitted(m1),type='p',\n", " ylab='Forecasted',xlab='Real')\n", "lines(x=seq(1:length(const_price_wo)),y=seq(1:length(const_price_wo)),col='red',lwd=2)" ] }, { "cell_type": "code", "execution_count": 31, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA0gAAANICAYAAAD958/bAAAEDWlDQ1BJQ0MgUHJvZmlsZQAA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\nDSGjtKj/AAAAAElFTkSuQmCC", "text/plain": [ "plot without title" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plot(const_price_wo,type='l')\n", "lines(fitted(m1),col='red')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "R", "language": "R", "name": "ir" }, "language_info": { "codemirror_mode": "r", "file_extension": ".r", "mimetype": "text/x-r-source", "name": "R", "pygments_lexer": "r", "version": "3.3.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
steve-federowicz/om
examples/.ipynb_checkpoints/Untitled5-checkpoint.ipynb
2
181
{ "metadata": { "name": "", "signature": "sha256:421f621054b55c4937bb9cdce02c50b7d5c4525468b667f50ee49eef8ebcc38d" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [] }
mit
joaquimargente/qisskit-ipynb
QuantumClient.ipynb
1
4110
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# USER, PLEASE SET CONFIG:\n", "token = \"_TOKEN_\"\n", "config = {\n", " \"url\": 'https://quantumexperience.ng.bluemix.net/api'\n", "}\n", "# ---- UTILS -----\n", "import sys\n", "if sys.version_info.major > 2: # Python 3\n", " from IBMQuantumExperience.IBMQuantumExperience import IBMQuantumExperience\n", "else: # Python 2 \n", " from IBMQuantumExperience import IBMQuantumExperience\n", "from IPython.display import Image, display\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "exec(\"experiment-conf.py\")\n", "%matplotlib inline\n", "api = IBMQuantumExperience(token)\n", "def showImageCode(idCode):\n", " if (idCode):\n", " code = api.get_image_code(idCode)\n", " if (code.get('error', None)):\n", " print(\"Failed to recover the Code\")\n", " else:\n", " display(Image(code['url']))\n", " else:\n", " print(\"Invalid IdCode\")\n", "def printBars(values, labels):\n", " N = len(values)\n", " ind = np.arange(N) # the x locations for the groups\n", " width = 0.35 # the width of the bars\n", " fig, ax = plt.subplots()\n", " rects1 = ax.bar(ind, values, width, color='r')\n", " # add some text for labels, title and axes ticks\n", " ax.set_ylabel('Probabilities')\n", " ax.set_xticks(ind + (width/2.))\n", " ax.set_xticklabels(labels)\n", " def autolabel(rects):\n", " # attach some text labels\n", " for rect in rects:\n", " height = rect.get_height()\n", " ax.text(rect.get_x() + rect.get_width()/2., 1.05*height,\n", " '%f' % float(height),\n", " ha='center', va='bottom')\n", " autolabel(rects1)\n", " plt.show()\n", "def showResultsByExecution(executionRaw):\n", " result = executionRaw.get('result', {})\n", " data = result.get('data', {})\n", " print('Execution in ' + executionRaw.get('deviceRunType', 'Unknown') + ' at ' + executionRaw.get('endDate', 'Unknown'))\n", " if (data.get('p', None)):\n", " values = data['p']['values']\n", " labels = data['p']['labels']\n", " printBars(values, labels)\n", " else:\n", " print(\"Not plotted. Results are: \"+str(executionRaw))\n", "def showResultsByIdExecution(idExecution):\n", " execution = api.get_result_from_execution(idExecution)\n", " if (execution.get('measure', None)):\n", " values = execution['measure']['values']\n", " labels = execution['measure']['labels']\n", " printBars(values, labels)\n", " else:\n", " print(\"Not plotted. Results are: \"+str(execution))\n", "def showLastCodes():\n", " codes = api.get_last_codes()\n", " for code in codes:\n", " print(\"--------------------------------\")\n", " print(\"Code \" + code.get('name', 'Unknown'))\n", " print(\" \")\n", " showImageCode(code.get('id', None))\n", " print(\"------- Executions -------------\")\n", " for execution in code.get('executions', []):\n", " showResultsByExecution(execution)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" } }, "nbformat": 4, "nbformat_minor": 2 }
apache-2.0
dataminingapp/dataminingapp-lectures
Lecture-4/Distance-Functions.ipynb
2
15481
{ "metadata": { "celltoolbar": "Raw Cell Format", "name": "", "signature": "sha256:d9c1b07d11a11d66b255616a18846d56b29fb1c35f5f1a34f3fd14a8e7d99bcc" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Distance and similarity functions" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import numpy as np\n", "import scipy as sp\n", "import matplotlib.pyplot as plt\n", "import sklearn as sk\n", "import sklearn.datasets as sk_data\n", "import sklearn.metrics as metrics\n", "import seaborn as sns\n", "\n", "import time\n", "\n", "%matplotlib inline" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Brief intro to numpy: http://www.numpy.org/\n", "\n", "\n", "or for more references: http://docs.scipy.org/doc/numpy/reference/index.html" ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Why numpy?" ] }, { "cell_type": "code", "collapsed": false, "input": [ "\n", "def trad_version():\n", " t1 = time.time()\n", " X = range(10000000)\n", " Y = range(10000000)\n", " Z = []\n", " for i in range(len(X)):\n", " Z.append(X[i] + Y[i])\n", " return time.time() - t1\n", "\n", "def numpy_version():\n", " t1 = time.time()\n", " X = np.arange(10000000)\n", " Y = np.arange(10000000)\n", " Z = X + Y\n", " return time.time() - t1\n", "\n", "\n", "traditional_time = trad_version()\n", "numpy_time = numpy_version()\n", "print \"Traditional time = \"+ str(traditional_time)\n", "print \"Numpy time = \"+ str(numpy_time)" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Arrays in numpy" ] }, { "cell_type": "code", "collapsed": false, "input": [ "#1-dimensional arrays\n", "x = np.array([2,5,18,14,4])\n", "print \"\\n Deterministic 1-dimensional array \\n\"\n", "print x\n", "\n", "x = np.random.rand(5)\n", "print \"\\n Random 1-dimensional array \\n\"\n", "print x\n", "\n", "#2-dimensional arrays\n", "x = np.array([[2,5,18,14,4], [12,15,1,2,8]])\n", "print \"\\n Deterministic 2-dimensional array \\n\"\n", "print x\n", "\n", "x = np.random.rand(5,5)\n", "print \"\\n Random 2-dimensional array \\n\"\n", "print x\n", "print x.shape\n", "\n" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Manipulating and aggregating arrays" ] }, { "cell_type": "code", "collapsed": false, "input": [ "x = np.random.rand(5)\n", "print x\n", "print x+1" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Aggregates" ] }, { "cell_type": "code", "collapsed": false, "input": [ "x = np.random.rand(2,4)\n", "print x\n", "print np.mean(x)\n", "print np.mean(x,0)\n", "print np.std(x)\n", "print np.std(x,1)\n", "print np.median(x)\n", "print np.median(x,1)\n", "print np.sum(x)\n", "print np.sum(x,1)\n", "print np.prod(x)\n", "print np.prod(x,1)" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Generating synthetic data" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Random data are the simplest data one can generate. Other types of data following different distributions can be generated with functions extensively discussed below.\n", "\n", "http://docs.scipy.org/doc/numpy/reference/routines.random.html" ] }, { "cell_type": "code", "collapsed": false, "input": [ "A = np.random.rand(2,15)\n", "print (A)" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "B = np.random.randint(2,size = (2,15))\n", "print B" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "heading", "level": 4, "metadata": {}, "source": [ "Euclidean distance" ] }, { "cell_type": "code", "collapsed": false, "input": [ "D = np.sqrt(np.sum(np.square(A[0,:]-A[1,:])))\n", "print D" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "def my_euclidean_dist(x,y):\n", " return np.sqrt(np.sum(np.square(x-y)))" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "d1 = my_euclidean_dist(A[0,:],A[1,:])\n", "d2 = my_euclidean_dist(B[0,:],B[1,:])\n", "print d1\n", "print d2" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Becoming more effective and efficient using scikit-learn, a set of libraries for data mining, data analysis and machine learning\n", "\n", "http://scikit-learn.org/stable/" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Generating data with specific structure using **sklearn.datasets**" ] }, { "cell_type": "code", "collapsed": false, "input": [ "X, y = sk_data.make_blobs(n_samples=100, centers=3, n_features=2,center_box=(-30.0, 30.0),random_state=0)\n", "print X.shape, y.shape, type(y)\n", "\n", "plt.plot(X[y==1,0],X[y==1,1],'bo')\n", "plt.plot(X[y==0,0],X[y==0,1],'go')\n", "plt.plot(X[y==2,0],X[y==2,1],'ro')" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "euclidean_dists = metrics.euclidean_distances(X)\n", "# print euclidean_dists.shape\n", "\n", "z = y\n", "idx = np.argsort(z)\n", "rearranged_dists = euclidean_dists[idx,:][:,idx]\n", "\n", "\n", "\n", "# Plot the matrices in a single row, using fig, (ax1, ax2) = plt.subplots(1,2,figsize=(15,10))\n", "fig, (ax1, ax2) = plt.subplots(1,2,figsize=(15,10))\n", "sns.heatmap(euclidean_dists, xticklabels=False, yticklabels=False, linewidths=0, ax=ax1, square=True, cbar=False)\n", "sns.heatmap(rearranged_dists, xticklabels=False, yticklabels=False, linewidths=0, ax=ax2, square=True, cbar=False)\n", "\n" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Another way of generating data using **sklearn.datasets**" ] }, { "cell_type": "code", "collapsed": false, "input": [ "data, rows, columns = sk_data.make_biclusters(\n", " shape=(300, 50), n_clusters=2, noise=0.5,\n", " shuffle=False, random_state=0)\n", "#data[data>5] = 1\n", "sns.heatmap(data, xticklabels=False, yticklabels=False, linewidths=0)\n", "print type(data)\n", "print data.shape\n" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "newd = np.reshape(data,data.shape[0]*data.shape[1])\n", "plt.hist(newd)\n" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "data[data<40] = 0\n", "data[data>=40] = 1\n", "sns.heatmap(data, xticklabels=False, yticklabels=False, linewidths=0)" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "\n", "shuffled_data, row_idx = sk.utils.shuffle(data, np.arange(data.shape[0]), random_state=100)\n", "shuffled_data, col_idx = sk.utils.shuffle(shuffled_data.T, np.arange(data.shape[1]), random_state=100)\n", "shuffled_data = shuffled_data.T\n", "sns.heatmap(shuffled_data, xticklabels=False, yticklabels=False, linewidths=0)\n", "\n" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "jacc_dists = metrics.pairwise_distances(data,Y=None,metric='jaccard', n_jobs=1)\n", "\n", "sns.heatmap(jacc_dists, xticklabels=False, yticklabels=False, linewidths=0)\n", "\n" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "jacc_dists = metrics.pairwise_distances(shuffled_data,Y=None,metric='jaccard', n_jobs=1)\n", "\n", "\n", "y = [ row_idx.tolist().index(i) for i in range(len(row_idx))]\n", "\n", "rearranged_dists = jacc_dists[y,:][:,y]\n", "\n", "\n", "sns.heatmap(rearranged_dists, xticklabels=False, yticklabels=False, linewidths=0)\n", "\n" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can compute pairwise distances using the **sklearn.metrics** functions summarized here:\n", "http://scikit-learn.org/stable/modules/classes.html#module-sklearn.metrics" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# Code for setting the style of the notebook\n", "from IPython.core.display import HTML\n", "def css_styling():\n", " styles = open(\"../theme/custom.css\", \"r\").read()\n", " return HTML(styles)\n", "css_styling()" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "<link href='http://fonts.googleapis.com/css?family=EB+Garamond' rel='stylesheet' type='text/css'>\n", "<link href='http://fonts.googleapis.com/css?family=Alegreya+Sans:100,300,400,500,700,800,900,100italic,300italic,400italic,500italic,700italic,800italic,900italic' rel='stylesheet' type='text/css'>\n", "<link href='http://fonts.googleapis.com/css?family=Source+Code+Pro:300,400' rel='stylesheet' type='text/css'>\n", "<style>\n", " @font-face {\n", " font-family: \"Computer Modern\";\n", " src: url('http://mirrors.ctan.org/fonts/cm-unicode/fonts/otf/cmunss.otf');\n", " }\n", " .code_cell {\n", " width: 105ex !important ;\n", " margin-bottom: 15px !important;\n", " }\n", " div.cell {\n", " margin-left: auto;\n", " margin-right: auto;\n", " width: 70%;\n", " } \n", " div.cell.selected {\n", " border: thin rgba(171, 171, 171, 0.5) dashed;\n", " }\n", " h1 {\n", " font-family: 'Alegreya Sans', sans-serif;\n", " }\n", " h2 {\n", " font-family: 'EB Garamond', serif;\n", " }\n", " h3 {\n", " font-family: 'EB Garamond', serif;\n", " margin-top:12px;\n", " margin-bottom: 3px;\n", " }\n", " h4 {\n", " font-family: 'EB Garamond', serif;\n", " }\n", " h5 {\n", " font-family: 'Alegreya Sans', sans-serif;\n", " }\n", " div.text_cell_render {\n", " font-family: 'EB Garamond',Computer Modern, \"Helvetica Neue\", Arial, Helvetica, Geneva, sans-serif;\n", " line-height: 145%;\n", " font-size: 140%;\n", " }\n", " div.input_area {\n", " border-color: rgba(0,0,0,0.10) !important;\n", " background: #fafafa;\n", " }\n", " .CodeMirror {\n", " font-family: \"Source Code Pro\";\n", " font-size: 90%;\n", " }\n", " .prompt {\n", " display: None;\n", " }\n", " .output {\n", " padding-left: 50px;\n", " padding-top: 5px;\n", " }\n", " .output_wrapper {\n", " padding-left: 5px;\n", " padding-top: inherit;\n", " }\n", " div.output_scroll {\n", " width: inherit;\n", " }\n", " .inner_cell {\n", " padding-left: 5px;\n", " }\n", " .text_cell_render h1 {\n", " font-weight: 200;\n", " font-size: 50pt;\n", " line-height: 100%;\n", " color:#CD2305;\n", " margin-bottom: 0.5em;\n", " margin-top: 0.5em;\n", " display: block;\n", " }\n", " .text_cell_render h5 {\n", " font-weight: 300;\n", " font-size: 16pt;\n", " color: #CD2305;\n", " font-style: italic;\n", " margin-bottom: .5em;\n", " margin-top: 0.5em;\n", " display: block;\n", " }\n", " .warning {\n", " color: rgb( 240, 20, 20 )\n", " } \n", "</style>\n", "<script>\n", " MathJax.Hub.Config({\n", " TeX: {\n", " extensions: [\"AMSmath.js\"]\n", " },\n", " tex2jax: {\n", " inlineMath: [ ['$','$'], [\"\\\\(\",\"\\\\)\"] ],\n", " displayMath: [ ['$$','$$'], [\"\\\\[\",\"\\\\]\"] ]\n", " },\n", " displayAlign: 'center', // Change this to 'center' to center equations.\n", " \"HTML-CSS\": {\n", " styles: {'.MathJax_Display': {\"margin\": 4}}\n", " }\n", " });\n", "</script>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 1, "text": [ "<IPython.core.display.HTML at 0x3924d68>" ] } ], "prompt_number": 1 } ], "metadata": {} } ] }
mit
santiago-salas-v/lit-imp
CO2 Equilibria.ipynb
1
34745
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# CO2 Equilibria" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 1 - Atm. pressure (func. of altitude)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$dp = -\\rho g dz$\n", "\n", "${{dp}\\over{dz}} = -\\rho g = -{{\\rho_0}\\over{p_0}} g p \n", "\\text{ .. Boyle-Mariotte i.g. }p/p_0 = \\rho/\\rho_0$\n", "\n", "${{1}\\over{p}}{{dp}\\over{dz}} = -{{1}\\over{p_0/(\\rho_0\\times g)}} \n", "\\equiv -{{1}\\over{H}} [=] 1/m \\text{...(Skalenhöhe)}$\n", "\n", "$\\int{{{1}\\over{p}}dp} = \\int{-{{1}\\over{H}}dz}$\n", "\n", "$ln(p) + c1 = -z/H$\n", "\n", "$p(z) = e^{c1} e^{-z/H}$\n", "\n", "$p(0) = p_0 \\rightarrow p(0) = e^{c1}e^0 = e^{c1} = p_0$\n", "\n", "$p(z) = p_0 e^{-z/H}$\n", "\n", "=============================================\n", "\n", "Hg: $p=\\rho g z$\n", "\n", "$z=760mm=0.760m, \\rho=13,595.098kg/m^3, g=9.80665m/s^2 \\rightarrow p=p_0=101325Pa$\n", "\n", "\n", "N2/O2/Ar: $p=p_0 e^{-z/H}$\n", "\n", "$p/p_0 = 1 \\rightarrow 0 = -z/H$\n", "\n", "$\\lim_{p\\rightarrow 0}{p/p_0} = +\\inf$" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "import numpy as np\n", "from matplotlib import pyplot as plt\n", "from scipy.constants import *\n", "def interp_1(x3, x_1_2, y_1_2):\n", " x1, x2, y1, y2 = x_1_2[0], x_1_2[1], y_1_2[0], y_1_2[0]\n", " return (y2-y1)/(x2-x1)*(x3-x2) + y2\n", "\n", "comps = np.array(['N2', 'O2', 'Ar'])\n", "mol_pct = np.array([0.7812, 0.2096, 0.0092])\n", "mm = np.array([28.013, 31.999, 39.948])\n", "w_pct = np.multiply(mol_pct, mm)/sum(np.multiply(mol_pct, mm))\n", "# 1dm^3 = 1dm^3*(1m/10dm)^3*(100cm/m)^3*(1mL/cm^3) = 10^3mL = 1L\n", "# 0.1MPa, 300K\n", "dens_moldm3 = 1/np.array([24.854, 24.928, 24.928]) # mol/dm^3\n", "dens_gml = np.multiply(mm, dens_moldm3)/1000.0 # g/mL, kg/L\n", "dens_kgm3 = 1000.0*dens_gml # kg/m^3\n", "rho_hg = 13.596*interp_1(293.15, [294, 292], [997.983, 998.392]) # kg/m3\n", "rho_a = sum(w_pct*dens_kgm3) # kg/m^3\n", "delta_z = rho_hg/rho_a*760.0/1000.0\n", "print 'delta_z=' + '%.1f' % (delta_z/1000.0) + ' km'\n", "print 'p_0=' + '%g' % (rho_hg*g*761.4855/1000.0) + ' Pa' # kg/m^3*m/s^2*m [=] (kg m/s^2)/m^2 [=] Pa\n", "z = np.array(range(0,16500))\n", "p_0 = 101325.0 \n", "H = p_0/(g*rho_a)\n", "print 'H = ' + '%.1f' % (H/1000.0) + ' km'\n", "a = plt.plot(z,1.0*np.exp(-z/H))\n", "plt.xlabel('z, m')\n", "plt.yticks([1/float(x) for x in range(1,7,1)], ['$p_0$'] + ['$1/' + str(x) + 'p_0$' for x in range(2,7,1)]);\n", "print '99.9999% of atmosphere weight: ' + '%.1f' % (np.log(0.1/101325.0)*-H/1000.0) + ' km'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 2 - CO2 absorption\n", "### (Henley 3.10)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [], "source": [ "def print_latex(str_x):\n", " ax = plt.axes([0,0,0.1,0.2])\n", " plt.cla()\n", " plt.text(0.5, 0.5, '$%s$'%str_x, size=20)\n", " ax.set_xticks([])\n", " ax.set_yticks([])\n", " ax.set_frame_on(0)\n", " plt.show()\n", "\n", "z = 91.44 # m\n", "p_at_top = 101.325*np.exp(-z/H) # kPa\n", "rho_w = interp_1(293.15, [294, 292], [997.983, 998.392]) # kg/m^3\n", "delta_p = rho_w * g * z # kg/m^3*m/s^2*m [=] (kg m/s^2)/m^2 [=] Pa\n", "\n", "print 'air pressure at the top:'\n", "print_latex('p = 101.325kPa\\\\times e^{\\\\frac{-91.44}{%g' % H + \\\n", " '}} = %g' % p_at_top + 'kPa')\n", "print 'p to lift water:'\n", "print_latex('\\\\rho g z = ' + '%g' % (delta_p/1000.0) + ' kPa')\n", "print_latex('p_{CO2} = ' + '%g' % (delta_p/1000.0) + 'kPa + 68.8kPa = ' \\\n", " + '%g' % (delta_p/1000.0 + 68.8) + 'kPa')\n", "print \"Henry's law application:\"\n", "print_latex('\\\\mu_{id.\\ soln.}=\\\\mu_{id.\\ g.}')\n", "print_latex('\\\\mu_i^* + RTln(x_i) = \\mu_i^{\\\\circ} + RTln(p_i)')\n", "print_latex('p_i/x_i = exp \\\\left(\\\\frac{\\\\mu_i^*-\\\\mu_i^{\\\\circ}}{RT} \\\\right) \\\\equiv K_i = \\\\mathcal{H}_i')\n", "print_latex('CO_2, 298.15K: \\ \\\\mathcal{H}_{CO_2} = 1670bar = 167000kPa')\n", "p_co2 = delta_p/1000.0 + 68.8 # kPa\n", "h_co2 = 167000.0 # 1670.0bar = 167000.0 kPa\n", "#h_co2 = 1666.6666666666667*100 # correct for exercise\n", "x_co2_max = p_co2/h_co2\n", "x_co2 = np.arange(0.0,x_co2_max*(1+1/20.0),x_co2_max/20.0)\n", "n_co2 = 101325.0*1000.0*(1/3.280839895013123)**3/(8.314*273.15) # 28.31m^3 (STP)\n", "mm_co2 = 12+2*16\n", "mm_h2o = 2*1 + 16\n", "n_h2o = n_co2*(1-x_co2[-1])/x_co2[-1]\n", "#n_h2o = n_co2*(1-0.0057)/0.0057\n", "m_co2 = n_co2 * mm_co2 / 1000.0 # kg\n", "m_h2o = n_h2o * mm_h2o / 1000.0 # kg\n", "x1_co2 = p_at_top/100.0/1670.0\n", "y1_co2 = 1.0\n", "n_s0 = (1.0 - x1_co2)/(x_co2_max - x1_co2) * n_co2\n", "m_h2o_0 = n_s0 * (1 - x_co2_max) * mm_h2o / 1000.0 # kg\n", "ax = plt.axes([0.0,0.0,1.0,1.0])\n", "plt.cla()\n", "plt.subplot(211)\n", "plt.plot(x_co2, h_co2 * x_co2)\n", "plt.plot([x_co2[-1], x1_co2],\n", " [p_co2, p_at_top], \n", " marker='<', color='r', linestyle='--' )\n", "plt.xlabel('$x_{CO_2}$', size=20)\n", "plt.ylabel('$p_{CO_2}, kPa$', size=20)\n", "plt.subplot(212)\n", "plt.plot(x_co2, h_co2 * x_co2 / 101.325)\n", "plt.plot([x_co2[-1], x1_co2],\n", " [p_co2/101.325, p_at_top/101.325], \n", " marker='<', color='r', linestyle='--' )\n", "plt.xlabel('$x_{CO_2}$', size=20)\n", "plt.ylabel('$p_{CO_2}, atm$', size=20)\n", "plt.show()\n", "print_latex('x_{CO_2} = ' + '%g' % x_co2[-1])\n", "print_latex('n \\\\times x_{CO_2} = n_{CO_2}')\n", "print_latex('n \\\\times (1-x_{CO_2}) = n_{H_2O}')\n", "print_latex('n_{CO_2} \\\\times \\\\frac{1-x_{CO_2}}{x_{CO_2}} = n_{H_2O}')\n", "print 'total CO2 to absorb'\n", "print_latex('n_{CO_2} = \\\\frac{101325 Pa\\\\times 28.31m^3}' + \\\n", " '{8.314\\\\frac{Pa \\\\times m^3}{mol K}\\\\times 273.15K} = ' + \\\n", " '%g' % n_co2 + 'gmol') # n = PV/RT\n", "print_latex('m_{CO_2} = n_{CO_2}/M_{CO_2} = ' + '%g' % m_co2 + 'kg')\n", "print 'water to absorb calc.kg of CO2 '\n", "print_latex('n_{H_2O} = \\\\frac{1-' + '%g' % x_co2[-1] + '}' + \\\n", " '{' + '%g' % x_co2[-1] + '} \\\\times ' + '%g' % n_co2 + 'mol = '+ \\\n", " '%g' % n_h2o + ' mol')\n", "print_latex('m_{H_2O} = n_{H_2O}/M_{H_2O} = ' + '%g' % m_h2o + 'kg')\n", "print 'Actual balance to account for pressure difference: '\n", "print_latex('(1)\\\\ x_{CO_2 1} n_{s 1} + y_{CO_2 1} n_{g 1} = x_{CO_2, 0} n_{s 0}')\n", "print_latex('(2)\\\\ n_{s 1} + n_{g 1} = n_{s 0}')\n", "print_latex('y_{CO_2 1} = p_{CO_2 1}/P = 1.01325/1.01325 = 1.0')\n", "print_latex('x_{CO_2 1} = p_{CO_2 1}/ \\\\mathcal{H}_{CO_2} ' + \\\n", " '= \\\\frac{1.01325}{1670} = ' + '%g' % x1_co2)\n", "print ''\n", "print_latex('x_{CO_2 1} (n_{s 0}-n_{g 1}) + n_{g 1} = x_{CO_2, 0} n_{s 0}')\n", "print_latex('n_{s 0} = \\\\frac{1-x_{CO_2 1}}{x_{CO_2, 0}-x_{CO_2 1}}\\\\times n_{g 1}' + \\\n", " '= \\\\frac{1-%g' % x1_co2 + '}{ %g' % x_co2_max + \\\n", " '-%g' % x1_co2 + '}\\\\times %g' % n_co2 + 'mol' + '=%g' % n_s0 + 'mol')\n", "print_latex('m_{H_2O, 0} = n_{s 0}(1-x_{CO_2 0})/MM_{H_2O} = %g' % m_h2o_0 + 'kg')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3 - CO2 equilibria in ac. solution" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 3.1 - Partial equilibria (closed system) - Stumm, Werner Aquatic Chemistry" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Aequilibria\n", "\n", "$\n", "\\begin{array}{ccccccc}\n", "(1) &\\ H_2CO_3 & & \\rightleftharpoons & CO_2(ac) & +H_2O & pK = -2.821023053\\\\\n", "(2) &\\ H_2CO_3 & + H_2O & \\rightleftharpoons & HCO_3^{-} & + H_3O^{+} & pK_{H_2CO_3} = 3.539912399\\\\\n", "(3) &\\ HCO_3^{-} & + H_2O & \\rightleftharpoons & CO_3^{2-} & + H_3O^{+} & pK_2 = 10.32991986\\\\\n", "(4) &\\ 2 H_2O & & \\rightleftharpoons & H_3O^{+} & + HO^{-} & pK_w = 13.99602524\\\\\n", "\\end{array}\n", "$\n", "\n", "### Species\n", "$\n", "[H_2CO_3*] \\equiv [H_2CO_3] + [CO_2(ac)] \\\\\n", "K = \\frac{[CO_2(ac)]}{[H_2CO_3]} \\\\\n", "K_{H_2CO_3} = \\frac{[HCO_3^{(-)}][H_3O^{(+)}]}{[H_2CO_3]}\\\\\n", "K_1 = \\frac{[HCO_3^{(-)}][H_3O^{(+)}]}{[H_2CO_3*]} = \n", " \\frac{[HCO_3^{(-)}][H_3O^{(+)}]}{[H_2CO_3] + [CO_2]} = \n", " \\frac{K_{H_2CO_3}}{1 + K}\\\\\n", "\\rightarrow pK_1 = pK_{H_2CO_3} - log_{10}(1 + K)\n", "$" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print_latex('pK_1=' + '%1.4g' % (3.54 + np.log10(1 + 10 ** 2.821)))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import numpy as np\n", "from matplotlib import pyplot as plt\n", "dpi_res = 250\n", "\n", "npoints = 200\n", "figure = plt.figure(dpi= dpi_res)\n", "ax = plt.axes()\n", "# HA + H2O <<==>> H3O(+) + A(-)\n", "# 2H2O <<==>> H3O(+) + HO(-)\n", "pKa = 6.0\n", "pKw = 14.0\n", "pCT = 3.0\n", "x = np.array([14 * x / float(npoints) for x in range(npoints + 1)])\n", "\n", "ax.plot(x, -pCT - x - np.log10(10 ** -pKa + 10 ** -x))\n", "ax.plot(x, -pCT - pKa - np.log10(10 ** -pKa + 10 ** -x))\n", "ax.plot(x, -x, '--')\n", "ax.plot(x, +x - pKw, '--')\n", "ax.set_ylim(top=0)\n", "ax.set_xlabel('pH')\n", "ax.set_ylabel('-log Concentration (molar)')\n", "ax.legend(['HA', 'A-', 'H+', 'OH-'], loc='best')\n", "y_lim = ax.get_ylim()\n", "ax.plot([pKa, pKa], y_lim, '--', color='gray')\n", "plt.text(pKa, y_lim[1], 'pKa = ' + str(pKa))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 3.2 - Complete equilibria (open system)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Aequilibria\n", "\n", "$\n", "\\begin{array}{ccccccc}\n", "(1) &\\ CO_2(ac) & + H_2O & \\rightleftharpoons & CO_2(g) & & \\mathcal{H_1} = 1670bar \\\\\n", "(2) &\\ CO_2(ac) & + H_2O & \\rightleftharpoons & H_2CO_3 & & pK_2 = 2.821023053\\\\\n", "(3) &\\ H_2CO_3 & + H_2O & \\rightleftharpoons & HCO_3^{-} & + H_3O^{+} & pK_3 = 3.539912399\\\\\n", "(4) &\\ HCO_3^{-} & + H_2O & \\rightleftharpoons & CO_3^{2-} & + H_3O^{+} & pK_4 = 10.32991986\\\\\n", "(5) &\\ 2 H_2O & & \\rightleftharpoons & H_3O^{+} & + HO^{-} & pK_w = 13.99602524\\\\\n", "(6) &\\ NaHCO_3 & & \\rightarrow & HCO_3^{-} & + Na^{+} & \\\\\n", "\\end{array}\n", "$\n", "\n", "### Reactor (Batch)\n", "\n", "#### Case 1: Constant V\n", "![constant V](./utils/V_const.png \"Constant V\")\n", "\n", "#### Case 2: Constant P\n", "![constant V](./utils/P_const.png \"Constant P\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Model\n", "\n", "Material Balances (n)\n", "\n", "$n_i = n_{0,i} + \\sum_j{(\\nu_{ij}\\times \\xi_i)}$\n", "\n", "Equilibrium constant expressions ($n_r$)\n", "\n", "$K_j(T) = \\left [ \\prod_i{(x_i)^{\\nu_{ij}}} \\right ]_{eq.}$\n", "\n", "EOS (gas phase)\n", "\n", "* Case 1, $V_g$ = const. $P = \\sum_i{\\frac{n_{i,g} RT}{V_g}} = \\frac{RT}{V_g} \\sum_i{n_{i,g}}$\n", "\n", " Fixed V, P increases dep. on $p_{CO_2}$\n", "\n", "* Case 2, P = const. $V_g = \\sum_i{\\frac{n_{i,g} RT}{P}} = \\frac{RT}{P} \\sum_i{n_{i,g}}$\n", " \n", " Fixed P, V increases dep. on $p_{CO_2}$\n", "\n", " Inerts: $n_{N_2} = n_{N_2, 0};n_{O_2} = n_{O_2, 0}$\n", " \n", " $p_{N_2} = \\frac{RT}{V_g}n_{N_2}=\\frac{RT}{V_g}n_{N_2,0}\n", " =p_{N_2,0}\\times V_{g0}/V_g$\n", " \n", " $p_{O_2} = \\frac{RT}{V_g}n_{O_2}=\\frac{RT}{V_g}n_{O_2,0}\n", " =p_{O_2,0}\\times V_{g0}/V_g$\n", " \n", " Note: $p_{O_2}$ & $p_{N_2}$ are not constant; $n_{O_2}$ & $n_{N_2}$ are.\n", " \n", " $\\begin{array}{cc}\n", " P = const = & p_{CO_2} + p_{N_2} + p_{O_2}\\\\\n", " = & p_{CO_2} + p_{N_2,0}V_{g0}/V_g + p_{O_2,0}V_{g0}/V_g\\\\\n", " \\rightarrow V_g = & \\left( \\frac{p_{N_2,0} + p_{O_2,0}}{P - p_{CO_2}} \\right) V_{g0}& \\\\\n", " \\end{array}$\n", "\n", "Phase rule: *F* = 2 + (*N* - *R*) - *P* = 2 + (6 - 5) - 2 = 1 (fix T = 298.15K)\n", "\n", "### Initial condition\n", "\n", "0.1% NaHCO3 solution with pH 7.0 water\n", "\n", "$xw_{Na^{(+)},0} + xw_{HCO3^{(-)},0} = 0.1\\%$\n", "\n", "$\\frac{xw_{Na^{(+)},0}}{M_{Na^{(+)}}} = \\frac{xw_{HCO3^{(-)},0}}{M_{HCO3^{(-)}}}$\n", "\n", "$\\Rightarrow xw_{Na^{(+)}, 0} = \\frac{0.1\\%}{1+44/23}=0.034328\\%$\n", "\n", "$\\Rightarrow xw_{HCO3^{(-)}, 0} = \\frac{0.1\\%}{1+23/44}=0.065672\\%$\n", "\n", "$x_{Na^{(+)}, 0} = \\frac{0.00034324/23}{0.000343284/23+0.000656716/44+0.999/18} = 0.000268778$\n", "\n", "$x_{HCO3^{(-)}, 0} = \\frac{0.000656716/44}{0.000343284/23+0.000656716/44+0.999/18} = 0.000268778$\n", "\n", "$pH_0 = 13.99568/2 = 6.99784$\n", "\n", "$x_i/c_i = x_0 M_0 / \\rho_0$ ($x_0, M_0, \\rho_0$, solvent)\n", "\n", "Initial pH=13.99568/2 = 6.99784, mole fraction:\n", "\n", "$x_{H_3O^{(+)},0} = \\frac{c_{H_3O^{(+)},0}}{M_0 \\rho_0}\\times\\left(1 - \\sum_{i\\neq 0}{x_i}\\right) = \\frac{c_{H_3O^{(+)},0}}{M_0 \\rho_0}\\times\\left(1 -x_{H_3O^{(+)},0} - x_{HO^{(-)},0} - x_{Na^{(+)}, 0} - x_{HCO3^{(-)}, 0} \\right)$\n", "\n", "$x_{HO^{(-)},0} = \\frac{10^{-13.99568}/c_{H_3O^{(+)},0}}{M_0 \\rho_0}\\times\\left(1 - \\sum_{i\\neq 0}{x_i}\\right) = \\frac{10^{-13.99568}}{c_{H_3O^{(+)},0}M_0 \\rho_0}\\times\\left(1 -x_{H_3O^{(+)},0} - x_{HO^{(-)},0} - x_{Na^{(+)}, 0} - x_{HCO3^{(-)}, 0} \\right)$\n", "\n", "System:\n", "\n", "$\n", "\\begin{align}\n", "\\left(1 + \\frac{M_0}{\\rho_0} 10^{-pH_0} \\right) & x_{H_3O^{(+)},0} + & \\left(\\frac{M_0}{\\rho_0} 10^{-pH_0} \\right) & x_{HO^{(-)},0} = & \\frac{M_0}{\\rho_0} \\left(1-x_{Na^{(+)},0}-x_{H_3O^{(+)},0} \\right) \\\\\n", "\\left(\\frac{M_0}{\\rho_0} 10^{pH_0-13.99568} \\right) & x_{H_3O^{(+)},0} + & \\left(1 + \\frac{M_0}{\\rho_0} 10^{pH_0-13.99568} \\right) & x_{HO^{(-)},0} = & \\frac{M_0}{\\rho_0} \\frac{10^{-13.99568}}{c_{H_3O^{(+)},0}} \\left(1-x_{Na^{(+)},0}-x_{H_3O^{(+)},0} \\right) \\\\\n", "\\end{align}\n", "$\n", "\n", "$\\Rightarrow x_{H_3O^{(+)},0} = 1.8082E-09; x_{HO^{(-)},0} = 1.8082E-09$\n", "\n", "### Case 1: $V_l$, $V_g$ = const. \n", "\n", "$V_l/V_g \\equiv \\phi = 1.0$\n", "\n", "$P = p_{N2}+ p_{O2} + p_{CO2}$\n", "\n", "#### Input\n", "\n", "| # | Var | val |\n", "| - | - | - |\n", "| - | $V_g$ | 300mL = const. |\n", "| - | $T$ | 298.15K |\n", "| 0 | $x_{H2O,0}$ | 9.99571E-01 |\n", "| 1 | $x_{H_3O^{(+)},0}$ | 1.80820E-09 |\n", "| 2 | $x_{HO^{(-)},0}$ | 1.80820E-09 |\n", "| 3 | $x_{HCO3^{(-)},0}$ | 2.14408E-04 |\n", "| 4 | $x_{Na^{(+)},0}$ | 2.14408E-04 |\n", "| 5 | $x_{CO3^{(2-)},0}$ | 0 |\n", "| 6 | $x_{CO_2(ac),0}$ | 0 |\n", "| 7 | $x_{H_2CO_3,0}$ | 0 |\n", "| 8 | $p_{CO_2(g),0}$ | 0 kPa |\n", "\n", "#### Solution\n", "$\n", "x_{CO_2} = {{C_{CO_2}}\\over{C_{H_2O}+C_{H_3O^{(+)}}+C_{HO^{(-)}}+C_{HCO_3^{(-)}}+C_{Na^{(+)}}+C_{CO_3^{(2-)}}+C_{CO_2}+C_{H_2CO_3} }} \n", "$\n", "\n", "$V_l/V_g = \\phi = 1.0$\n", "\n", "---\n", "\n", "$\n", "\\begin{array}{ccccccccccc}\n", "0 = & 1670\\times 100kPa & - & {{p_{CO_2}}\\over{x_{CO_2}}} &&&&&&\\\\\n", "0 = & 10^{-2.821023053} & - & {{C_{H_2CO_3}}\\over{C_{CO_2}}} &&&&&&\\\\\n", "0 = & 10^{-3.539912399} & - & {{C_{H_3O^{(+)}} \\times C_{HCO_3^{(-)}}}\\over{C_{H_2CO_3}}} &&&&&&\\\\\n", "0 = & 10^{-10.32991986} & - & {{C_{H_3O^{(+)}} \\times C_{CO_3^{(2-)}}}\\over{C_{HCO_3^{(-)}}}} &&&&&&\\\\\n", "0 = & 10^{-13.99602524} & - & C_{H_3O^{(+)}} C_{HO^{(-)}} &&&&&&\\\\\n", "0 = & -C_{0,H_2O} & + & C_{H_2O} & - &(&- \\xi_0 & -\\xi_1& -\\xi_2& -\\xi_3 & -2\\xi_4 &)\\\\\n", "0 = & -C_{0,H_3O^{(+)}} & + & C_{H_3O^{(+)}} & - & (&&&+\\xi_2&+\\xi_3&+\\xi_4&)\\\\\n", "0 = & -C_{0,HO^{(-)}} & + & C_{HO^{(-)}} & - & (&&&&&+\\xi_4&)\\\\\n", "0 = & -C_{0,HCO_3^{(-)}} & + & C_{HCO_3^{(-)}} & - & (&&&+\\xi_2&-\\xi_3&&)\\\\\n", "0 = & -C_{0,Na^{(+)}} & + & C_{Na^{(+)}} & - & (&&&0&&&)\\\\\n", "0 = & -C_{0,CO_3^{(2-)}} & + & C_{CO_3^{(2-)}} & - & (&&&&+\\xi_3&&)\\\\\n", "0 = & -C_{0,CO_2(ac)} & + & C_{CO_2(ac)} & - &(&-\\xi_0&-\\xi_1&&&&)\\\\\n", "0 = & -C_{0,H2_CO_3} & + & C_{H2_CO_3} & - &(&&+\\xi_1&-\\xi_2&&&)\\\\\n", "0 = & -{p_{0,CO_2(g)}\\over{RT \\left({{V_l}\\over{V_g}}\\right)}} & + & {p_{CO_2(g)}\\over{RT \\left({{V_l}\\over{V_g}}\\right)}} & - &(&\\xi_0&&&&&)\\\\\n", "\\end{array}\n", "$\n", "\n", "### Case 2: P = const. \n", "\n", "$P = p_{N2}+ p_{O2} + p_{CO2} = 101.325 kPa$\n", "\n", "$V_l/V_g = {{V_l}\\over{\\frac{RT}{P} \\sum_i{n_{i,g}}}} = \n", "{{V_l}\\over{\\frac{p_{O2,0}+p_{N2,0}}{P - p_{CO2}}V_{g0}}} = \n", "{{V_l}\\over{V_{g0}}} \\times \\frac{P - p_{CO2}}{p_{O2,0}+p_{N2,0}}\n", "$\n", "\n", "#### Input\n", "\n", "| # | Var | val |\n", "| - | - | - |\n", "| - | $P$ | 101.325kPa = const. |\n", "| - | $T$ | 298.15K |\n", "| 0 | $x_{H2O,0}$ | 9.99571E-01 |\n", "| 1 | $x_{H_3O^{(+)},0}$ | 1.80820E-09 |\n", "| 2 | $x_{HO^{(-)},0}$ | 1.80820E-09 |\n", "| 3 | $x_{HCO3^{(-)},0}$ | 2.14408E-04 |\n", "| 4 | $x_{Na^{(+)},0}$ | 2.14408E-04 |\n", "| 5 | $x_{CO3^{(2-)},0}$ | 0 |\n", "| 6 | $x_{CO_2(ac),0}$ | 0 |\n", "| 7 | $x_{H_2CO_3,0}$ | 0 |\n", "| 8 | $p_{CO_2(g),0}$ | 0 kPa |\n", "\n", "#### Solution\n", "$\n", "x_{CO_2} = {{C_{CO_2}}\\over{C_{H_2O}+C_{H_3O^{(+)}}+C_{HO^{(-)}}+C_{HCO_3^{(-)}}+C_{Na^{(+)}}+C_{CO_3^{(2-)}}+C_{CO_2}+C_{H_2CO_3} }} \n", "$\n", "\n", "$V_l/V_{g0} = \\phi = 1.0$\n", "\n", "---\n", "\n", "$\n", "\\begin{array}{ccccccccccc}\n", "0 = & 1670\\times 100kPa & - & {{p_{CO_2}}\\over{x_{CO_2}}} &&&&&&\\\\\n", "0 = & 10^{-2.821023053} & - & {{C_{H_2CO_3}}\\over{C_{CO_2}}} &&&&&&\\\\\n", "0 = & 10^{-3.539912399} & - & {{C_{H_3O^{(+)}} \\times C_{HCO_3^{(-)}}}\\over{C_{H_2CO_3}}} &&&&&&\\\\\n", "0 = & 10^{-10.32991986} & - & {{C_{H_3O^{(+)}} \\times C_{CO_3^{(2-)}}}\\over{C_{HCO_3^{(-)}}}} &&&&&&\\\\\n", "0 = & 10^{-13.99602524} & - & C_{H_3O^{(+)}} C_{HO^{(-)}} &&&&&&\\\\\n", "0 = & -C_{0,H_2O} & + & C_{H_2O} & - &(&- \\xi_0 & -\\xi_1& -\\xi_2& -\\xi_3 & -2\\xi_4 &)\\\\\n", "0 = & -C_{0,H_3O^{(+)}} & + & C_{H_3O^{(+)}} & - & (&&&+\\xi_2&+\\xi_3&+\\xi_4&)\\\\\n", "0 = & -C_{0,HO^{(-)}} & + & C_{HO^{(-)}} & - & (&&&&&+\\xi_4&)\\\\\n", "0 = & -C_{0,HCO_3^{(-)}} & + & C_{HCO_3^{(-)}} & - & (&&&+\\xi_2&-\\xi_3&&)\\\\\n", "0 = & -C_{0,Na^{(+)}} & + & C_{Na^{(+)}} & - & (&&&0&&&)\\\\\n", "0 = & -C_{0,CO_3^{(2-)}} & + & C_{CO_3^{(2-)}} & - & (&&&&+\\xi_3&&)\\\\\n", "0 = & -C_{0,CO_2(ac)} & + & C_{CO_2(ac)} & - &(&-\\xi_0&-\\xi_1&&&&)\\\\\n", "0 = & -C_{0,H2_CO_3} & + & C_{H2_CO_3} & - &(&&+\\xi_1&-\\xi_2&&&)\\\\\n", "0 = & -{p_{0,CO_2(g)}\\over{RT \n", "\\left({{V_l}\\over{V_{g0}}}\\right)}} \n", "& + & {p_{CO_2(g)}\\over{RT \n", "\\left({{V_l}\\over{V_{g0}}}\\right) \\times \n", "\\left(\\frac{P - p_{CO_2}}{p_{O_2, 0} + p_{N_2, 0}} \\right)}} \n", "& - &(&\\xi_0&&&&&)\\\\\n", "\\end{array}\n", "$" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "import numpy as np\n", "from scipy import linalg\n", "from scipy.optimize import root, fsolve\n", "eps = np.finfo(float).eps\n", "\n", "comps = np.array([\n", " 'H2O', 'H3O(+)', 'HO(-)', 'HCO3(-)', 'Na(+)', \n", " 'CO3(2-)', 'CO2', 'H2CO3', 'CO2'\n", " ])\n", "\n", "mm = np.array([\n", " 18, 19, 17, 61, 23, 60, 44, 62, 44\n", " ], dtype=float)\n", "\n", "pkw = 13.99602524\n", "mm0 = mm[0]\n", "rho0 = 1.0\n", "ph0 = pkw/2\n", "xw0nahco3 = 0.001\n", "p0n2 = 78.12/(78.12 + 20.96)*101.325\n", "p0o2 = 20.96/(78.12 + 20.96)*101.325\n", "\n", "x = np.ones_like(mm)*eps\n", "x[3] = xw0nahco3/(mm[3]+mm[4])/(xw0nahco3/(mm[3]+mm[4])+xw0nahco3/(mm[3]+mm[4])+(1-xw0nahco3)/mm[0])\n", "x[4] = xw0nahco3/(mm[3]+mm[4])/(xw0nahco3/(mm[3]+mm[4])+xw0nahco3/(mm[3]+mm[4])+(1-xw0nahco3)/mm[0])\n", "\n", "\n", "a = np.array([\n", " [1 + mm0/rho0*10**(-ph0)/1000.0, mm0/rho0*10**(-ph0)/1000.0],\n", " [mm0/rho0*10**(ph0-pkw)/1000.0,1 + mm0/rho0*10**(ph0-pkw)/1000.0]\n", " ])\n", "b = np.array([\n", " [mm0/rho0*10**(-ph0)/1000.0*(1 - x[3] - x[4])],\n", " [mm0/rho0*10**(ph0-pkw)/1000.0*(1 - x[3] - x[4])]\n", " ])\n", "\n", "x[1:2+1] = linalg.inv(a).dot(b).flatten()\n", "x[0] = 1 - sum(x[1:])\n", "\n", "print 'mole frac.'\n", "print x\n", "print 'mass frac.'\n", "print np.multiply(x, mm)/sum(np.multiply(x, mm))\n", "\n", "c0 = np.ones([len(mm)-1,])*eps\n", "c0 = x[:8]/x[0]*rho0/mm0*1000.0\n", "p0co2 = 10**-3.5*101.325 # 10^-3.5atm = 10^-3.5*101.325 kPa\n", "c0[6] = p0co2 / (1670.0 *100) * (sum(c0)-c0[6]) / (1 - p0co2 / (1670.0 *100))\n", "xi = np.ones(5)*eps\n", "\n", "x0 = np.append(np.append(c0, p0co2), xi)\n", "\n", "def eq_set(x):\n", " # x, [nh2o, nh30, nho, nhco3, nna, nco3, nco2, nh2co3, pco2,\n", " # xi1, xi2, xi3, xi4, xi5]\n", " c = x[:8]\n", " xco2 = c[6] / sum(c)\n", " pco2 = x[8]\n", " xi = x[9:]\n", " return np.array([\n", " 1670.0*100*xco2 - pco2, # Hco2 = pco2/xco2\n", " 10**-2.821023053*c[6] - c[7], # 10^-pK2 = ch2co3/cco2\n", " 10**-3.539912399*c[7] - c[1]*c[3], # 10^-pK3 = chco3*ch3o/ch2co3\n", " 10**-10.32991986*c[3] - c[1]*c[5], # 10^-pK4 = cco3*ch3o/chco3\n", " 10**-13.99602524 - c[1]*c[2], # 10^-pKw = ch3o*cho \n", " c[0] - c0[0] - (- xi[0] - xi[1] - xi[2] - xi[3] - 2*xi[4]),\n", " c[1] - c0[1] - (+ xi[2] + xi[3] + xi[4]),\n", " c[2] - c0[2] - (+ xi[4]),\n", " c[3] - c0[3] - (+ xi[2] - xi[3]),\n", " c[4] - c0[4] - (+ 0),\n", " c[5] - c0[5] - (+ xi[3]),\n", " c[6] - c0[6] - (- xi[0] - xi[1]),\n", " c[7] - c0[7] - (+ xi[1] - xi[2]),\n", " pco2 - p0co2 - (+ xi[0])*8.314*298.15\n", " ]).T\n", "\n", "def jac_eq_set(x):\n", " j = np.zeros([len(x), len(x)])\n", " \n", " c = x[:8]\n", " xco2 = c[6] / sum(c)\n", " pco2 = x[8]\n", " xi = x[9:]\n", " \n", " d_f1_dc = np.zeros([9, ])\n", " \n", " d_f1_dc[0:6] = 1670.0*100*(-1.0/sum(c)**2)*c[6]\n", " d_f1_dc[6] = sum([c_ for i, c_ in enumerate(c) if i!=6])/sum(c)**2\n", " d_f1_dc[7] = 1670.0*100*(-1.0/sum(c)**2)*c[6]\n", " d_f1_dc[8] = -1.0\n", " \n", " j[0, :8+1] = d_f1_dc\n", "\n", " j[1, 6], j[1, 7] = 10**-2.821023053, -1\n", " j[2, 1], j[2, 3], j[2, 7] = -c[3], -c[1], 10**-3.539912399\n", " j[3, 1], j[3, 3], j[3, 5] = -c[5], 10**-10.32991986, -c[1]\n", " j[4, 1], j[4, 2] = -c[2], -c[1]\n", " for ind in range(8+1):\n", " j[ind + 5, ind] = +1\n", " j[5, 9:14] = np.array([+1, +1, +1, +1, +2])\n", " j[6, 11:14] = np.array([-1, -1, -1])\n", " j[7, 13] = -1\n", " j[8, 11:13] = np.array([-1, +1])\n", " j[10, 12] = -1\n", " j[11, 9:11] = +1\n", " j[12, 10:12] = np.array([-1, +1])\n", " j[13, 9] = -1*8.314*298.15\n", " \n", " return j\n", "\n", "#res = root(eq_set, x0, jac=jac_eq_set, tol=1e-10)\n", "res = root(eq_set, x0, jac=False, tol=1e-10)\n", "#res = root(eq_set, x0, method='broyden1', jac=jac_eq_set, tol=1e-10, options={'line_search': 'wolfe'})\n", "#res = root(eq_set, res.x, jac=False, tol=1e-12, method='broyden1')\n", "for item in res.keys():\n", " if item not in ['qtf', 'r', 'jac', 'fjac']:\n", " print str(item) + ': ' + str(getattr(res, str(item)))\n", "print '||f||: ' + str(np.sqrt(res.fun.T.dot(res.fun)))\n", "print ''\n", "print_latex('\\\\bf{pH}: ' + str(-np.log10(res.x[1])))\n", "print_latex('\\\\bf{pOH}: ' + str(-np.log10(res.x[2])) + \\\n", " ', pH+pOH=' + str(-np.log10(res.x[1])+-np.log10(res.x[2])))\n", "print_latex('\\\\bf{p_{CO2}}:' + str(res.x[8]) + ' kPa')\n", "print_latex('\\\\Sigma{c_i\\\\times z_i}:' + \\\n", " str(res.x[1]-res.x[2]-res.x[3]+res.x[4]-2*res.x[5]))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "jac_eq_set(res.x)[-1]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print 'x0'\n", "for index, num in enumerate(x0):\n", " if index < 8:\n", " print 'C' + str(index) + '=' + '%.20e' % num + ','\n", " elif index == 8:\n", " print 'pco2' + '=' + '%.20e' % num + ','\n", " else:\n", " print 'x' + str(index-9) + '=' + '%.20e' % num + ','" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "print 'x:'\n", "for index, num in enumerate(res.x):\n", " if index < 8:\n", " print 'C' + str(index) + '=' + '%.20e' % num + ','\n", " elif index == 8:\n", " print 'pco2' + '=' + '%.20e' % num + ','\n", " else:\n", " print 'x' + str(index-9) + '=' + '%.20e' % num + ','" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from numerik import nr_ls\n", "import logging\n", "\n", "logger = logging.getLogger()\n", "fhandler = logging.FileHandler(filename='./logs/CO2_Equilibria.log')\n", "formatter = logging.Formatter('%(asctime)s;%(message)s')\n", "fhandler.setFormatter(formatter)\n", "logger.addHandler(fhandler)\n", "logger.setLevel(logging.DEBUG)\n", "\n", "def notify_status_func(progress_k, stop_value, k,\n", " j_it_backtrack, lambda_ls, accum_step,\n", " x, diff, f_val, j_val, lambda_ls_y,\n", " method_loops):\n", " g_min = np.nan\n", " g1 = np.nan\n", " y = lambda_ls_y\n", " pr_str =';k=' + str(k) + \\\n", " ';backtrack=' + str(j_it_backtrack) + \\\n", " ';lambda_ls=' + str(lambda_ls) + \\\n", " ';accum_step=' + str(accum_step) + \\\n", " ';stop=' + str(stop_value) + \\\n", " ';X=' + '[' + ','.join(map(str, x.T.A1)) + ']' + \\\n", " ';||X(k)-X(k-1)||=' + str((diff.T * diff).item()) + \\\n", " ';f(X)=' + '[' + ','.join(map(str, f_val.T.A1)) + ']' + \\\n", " ';||f(X)||=' + str(np.sqrt((f_val.T * f_val).item())) + \\\n", " ';j(X)=' + str(j_val.tolist()) + \\\n", " ';Y=' + '[' + ','.join(map(str, y.T.A1)) + ']' + \\\n", " ';||Y||=' + str(np.sqrt((y.T * y).item())) + \\\n", " ';g=' + str(g_min) + \\\n", " ';|g-g1|=' + str(abs(g_min - g1))\n", " logging.debug(pr_str)\n", "\n", "progress_k, stop, outer_it_k, outer_it_j, \\\n", " lambda_ls, accum_step, x, \\\n", " diff, f_val, lambda_ls_y, \\\n", " method_loops = \\\n", " nr_ls(x0=np.matrix(x0).T,\n", " f=lambda x: np.matrix(eq_set(x)).T,\n", " j=lambda x: np.matrix(jac_eq_set(x)),\n", " tol=1e-12,\n", " max_it=1000,\n", " inner_loop_condition=lambda x_vec:\n", " all([item >= 0 for item in\n", " x_vec[0:9]]),\n", " notify_status_func=notify_status_func,\n", " method_loops=[0, 0],\n", " process_func_handle=None)\n", "\n", "x = x.A1\n", "x_nrls = x\n", "f_val = f_val.A1\n", " \n", "print '||f||: ' + str(np.sqrt(f_val.T.dot(f_val)))\n", "print_latex('\\\\bf{pH}: ' + str(-np.log10(x[1])))\n", "print_latex('\\\\bf{pOH}: ' + str(-np.log10(x[2])) + \\\n", " ', pH+pOH=' + str(-np.log10(x[1])+-np.log10(x[2])))\n", "print_latex('\\\\bf{p_{CO2}}:' + str(x[8]) + ' kPa')\n", "print_latex('\\\\Sigma{c_i\\\\times z_i}:' + \\\n", " str(x[1]-x[2]-x[3]+x[4]-2*x[5]))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [], "source": [ "print 'x:'\n", "for index, num in enumerate(x):\n", " if index < 8:\n", " print 'C' + str(index) + '=' + '%.20e' % num + ','\n", " elif index == 8:\n", " print 'pco2' + '=' + '%.20e' % num + ','\n", " else:\n", " print 'x' + str(index-9) + '=' + '%.20e' % num + ','" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def eq_set_const_p(x):\n", " # x, [nh2o, nh30, nho, nhco3, nna, nco3, nco2, nh2co3, pco2,\n", " # xi1, xi2, xi3, xi4, xi5]\n", " c = x[:8]\n", " xco2 = c[6] / sum(c)\n", " pco2 = x[8]\n", " xi = x[9:]\n", " f = eq_set(x)[0][0]\n", " f[13] = pco2 - p0co2 * (101.325 - pco2) / (p0n2 + p0o2) - \\\n", " (+ xi[0])*8.314*298.15 * \\\n", " (101.325 - pco2) / (p0n2 + p0o2)\n", " return f\n", "\n", "def jac_eq_set_const_p(x):\n", " #if type(x) == np.matrixlib.defmatrix.matrix:\n", " # x = x.A1\n", " j = jac_eq_set(x)\n", " \n", " c = x[:8]\n", " xco2 = c[6] / sum(c)\n", " pco2 = x[8]\n", " xi = x[9:]\n", " j[13, 8] = +p0co2 / (p0n2 + p0o2) +1 + \\\n", " xi[0]*8.314*298.15 / (p0n2 + p0o2)\n", " j[13, 9] = -1*8.314*298.15 * \\\n", " (101.325 - pco2) / (p0n2 + p0o2)\n", " \n", " return j\n", "\n", "progress_k, stop, outer_it_k, outer_it_j, \\\n", " lambda_ls, accum_step, x, \\\n", " diff, f_val, lambda_ls_y, \\\n", " method_loops = \\\n", " nr_ls(x0=np.matrix(x0).T,\n", " f=lambda x: np.matrix(eq_set_const_p(x)).T,\n", " j=lambda x: np.matrix(jac_eq_set_const_p(x)),\n", " tol=1e-14,\n", " max_it=1000,\n", " inner_loop_condition=lambda x_vec:\n", " all([item >= 0 for item in\n", " x_vec[0:9]]),\n", " notify_status_func=notify_status_func,\n", " method_loops=[0, 0],\n", " process_func_handle=None)\n", "\n", "x = x.A1\n", "f_val = f_val.A1\n", " \n", "print '||f||: ' + str(np.sqrt(f_val.T.dot(f_val)))\n", "print_latex('\\\\bf{pH}: ' + str(-np.log10(x[1])))\n", "print_latex('\\\\bf{pOH}: ' + str(-np.log10(x[2])) + \\\n", " ', pH+pOH=' + str(-np.log10(x[1])+-np.log10(x[2])))\n", "print_latex('\\\\bf{p_{CO2}}:' + str(x[8]) + ' kPa')\n", "print_latex('\\\\Sigma{c_i\\\\times z_i}:' + \\\n", " str(x[1]-x[2]-x[3]+x[4]-2*x[5]))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [], "source": [ "print 'x:'\n", "for index, num in enumerate(x):\n", " if index < 8:\n", " print 'C' + str(index) + '=' + '%.20e' % num + ','\n", " elif index == 8:\n", " print 'pco2' + '=' + '%.20e' % num + ','\n", " else:\n", " print 'x' + str(index-9) + '=' + '%.20e' % num + ','" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "x=[10**-x for x in range(14+1)]\n", "plt.plot(range(20),np.log(range(20)) )\n", "plt.plot(np.arange(-2,2,0.03),1/np.arange(-2,2,0.03),\n", " np.arange(-2,2,0.03),1/(1+np.arange(-2,2,0.03)),\n", " np.arange(-2,2,0.03),1/(2+np.arange(-2,2,0.03))\n", " )" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "np.arange(-2,2,0.03)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
daviddesancho/Cossio
notebooks/cossio_brownian_cython_calibrate.ipynb
1
1162260
null
mit
wholden/ArduiArcStepper
.ipynb_checkpoints/TestingArduinoMotorErrors-checkpoint.ipynb
1
27636
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from arduinostepper import arduinostepper as ardstep" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import serial" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": true }, "outputs": [], "source": [ "ser = serial.Serial('COM3',57600,timeout=0.005)" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [ "motor = ardstep.arduinoMotor(ser,verbose=True)" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": true }, "outputs": [], "source": [ "ardstep.motordict['sample']['handle'] = motor" ] }, { "cell_type": "code", "execution_count": 93, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def checksum(msg):\n", " chk = 0\n", " for c in msg:\n", " try:\n", " chk -= ord(c)\n", " except TypeError:\n", " chk -= c\n", " return bytes([chk % 256])\n", "\n", "def append_checksum(msg):\n", " return msg.encode('ascii') + checksum(msg)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "ser.close()" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "4" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser.write(b'\\x89PX\\xed')" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'0xed'" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "hex(237)" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n" ] }, { "data": { "text/plain": [ "'0'" ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" } ], "source": [ "motor.readAndParse()" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "OK\n", "43\n", "833\n", "OK\n", "789\n", "0\n", "OK\n", "44\n", "833\n", "OK\n", "791\n", "0\n", "OK\n", "44\n", "833\n", "OK\n", "790\n", "0\n", "OK\n", "43\n", "833\n", "OK\n", "790\n" ] }, { "ename": "KeyboardInterrupt", "evalue": "", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mKeyboardInterrupt\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-22-e88b04aeea6a>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0mi\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mrange\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m100\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 2\u001b[0m \u001b[0mardstep\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mgo_to_degree\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m25\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m----> 3\u001b[0;31m \u001b[0mardstep\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mgo_to_degree\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;32mC:\\Users\\holde\\Documents\\GitHub\\ArduiArcStepper\\arduinostepper\\arduinostepper.py\u001b[0m in \u001b[0;36mgo_to_degree\u001b[0;34m(degree, blockuntilcomplete)\u001b[0m\n\u001b[1;32m 92\u001b[0m \u001b[1;32mexcept\u001b[0m \u001b[0mValueError\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 93\u001b[0m \u001b[1;32mcontinue\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m---> 94\u001b[0;31m \u001b[0mtime\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msleep\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 95\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 96\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0mgo_to_mm\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdistance\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mblockuntilcomplete\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;32mTrue\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0;31mKeyboardInterrupt\u001b[0m: " ] } ], "source": [ "for i in range(100):\n", " ardstep.go_to_degree(25)\n", " ardstep.go_to_degree(0)" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "OK\n", "46\n", "1666\n" ] } ], "source": [ "ardstep.go_to_degree(50)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 89, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "b'\\x00'" ] }, "execution_count": 89, "metadata": {}, "output_type": "execute_result" } ], "source": [ "checksum(append_checksum('X1234556'))" ] }, { "cell_type": "code", "execution_count": 90, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "b'\\xa8'" ] }, "execution_count": 90, "metadata": {}, "output_type": "execute_result" } ], "source": [ "checksum('X')" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [], "source": [ "a=append_checksum('X0')" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def wrap_message(msg):\n", " return b'<'+msg+b'>'" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "b'<X0x>'" ] }, "execution_count": 23, "metadata": {}, "output_type": "execute_result" } ], "source": [ "wrap_message(append_checksum('X0'))" ] }, { "cell_type": "code", "execution_count": 84, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "3" ] }, "execution_count": 84, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser.write(append_checksum('X0'))" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "7" ] }, "execution_count": 29, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser.write(wrap_message(append_checksum('X500')))" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "OK\n" ] }, { "data": { "text/plain": [ "'OK'" ] }, "execution_count": 30, "metadata": {}, "output_type": "execute_result" } ], "source": [ "motor.readAndParse()" ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "collapsed": true }, "outputs": [], "source": [ "b = 'X'" ] }, { "cell_type": "code", "execution_count": 53, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "b'X'" ] }, "execution_count": 53, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b.encode('ascii')" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "b'\\xa8'" ] }, "execution_count": 47, "metadata": {}, "output_type": "execute_result" } ], "source": [ "checksum('X')" ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "b'x'" ] }, "execution_count": 45, "metadata": {}, "output_type": "execute_result" } ], "source": [ "bytes([120])" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "2" ] }, "execution_count": 38, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser.write(b'X\\xa8')" ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "1" ] }, "execution_count": 40, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser.write(b'X')" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "ChecksumError\n" ] }, { "data": { "text/plain": [ "'ChecksumError'" ] }, "execution_count": 41, "metadata": {}, "output_type": "execute_result" } ], "source": [ "motor.readAndParse()" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "88" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ord('X')" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'0xa8'" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" } ], "source": [ "hex(168)" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "b'X\\xa8'" ] }, "execution_count": 36, "metadata": {}, "output_type": "execute_result" } ], "source": [ "b'X\\xa8'" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'¨'" ] }, "execution_count": 28, "metadata": {}, "output_type": "execute_result" } ], "source": [ "chr(168)" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "168" ] }, "execution_count": 27, "metadata": {}, "output_type": "execute_result" } ], "source": [ "-88 % 256" ] }, { "cell_type": "code", "execution_count": 135, "metadata": { "collapsed": true }, "outputs": [], "source": [ "ser.close()" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import time" ] }, { "cell_type": "code", "execution_count": 159, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "5" ] }, "execution_count": 159, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser.write(b'<X500')" ] }, { "cell_type": "code", "execution_count": 167, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "5" ] }, "execution_count": 167, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser.write(b'X500>')" ] }, { "cell_type": "code", "execution_count": 160, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "7" ] }, "execution_count": 160, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser.write(b'<X1500>')" ] }, { "cell_type": "code", "execution_count": 168, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "6" ] }, "execution_count": 168, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser.write(b'<X100>')" ] }, { "cell_type": "code", "execution_count": 173, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "4" ] }, "execution_count": 173, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser.write(b'<PX>')" ] }, { "cell_type": "code", "execution_count": 174, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "100\n" ] }, { "data": { "text/plain": [ "'100'" ] }, "execution_count": 174, "metadata": {}, "output_type": "execute_result" } ], "source": [ "motor.readAndParse()" ] }, { "cell_type": "code", "execution_count": 113, "metadata": { "collapsed": true }, "outputs": [], "source": [ "motor.waittime=0.1" ] }, { "cell_type": "code", "execution_count": 116, "metadata": { "collapsed": true }, "outputs": [], "source": [ "ser.readline?" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "5" ] }, "execution_count": 47, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ser.write(wrap_message(append_checksum('PX')))" ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n" ] }, { "data": { "text/plain": [ "''" ] }, "execution_count": 49, "metadata": {}, "output_type": "execute_result" } ], "source": [ "motor.readAndParse()" ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "b'PXX'" ] }, "execution_count": 50, "metadata": {}, "output_type": "execute_result" } ], "source": [ "append_checksum('PX')" ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "b'\\x00'" ] }, "execution_count": 51, "metadata": {}, "output_type": "execute_result" } ], "source": [ "checksum('PXX')" ] }, { "cell_type": "code", "execution_count": 89, "metadata": { "collapsed": false }, "outputs": [], "source": [ "ser.close()" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n" ] }, { "ename": "KeyboardInterrupt", "evalue": "", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mKeyboardInterrupt\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-31-c894e1fd446a>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0mi\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mrange\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m20\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 2\u001b[0m \u001b[0mser\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mwrite\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mwrap_message\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mappend_checksum\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'X900'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m----> 3\u001b[0;31m \u001b[0mtime\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msleep\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m0.25\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 4\u001b[0m \u001b[0mprint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mmotor\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mreadAndParse\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 5\u001b[0m \u001b[0mtime\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msleep\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0;31mKeyboardInterrupt\u001b[0m: " ] } ], "source": [ "for i in range(20):\n", " ser.write(wrap_message(append_checksum('X900')))\n", " time.sleep(0.25)\n", " print(motor.readAndParse())\n", " time.sleep(1)\n", " ser.write(wrap_message(append_checksum('X0')))\n", " time.sleep(0.25)\n", " print(motor.readAndParse())\n", " time.sleep(1)" ] }, { "cell_type": "code", "execution_count": 61, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n", "OK\n" ] }, { "ename": "KeyboardInterrupt", "evalue": "", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mKeyboardInterrupt\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-61-dd96292cbc76>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 3\u001b[0m \u001b[0mtime\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msleep\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m0.25\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 4\u001b[0m \u001b[0mprint\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mmotor\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mreadAndParse\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m----> 5\u001b[0;31m \u001b[0mtime\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msleep\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 6\u001b[0m \u001b[0mser\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mwrite\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mwrap_message\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34mb'X0'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 7\u001b[0m \u001b[0mtime\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msleep\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m0.25\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0;31mKeyboardInterrupt\u001b[0m: " ] } ], "source": [ "for i in range(20):\n", " ser.write(wrap_message((b'X900')))\n", " time.sleep(0.25)\n", " print(motor.readAndParse())\n", " time.sleep(1)\n", " ser.write(wrap_message((b'X0')))\n", " time.sleep(0.25)\n", " print(motor.readAndParse())\n", " time.sleep(1)" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "OK\n", "44\n", "333\n", "OK\n", "290\n", "0\n", "OK\n", "42\n", "333\n", "OK\n", "289\n", "0\n", "OK\n", "43\n", "333\n", "OK\n", "290\n", "0\n", "OK\n", "42\n", "333\n", "OK\n", "292\n", "0\n", "OK\n", "42\n", "333\n", "OK\n", "291\n", "0\n", "OK\n", "44\n", "333\n", "OK\n", "289\n", "0\n", "OK\n", "44\n", "333\n", "OK\n", "290\n", "0\n", "OK\n", "41\n", "333\n", "OK\n", "286\n", "0\n", "OK\n", "44\n", "333\n", "OK\n", "290\n", "0\n", "OK\n", "43\n", "333\n", "OK\n", "289\n", "0\n", "OK\n", "42\n", "333\n", "OK\n", "287\n", "0\n", "OK\n", "41\n" ] }, { "ename": "KeyboardInterrupt", "evalue": "", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mKeyboardInterrupt\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-19-dbfa8c6d1870>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[1;32mfor\u001b[0m \u001b[0mi\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mrange\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m20\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m----> 2\u001b[0;31m \u001b[0mardstep\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mgo_to_degree\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m10\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 3\u001b[0m \u001b[0mardstep\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mgo_to_degree\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m0\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0;32mC:\\Users\\holde\\Documents\\GitHub\\ArduiArcStepper\\arduinostepper\\arduinostepper.py\u001b[0m in \u001b[0;36mgo_to_degree\u001b[0;34m(degree, blockuntilcomplete)\u001b[0m\n\u001b[1;32m 76\u001b[0m \u001b[1;32mexcept\u001b[0m \u001b[0mValueError\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 77\u001b[0m \u001b[1;32mcontinue\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m---> 78\u001b[0;31m \u001b[0mtime\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0msleep\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;36m1\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 79\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m 80\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0mgo_to_mm\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mdistance\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mblockuntilcomplete\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;32mTrue\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[0;31mKeyboardInterrupt\u001b[0m: " ] } ], "source": [ "for i in range(20):\n", " ardstep.go_to_degree(10)\n", " ardstep.go_to_degree(0)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": true }, "outputs": [], "source": [ "ser.close()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "`" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
megatharun/basic-python-for-researcher
Tutorial 3 - Conditional Expression.ipynb
2
17382
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# <span style=\"color: #B40486\">BASIC PYTHON FOR RESEARCHERS</span>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "_by_ [**_Megat Harun Al Rashid bin Megat Ahmad_**](https://www.researchgate.net/profile/Megat_Harun_Megat_Ahmad) \n", "last updated: April 14, 2016" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "-------\n", "## _<span style=\"color: #29088A\">3. Conditional Expressions</span>_\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$Python$ conditional expressions include the <span style=\"color: #0000FF\">$if/elif/else$</span> statement. In addition the <span style=\"color: #0000FF\">$for$</span> and <span style=\"color: #0000FF\">$while$</span> statements can be used in conditional looping. $Python$ also has the <span style=\"color: #0000FF\">$enumerate$&#40; &#41;</span> function for conditional looping." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "***\n", "### **_3.1 The <span style=\"color: #0000FF\">if/else</span> condition_**" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The <span style=\"color: #0000FF\">$if/else$</span> conditional expression allows statement to be executed if a condition is fulfilled:" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "The remainder of 56 divided by 3 is 2\n" ] } ], "source": [ "# Calculate the remainder of a divisional operation\n", "x = 56\n", "y = 3\n", "z = x % y # Modulo operation\n", "\n", "if z > 0:\n", " print (\"The remainder of %d divided by %d is %d\" % (x,y,z))\n", "else:\n", " print \"There's no remainder\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The $z = x$ &#37; $y$ operation means that the remainder of $x$ when divided with $y$ will be assigned to $z$. The <span style=\"color: #0000FF\">$if/else$</span> conditional expression above resulted in the printing of the variable $z$ if its value is positive, _i.e._ if $x$ when divided with $y$ has a remainder. If this condition is not fulfilled, then the text \"_There's no remainder_\" will be printed." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Statements inside conditional expression must be indented with space (not tab). The indentation must be consistent throughout the condition." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "***\n", "### **_3.2 The <span style=\"color: #0000FF\">if/elif/else</span> condition_**" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The <span style=\"color: #0000FF\">$if/elif/else$</span> conditional expression allows multiple conditions to be applied." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "45 is less than 55\n" ] } ], "source": [ "# Compare the values of two integers\n", "\n", "int1 = 45\n", "int2 = 55\n", "\n", "if int1 > int2:\n", " print \"%d is larger than %d\" % (int1,int2)\n", "elif int1 == int2:\n", " print \"%d is equal to %d\" % (int1,int2)\n", "else:\n", " print \"%d is less than %d\" % (int1,int2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In the example above, the first condition always use the <span style=\"color: #0000FF\">$if$</span> condition expression (_i.e._ $int1$ > $int2$). Only if this is not fulfilled will the second condition be evaluated _i.e._ the <span style=\"color: #0000FF\">$elif$</span> condition expression. If this condition is also not fulfilled, then the <span style=\"color: #0000FF\">$else$</span> condition statement will be executed." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In multiple conditional expressions, all the conditions will be evaluated in sequence. When one of the condition is fulfilled, the sequential evaluation will stop and the statement for that conditions will be executed." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Some of the conditional operators that can be used in a conditional expression:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "|Condition|Function|\n", "|---|---|\n", "|>|more than|\n", "|<|less than|\n", "|>=|equal or more than|\n", "|<=|equal or less than|\n", "|==|equal to|\n", "|!=|not equal to|\n", "|and|more than one conditional operations are true|\n", "|or|either one conditional operations is true|" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In general, the multiple conditional expressions format is: \n", " \n", "_if (condition/s 1):_\n", ">_statement 1.1_ \n", "_statement 1.2_ \n", "......\n", "\n", "_elif (condition/s 2):_\n", ">_statement 2.1_ \n", "...... \n", "\n", "_elif (condition/s 3):_ \n", ">_statement 3.1_ \n", "...... \n", "\n", "...... \n", "...... \n", "...... \n", "\n", "\n", "_else:_ \n", ">_statement_ \n", "......" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The statement in each conditional expression can also be a conditional expression." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### <span style=\"color: #F5DA81; background-color: #610B4B\">Example 3.1</span>: Determine the maximum and minimum of three different integers: 34,12,67." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Maximum integer is 67\n", "Minimum integer is 12\n" ] } ], "source": [ "x = 34\n", "y = 12\n", "z = 67\n", "\n", "if x > y:\n", " if y > z:\n", " print 'Maximum integer is %d' % x\n", " print 'Minimum integer is %d' % z\n", " elif z > x:\n", " print 'Maximum integer is %d' % z\n", " print 'Minimum integer is %d' % y\n", " else:\n", " print 'Maximum integer is %d' % x\n", " print 'Minimum integer is %d' % y\n", " \n", "else: # y > x\n", " if x > z:\n", " print 'Maximum integer is %d' % y\n", " print 'Minimum integer is %d' % z\n", " elif z > y:\n", " print 'Maximum integer is %d' % z\n", " print 'Minimum integer is %d' % x\n", " else:\n", " print 'Maximum integer is %d' % y\n", " print 'Minimum integer is %d' % x\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### <span style=\"color: #F5DA81; background-color: #610B4B\">Example 3.2</span>: Use only one type of conditional operator for Exercise 3.1." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Maximum integer is 67\n", "Minimum integer is 12\n" ] } ], "source": [ "x = 34\n", "y = 12\n", "z = 67\n", "\n", "if x > y > z:\n", " print 'Maximum integer is %d' % x\n", " print 'Minimum integer is %d' % z\n", "\n", "elif x > z > y:\n", " print 'Maximum integer is %d' % x\n", " print 'Minimum integer is %d' % y\n", " \n", "elif y > x > z:\n", " print 'Maximum integer is %d' % y\n", " print 'Minimum integer is %d' % z\n", "\n", "elif y > z > x:\n", " print 'Maximum integer is %d' % y\n", " print 'Minimum integer is %d' % x\n", "\n", "elif z > x > y:\n", " print 'Maximum integer is %d' % z\n", " print 'Minimum integer is %d' % y\n", "\n", "else:\n", " print 'Maximum integer is %d' % z\n", " print 'Minimum integer is %d' % x" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### <span style=\"color: #F5DA81; background-color: #610B4B\">Exercise 3.1</span>: What if two or all integers have the same value. Try this and run the codes that solve Examples 3.1 and 3.2." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Codes in Example 3.1 seems more robust but 3.2 can be made more robust adding `'>='` instead of `'>'`." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Maximum integer is 99\n", "Minimum integer is 78\n" ] } ], "source": [ "x = 78\n", "y = 78\n", "z = 99\n", "\n", "if x >= y >= z:\n", " print 'Maximum integer is %d' % x\n", " print 'Minimum integer is %d' % z\n", "\n", "elif x >= z >= y:\n", " print 'Maximum integer is %d' % x\n", " print 'Minimum integer is %d' % y\n", " \n", "elif y >= x >= z:\n", " print 'Maximum integer is %d' % y\n", " print 'Minimum integer is %d' % z\n", "\n", "elif y >= z >= x:\n", " print 'Maximum integer is %d' % y\n", " print 'Minimum integer is %d' % x\n", "\n", "elif z >= x >= y:\n", " print 'Maximum integer is %d' % z\n", " print 'Minimum integer is %d' % y\n", "\n", "else:\n", " print 'Maximum integer is %d' % z\n", " print 'Minimum integer is %d' % x" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "***\n", "### **_3.3 The <span style=\"color: #0000FF\">for</span> and <span style=\"color: #0000FF\">while</span> conditions_**" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The <span style=\"color: #0000FF\">$for$</span> and <span style=\"color: #0000FF\">$while$</span> functions can be used to do repetitive action. The indentation with space (not tab) for statements inside the loop is also applied and consistent throughout the condition." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n", "1\n", "2\n", "3\n", "4\n" ] } ], "source": [ "for i in range(0,5,1):\n", " print i" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Here the variable $i$ will be assigned the value of $0$ and cyclically incremented $5$ times by adding the integer $1$ to it each time. Only integer values are accepted in the parenthesis of the _range_ statement. The first integer is the intial value of the $i$ variable, the second integer indicates (not-inclusive) the limiting value of the $i$ variable and the third integer represent the integer added to the variable $i$ for each cycles." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "8\n", "14\n", "20\n", "26\n", "32\n" ] } ], "source": [ "for i in range(4,17,3):\n", " print i*2" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The conditional looping can be nested as examplified below:" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 x 6 = 6\n", "1 x 7 = 7\n", "1 x 8 = 8\n", "1 x 9 = 9\n", "1 x 10 = 10\n", "2 x 6 = 12\n", "2 x 7 = 14\n", "2 x 8 = 16\n", "2 x 9 = 18\n", "2 x 10 = 20\n", "3 x 6 = 18\n", "3 x 7 = 21\n", "3 x 8 = 24\n", "3 x 9 = 27\n", "3 x 10 = 30\n", "4 x 6 = 24\n", "4 x 7 = 28\n", "4 x 8 = 32\n", "4 x 9 = 36\n", "4 x 10 = 40\n", "5 x 6 = 30\n", "5 x 7 = 35\n", "5 x 8 = 40\n", "5 x 9 = 45\n", "5 x 10 = 50\n" ] } ], "source": [ "for i in range(1,6,1):\n", " for j in range(6,11,1):\n", " print '%d x %d = %d' % (i,j,i*j)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It is also possible to loop into the elements of a string (_i.e._ a $list$)." ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "N\n", "u\n", "m\n", "p\n", "y\n" ] } ], "source": [ "for name in 'Numpy':\n", " print name" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The <span style=\"color: #0000FF\">$while$</span> function works similarly like <span style=\"color: #0000FF\">$for$</span> but initialization of the variable is performed before the <span style=\"color: #0000FF\">$while$</span> statement and incrementing process is carried out as part of the loop argument. " ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n", "6\n", "12\n", "18\n", "24\n" ] } ], "source": [ "z = 0\n", "while z < 27:\n", " print z\n", " z = z + 6" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "***\n", "### **_3.3 The <span style=\"color: #0000FF\">$enumerate$&#40; &#41;</span> function_**" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The <span style=\"color: #0000FF\">$enumerate$&#40; &#41;</span> function will make the <span style=\"color: #0000FF\">$for$</span> looping condition looking more comprehensible. The argument for this function is a $list$." ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0 \tN\n", "1 \tu\n", "2 \tm\n", "3 \tp\n", "4 \ty\n" ] } ], "source": [ "for i,j in enumerate('Numpy'):\n", " print i, '\\t', j" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(0, 'N')\n", "(1, 'u')\n", "(2, 'm')\n", "(3, 'p')\n", "(4, 'y')\n" ] } ], "source": [ "for item in enumerate('Numpy'):\n", " print item" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The <span style=\"color: #0000FF\">$enumerate$&#40; &#41;</span> function allows the extraction of both the default position and its element of a $list$. In the first example, the two variables $i$ and $j$ will be assigned the $list$ default positional number and its element, respectively. In the second example, the variable $item$ will be assigned a tuple that consists the pair of default positional number and its element of the $list$." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The default positional number can be initiated to a different number. This can be done by passing the initial number as another argument in the <span style=\"color: #0000FF\">$enumerate$&#40; &#41;</span> function." ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(5, 'N')\n", "(6, 'u')\n", "(7, 'm')\n", "(8, 'p')\n", "(9, 'y')\n" ] } ], "source": [ "for item in enumerate('Numpy',5):\n", " print item" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "More on conditional expressions and looping can be found on https://docs.python.org/2/tutorial/controlflow.html" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
artistic-2.0
barjacks/pythonrecherche
11 pandas plotting, re, selenum practice/02 Reading local files.ipynb
1
31491
{ "cells": [ { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import os\n", "from bs4 import BeautifulSoup\n", "import pandas as pd" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Read files int the relevant folder" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "lst = os.listdir('files/')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# But let's look at one single file first" ] }, { "cell_type": "code", "execution_count": 38, "metadata": {}, "outputs": [], "source": [ "text = open('files/1.htm',\"r\") \n", "text_soup = BeautifulSoup(text.read(), 'html.parser')" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [], "source": [ "# Go and look at the files to get the correct tags\n", "date = text_soup.find('tbody').find_all('td', {'class':'tdcol1'})\n", "nr = text_soup.find('tbody').find_all('td', {'class':'tdcol2'})\n", "status = text_soup.find('tbody').find_all('td', {'class':'tdcol3'})\n", "meldung = text_soup.find('tbody').find_all('td')[3::5]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Put it all together" ] }, { "cell_type": "code", "execution_count": 42, "metadata": {}, "outputs": [], "source": [ "whole_list = []\n", "\n", "for elem in lst:\n", " \n", " text = open('files/' + elem,\"r\") \n", " text_soup = BeautifulSoup(text.read(), 'html.parser')\n", " \n", " date = text_soup.find('tbody').find_all('td', {'class':'tdcol1'})\n", " nr = text_soup.find('tbody').find_all('td', {'class':'tdcol2'})\n", " status = text_soup.find('tbody').find_all('td', {'class':'tdcol3'})\n", " meldung = text_soup.find('tbody').find_all('td')[3::5]\n", " \n", " mini_list = []\n", " \n", " for d,n,s,m in zip(date, nr, status, meldung):\n", " \n", " mini_dict = {'Datum': d.text,\n", " 'Nummer': n.text,\n", " 'Status': s.text,\n", " 'Meldung': m.text}\n", " mini_list.append(mini_dict)\n", " \n", " whole_list += mini_list" ] }, { "cell_type": "code", "execution_count": 43, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style>\n", " .dataframe thead tr:only-child th {\n", " text-align: right;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: left;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Datum</th>\n", " <th>Meldung</th>\n", " <th>Nummer</th>\n", " <th>Status</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>07.07.2017</td>\n", " <td>Restaurant Bar ADONIS GmbH, AarauSHAB-Nr. 130 ...</td>\n", " <td>3615849</td>\n", " <td>Konkurse;Kollokationsplan und Inventar</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>07.07.2017</td>\n", " <td>Hertel Wilhelm, HorgenbergSHAB-Nr. 130 Konkur...</td>\n", " <td>3577217</td>\n", " <td>Konkurse;Konkursamtliche Grundstücksteigerung</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>07.07.2017</td>\n", " <td>Jossen Ulrich, NatersSHAB-Nr. 130 Konkursamt ...</td>\n", " <td>3615897</td>\n", " <td>Konkurse;Konkursamtliche Grundstücksteigerung</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>05.07.2017</td>\n", " <td>Erdogan Cuma, LangenthalSHAB-Nr. 128 Konkursa...</td>\n", " <td>3607085</td>\n", " <td>Konkurse;Konkurspublikation/Schuldenruf</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>05.07.2017</td>\n", " <td>GT Gastro AG, HergiswilSHAB-Nr. 128 Konkursam...</td>\n", " <td>3609623</td>\n", " <td>Konkurse;Konkursamtliche Grundstücksteigerung</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>30.06.2017</td>\n", " <td>Habermacher Alfred, GontenschwilSHAB-Nr. 125 ...</td>\n", " <td>3609797</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>30.06.2017</td>\n", " <td>LE RELAIS FLEURI Sàrl, Villars-Ste-CroixSHAB-N...</td>\n", " <td>3610131</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>30.06.2017</td>\n", " <td>Vilhena Ferreira Andreia Sofia, Le Châtelard-p...</td>\n", " <td>3589305</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>30.06.2017</td>\n", " <td>Dos Santos Sardico Antonio José, Dietikon, zur...</td>\n", " <td>3603515</td>\n", " <td>Konkurse;Schluss des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>28.06.2017</td>\n", " <td>Ekici Ali, Oberwil BLSHAB-Nr. 123 Zivilrechts...</td>\n", " <td>3600873</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>19.06.2015</td>\n", " <td>Restaurant Trung-Hoa GmbH, SpreitenbachSHAB-Nr...</td>\n", " <td>2210701</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>19.06.2015</td>\n", " <td>adRem AG, GersauSHAB-Nr. 116 Konkursamt Hochdorf</td>\n", " <td>2213195</td>\n", " <td>Konkurse;Konkursamtliche Grundstücksteigerung</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>15.06.2015</td>\n", " <td>Restaurant zum Bären Stampfli GmbH, WilSHAB-Nr...</td>\n", " <td>2199253</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>12.06.2015</td>\n", " <td>Restaurant Bar Siri GmbH, ZürichSHAB-Nr. 111 ...</td>\n", " <td>2177343</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>05.06.2015</td>\n", " <td>Lonia GmbH, ElggSHAB-Nr. 106 Konkursamt Elgg</td>\n", " <td>2183049</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>05.06.2015</td>\n", " <td>EBI Zeynur Sàrl en liquidation, CressierSHAB-N...</td>\n", " <td>2174931</td>\n", " <td>Konkurse;Kollokationsplan und Inventar</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>29.05.2015</td>\n", " <td>Asian Restaurant und Take-Away GmbH, Unterkulm...</td>\n", " <td>2169461</td>\n", " <td>Konkurse;Schluss des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>27.05.2015</td>\n", " <td>Restaurant St. Gervais GmbH, Biel/BienneSHAB-N...</td>\n", " <td>2140713</td>\n", " <td>Konkurse;Kollokationsplan und Inventar</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>27.05.2015</td>\n", " <td>Fondation Gilberte de Courgenay, Hôtel de la G...</td>\n", " <td>2169511</td>\n", " <td>Konkurse;Konkursamtliche Grundstücksteigerung</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>15.05.2015</td>\n", " <td>Saffron Asian Restaurant GmbH, KaiseraugstSHAB...</td>\n", " <td>2140687</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>17.07.2015</td>\n", " <td>Lonia GmbH, ElggSHAB-Nr. 136 Konkursamt Elgg</td>\n", " <td>2266413</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>17.07.2015</td>\n", " <td>\"Le Panorama Sàrl\", AyentSHAB-Nr. 136 Office ...</td>\n", " <td>2271803</td>\n", " <td>Konkurse;Schluss des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>16.07.2015</td>\n", " <td>Swann Hotel et Restaurant S.A., Laax GRSHAB-Nr...</td>\n", " <td>2258493</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>15.07.2015</td>\n", " <td>Restaurant Gundeldingerhof AG, BaselSHAB-Nr. 1...</td>\n", " <td>2255595</td>\n", " <td>Konkurse;Konkurspublikation/Schuldenruf</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>15.07.2015</td>\n", " <td>Hotel-Restaurant Post AG Lyss, LyssSHAB-Nr. 13...</td>\n", " <td>2258289</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>15.07.2015</td>\n", " <td>Restaurant St. Gervais GmbH, Biel/BienneSHAB-N...</td>\n", " <td>2260821</td>\n", " <td>Konkurse;Schluss des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>10.07.2015</td>\n", " <td>Restaurant Neuhüsli Christen Jürg und Biberste...</td>\n", " <td>2255565</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>01.07.2015</td>\n", " <td>Restaurant La Calèche GmbH, BernSHAB-Nr. 124 ...</td>\n", " <td>2230487</td>\n", " <td>Konkurse;Schluss des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>30.06.2015</td>\n", " <td>RESTAURANT LA MAISON GRISE SÀRL, TroinexSHAB-N...</td>\n", " <td>2221763</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>26.06.2015</td>\n", " <td>Restaurant Neuhüsli Christen Jürg und Biberste...</td>\n", " <td>2224777</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>409</th>\n", " <td>14.12.2015</td>\n", " <td>Zemp Junior Conditorei-Restaurant GmbH, Laufen...</td>\n", " <td>2535583</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>410</th>\n", " <td>09.12.2015</td>\n", " <td>Restaurant zum Tempel AG, ThunSHAB-Nr. 239 Ko...</td>\n", " <td>2513091</td>\n", " <td>Konkurse;Konkurspublikation/Schuldenruf</td>\n", " </tr>\n", " <tr>\n", " <th>411</th>\n", " <td>04.12.2015</td>\n", " <td>CASA DELLA PIZZA SARL, LausanneSHAB-Nr. 236 O...</td>\n", " <td>2505447</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>412</th>\n", " <td>27.11.2015</td>\n", " <td>Restaurant Ochsen Sempach GmbH, SempachSHAB-Nr...</td>\n", " <td>2494789</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>413</th>\n", " <td>20.11.2015</td>\n", " <td>Restaurant zur alten Post GmbH Aarburg, Aarbur...</td>\n", " <td>2490227</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>414</th>\n", " <td>20.11.2015</td>\n", " <td>Zemp Junior Conditorei-Restaurant GmbH, Laufen...</td>\n", " <td>2492411</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>415</th>\n", " <td>18.11.2015</td>\n", " <td>Aurora8 Services GmbH, HergiswilSHAB-Nr. 224 ...</td>\n", " <td>2484521</td>\n", " <td>Konkurse;Konkurspublikation/Schuldenruf</td>\n", " </tr>\n", " <tr>\n", " <th>416</th>\n", " <td>13.11.2015</td>\n", " <td>HOTEL RESTAURANT LA POSTE SARL, ST-CERGUESHAB-...</td>\n", " <td>2468983</td>\n", " <td>Konkurse;Schluss des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>417</th>\n", " <td>11.11.2015</td>\n", " <td>Restaurant zum Tempel AG, ThunSHAB-Nr. 219 Ko...</td>\n", " <td>2466181</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>418</th>\n", " <td>06.11.2015</td>\n", " <td>Espressomania GmbH, Holzhäusern, Gde. RischSHA...</td>\n", " <td>2453841</td>\n", " <td>Konkurse;Konkurspublikation/Schuldenruf</td>\n", " </tr>\n", " <tr>\n", " <th>419</th>\n", " <td>29.01.2016</td>\n", " <td>AL.KO BP SA, VuarrensSHAB-Nr. 20 Office des f...</td>\n", " <td>2618369</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>420</th>\n", " <td>29.01.2016</td>\n", " <td>Restaurant zur alten Post GmbH Aarburg, Aarbur...</td>\n", " <td>2618171</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>421</th>\n", " <td>22.01.2016</td>\n", " <td>GL Gastro AG, GiswilSHAB-Nr. 15 Konkursamt Ob...</td>\n", " <td>2603189</td>\n", " <td>Konkurse;Konkurspublikation/Schuldenruf</td>\n", " </tr>\n", " <tr>\n", " <th>422</th>\n", " <td>20.01.2016</td>\n", " <td>Restaurant zum Tempel AG, ThunSHAB-Nr. 13 Kon...</td>\n", " <td>2600329</td>\n", " <td>Konkurse;Kollokationsplan und Inventar</td>\n", " </tr>\n", " <tr>\n", " <th>423</th>\n", " <td>20.01.2016</td>\n", " <td>Café-Restaurant Bad Frutigen AG, FrutigenSHAB-...</td>\n", " <td>2594343</td>\n", " <td>Konkurse;Schluss des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>424</th>\n", " <td>15.01.2016</td>\n", " <td>Restaurant Alpina Alpnach GmbH in Liquidation,...</td>\n", " <td>2592019</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>425</th>\n", " <td>08.01.2016</td>\n", " <td>LA QUENOUILLE SARL, MONT-SUR-ROLLESHAB-Nr. 5 ...</td>\n", " <td>2551297</td>\n", " <td>Konkurse;Konkurspublikation/Schuldenruf</td>\n", " </tr>\n", " <tr>\n", " <th>426</th>\n", " <td>08.01.2016</td>\n", " <td>Café-Restaurant les Masses, Pascal MALHERBES, ...</td>\n", " <td>2582637</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>427</th>\n", " <td>30.12.2015</td>\n", " <td>Gastro TotalRegional GmbH, TäuffelenSHAB-Nr. 2...</td>\n", " <td>2558007</td>\n", " <td>Konkurse;Konkurspublikation/Schuldenruf</td>\n", " </tr>\n", " <tr>\n", " <th>428</th>\n", " <td>18.12.2015</td>\n", " <td>Restaurant Eintracht Arn GmbH, HorgenSHAB-Nr. ...</td>\n", " <td>2538665</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>429</th>\n", " <td>02.03.2017</td>\n", " <td>Restaurant Viva GmbH\\nOser Philipp, Bottmingen...</td>\n", " <td>3381287</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>430</th>\n", " <td>27.02.2017</td>\n", " <td>Zucol Christian, RapperswilSHAB-Nr. 40 Konkur...</td>\n", " <td>3365105</td>\n", " <td>Konkurse;Kollokationsplan und Inventar</td>\n", " </tr>\n", " <tr>\n", " <th>431</th>\n", " <td>24.02.2017</td>\n", " <td>Restaurant Mediterraneo Pizzeria GmbH, Lenzbur...</td>\n", " <td>3365153</td>\n", " <td>Konkurse;Vorläufige Konkursanzeige</td>\n", " </tr>\n", " <tr>\n", " <th>432</th>\n", " <td>24.02.2017</td>\n", " <td>Restaurant Bar ADONIS GmbH, AarauSHAB-Nr. 39 ...</td>\n", " <td>3353871</td>\n", " <td>Konkurse;Konkurspublikation/Schuldenruf</td>\n", " </tr>\n", " <tr>\n", " <th>433</th>\n", " <td>24.02.2017</td>\n", " <td>Kassegger + Mielke Restaurant Rose, Rüschlikon...</td>\n", " <td>3365123</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>434</th>\n", " <td>24.02.2017</td>\n", " <td>Restaurant Pizzeria Swiss Leone GmbH, Gossau Z...</td>\n", " <td>3364903</td>\n", " <td>Konkurse;Einstellung des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>435</th>\n", " <td>24.02.2017</td>\n", " <td>Genossenschaft Arbeiten in der Giesserei in Li...</td>\n", " <td>3362477</td>\n", " <td>Konkurse;Kollokationsplan und Inventar</td>\n", " </tr>\n", " <tr>\n", " <th>436</th>\n", " <td>22.02.2017</td>\n", " <td>Villar Benigno, ZollikofenSHAB-Nr. 37 Konkurs...</td>\n", " <td>3341851</td>\n", " <td>Konkurse;Schluss des Konkursverfahrens</td>\n", " </tr>\n", " <tr>\n", " <th>437</th>\n", " <td>17.02.2017</td>\n", " <td>Serifeg Dalila, FleurierSHAB-Nr. 34 Office de...</td>\n", " <td>3333147</td>\n", " <td>Konkurse;Konkurspublikation/Schuldenruf</td>\n", " </tr>\n", " <tr>\n", " <th>438</th>\n", " <td>17.02.2017</td>\n", " <td>Arnold Philipp, BaarSHAB-Nr. 34 Konkursamt Ho...</td>\n", " <td>3344613</td>\n", " <td>Konkurse;Konkurspublikation/Schuldenruf</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>439 rows × 4 columns</p>\n", "</div>" ], "text/plain": [ " Datum Meldung Nummer \\\n", "0 07.07.2017 Restaurant Bar ADONIS GmbH, AarauSHAB-Nr. 130 ... 3615849 \n", "1 07.07.2017 Hertel Wilhelm, HorgenbergSHAB-Nr. 130 Konkur... 3577217 \n", "2 07.07.2017 Jossen Ulrich, NatersSHAB-Nr. 130 Konkursamt ... 3615897 \n", "3 05.07.2017 Erdogan Cuma, LangenthalSHAB-Nr. 128 Konkursa... 3607085 \n", "4 05.07.2017 GT Gastro AG, HergiswilSHAB-Nr. 128 Konkursam... 3609623 \n", "5 30.06.2017 Habermacher Alfred, GontenschwilSHAB-Nr. 125 ... 3609797 \n", "6 30.06.2017 LE RELAIS FLEURI Sàrl, Villars-Ste-CroixSHAB-N... 3610131 \n", "7 30.06.2017 Vilhena Ferreira Andreia Sofia, Le Châtelard-p... 3589305 \n", "8 30.06.2017 Dos Santos Sardico Antonio José, Dietikon, zur... 3603515 \n", "9 28.06.2017 Ekici Ali, Oberwil BLSHAB-Nr. 123 Zivilrechts... 3600873 \n", "10 19.06.2015 Restaurant Trung-Hoa GmbH, SpreitenbachSHAB-Nr... 2210701 \n", "11 19.06.2015 adRem AG, GersauSHAB-Nr. 116 Konkursamt Hochdorf 2213195 \n", "12 15.06.2015 Restaurant zum Bären Stampfli GmbH, WilSHAB-Nr... 2199253 \n", "13 12.06.2015 Restaurant Bar Siri GmbH, ZürichSHAB-Nr. 111 ... 2177343 \n", "14 05.06.2015 Lonia GmbH, ElggSHAB-Nr. 106 Konkursamt Elgg 2183049 \n", "15 05.06.2015 EBI Zeynur Sàrl en liquidation, CressierSHAB-N... 2174931 \n", "16 29.05.2015 Asian Restaurant und Take-Away GmbH, Unterkulm... 2169461 \n", "17 27.05.2015 Restaurant St. Gervais GmbH, Biel/BienneSHAB-N... 2140713 \n", "18 27.05.2015 Fondation Gilberte de Courgenay, Hôtel de la G... 2169511 \n", "19 15.05.2015 Saffron Asian Restaurant GmbH, KaiseraugstSHAB... 2140687 \n", "20 17.07.2015 Lonia GmbH, ElggSHAB-Nr. 136 Konkursamt Elgg 2266413 \n", "21 17.07.2015 \"Le Panorama Sàrl\", AyentSHAB-Nr. 136 Office ... 2271803 \n", "22 16.07.2015 Swann Hotel et Restaurant S.A., Laax GRSHAB-Nr... 2258493 \n", "23 15.07.2015 Restaurant Gundeldingerhof AG, BaselSHAB-Nr. 1... 2255595 \n", "24 15.07.2015 Hotel-Restaurant Post AG Lyss, LyssSHAB-Nr. 13... 2258289 \n", "25 15.07.2015 Restaurant St. Gervais GmbH, Biel/BienneSHAB-N... 2260821 \n", "26 10.07.2015 Restaurant Neuhüsli Christen Jürg und Biberste... 2255565 \n", "27 01.07.2015 Restaurant La Calèche GmbH, BernSHAB-Nr. 124 ... 2230487 \n", "28 30.06.2015 RESTAURANT LA MAISON GRISE SÀRL, TroinexSHAB-N... 2221763 \n", "29 26.06.2015 Restaurant Neuhüsli Christen Jürg und Biberste... 2224777 \n", ".. ... ... ... \n", "409 14.12.2015 Zemp Junior Conditorei-Restaurant GmbH, Laufen... 2535583 \n", "410 09.12.2015 Restaurant zum Tempel AG, ThunSHAB-Nr. 239 Ko... 2513091 \n", "411 04.12.2015 CASA DELLA PIZZA SARL, LausanneSHAB-Nr. 236 O... 2505447 \n", "412 27.11.2015 Restaurant Ochsen Sempach GmbH, SempachSHAB-Nr... 2494789 \n", "413 20.11.2015 Restaurant zur alten Post GmbH Aarburg, Aarbur... 2490227 \n", "414 20.11.2015 Zemp Junior Conditorei-Restaurant GmbH, Laufen... 2492411 \n", "415 18.11.2015 Aurora8 Services GmbH, HergiswilSHAB-Nr. 224 ... 2484521 \n", "416 13.11.2015 HOTEL RESTAURANT LA POSTE SARL, ST-CERGUESHAB-... 2468983 \n", "417 11.11.2015 Restaurant zum Tempel AG, ThunSHAB-Nr. 219 Ko... 2466181 \n", "418 06.11.2015 Espressomania GmbH, Holzhäusern, Gde. RischSHA... 2453841 \n", "419 29.01.2016 AL.KO BP SA, VuarrensSHAB-Nr. 20 Office des f... 2618369 \n", "420 29.01.2016 Restaurant zur alten Post GmbH Aarburg, Aarbur... 2618171 \n", "421 22.01.2016 GL Gastro AG, GiswilSHAB-Nr. 15 Konkursamt Ob... 2603189 \n", "422 20.01.2016 Restaurant zum Tempel AG, ThunSHAB-Nr. 13 Kon... 2600329 \n", "423 20.01.2016 Café-Restaurant Bad Frutigen AG, FrutigenSHAB-... 2594343 \n", "424 15.01.2016 Restaurant Alpina Alpnach GmbH in Liquidation,... 2592019 \n", "425 08.01.2016 LA QUENOUILLE SARL, MONT-SUR-ROLLESHAB-Nr. 5 ... 2551297 \n", "426 08.01.2016 Café-Restaurant les Masses, Pascal MALHERBES, ... 2582637 \n", "427 30.12.2015 Gastro TotalRegional GmbH, TäuffelenSHAB-Nr. 2... 2558007 \n", "428 18.12.2015 Restaurant Eintracht Arn GmbH, HorgenSHAB-Nr. ... 2538665 \n", "429 02.03.2017 Restaurant Viva GmbH\\nOser Philipp, Bottmingen... 3381287 \n", "430 27.02.2017 Zucol Christian, RapperswilSHAB-Nr. 40 Konkur... 3365105 \n", "431 24.02.2017 Restaurant Mediterraneo Pizzeria GmbH, Lenzbur... 3365153 \n", "432 24.02.2017 Restaurant Bar ADONIS GmbH, AarauSHAB-Nr. 39 ... 3353871 \n", "433 24.02.2017 Kassegger + Mielke Restaurant Rose, Rüschlikon... 3365123 \n", "434 24.02.2017 Restaurant Pizzeria Swiss Leone GmbH, Gossau Z... 3364903 \n", "435 24.02.2017 Genossenschaft Arbeiten in der Giesserei in Li... 3362477 \n", "436 22.02.2017 Villar Benigno, ZollikofenSHAB-Nr. 37 Konkurs... 3341851 \n", "437 17.02.2017 Serifeg Dalila, FleurierSHAB-Nr. 34 Office de... 3333147 \n", "438 17.02.2017 Arnold Philipp, BaarSHAB-Nr. 34 Konkursamt Ho... 3344613 \n", "\n", " Status \n", "0 Konkurse;Kollokationsplan und Inventar \n", "1 Konkurse;Konkursamtliche Grundstücksteigerung \n", "2 Konkurse;Konkursamtliche Grundstücksteigerung \n", "3 Konkurse;Konkurspublikation/Schuldenruf \n", "4 Konkurse;Konkursamtliche Grundstücksteigerung \n", "5 Konkurse;Vorläufige Konkursanzeige \n", "6 Konkurse;Einstellung des Konkursverfahrens \n", "7 Konkurse;Einstellung des Konkursverfahrens \n", "8 Konkurse;Schluss des Konkursverfahrens \n", "9 Konkurse;Vorläufige Konkursanzeige \n", "10 Konkurse;Einstellung des Konkursverfahrens \n", "11 Konkurse;Konkursamtliche Grundstücksteigerung \n", "12 Konkurse;Einstellung des Konkursverfahrens \n", "13 Konkurse;Einstellung des Konkursverfahrens \n", "14 Konkurse;Vorläufige Konkursanzeige \n", "15 Konkurse;Kollokationsplan und Inventar \n", "16 Konkurse;Schluss des Konkursverfahrens \n", "17 Konkurse;Kollokationsplan und Inventar \n", "18 Konkurse;Konkursamtliche Grundstücksteigerung \n", "19 Konkurse;Einstellung des Konkursverfahrens \n", "20 Konkurse;Einstellung des Konkursverfahrens \n", "21 Konkurse;Schluss des Konkursverfahrens \n", "22 Konkurse;Vorläufige Konkursanzeige \n", "23 Konkurse;Konkurspublikation/Schuldenruf \n", "24 Konkurse;Einstellung des Konkursverfahrens \n", "25 Konkurse;Schluss des Konkursverfahrens \n", "26 Konkurse;Einstellung des Konkursverfahrens \n", "27 Konkurse;Schluss des Konkursverfahrens \n", "28 Konkurse;Einstellung des Konkursverfahrens \n", "29 Konkurse;Vorläufige Konkursanzeige \n", ".. ... \n", "409 Konkurse;Einstellung des Konkursverfahrens \n", "410 Konkurse;Konkurspublikation/Schuldenruf \n", "411 Konkurse;Einstellung des Konkursverfahrens \n", "412 Konkurse;Vorläufige Konkursanzeige \n", "413 Konkurse;Vorläufige Konkursanzeige \n", "414 Konkurse;Vorläufige Konkursanzeige \n", "415 Konkurse;Konkurspublikation/Schuldenruf \n", "416 Konkurse;Schluss des Konkursverfahrens \n", "417 Konkurse;Vorläufige Konkursanzeige \n", "418 Konkurse;Konkurspublikation/Schuldenruf \n", "419 Konkurse;Einstellung des Konkursverfahrens \n", "420 Konkurse;Einstellung des Konkursverfahrens \n", "421 Konkurse;Konkurspublikation/Schuldenruf \n", "422 Konkurse;Kollokationsplan und Inventar \n", "423 Konkurse;Schluss des Konkursverfahrens \n", "424 Konkurse;Vorläufige Konkursanzeige \n", "425 Konkurse;Konkurspublikation/Schuldenruf \n", "426 Konkurse;Einstellung des Konkursverfahrens \n", "427 Konkurse;Konkurspublikation/Schuldenruf \n", "428 Konkurse;Vorläufige Konkursanzeige \n", "429 Konkurse;Vorläufige Konkursanzeige \n", "430 Konkurse;Kollokationsplan und Inventar \n", "431 Konkurse;Vorläufige Konkursanzeige \n", "432 Konkurse;Konkurspublikation/Schuldenruf \n", "433 Konkurse;Einstellung des Konkursverfahrens \n", "434 Konkurse;Einstellung des Konkursverfahrens \n", "435 Konkurse;Kollokationsplan und Inventar \n", "436 Konkurse;Schluss des Konkursverfahrens \n", "437 Konkurse;Konkurspublikation/Schuldenruf \n", "438 Konkurse;Konkurspublikation/Schuldenruf \n", "\n", "[439 rows x 4 columns]" ] }, "execution_count": 43, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.DataFrame(whole_list)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
stitchfix/d3-jupyter-tutorial
3d_meshing.ipynb
1
57578
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# 3D Visualization of a Convex Hull with D3" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This notebook provides a simple example of convex hull visualization using D3." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### D3 Graph Methods" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "See accompanying d3_lib.py and the js and css folders." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "from IPython.core.display import HTML\n", "import d3_lib" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<style>\n", ".axis path,\n", ".axis line {\n", " fill: none;\n", " stroke: grey;\n", " stroke-width: 1;\n", " shape-rendering: crispEdges;\n", "}\n", "\n", "\n", ".dot {\n", " stroke-width: 0.5;\n", " stroke: #000;\n", "}\n", "</style>" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "HTML(d3_lib.set_styles(['basic_axis','3d_viewer']))" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<script src=\"lib/d3/d3.min.js\"></script>" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "HTML('<script src=\"lib/d3/d3.min.js\"></script>')" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def points_d3(points):\n", " return [ {\"x\": d[0], \"y\": d[1], \"z\": d[2]} for d in points ]\n", "\n", "def triangles_d3(points,triangles_vertices):\n", " triangles = []\n", " for tv in triangles_vertices:\n", " triangles.append( {\"x1\": points[tv[0]][0], \n", " \"y1\": points[tv[0]][1], \n", " \"z1\": points[tv[0]][2], \n", " \"x2\": points[tv[1]][0], \n", " \"y2\": points[tv[1]][1], \n", " \"z2\": points[tv[1]][2], \n", " \"x3\": points[tv[2]][0], \n", " \"y3\": points[tv[2]][1], \n", " \"z3\": points[tv[2]][2] } )\n", " \n", " return triangles\n", "\n", "def graph_points_triangles(objs):\n", " data = []\n", " for obj in objs:\n", " points, triangles_vertices = obj[0], obj[1]\n", " data.append( {\"points\": points_d3(points), \n", " \"triangles\": triangles_d3(points, triangles_vertices)} )\n", " return HTML(d3_lib.draw_graph('3d_viewer',{'data':data}))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Meshing and Volume Calculations" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "import random\n", "from scipy.spatial import ConvexHull" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def compute_mesh(points):\n", " hull = ConvexHull(points)\n", " indices = hull.simplices\n", " return indices, hull.vertices" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Example: Randomly Sampled Points on a Cylinder" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def cylinder_points_and_hull_given_sample_size(sample_size):\n", " points = []\n", " for i in range(sample_size/2):\n", " x = random.uniform(-1,1)\n", " z = random.uniform(0,1)\n", " s = (-1.0, 1.0)[random.uniform(0,1) < 0.5]\n", " y = s * (1 - x**2) ** (0.5)\n", " points.append(np.array([x,y,z]))\n", " for z in range(0,2):\n", " for i in range(n/4):\n", " x = random.uniform(-1,1)\n", " s = (-1.0, 1.0)[random.uniform(0,1) < 0.5]\n", " y = s * random.uniform(0,1) * (1 - x**2) ** (0.5)\n", " points.append(np.array([x,y,z]))\n", " points = np.array(points)\n", " triangles_vertices, hull_points = compute_mesh(points)\n", " return points, hull_points, triangles_vertices" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([[ 0.2788536 , 0.96033363, 0.02501076],\n", " [-0.55357852, -0.83279698, 0.73647121],\n", " [ 0.78435914, 0.62030698, 0.08693883]])" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "random.seed(42)\n", "n = 100\n", "points, hull_vertices, triangles_vertices = cylinder_points_and_hull_given_sample_size(n)\n", "points[:3]" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([[42, 77, 99],\n", " [ 4, 69, 99],\n", " [ 4, 42, 99]], dtype=int32)" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "triangles_vertices[:3]" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "\n", " \n", " <div id='maindiv9039285714'></div>\n", " \n", " <script>\n", " \n", "var el_width = 960,\n", " el_height = 500;\n", "\n", "\n", "var margin = {top: 20, right: 20, bottom: 20, left: 20},\n", " width = el_width * 0.75 - margin.left - margin.right,\n", " height = el_width * 0.75 - margin.top - margin.bottom;\n", "\n", "d3.select(\"#maindiv9039285714\").selectAll(\"svg\").remove();\n", "var svg = d3.select(\"#maindiv9039285714\").append(\"svg\")\n", " .attr(\"width\", width + margin.left + margin.right)\n", " .attr(\"height\", height + margin.top + margin.bottom);\n", "\n", "\n", "// viewport division lines and labels\n", "\n", "var divider_coords = [{\"x1\": width / 2.0, \"x2\": width / 2.0, \"y1\": 0, \"y2\": height},\n", " {\"x1\": 0, \"x2\": width, \"y1\": height / 2.0, \"y2\": height / 2.0}];\n", " \n", "var divider_label_config = [ {\"text\": \"Top\", \"x\": 10, \"y\": 10},\n", " {\"text\": \"Front\", \"x\": 10, \"y\": (height/2.0) + 10},\n", " {\"text\": \"Right\", \"x\": (width/2.0) + 10, \"y\": (height/2.0) + 10} ];\n", "\n", "var divider_g = svg.append(\"g\")\n", " .attr(\"transform\", \"translate(\" + margin.left + \",\" + margin.top + \")\");\n", "\n", "divider_g.selectAll(\".divider\")\n", " .data(divider_coords)\n", " .enter().append(\"line\")\n", " .attr(\"class\", \"divider\")\n", " .attr(\"x1\", function(d) { return d.x1; })\n", " .attr(\"x2\", function(d) { return d.x2; })\n", " .attr(\"y1\", function(d) { return d.y1; })\n", " .attr(\"y2\", function(d) { return d.y2; })\n", " .style(\"stroke\", \"black\")\n", " .style(\"stoke-width\", 2);\n", "\n", "divider_g.selectAll(\".dividerlabel\")\n", " .data(divider_label_config)\n", " .enter().append(\"text\")\n", " .attr(\"class\", \"dividerlabel\")\n", " .attr(\"x\", function(d) { return d.x; })\n", " .attr(\"y\", function(d) { return d.y; })\n", " .attr(\"dy\", \".35em\")\n", " .text(function(d) { return d.text; });\n", "\n", "\n", "// viewport setup\n", "\n", "var viewport_padding = 20;\n", "\n", "var viewport_config = [\n", " {\"h\": [viewport_padding, (width / 2.0) - viewport_padding], \n", " \"v\": [(height / 2.0) - viewport_padding, viewport_padding], \n", " \"hdim\": \"x\", \"vdim\": \"y\", \"zdim\": \"z\"},\n", " {\"h\": [viewport_padding, (width / 2.0) - viewport_padding], \n", " \"v\": [height - viewport_padding, (height / 2.0) + viewport_padding], \n", " \"hdim\": \"x\", \"vdim\": \"z\", \"zdim\": \"y\"},\n", " {\"h\": [(width / 2.0) + viewport_padding, width - viewport_padding], \n", " \"v\": [height - viewport_padding, (height / 2.0) + viewport_padding], \n", " \"hdim\": \"y\", \"vdim\": \"z\", \"zdim\": \"x\"}\n", " ]\n", "\n", "var viewport = [];\n", "var h = [];\n", "var v = [];\n", "for (var i=0; i<viewport_config.length; i++) {\n", " h.push( d3.scale.linear().range(viewport_config[i][\"h\"]) );\n", " v.push( d3.scale.linear().range(viewport_config[i][\"v\"]) );\n", " viewport.push( svg.append(\"g\")\n", " .attr(\"transform\", \"translate(\" + margin.left + \",\" + margin.top + \")\") );\n", "}\n", "\n", "\n", "\n", "//d3.csv(\"data.csv\", function(error, data) {\n", "// if (error) throw error;\n", "var data = [{'points': [{'y': 0.96033362509449738, 'x': 0.2788535969157675, 'z': 0.025010755222666936}, {'y': -0.83279698492220877, 'x': -0.5535785237023545, 'z': 0.7364712141640124}, {'y': 0.62030697779354571, 'x': 0.78435913540969082, 'z': 0.086938832629416152}, {'y': -0.34005496703815297, 'x': -0.94040556112385931, 'z': 0.21863797480360336}, {'y': -0.321445559912099, 'x': -0.94692806063227275, 'z': 0.19883765068664849}, {'y': -0.99595233484578105, 'x': 0.089882961206433354, 'z': 0.2204406220406967}, {'y': -0.78550058556350333, 'x': 0.6188609133556533, 'z': 0.0064987596780610168}, {'y': 0.91813023074877442, 'x': 0.39627878997645372, 'z': 0.34025051651799187}, {'y': 0.40475279667093927, 'x': 0.9144261444135624, 'z': 0.33659454511262676}, {'y': -0.59114234930571974, 'x': -0.80656724633307197, 'z': 0.84749436634745978}, {'y': -0.78910639017941719, 'x': 0.61425654654876038, 'z': 0.72973178669381789}, {'y': -0.32349017836228966, 'x': 0.94623152795874121, 'z': 0.37853437720835348}, {'y': -0.75230995518701393, 'x': 0.65880932850598972, 'z': 0.61851975236424606}, {'y': 0.98796082032472687, 'x': 0.15470429051352408, 'z': 0.70457183621492347}, {'y': 0.83895328024055882, 'x': -0.54420344869690629, 'z': 0.28938796360210717}, {'y': 0.84522018335644611, 'x': -0.53441822727793964, 'z': 0.10100142940972912}, {'y': 0.96247541596585384, 'x': 0.27136888852880037, 'z': 0.36483217897008424}, {'y': -0.81391359450202727, 'x': -0.58098593845702462, 'z': 0.26697782204911336}, {'y': 0.95516600591707124, 'x': 0.29607077049318709, 'z': 0.60913100566698819}, {'y': 0.88882149042655323, 'x': 0.45825359590069836, 'z': 0.16340249376192839}, {'y': -0.20363584342174182, 'x': 0.97904670127319049, 'z': 0.63999975985409285}, {'y': -0.92933864297455659, 'x': 0.36922850197974921, 'z': 0.84285192018980959}, {'y': 0.84044048615861155, 'x': -0.54190385607179126, 'z': 0.032100243904037762}, {'y': -0.8855635478213093, 'x': -0.46451824804859454, 'z': 0.21098284358632646}, {'y': -0.65832335441887402, 'x': 0.75273525294533772, 'z': 0.31467788079847792}, {'y': 0.97797198308292865, 'x': -0.20873619787867148, 'z': 0.91454758974054351}, {'y': -0.88253875584932007, 'x': -0.47023966700389508, 'z': 0.24662750769398345}, {'y': -0.88024645565595072, 'x': -0.47451678295412947, 'z': 0.58458599022354052}, {'y': -0.97955038999327182, 'x': -0.20119898971920547, 'z': 0.21932075915728333}, {'y': 0.99981848298336584, 'x': 0.019052587352929029, 'z': 0.090909412173793891}, {'y': -0.62490382800556854, 'x': -0.7807017392986817, 'z': 0.62744604170309004}, {'y': 0.98780753030410473, 'x': -0.15568006640063192, 'z': 0.063527706151957131}, {'y': -0.12431534207267109, 'x': 0.99224276048019355, 'z': 0.52911434509913702}, {'y': -0.69235253001801589, 'x': 0.72155940446899614, 'z': 0.011481021942819636}, {'y': 0.93162512157675526, 'x': 0.36342073805314956, 'z': 0.53697033040879516}, {'y': 0.95943685845634596, 'x': 0.28192359715961612, 'z': 0.11155217359587644}, {'y': -0.99570779778827578, 'x': -0.092552587341587111, 'z': 0.95381592752108013}, {'y': 0.88094326422427482, 'x': -0.47322189849781848, 'z': 0.50058611305029832}, {'y': 0.56475929810008441, 'x': 0.825255678689641, 'z': 0.8705185698367669}, {'y': 0.96061030158224314, 'x': 0.27789898973201033, 'z': 0.60897021143817232}, {'y': -0.8510889021574749, 'x': 0.52502160015030253, 'z': 0.53937903011962574}, {'y': 0.99815560827812355, 'x': 0.060707344390354967, 'z': 0.00057189612794350531}, {'y': -0.27638667762298696, 'x': -0.9610465152283354, 'z': 0.92909861626461709}, {'y': 0.74832606966099635, 'x': 0.66333105872235887, 'z': 0.30751412540266143}, {'y': 0.65454944170658524, 'x': 0.75601919840808107, 'z': 0.94694944529799407}, {'y': -0.99960738869201415, 'x': -0.028019073366772451, 'z': 0.06921251846838361}, {'y': 0.84695231552910488, 'x': 0.5316688586139755, 'z': 0.1283914644997628}, {'y': -0.9950268379797409, 'x': 0.099607186989887797, 'z': 0.2650566289400591}, {'y': -0.98811380673166904, 'x': -0.15372411959822618, 'z': 0.21179820544208205}, {'y': 0.88799032307146364, 'x': 0.45986213817995236, 'z': 0.20115106338969591}, {'y': -0.060876144142826702, 'x': 0.99029871332178931, 'z': 0.0}, {'y': 0.22455847152802932, 'x': 0.035151682071181245, 'z': 0.0}, {'y': -0.21771515419345075, 'x': -0.32382887570508934, 'z': 0.0}, {'y': 0.52304949290085223, 'x': -0.55956523109688105, 'z': 0.0}, {'y': -0.72235453409224248, 'x': -0.54211643237769125, 'z': 0.0}, {'y': 0.34330121315873913, 'x': -0.85828530022269311, 'z': 0.0}, {'y': 0.76766772681861095, 'x': -0.5715263852591228, 'z': 0.0}, {'y': 0.77665890320372744, 'x': 0.14208618665056894, 'z': 0.0}, {'y': 0.076433028549421494, 'x': 0.61499399553328682, 'z': 0.0}, {'y': 0.46256294146898885, 'x': -0.13789763518724496, 'z': 0.0}, {'y': -0.87479888630177305, 'x': 0.4581516989197012, 'z': 0.0}, {'y': 0.20214212215294092, 'x': -0.80316425769608224, 'z': 0.0}, {'y': 0.13133672465619622, 'x': 0.72334507270558213, 'z': 0.0}, {'y': 0.27707020515701897, 'x': -0.1027729043337362, 'z': 0.0}, {'y': -0.38366339389564175, 'x': -0.50038710423579902, 'z': 0.0}, {'y': -0.034964818392885497, 'x': 0.72269820952366115, 'z': 0.0}, {'y': -0.051893951492567723, 'x': 0.99856493682545322, 'z': 0.0}, {'y': -0.086871854147736169, 'x': 0.85273396601625517, 'z': 0.0}, {'y': 0.40087488764765539, 'x': -0.028717749098563061, 'z': 0.0}, {'y': 0.4629789587497673, 'x': -0.88272920005564215, 'z': 0.0}, {'y': -0.40171894436510186, 'x': -0.4695938836556961, 'z': 0.0}, {'y': -0.98355046331430129, 'x': -0.15398502801967417, 'z': 0.0}, {'y': -0.15383094283831805, 'x': 0.11153664681123643, 'z': 0.0}, {'y': -0.52914678673941828, 'x': -0.40658434901087159, 'z': 0.0}, {'y': -0.056961351081677263, 'x': 0.084390402748548476, 'z': 0.0}, {'y': -0.84054850710793583, 'x': 0.16835518891794243, 'z': 1.0}, {'y': -0.058354516363728667, 'x': -0.68513454412103347, 'z': 1.0}, {'y': -0.52526857682898909, 'x': -0.62835007803855358, 'z': 1.0}, {'y': 0.75518832833627403, 'x': -0.52959220999813761, 'z': 1.0}, {'y': -0.53366588148279315, 'x': -0.50756930442275028, 'z': 1.0}, {'y': -0.51591570355079075, 'x': -0.16155016932825506, 'z': 1.0}, {'y': 0.35386099621531025, 'x': 0.86941251547285447, 'z': 1.0}, {'y': 0.57265673684587182, 'x': -0.52262809476830796, 'z': 1.0}, {'y': 0.68909327327807623, 'x': -0.40000584040247555, 'z': 1.0}, {'y': 0.51796833656954533, 'x': -0.85491377101368538, 'z': 1.0}, {'y': 0.026583049937002286, 'x': 0.99219289571018887, 'z': 1.0}, {'y': -0.77769712454013062, 'x': -0.4695991704991973, 'z': 1.0}, {'y': 0.10279192392027155, 'x': 0.75854048496908555, 'z': 1.0}, {'y': -0.45546679006371843, 'x': 0.66748990927961405, 'z': 1.0}, {'y': -0.0017565578905829238, 'x': 0.97446612726300863, 'z': 1.0}, {'y': 0.51290711369531117, 'x': 0.6342082702309233, 'z': 1.0}, {'y': 0.055280790357666428, 'x': 0.87786000785420781, 'z': 1.0}, {'y': -0.16839779304835989, 'x': -0.78592804458116472, 'z': 1.0}, {'y': -0.19907224533350751, 'x': 0.20965965406044784, 'z': 1.0}, {'y': 0.47059608740594894, 'x': 0.26847591777015944, 'z': 1.0}, {'y': -0.054040679227520594, 'x': 0.81067298215864647, 'z': 1.0}, {'y': 0.0035040259625488753, 'x': -0.15284845487254728, 'z': 1.0}, {'y': -0.22010126950037176, 'x': 0.5422384460392542, 'z': 1.0}, {'y': -0.37461824412155342, 'x': 0.48246181669586163, 'z': 1.0}, {'y': 0.17283812625908226, 'x': -0.98066060078332007, 'z': 1.0}], 'triangles': [{'x2': -0.62835007803855358, 'y2': -0.52526857682898909, 'y1': -0.27638667762298696, 'x3': -0.98066060078332007, 'y3': 0.17283812625908226, 'x1': -0.9610465152283354, 'z1': 0.92909861626461709, 'z2': 1.0, 'z3': 1.0}, {'x2': -0.88272920005564215, 'y2': 0.4629789587497673, 'y1': -0.321445559912099, 'x3': -0.98066060078332007, 'y3': 0.17283812625908226, 'x1': -0.94692806063227275, 'z1': 0.19883765068664849, 'z2': 0.0, 'z3': 1.0}, {'x2': -0.9610465152283354, 'y2': -0.27638667762298696, 'y1': -0.321445559912099, 'x3': -0.98066060078332007, 'y3': 0.17283812625908226, 'x1': -0.94692806063227275, 'z1': 0.19883765068664849, 'z2': 0.92909861626461709, 'z3': 1.0}, {'x2': 0.72155940446899614, 'y2': -0.69235253001801589, 'y1': -0.32349017836228966, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.94623152795874121, 'z1': 0.37853437720835348, 'z2': 0.011481021942819636, 'z3': 0.0}, {'x2': 0.6342082702309233, 'y2': 0.51290711369531117, 'y1': 0.35386099621531025, 'x3': 0.75601919840808107, 'y3': 0.65454944170658524, 'x1': 0.86941251547285447, 'z1': 1.0, 'z2': 1.0, 'z3': 0.94694944529799407}, {'x2': 0.825255678689641, 'y2': 0.56475929810008441, 'y1': 0.35386099621531025, 'x3': 0.75601919840808107, 'y3': 0.65454944170658524, 'x1': 0.86941251547285447, 'z1': 1.0, 'z2': 0.8705185698367669, 'z3': 0.94694944529799407}, {'x2': 0.825255678689641, 'y2': 0.56475929810008441, 'y1': 0.35386099621531025, 'x3': 0.99219289571018887, 'y3': 0.026583049937002286, 'x1': 0.86941251547285447, 'z1': 1.0, 'z2': 0.8705185698367669, 'z3': 1.0}, {'x2': -0.88272920005564215, 'y2': 0.4629789587497673, 'y1': 0.51796833656954533, 'x3': -0.98066060078332007, 'y3': 0.17283812625908226, 'x1': -0.85491377101368538, 'z1': 1.0, 'z2': 0.0, 'z3': 1.0}, {'x2': 0.2788535969157675, 'y2': 0.96033362509449738, 'y1': 0.99815560827812355, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.060707344390354967, 'z1': 0.00057189612794350531, 'z2': 0.025010755222666936, 'z3': 0.0}, {'x2': -0.092552587341587111, 'y2': -0.99570779778827578, 'y1': -0.92933864297455659, 'x3': 0.16835518891794243, 'y3': -0.84054850710793583, 'x1': 0.36922850197974921, 'z1': 0.84285192018980959, 'z2': 0.95381592752108013, 'z3': 1.0}, {'x2': 0.099607186989887797, 'y2': -0.9950268379797409, 'y1': -0.92933864297455659, 'x3': -0.092552587341587111, 'y3': -0.99570779778827578, 'x1': 0.36922850197974921, 'z1': 0.84285192018980959, 'z2': 0.2650566289400591, 'z3': 0.95381592752108013}, {'x2': -0.9610465152283354, 'y2': -0.27638667762298696, 'y1': -0.77769712454013062, 'x3': -0.80656724633307197, 'y3': -0.59114234930571974, 'x1': -0.4695991704991973, 'z1': 1.0, 'z2': 0.92909861626461709, 'z3': 0.84749436634745978}, {'x2': -0.9610465152283354, 'y2': -0.27638667762298696, 'y1': -0.77769712454013062, 'x3': -0.62835007803855358, 'y3': -0.52526857682898909, 'x1': -0.4695991704991973, 'z1': 1.0, 'z2': 0.92909861626461709, 'z3': 1.0}, {'x2': -0.092552587341587111, 'y2': -0.99570779778827578, 'y1': -0.77769712454013062, 'x3': 0.16835518891794243, 'y3': -0.84054850710793583, 'x1': -0.4695991704991973, 'z1': 1.0, 'z2': 0.95381592752108013, 'z3': 1.0}, {'x2': -0.4695991704991973, 'y2': -0.77769712454013062, 'y1': -0.83279698492220877, 'x3': -0.80656724633307197, 'y3': -0.59114234930571974, 'x1': -0.5535785237023545, 'z1': 0.7364712141640124, 'z2': 1.0, 'z3': 0.84749436634745978}, {'x2': -0.4695991704991973, 'y2': -0.77769712454013062, 'y1': -0.83279698492220877, 'x3': -0.092552587341587111, 'y3': -0.99570779778827578, 'x1': -0.5535785237023545, 'z1': 0.7364712141640124, 'z2': 1.0, 'z3': 0.95381592752108013}, {'x2': 0.825255678689641, 'y2': 0.56475929810008441, 'y1': 0.62030697779354571, 'x3': 0.75601919840808107, 'y3': 0.65454944170658524, 'x1': 0.78435913540969082, 'z1': 0.086938832629416152, 'z2': 0.8705185698367669, 'z3': 0.94694944529799407}, {'x2': 0.2788535969157675, 'y2': 0.96033362509449738, 'y1': 0.62030697779354571, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.78435913540969082, 'z1': 0.086938832629416152, 'z2': 0.025010755222666936, 'z3': 0.0}, {'x2': 0.94623152795874121, 'y2': -0.32349017836228966, 'y1': -0.20363584342174182, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.97904670127319049, 'z1': 0.63999975985409285, 'z2': 0.37853437720835348, 'z3': 0.0}, {'x2': 0.060707344390354967, 'y2': 0.99815560827812355, 'y1': 0.77665890320372744, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.14208618665056894, 'z1': 0.0, 'z2': 0.00057189612794350531, 'z3': 0.0}, {'x2': -0.85491377101368538, 'y2': 0.51796833656954533, 'y1': 0.84044048615861155, 'x3': -0.88272920005564215, 'y3': 0.4629789587497673, 'x1': -0.54190385607179126, 'z1': 0.032100243904037762, 'z2': 1.0, 'z3': 0.0}, {'x2': 0.060707344390354967, 'y2': 0.99815560827812355, 'y1': 0.98796082032472687, 'x3': 0.2788535969157675, 'y3': 0.96033362509449738, 'x1': 0.15470429051352408, 'z1': 0.70457183621492347, 'z2': 0.00057189612794350531, 'z3': 0.025010755222666936}, {'x2': -0.092552587341587111, 'y2': -0.99570779778827578, 'y1': -0.99595233484578105, 'x3': -0.028019073366772451, 'y3': -0.99960738869201415, 'x1': 0.089882961206433354, 'z1': 0.2204406220406967, 'z2': 0.95381592752108013, 'z3': 0.06921251846838361}, {'x2': 0.099607186989887797, 'y2': -0.9950268379797409, 'y1': -0.99595233484578105, 'x3': -0.092552587341587111, 'y3': -0.99570779778827578, 'x1': 0.089882961206433354, 'z1': 0.2204406220406967, 'z2': 0.2650566289400591, 'z3': 0.95381592752108013}, {'x2': 0.36922850197974921, 'y2': -0.92933864297455659, 'y1': -0.45546679006371843, 'x3': 0.16835518891794243, 'y3': -0.84054850710793583, 'x1': 0.66748990927961405, 'z1': 1.0, 'z2': 0.84285192018980959, 'z3': 1.0}, {'x2': -0.94692806063227275, 'y2': -0.321445559912099, 'y1': 0.34330121315873913, 'x3': -0.88272920005564215, 'y3': 0.4629789587497673, 'x1': -0.85828530022269311, 'z1': 0.0, 'z2': 0.19883765068664849, 'z3': 0.0}, {'x2': -0.54211643237769125, 'y2': -0.72235453409224248, 'y1': 0.34330121315873913, 'x3': -0.94692806063227275, 'y3': -0.321445559912099, 'x1': -0.85828530022269311, 'z1': 0.0, 'z2': 0.0, 'z3': 0.19883765068664849}, {'x2': -0.5535785237023545, 'y2': -0.83279698492220877, 'y1': -0.88024645565595072, 'x3': -0.092552587341587111, 'y3': -0.99570779778827578, 'x1': -0.47451678295412947, 'z1': 0.58458599022354052, 'z2': 0.7364712141640124, 'z3': 0.95381592752108013}, {'x2': 0.78435913540969082, 'y2': 0.62030697779354571, 'y1': 0.84695231552910488, 'x3': 0.2788535969157675, 'y3': 0.96033362509449738, 'x1': 0.5316688586139755, 'z1': 0.1283914644997628, 'z2': 0.086938832629416152, 'z3': 0.025010755222666936}, {'x2': 0.78435913540969082, 'y2': 0.62030697779354571, 'y1': 0.40475279667093927, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.9144261444135624, 'z1': 0.33659454511262676, 'z2': 0.086938832629416152, 'z3': 0.0}, {'x2': 0.78435913540969082, 'y2': 0.62030697779354571, 'y1': 0.40475279667093927, 'x3': 0.825255678689641, 'y3': 0.56475929810008441, 'x1': 0.9144261444135624, 'z1': 0.33659454511262676, 'z2': 0.086938832629416152, 'z3': 0.8705185698367669}, {'x2': 0.99219289571018887, 'y2': 0.026583049937002286, 'y1': 0.40475279667093927, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.9144261444135624, 'z1': 0.33659454511262676, 'z2': 1.0, 'z3': 0.0}, {'x2': 0.825255678689641, 'y2': 0.56475929810008441, 'y1': 0.40475279667093927, 'x3': 0.99219289571018887, 'y3': 0.026583049937002286, 'x1': 0.9144261444135624, 'z1': 0.33659454511262676, 'z2': 0.8705185698367669, 'z3': 1.0}, {'x2': 0.99219289571018887, 'y2': 0.026583049937002286, 'y1': -0.12431534207267109, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.99224276048019355, 'z1': 0.52911434509913702, 'z2': 1.0, 'z3': 0.0}, {'x2': 0.97904670127319049, 'y2': -0.20363584342174182, 'y1': -0.12431534207267109, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.99224276048019355, 'z1': 0.52911434509913702, 'z2': 0.63999975985409285, 'z3': 0.0}, {'x2': 0.97904670127319049, 'y2': -0.20363584342174182, 'y1': -0.12431534207267109, 'x3': 0.99219289571018887, 'y3': 0.026583049937002286, 'x1': 0.99224276048019355, 'z1': 0.52911434509913702, 'z2': 0.63999975985409285, 'z3': 1.0}, {'x2': 0.66748990927961405, 'y2': -0.45546679006371843, 'y1': -0.78910639017941719, 'x3': 0.36922850197974921, 'y3': -0.92933864297455659, 'x1': 0.61425654654876038, 'z1': 0.72973178669381789, 'z2': 1.0, 'z3': 0.84285192018980959}, {'x2': 0.97904670127319049, 'y2': -0.20363584342174182, 'y1': -0.78910639017941719, 'x3': 0.99219289571018887, 'y3': 0.026583049937002286, 'x1': 0.61425654654876038, 'z1': 0.72973178669381789, 'z2': 0.63999975985409285, 'z3': 1.0}, {'x2': 0.14208618665056894, 'y2': 0.77665890320372744, 'y1': 0.76766772681861095, 'x3': 0.060707344390354967, 'y3': 0.99815560827812355, 'x1': -0.5715263852591228, 'z1': 0.0, 'z2': 0.0, 'z3': 0.00057189612794350531}, {'x2': -0.54190385607179126, 'y2': 0.84044048615861155, 'y1': 0.76766772681861095, 'x3': -0.88272920005564215, 'y3': 0.4629789587497673, 'x1': -0.5715263852591228, 'z1': 0.0, 'z2': 0.032100243904037762, 'z3': 0.0}, {'x2': -0.54190385607179126, 'y2': 0.84044048615861155, 'y1': 0.76766772681861095, 'x3': 0.060707344390354967, 'y3': 0.99815560827812355, 'x1': -0.5715263852591228, 'z1': 0.0, 'z2': 0.032100243904037762, 'z3': 0.00057189612794350531}, {'x2': 0.15470429051352408, 'y2': 0.98796082032472687, 'y1': 0.97797198308292865, 'x3': 0.75601919840808107, 'y3': 0.65454944170658524, 'x1': -0.20873619787867148, 'z1': 0.91454758974054351, 'z2': 0.70457183621492347, 'z3': 0.94694944529799407}, {'x2': -0.52959220999813761, 'y2': 0.75518832833627403, 'y1': 0.97797198308292865, 'x3': 0.6342082702309233, 'y3': 0.51290711369531117, 'x1': -0.20873619787867148, 'z1': 0.91454758974054351, 'z2': 1.0, 'z3': 1.0}, {'x2': 0.6342082702309233, 'y2': 0.51290711369531117, 'y1': 0.97797198308292865, 'x3': 0.75601919840808107, 'y3': 0.65454944170658524, 'x1': -0.20873619787867148, 'z1': 0.91454758974054351, 'z2': 1.0, 'z3': 0.94694944529799407}, {'x2': 0.36922850197974921, 'y2': -0.92933864297455659, 'y1': -0.87479888630177305, 'x3': 0.099607186989887797, 'y3': -0.9950268379797409, 'x1': 0.4581516989197012, 'z1': 0.0, 'z2': 0.84285192018980959, 'z3': 0.2650566289400591}, {'x2': 0.089882961206433354, 'y2': -0.99595233484578105, 'y1': -0.87479888630177305, 'x3': 0.099607186989887797, 'y3': -0.9950268379797409, 'x1': 0.4581516989197012, 'z1': 0.0, 'z2': 0.2204406220406967, 'z3': 0.2650566289400591}, {'x2': 0.089882961206433354, 'y2': -0.99595233484578105, 'y1': -0.87479888630177305, 'x3': -0.028019073366772451, 'y3': -0.99960738869201415, 'x1': 0.4581516989197012, 'z1': 0.0, 'z2': 0.2204406220406967, 'z3': 0.06921251846838361}, {'x2': -0.15398502801967417, 'y2': -0.98355046331430129, 'y1': -0.87479888630177305, 'x3': -0.028019073366772451, 'y3': -0.99960738869201415, 'x1': 0.4581516989197012, 'z1': 0.0, 'z2': 0.0, 'z3': 0.06921251846838361}, {'x2': -0.5535785237023545, 'y2': -0.83279698492220877, 'y1': -0.62490382800556854, 'x3': -0.80656724633307197, 'y3': -0.59114234930571974, 'x1': -0.7807017392986817, 'z1': 0.62744604170309004, 'z2': 0.7364712141640124, 'z3': 0.84749436634745978}, {'x2': -0.58098593845702462, 'y2': -0.81391359450202727, 'y1': -0.62490382800556854, 'x3': -0.5535785237023545, 'y3': -0.83279698492220877, 'x1': -0.7807017392986817, 'z1': 0.62744604170309004, 'z2': 0.26697782204911336, 'z3': 0.7364712141640124}, {'x2': -0.54211643237769125, 'y2': -0.72235453409224248, 'y1': -0.34005496703815297, 'x3': -0.94692806063227275, 'y3': -0.321445559912099, 'x1': -0.94040556112385931, 'z1': 0.21863797480360336, 'z2': 0.0, 'z3': 0.19883765068664849}, {'x2': -0.58098593845702462, 'y2': -0.81391359450202727, 'y1': -0.34005496703815297, 'x3': -0.54211643237769125, 'y3': -0.72235453409224248, 'x1': -0.94040556112385931, 'z1': 0.21863797480360336, 'z2': 0.26697782204911336, 'z3': 0.0}, {'x2': -0.7807017392986817, 'y2': -0.62490382800556854, 'y1': -0.34005496703815297, 'x3': -0.58098593845702462, 'y3': -0.81391359450202727, 'x1': -0.94040556112385931, 'z1': 0.21863797480360336, 'z2': 0.62744604170309004, 'z3': 0.26697782204911336}, {'x2': -0.7807017392986817, 'y2': -0.62490382800556854, 'y1': -0.34005496703815297, 'x3': -0.80656724633307197, 'y3': -0.59114234930571974, 'x1': -0.94040556112385931, 'z1': 0.21863797480360336, 'z2': 0.62744604170309004, 'z3': 0.84749436634745978}, {'x2': -0.9610465152283354, 'y2': -0.27638667762298696, 'y1': -0.34005496703815297, 'x3': -0.80656724633307197, 'y3': -0.59114234930571974, 'x1': -0.94040556112385931, 'z1': 0.21863797480360336, 'z2': 0.92909861626461709, 'z3': 0.84749436634745978}, {'x2': -0.94692806063227275, 'y2': -0.321445559912099, 'y1': -0.34005496703815297, 'x3': -0.9610465152283354, 'y3': -0.27638667762298696, 'x1': -0.94040556112385931, 'z1': 0.21863797480360336, 'z2': 0.19883765068664849, 'z3': 0.92909861626461709}, {'x2': -0.54211643237769125, 'y2': -0.72235453409224248, 'y1': -0.8855635478213093, 'x3': -0.15398502801967417, 'y3': -0.98355046331430129, 'x1': -0.46451824804859454, 'z1': 0.21098284358632646, 'z2': 0.0, 'z3': 0.0}, {'x2': -0.58098593845702462, 'y2': -0.81391359450202727, 'y1': -0.8855635478213093, 'x3': -0.54211643237769125, 'y3': -0.72235453409224248, 'x1': -0.46451824804859454, 'z1': 0.21098284358632646, 'z2': 0.26697782204911336, 'z3': 0.0}, {'x2': -0.47451678295412947, 'y2': -0.88024645565595072, 'y1': -0.97955038999327182, 'x3': -0.092552587341587111, 'y3': -0.99570779778827578, 'x1': -0.20119898971920547, 'z1': 0.21932075915728333, 'z2': 0.58458599022354052, 'z3': 0.95381592752108013}, {'x2': -0.46451824804859454, 'y2': -0.8855635478213093, 'y1': -0.97955038999327182, 'x3': -0.15398502801967417, 'y3': -0.98355046331430129, 'x1': -0.20119898971920547, 'z1': 0.21932075915728333, 'z2': 0.21098284358632646, 'z3': 0.0}, {'x2': -0.46451824804859454, 'y2': -0.8855635478213093, 'y1': -0.97955038999327182, 'x3': -0.47451678295412947, 'y3': -0.88024645565595072, 'x1': -0.20119898971920547, 'z1': 0.21932075915728333, 'z2': 0.21098284358632646, 'z3': 0.58458599022354052}, {'x2': 0.78435913540969082, 'y2': 0.62030697779354571, 'y1': 0.74832606966099635, 'x3': 0.75601919840808107, 'y3': 0.65454944170658524, 'x1': 0.66333105872235887, 'z1': 0.30751412540266143, 'z2': 0.086938832629416152, 'z3': 0.94694944529799407}, {'x2': 0.5316688586139755, 'y2': 0.84695231552910488, 'y1': 0.74832606966099635, 'x3': 0.75601919840808107, 'y3': 0.65454944170658524, 'x1': 0.66333105872235887, 'z1': 0.30751412540266143, 'z2': 0.1283914644997628, 'z3': 0.94694944529799407}, {'x2': 0.5316688586139755, 'y2': 0.84695231552910488, 'y1': 0.74832606966099635, 'x3': 0.78435913540969082, 'y3': 0.62030697779354571, 'x1': 0.66333105872235887, 'z1': 0.30751412540266143, 'z2': 0.1283914644997628, 'z3': 0.086938832629416152}, {'x2': 0.5316688586139755, 'y2': 0.84695231552910488, 'y1': 0.93162512157675526, 'x3': 0.75601919840808107, 'y3': 0.65454944170658524, 'x1': 0.36342073805314956, 'z1': 0.53697033040879516, 'z2': 0.1283914644997628, 'z3': 0.94694944529799407}, {'x2': 0.97904670127319049, 'y2': -0.20363584342174182, 'y1': -0.75230995518701393, 'x3': 0.94623152795874121, 'y3': -0.32349017836228966, 'x1': 0.65880932850598972, 'z1': 0.61851975236424606, 'z2': 0.63999975985409285, 'z3': 0.37853437720835348}, {'x2': 0.61425654654876038, 'y2': -0.78910639017941719, 'y1': -0.75230995518701393, 'x3': 0.97904670127319049, 'y3': -0.20363584342174182, 'x1': 0.65880932850598972, 'z1': 0.61851975236424606, 'z2': 0.72973178669381789, 'z3': 0.63999975985409285}, {'x2': 0.61425654654876038, 'y2': -0.78910639017941719, 'y1': -0.0017565578905829238, 'x3': 0.99219289571018887, 'y3': 0.026583049937002286, 'x1': 0.97446612726300863, 'z1': 1.0, 'z2': 0.72973178669381789, 'z3': 1.0}, {'x2': 0.61425654654876038, 'y2': -0.78910639017941719, 'y1': -0.0017565578905829238, 'x3': 0.66748990927961405, 'y3': -0.45546679006371843, 'x1': 0.97446612726300863, 'z1': 1.0, 'z2': 0.72973178669381789, 'z3': 1.0}, {'x2': -0.54190385607179126, 'y2': 0.84044048615861155, 'y1': 0.98780753030410473, 'x3': 0.060707344390354967, 'y3': 0.99815560827812355, 'x1': -0.15568006640063192, 'z1': 0.063527706151957131, 'z2': 0.032100243904037762, 'z3': 0.00057189612794350531}, {'x2': 0.15470429051352408, 'y2': 0.98796082032472687, 'y1': 0.99981848298336584, 'x3': 0.060707344390354967, 'y3': 0.99815560827812355, 'x1': 0.019052587352929029, 'z1': 0.090909412173793891, 'z2': 0.70457183621492347, 'z3': 0.00057189612794350531}, {'x2': -0.20873619787867148, 'y2': 0.97797198308292865, 'y1': 0.99981848298336584, 'x3': 0.15470429051352408, 'y3': 0.98796082032472687, 'x1': 0.019052587352929029, 'z1': 0.090909412173793891, 'z2': 0.91454758974054351, 'z3': 0.70457183621492347}, {'x2': -0.15568006640063192, 'y2': 0.98780753030410473, 'y1': 0.99981848298336584, 'x3': 0.060707344390354967, 'y3': 0.99815560827812355, 'x1': 0.019052587352929029, 'z1': 0.090909412173793891, 'z2': 0.063527706151957131, 'z3': 0.00057189612794350531}, {'x2': -0.15568006640063192, 'y2': 0.98780753030410473, 'y1': 0.99981848298336584, 'x3': -0.20873619787867148, 'y3': 0.97797198308292865, 'x1': 0.019052587352929029, 'z1': 0.090909412173793891, 'z2': 0.063527706151957131, 'z3': 0.91454758974054351}, {'x2': -0.20873619787867148, 'y2': 0.97797198308292865, 'y1': 0.88094326422427482, 'x3': -0.52959220999813761, 'y3': 0.75518832833627403, 'x1': -0.47322189849781848, 'z1': 0.50058611305029832, 'z2': 0.91454758974054351, 'z3': 1.0}, {'x2': -0.85491377101368538, 'y2': 0.51796833656954533, 'y1': 0.88094326422427482, 'x3': -0.52959220999813761, 'y3': 0.75518832833627403, 'x1': -0.47322189849781848, 'z1': 0.50058611305029832, 'z2': 1.0, 'z3': 1.0}, {'x2': -0.15568006640063192, 'y2': 0.98780753030410473, 'y1': 0.88094326422427482, 'x3': -0.54190385607179126, 'y3': 0.84044048615861155, 'x1': -0.47322189849781848, 'z1': 0.50058611305029832, 'z2': 0.063527706151957131, 'z3': 0.032100243904037762}, {'x2': -0.15568006640063192, 'y2': 0.98780753030410473, 'y1': 0.88094326422427482, 'x3': -0.20873619787867148, 'y3': 0.97797198308292865, 'x1': -0.47322189849781848, 'z1': 0.50058611305029832, 'z2': 0.063527706151957131, 'z3': 0.91454758974054351}, {'x2': 0.65880932850598972, 'y2': -0.75230995518701393, 'y1': -0.78550058556350333, 'x3': 0.72155940446899614, 'y3': -0.69235253001801589, 'x1': 0.6188609133556533, 'z1': 0.0064987596780610168, 'z2': 0.61851975236424606, 'z3': 0.011481021942819636}, {'x2': 0.65880932850598972, 'y2': -0.75230995518701393, 'y1': -0.78550058556350333, 'x3': 0.61425654654876038, 'y3': -0.78910639017941719, 'x1': 0.6188609133556533, 'z1': 0.0064987596780610168, 'z2': 0.61851975236424606, 'z3': 0.72973178669381789}, {'x2': 0.4581516989197012, 'y2': -0.87479888630177305, 'y1': -0.78550058556350333, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.6188609133556533, 'z1': 0.0064987596780610168, 'z2': 0.0, 'z3': 0.0}, {'x2': 0.72155940446899614, 'y2': -0.69235253001801589, 'y1': -0.78550058556350333, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.6188609133556533, 'z1': 0.0064987596780610168, 'z2': 0.011481021942819636, 'z3': 0.0}, {'x2': -0.47451678295412947, 'y2': -0.88024645565595072, 'y1': -0.88253875584932007, 'x3': -0.5535785237023545, 'y3': -0.83279698492220877, 'x1': -0.47023966700389508, 'z1': 0.24662750769398345, 'z2': 0.58458599022354052, 'z3': 0.7364712141640124}, {'x2': -0.46451824804859454, 'y2': -0.8855635478213093, 'y1': -0.88253875584932007, 'x3': -0.47451678295412947, 'y3': -0.88024645565595072, 'x1': -0.47023966700389508, 'z1': 0.24662750769398345, 'z2': 0.21098284358632646, 'z3': 0.58458599022354052}, {'x2': -0.58098593845702462, 'y2': -0.81391359450202727, 'y1': -0.88253875584932007, 'x3': -0.5535785237023545, 'y3': -0.83279698492220877, 'x1': -0.47023966700389508, 'z1': 0.24662750769398345, 'z2': 0.26697782204911336, 'z3': 0.7364712141640124}, {'x2': -0.46451824804859454, 'y2': -0.8855635478213093, 'y1': -0.88253875584932007, 'x3': -0.58098593845702462, 'y3': -0.81391359450202727, 'x1': -0.47023966700389508, 'z1': 0.24662750769398345, 'z2': 0.21098284358632646, 'z3': 0.26697782204911336}, {'x2': -0.092552587341587111, 'y2': -0.99570779778827578, 'y1': -0.98811380673166904, 'x3': -0.028019073366772451, 'y3': -0.99960738869201415, 'x1': -0.15372411959822618, 'z1': 0.21179820544208205, 'z2': 0.95381592752108013, 'z3': 0.06921251846838361}, {'x2': -0.20119898971920547, 'y2': -0.97955038999327182, 'y1': -0.98811380673166904, 'x3': -0.092552587341587111, 'y3': -0.99570779778827578, 'x1': -0.15372411959822618, 'z1': 0.21179820544208205, 'z2': 0.21932075915728333, 'z3': 0.95381592752108013}, {'x2': -0.15398502801967417, 'y2': -0.98355046331430129, 'y1': -0.98811380673166904, 'x3': -0.028019073366772451, 'y3': -0.99960738869201415, 'x1': -0.15372411959822618, 'z1': 0.21179820544208205, 'z2': 0.0, 'z3': 0.06921251846838361}, {'x2': -0.20119898971920547, 'y2': -0.97955038999327182, 'y1': -0.98811380673166904, 'x3': -0.15398502801967417, 'y3': -0.98355046331430129, 'x1': -0.15372411959822618, 'z1': 0.21179820544208205, 'z2': 0.21932075915728333, 'z3': 0.0}, {'x2': 0.5316688586139755, 'y2': 0.84695231552910488, 'y1': 0.88882149042655323, 'x3': 0.2788535969157675, 'y3': 0.96033362509449738, 'x1': 0.45825359590069836, 'z1': 0.16340249376192839, 'z2': 0.1283914644997628, 'z3': 0.025010755222666936}, {'x2': 0.94623152795874121, 'y2': -0.32349017836228966, 'y1': -0.65832335441887402, 'x3': 0.72155940446899614, 'y3': -0.69235253001801589, 'x1': 0.75273525294533772, 'z1': 0.31467788079847792, 'z2': 0.37853437720835348, 'z3': 0.011481021942819636}, {'x2': 0.65880932850598972, 'y2': -0.75230995518701393, 'y1': -0.65832335441887402, 'x3': 0.72155940446899614, 'y3': -0.69235253001801589, 'x1': 0.75273525294533772, 'z1': 0.31467788079847792, 'z2': 0.61851975236424606, 'z3': 0.011481021942819636}, {'x2': 0.65880932850598972, 'y2': -0.75230995518701393, 'y1': -0.65832335441887402, 'x3': 0.94623152795874121, 'y3': -0.32349017836228966, 'x1': 0.75273525294533772, 'z1': 0.31467788079847792, 'z2': 0.61851975236424606, 'z3': 0.37853437720835348}, {'x2': -0.54190385607179126, 'y2': 0.84044048615861155, 'y1': 0.83895328024055882, 'x3': -0.85491377101368538, 'y3': 0.51796833656954533, 'x1': -0.54420344869690629, 'z1': 0.28938796360210717, 'z2': 0.032100243904037762, 'z3': 1.0}, {'x2': -0.47322189849781848, 'y2': 0.88094326422427482, 'y1': 0.83895328024055882, 'x3': -0.85491377101368538, 'y3': 0.51796833656954533, 'x1': -0.54420344869690629, 'z1': 0.28938796360210717, 'z2': 0.50058611305029832, 'z3': 1.0}, {'x2': 0.4581516989197012, 'y2': -0.87479888630177305, 'y1': -0.8510889021574749, 'x3': 0.36922850197974921, 'y3': -0.92933864297455659, 'x1': 0.52502160015030253, 'z1': 0.53937903011962574, 'z2': 0.0, 'z3': 0.84285192018980959}, {'x2': 0.6188609133556533, 'y2': -0.78550058556350333, 'y1': -0.8510889021574749, 'x3': 0.4581516989197012, 'y3': -0.87479888630177305, 'x1': 0.52502160015030253, 'z1': 0.53937903011962574, 'z2': 0.0064987596780610168, 'z3': 0.0}, {'x2': 0.61425654654876038, 'y2': -0.78910639017941719, 'y1': -0.8510889021574749, 'x3': 0.36922850197974921, 'y3': -0.92933864297455659, 'x1': 0.52502160015030253, 'z1': 0.53937903011962574, 'z2': 0.72973178669381789, 'z3': 0.84285192018980959}, {'x2': 0.6188609133556533, 'y2': -0.78550058556350333, 'y1': -0.8510889021574749, 'x3': 0.61425654654876038, 'y3': -0.78910639017941719, 'x1': 0.52502160015030253, 'z1': 0.53937903011962574, 'z2': 0.0064987596780610168, 'z3': 0.72973178669381789}, {'x2': 0.15470429051352408, 'y2': 0.98796082032472687, 'y1': 0.96247541596585384, 'x3': 0.2788535969157675, 'y3': 0.96033362509449738, 'x1': 0.27136888852880037, 'z1': 0.36483217897008424, 'z2': 0.70457183621492347, 'z3': 0.025010755222666936}, {'x2': 0.27789898973201033, 'y2': 0.96061030158224314, 'y1': 0.96247541596585384, 'x3': 0.2788535969157675, 'y3': 0.96033362509449738, 'x1': 0.27136888852880037, 'z1': 0.36483217897008424, 'z2': 0.60897021143817232, 'z3': 0.025010755222666936}, {'x2': 0.27789898973201033, 'y2': 0.96061030158224314, 'y1': 0.96247541596585384, 'x3': 0.15470429051352408, 'y3': 0.98796082032472687, 'x1': 0.27136888852880037, 'z1': 0.36483217897008424, 'z2': 0.60897021143817232, 'z3': 0.70457183621492347}, {'x2': 0.36342073805314956, 'y2': 0.93162512157675526, 'y1': 0.95516600591707124, 'x3': 0.75601919840808107, 'y3': 0.65454944170658524, 'x1': 0.29607077049318709, 'z1': 0.60913100566698819, 'z2': 0.53697033040879516, 'z3': 0.94694944529799407}, {'x2': 0.15470429051352408, 'y2': 0.98796082032472687, 'y1': 0.95516600591707124, 'x3': 0.75601919840808107, 'y3': 0.65454944170658524, 'x1': 0.29607077049318709, 'z1': 0.60913100566698819, 'z2': 0.70457183621492347, 'z3': 0.94694944529799407}, {'x2': 0.27789898973201033, 'y2': 0.96061030158224314, 'y1': 0.95516600591707124, 'x3': 0.15470429051352408, 'y3': 0.98796082032472687, 'x1': 0.29607077049318709, 'z1': 0.60913100566698819, 'z2': 0.60897021143817232, 'z3': 0.70457183621492347}, {'x2': 0.36342073805314956, 'y2': 0.93162512157675526, 'y1': 0.91813023074877442, 'x3': 0.2788535969157675, 'y3': 0.96033362509449738, 'x1': 0.39627878997645372, 'z1': 0.34025051651799187, 'z2': 0.53697033040879516, 'z3': 0.025010755222666936}, {'x2': 0.45825359590069836, 'y2': 0.88882149042655323, 'y1': 0.91813023074877442, 'x3': 0.2788535969157675, 'y3': 0.96033362509449738, 'x1': 0.39627878997645372, 'z1': 0.34025051651799187, 'z2': 0.16340249376192839, 'z3': 0.025010755222666936}, {'x2': 0.36342073805314956, 'y2': 0.93162512157675526, 'y1': 0.88799032307146364, 'x3': 0.5316688586139755, 'y3': 0.84695231552910488, 'x1': 0.45986213817995236, 'z1': 0.20115106338969591, 'z2': 0.53697033040879516, 'z3': 0.1283914644997628}, {'x2': 0.45825359590069836, 'y2': 0.88882149042655323, 'y1': 0.88799032307146364, 'x3': 0.5316688586139755, 'y3': 0.84695231552910488, 'x1': 0.45986213817995236, 'z1': 0.20115106338969591, 'z2': 0.16340249376192839, 'z3': 0.1283914644997628}, {'x2': 0.39627878997645372, 'y2': 0.91813023074877442, 'y1': 0.88799032307146364, 'x3': 0.36342073805314956, 'y3': 0.93162512157675526, 'x1': 0.45986213817995236, 'z1': 0.20115106338969591, 'z2': 0.34025051651799187, 'z3': 0.53697033040879516}, {'x2': 0.39627878997645372, 'y2': 0.91813023074877442, 'y1': 0.88799032307146364, 'x3': 0.45825359590069836, 'y3': 0.88882149042655323, 'x1': 0.45986213817995236, 'z1': 0.20115106338969591, 'z2': 0.34025051651799187, 'z3': 0.16340249376192839}, {'x2': -0.47322189849781848, 'y2': 0.88094326422427482, 'y1': 0.84522018335644611, 'x3': -0.54190385607179126, 'y3': 0.84044048615861155, 'x1': -0.53441822727793964, 'z1': 0.10100142940972912, 'z2': 0.50058611305029832, 'z3': 0.032100243904037762}, {'x2': -0.54420344869690629, 'y2': 0.83895328024055882, 'y1': 0.84522018335644611, 'x3': -0.54190385607179126, 'y3': 0.84044048615861155, 'x1': -0.53441822727793964, 'z1': 0.10100142940972912, 'z2': 0.28938796360210717, 'z3': 0.032100243904037762}, {'x2': -0.54420344869690629, 'y2': 0.83895328024055882, 'y1': 0.84522018335644611, 'x3': -0.47322189849781848, 'y3': 0.88094326422427482, 'x1': -0.53441822727793964, 'z1': 0.10100142940972912, 'z2': 0.28938796360210717, 'z3': 0.50058611305029832}, {'x2': 0.27789898973201033, 'y2': 0.96061030158224314, 'y1': 0.95943685845634596, 'x3': 0.2788535969157675, 'y3': 0.96033362509449738, 'x1': 0.28192359715961612, 'z1': 0.11155217359587644, 'z2': 0.60897021143817232, 'z3': 0.025010755222666936}, {'x2': 0.29607077049318709, 'y2': 0.95516600591707124, 'y1': 0.95943685845634596, 'x3': 0.27789898973201033, 'y3': 0.96061030158224314, 'x1': 0.28192359715961612, 'z1': 0.11155217359587644, 'z2': 0.60913100566698819, 'z3': 0.60897021143817232}, {'x2': 0.36342073805314956, 'y2': 0.93162512157675526, 'y1': 0.95943685845634596, 'x3': 0.2788535969157675, 'y3': 0.96033362509449738, 'x1': 0.28192359715961612, 'z1': 0.11155217359587644, 'z2': 0.53697033040879516, 'z3': 0.025010755222666936}, {'x2': 0.29607077049318709, 'y2': 0.95516600591707124, 'y1': 0.95943685845634596, 'x3': 0.36342073805314956, 'y3': 0.93162512157675526, 'x1': 0.28192359715961612, 'z1': 0.11155217359587644, 'z2': 0.60913100566698819, 'z3': 0.53697033040879516}, {'x2': -0.54211643237769125, 'y2': -0.72235453409224248, 'y1': -0.87479888630177305, 'x3': -0.15398502801967417, 'y3': -0.98355046331430129, 'x1': 0.4581516989197012, 'z1': 0.0, 'z2': 0.0, 'z3': 0.0}, {'x2': -0.5715263852591228, 'y2': 0.76766772681861095, 'y1': -0.87479888630177305, 'x3': 0.14208618665056894, 'y3': 0.77665890320372744, 'x1': 0.4581516989197012, 'z1': 0.0, 'z2': 0.0, 'z3': 0.0}, {'x2': -0.85828530022269311, 'y2': 0.34330121315873913, 'y1': -0.87479888630177305, 'x3': -0.54211643237769125, 'y3': -0.72235453409224248, 'x1': 0.4581516989197012, 'z1': 0.0, 'z2': 0.0, 'z3': 0.0}, {'x2': 0.14208618665056894, 'y2': 0.77665890320372744, 'y1': -0.87479888630177305, 'x3': 0.99856493682545322, 'y3': -0.051893951492567723, 'x1': 0.4581516989197012, 'z1': 0.0, 'z2': 0.0, 'z3': 0.0}, {'x2': -0.85828530022269311, 'y2': 0.34330121315873913, 'y1': -0.87479888630177305, 'x3': -0.88272920005564215, 'y3': 0.4629789587497673, 'x1': 0.4581516989197012, 'z1': 0.0, 'z2': 0.0, 'z3': 0.0}, {'x2': -0.5715263852591228, 'y2': 0.76766772681861095, 'y1': -0.87479888630177305, 'x3': -0.88272920005564215, 'y3': 0.4629789587497673, 'x1': 0.4581516989197012, 'z1': 0.0, 'z2': 0.0, 'z3': 0.0}, {'x2': -0.85491377101368538, 'y2': 0.51796833656954533, 'y1': -0.0017565578905829238, 'x3': -0.52959220999813761, 'y3': 0.75518832833627403, 'x1': 0.97446612726300863, 'z1': 1.0, 'z2': 1.0, 'z3': 1.0}, {'x2': -0.52959220999813761, 'y2': 0.75518832833627403, 'y1': -0.0017565578905829238, 'x3': 0.6342082702309233, 'y3': 0.51290711369531117, 'x1': 0.97446612726300863, 'z1': 1.0, 'z2': 1.0, 'z3': 1.0}, {'x2': -0.4695991704991973, 'y2': -0.77769712454013062, 'y1': -0.0017565578905829238, 'x3': -0.62835007803855358, 'y3': -0.52526857682898909, 'x1': 0.97446612726300863, 'z1': 1.0, 'z2': 1.0, 'z3': 1.0}, {'x2': 0.86941251547285447, 'y2': 0.35386099621531025, 'y1': -0.0017565578905829238, 'x3': 0.99219289571018887, 'y3': 0.026583049937002286, 'x1': 0.97446612726300863, 'z1': 1.0, 'z2': 1.0, 'z3': 1.0}, {'x2': -0.62835007803855358, 'y2': -0.52526857682898909, 'y1': -0.0017565578905829238, 'x3': -0.98066060078332007, 'y3': 0.17283812625908226, 'x1': 0.97446612726300863, 'z1': 1.0, 'z2': 1.0, 'z3': 1.0}, {'x2': 0.86941251547285447, 'y2': 0.35386099621531025, 'y1': -0.0017565578905829238, 'x3': 0.6342082702309233, 'y3': 0.51290711369531117, 'x1': 0.97446612726300863, 'z1': 1.0, 'z2': 1.0, 'z3': 1.0}, {'x2': -0.85491377101368538, 'y2': 0.51796833656954533, 'y1': -0.0017565578905829238, 'x3': -0.98066060078332007, 'y3': 0.17283812625908226, 'x1': 0.97446612726300863, 'z1': 1.0, 'z2': 1.0, 'z3': 1.0}, {'x2': -0.4695991704991973, 'y2': -0.77769712454013062, 'y1': -0.0017565578905829238, 'x3': 0.16835518891794243, 'y3': -0.84054850710793583, 'x1': 0.97446612726300863, 'z1': 1.0, 'z2': 1.0, 'z3': 1.0}, {'x2': 0.66748990927961405, 'y2': -0.45546679006371843, 'y1': -0.0017565578905829238, 'x3': 0.16835518891794243, 'y3': -0.84054850710793583, 'x1': 0.97446612726300863, 'z1': 1.0, 'z2': 1.0, 'z3': 1.0}]}];\n", "\n", " data[0].points.forEach(function(d) {\n", " d.x = +d.x;\n", " d.y = +d.y;\n", " d.z = +d.z;\n", " });\n", "\n", " data[0].triangles.forEach(function(d) {\n", " d.x1 = +d.x1;\n", " d.y1 = +d.y1;\n", " d.z1 = +d.z1;\n", " d.x2 = +d.x2;\n", " d.y2 = +d.y2;\n", " d.z2 = +d.z2;\n", " d.x3 = +d.x3;\n", " d.y3 = +d.y3;\n", " d.z3 = +d.z3;\n", " });\n", "\n", " for (var i=0; i<viewport_config.length; i++) {\n", " \n", " // viewport scales\n", " \n", " var hdim_pts_extent = [99999,-99999];\n", " var vdim_pts_extent = [99999,-99999];\n", " if (data[0].points.length > 0) {\n", " hdim_pts_extent = d3.extent(data[0].points, function(d) { return d[viewport_config[i][\"hdim\"]]; });\n", " vdim_pts_extent = d3.extent(data[0].points, function(d) { return d[viewport_config[i][\"vdim\"]]; });\n", " }\n", "\n", " var hdim_tri1_extent = [99999,-99999];\n", " var vdim_tri1_extent = [99999,-99999];\n", " var hdim_tri2_extent = [99999,-99999];\n", " var vdim_tri2_extent = [99999,-99999];\n", " var hdim_tri3_extent = [99999,-99999];\n", " var vdim_tri3_extent = [99999,-99999];\n", " if (data[0].triangles.length > 0) {\n", " hdim_tri1_extent = d3.extent(data[0].triangles, function(d) { return d[viewport_config[i][\"hdim\"] + \"1\"]; });\n", " vdim_tri1_extent = d3.extent(data[0].triangles, function(d) { return d[viewport_config[i][\"vdim\"] + \"1\"]; });\n", " hdim_tri2_extent = d3.extent(data[0].triangles, function(d) { return d[viewport_config[i][\"hdim\"] + \"2\"]; });\n", " vdim_tri2_extent = d3.extent(data[0].triangles, function(d) { return d[viewport_config[i][\"vdim\"] + \"2\"]; });\n", " hdim_tri3_extent = d3.extent(data[0].triangles, function(d) { return d[viewport_config[i][\"hdim\"] + \"3\"]; });\n", " vdim_tri3_extent = d3.extent(data[0].triangles, function(d) { return d[viewport_config[i][\"vdim\"] + \"3\"]; });\n", " }\n", " \n", " var hdim_extent = [ Math.min( hdim_pts_extent[0], hdim_tri1_extent[0], hdim_tri2_extent[0], hdim_tri3_extent[0] ) , \n", " Math.max( hdim_pts_extent[1], hdim_tri1_extent[1], hdim_tri2_extent[1], hdim_tri3_extent[1] )];\n", "\n", " var vdim_extent = [ Math.min( vdim_pts_extent[0], vdim_tri1_extent[0], vdim_tri2_extent[0], vdim_tri3_extent[0] ) , \n", " Math.max( vdim_pts_extent[1], vdim_tri1_extent[1], vdim_tri2_extent[1], vdim_tri3_extent[1] )];\n", " \n", " var hdim_size = hdim_extent[1] - hdim_extent[0];\n", " var vdim_size = vdim_extent[1] - vdim_extent[0];\n", " \n", " if (hdim_size > vdim_size) {\n", " h[i].domain(hdim_extent);\n", " v[i].domain([ vdim_extent[0] - (hdim_size - vdim_size) / 2 , vdim_extent[1] + (hdim_size - vdim_size) / 2 ]);\n", " } else {\n", " h[i].domain([ hdim_extent[0] - (vdim_size - hdim_size) / 2 , hdim_extent[1] + (vdim_size - hdim_size) / 2 ]);\n", " v[i].domain(vdim_extent);\n", " }\n", "\n", "\n", "\n", " // triangles\n", " \n", " var check_intercept = function(x0,y0,x1,y1,x2,y2,x3,y3) {\n", " \n", " // check if parallel, if so return false\n", " if ( Math.abs((y1-y0)/(x1-x0)) == Math.abs((y3-y2)/(x3-x2)) ) { \n", " // note: should probably be checked for overlap\n", " return [false, 0, 0]; \n", " } else {\n", " var c1 = ( (y2-y0)/y1 - (x2-x0)/x1 ) / ( x3/x1 - y3/y1 );\n", " var c0 = (x2-x0)/x1 + c1 * (x3/x1);\n", " if ( (c0 > 0) && (c0 < 1) && (c1 > 0) && (c1 < 1) ) {\n", " return [true, c0, c1];\n", " }\n", " }\n", " return [false, 0, 0];\n", " }\n", " \n", " // z-dimension sorting\n", " data[0].triangles.sort(function(a,b) {\n", "\n", " // for convenience\n", " var x = viewport_config[i][\"hdim\"];\n", " var y = viewport_config[i][\"vdim\"];\n", " var z = viewport_config[i][\"zdim\"];\n", " \n", " // check for overlap in x-y plane\n", " var lines = [[1,2],[2,3],[3,1]];\n", " lines.forEach(function(line_a){\n", " lines.forEach(function(line_b){\n", "\n", " // does line_a intersect line_b ?\n", " var ci = check_intercept( a[x + line_a[0]], a[y + line_a[0]],\n", " a[x + line_a[1]], a[y + line_a[1]],\n", " b[x + line_b[0]], b[y + line_b[0]],\n", " b[x + line_b[1]], b[y + line_b[1]] );\n", " if (ci[0]) {\n", " var c0 = ci[1];\n", " var c1 = ci[2];\n", " var z_int_a = a[z + line_a[0]] + c0 * a[z + line_a[1]];\n", " var z_int_b = b[z + line_b[0]] + c1 * b[z + line_b[1]];\n", " if (z_int_a > z_int_b) {\n", " return 1;\n", " } else if (z_int_a < z_int_b) {\n", " return -1;\n", " } else {\n", " return 0;\n", " }\n", " }\n", " });\n", " });\n", "\n", " // if no overlap, return 0\n", " return 0;\n", " });\n", " \n", " viewport[i].selectAll(\".triangle\")\n", " .data(data[0].triangles)\n", " .enter().append(\"polygon\")\n", " .attr(\"class\", function(d,j) { return \"triangle d\" + j; })\n", " .attr(\"points\", function(d) {\n", " var s = \"\";\n", " for (var j=1; j<4; j++) {\n", " s += h[i](d[viewport_config[i][\"hdim\"] + j]) + \",\";\n", " s += v[i](d[viewport_config[i][\"vdim\"] + j]) + \" \";\n", " }\n", " s = s.substring(0, s.length - 1);\n", " return s;\n", " })\n", " .style(\"fill\", \"grey\")\n", " .style(\"stroke\", \"black\")\n", " .style(\"stroke-width\", 0.5)\n", " .style(\"fill-opacity\", 0.8)\n", " .on(\"click\", function(event) {\n", " var class_name = d3.select(this).attr(\"class\");\n", " d3.selectAll(\".triangle\").style(\"fill\", 'grey');\n", " d3.selectAll(\".\" + class_name.replace(\"triangle \",\"\")).style(\"fill\", 'red');\n", " });\n", "\n", " // points\n", "\n", " var points = viewport[i].selectAll(\".dot\")\n", " .data(data[0].points)\n", " .enter().append(\"circle\")\n", " .attr(\"class\", \"dot\")\n", " .attr(\"r\", 1.5)\n", " .attr(\"cx\", function(d) { return h[i](d[viewport_config[i][\"hdim\"]]); })\n", " .attr(\"cy\", function(d) { return v[i](d[viewport_config[i][\"vdim\"]]); })\n", " .style(\"fill\", \"steelblue\");\n", "\n", " }\n", "\n", "//});\n", "\n", "\n", " </script>\n", "\n", " " ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_points_triangles([[points, triangles_vertices]])" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
junpenglao/Bayesian-Cognitive-Modeling-in-Pymc3
CaseStudies/NumberConceptDevelopment.ipynb
1
2485569
null
gpl-3.0
zhongyuanzhou/FCH808.github.io
Theano/Theano - Deep NNet dropout & rectifiers.ipynb
4
8169
{ "metadata": { "name": "", "signature": "sha256:9990c95b3c79dff37ddc76d4ef9f7b88390aa7307f4f175145c2c079585fc530" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "import theano\n", "from theano import tensor as T\n", "from theano.sandbox.rng_mrg import MRG_RandomStreams as RandomStreams\n", "import numpy as np\n", "from load import mnist\n" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 31 }, { "cell_type": "code", "collapsed": false, "input": [ "srng = RandomStreams()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 32 }, { "cell_type": "code", "collapsed": false, "input": [ "def floatX(X):\n", " return np.asarray(X, dtype=theano.config.floatX)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 33 }, { "cell_type": "code", "collapsed": false, "input": [ "def init_weights(shape):\n", " return theano.shared(floatX(np.random.randn(*shape) * 0.01))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 34 }, { "cell_type": "code", "collapsed": false, "input": [ "## Rectifier: If X below 0, return 0; else return X.\n", "def rectify(X):\n", " return T.maximum(X, 0.)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 35 }, { "cell_type": "code", "collapsed": false, "input": [ "## Numerically stable softmax\n", "def softmax(X):\n", " e_x = T.exp(X - X.max(axis=1).dimshuffle(0, 'x'))\n", " return e_x / e_x.sum(axis=1).dimshuffle(0, 'x')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 36 }, { "cell_type": "code", "collapsed": false, "input": [ "def RMSprop(cost, params, lr=0.001, rho=0.9, epsilon=1e-6):\n", " grads = T.grad(cost=cost, wrt=params)\n", " updates = []\n", " for p, g in zip(params, grads):\n", " ## A running average of the magnitude of the gradient\n", " acc = theano.shared(p.get_value() * 0.)\n", " acc_new = rho * acc + (1 - rho) * g ** 2\n", " ## Scale the gradient based on the running average\n", " gradient_scaling = T.sqrt(acc_new + epsilon)\n", " g = g / gradient_scaling\n", " updates.append((acc, acc_new))\n", " updates.append((p, p - lr * g))\n", " return updates" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 37 }, { "cell_type": "code", "collapsed": false, "input": [ "## Randomly drop values and scale the rest\n", "def dropout(X, p=0.):\n", " if p > 0:\n", " retain_prob = 1 - p\n", " X *= srng.binomial(X.shape, p=retain_prob, dtype=theano.config.floatX)\n", " X /= retain_prob\n", " return X" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 38 }, { "cell_type": "code", "collapsed": false, "input": [ "## 1. Noise injected into model\n", "## 2. Rectifiers now used\n", "## 3. 2 hidden layers\n", "def model(X, w_h, w_h2, w_o, p_drop_input, p_drop_hidden):\n", " X = dropout(X, p_drop_input)\n", " h = rectify(T.dot(X, w_h))\n", "\n", " h = dropout(h, p_drop_hidden)\n", " h2 = rectify(T.dot(h, w_h2))\n", "\n", " h2 = dropout(h2, p_drop_hidden)\n", " py_x = softmax(T.dot(h2, w_o))\n", " \n", " return h, h2, py_x" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 39 }, { "cell_type": "code", "collapsed": false, "input": [ "train_x, test_x, train_y, test_y = mnist(onehot=True)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 40 }, { "cell_type": "code", "collapsed": false, "input": [ "X = T.fmatrix()\n", "Y = T.fmatrix()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 41 }, { "cell_type": "code", "collapsed": false, "input": [ "w_h = init_weights((784, 625))\n", "w_h2 = init_weights((625, 625))\n", "w_o = init_weights((625, 10))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 42 }, { "cell_type": "code", "collapsed": false, "input": [ "noise_h, noise_h2, noise_py_x = model(X, w_h, w_h2, w_o, 0.2, 0.5)\n", "h, h2, py_x = model(X, w_h, w_h2, w_o, 0., 0.)\n", "y_x = T.argmax(py_x, axis=1)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 44 }, { "cell_type": "code", "collapsed": false, "input": [ "cost = T.mean(T.nnet.categorical_crossentropy(noise_py_x, Y))\n", "params = [w_h, w_h2, w_o]\n", "updates = RMSprop(cost, params, lr=0.001)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 45 }, { "cell_type": "code", "collapsed": false, "input": [ "train = theano.function(inputs=[X, Y], outputs=cost, updates=updates, allow_input_downcast=True)\n", "predict = theano.function(inputs=[X], outputs=y_x, allow_input_downcast=True)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 46 }, { "cell_type": "code", "collapsed": false, "input": [ "def run_model(max_iter=100):\n", " for i in range(max_iter):\n", " for start, end in zip(range(0, len(train_x), 128), range(128, len(train_x), 128)):\n", " cost = train(train_x[start:end], train_y[start:end])\n", " print np.mean(np.argmax(test_y, axis=1) == predict(test_x))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 49 }, { "cell_type": "code", "collapsed": false, "input": [ "run_model(10)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.9696\n", "0.972" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "0.974" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "0.971" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "0.9751" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "0.9738" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "0.9758" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "0.9763" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "0.9773" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "0.9769" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 50 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
mit
mvdbosch/AtosCodexDemo
Jupyter Notebooks/Explore the CBS Crime and Demographics Dataset.ipynb
1
170171
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Atos Codex - Data Scientist Workbench\n", "### Explore the CBS Crime and Demographics Dataset\n", "First check some of the environment specs and see what we have here" ] }, { "cell_type": "code", "execution_count": 180, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "processor\t: 0\n", "model name\t: Intel(R) Xeon(R) CPU E5620 @ 2.40GHz\n", "processor\t: 1\n", "model name\t: Intel(R) Xeon(R) CPU E5620 @ 2.40GHz\n", "processor\t: 2\n", "model name\t: Intel(R) Xeon(R) CPU E5620 @ 2.40GHz\n", "processor\t: 3\n", "model name\t: Intel(R) Xeon(R) CPU E5620 @ 2.40GHz\n" ] } ], "source": [ "%%bash\n", "cat /proc/cpuinfo | grep 'processor\\|model name'" ] }, { "cell_type": "code", "execution_count": 179, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " total used free shared buff/cache available\n", "Mem: 15 6 3 0 5 8\n", "Swap: 0 0 0\n" ] } ], "source": [ "%%bash\n", "free -g" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Import Python packages" ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "from __future__ import print_function\n", "import pandas as pd\n", "import geopandas as gpd\n", "import matplotlib as mpl\n", "import matplotlib.pyplot as plt\n", "from ipywidgets.widgets import interact, Text\n", "from IPython.display import display\n", "import numpy as np" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Set Jupyter Notebook graphical parameters" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# use the notebook definition for interactive embedded graphics\n", "# %matplotlib notebook\n", "\n", "# use the inline definition for static embedded graphics\n", "%matplotlib inline \n", "\n", "rcParam = {\n", " 'figure.figsize': (12,6),\n", " 'font.weight': 'bold',\n", " 'axes.labelsize': 20.0,\n", " 'axes.titlesize': 20.0,\n", " 'axes.titleweight': 'bold',\n", " 'legend.fontsize': 14,\n", " 'xtick.labelsize': 14,\n", " 'ytick.labelsize': 14,\n", "}\n", "\n", "for key in rcParam:\n", " mpl.rcParams[key] = rcParam[key]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Read the combines CBS dataset\n", "This is the file that we downladen & merged using Talend Open Studio for Big Data. (Note: please check the file path)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "cbs_data = pd.read_csv('combined_data.csv',sep=',',na_values=['NA','.'],error_bad_lines=False);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's inspect the contents of this file by looking at the first 5 rows.\n", "\n", "As you can see, this file has a lot of columns. For a description of the fieldnames, please see the description file" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Regiocode</th>\n", " <th>Regionaam</th>\n", " <th>Inwoners</th>\n", " <th>Vermogen_Vernielingen_en_Geweld</th>\n", " <th>Vermogensmisdrijven</th>\n", " <th>Diefstal</th>\n", " <th>Fietsendiefstal</th>\n", " <th>Diefstal_overige_vervoersmiddelen</th>\n", " <th>Diefstal_uit_vanaf_vervoersmiddelen</th>\n", " <th>Zakkenrollerij_Straatroof_Beroving</th>\n", " <th>...</th>\n", " <th>AV50ATTRAC</th>\n", " <th>AF_PODIUM</th>\n", " <th>AV5_PODIUM</th>\n", " <th>AV10PODIUM</th>\n", " <th>AV20PODIUM</th>\n", " <th>AF_POP</th>\n", " <th>OPP_TOT</th>\n", " <th>OPP_LAND</th>\n", " <th>OPP_WATER</th>\n", " <th>id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>BU00030000</td>\n", " <td>Appingedam-Centrum</td>\n", " <td>2410</td>\n", " <td>177</td>\n", " <td>101</td>\n", " <td>96</td>\n", " <td>39</td>\n", " <td>2</td>\n", " <td>6</td>\n", " <td>4</td>\n", " <td>...</td>\n", " <td>11.0</td>\n", " <td>5.3</td>\n", " <td>0.1</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>24.5</td>\n", " <td>90</td>\n", " <td>84</td>\n", " <td>5</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>BU00030001</td>\n", " <td>Appingedam-West</td>\n", " <td>3160</td>\n", " <td>88</td>\n", " <td>38</td>\n", " <td>31</td>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>11.7</td>\n", " <td>6.3</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>23.7</td>\n", " <td>163</td>\n", " <td>158</td>\n", " <td>5</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>BU00030002</td>\n", " <td>Appingedam-Oost</td>\n", " <td>5860</td>\n", " <td>211</td>\n", " <td>121</td>\n", " <td>116</td>\n", " <td>27</td>\n", " <td>6</td>\n", " <td>14</td>\n", " <td>2</td>\n", " <td>...</td>\n", " <td>11.0</td>\n", " <td>5.1</td>\n", " <td>0.4</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>25.1</td>\n", " <td>295</td>\n", " <td>284</td>\n", " <td>11</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>BU00030007</td>\n", " <td>Verspr. huizen Damsterdiep en Eemskanaal</td>\n", " <td>360</td>\n", " <td>14</td>\n", " <td>12</td>\n", " <td>11</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>11.6</td>\n", " <td>7.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>23.7</td>\n", " <td>559</td>\n", " <td>540</td>\n", " <td>19</td>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>BU00030008</td>\n", " <td>Verspr. huizen ten zuiden van Eemskanaal</td>\n", " <td>95</td>\n", " <td>10</td>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>13.5</td>\n", " <td>7.7</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.1</td>\n", " <td>22.9</td>\n", " <td>582</td>\n", " <td>554</td>\n", " <td>28</td>\n", " <td>4</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>5 rows × 216 columns</p>\n", "</div>" ], "text/plain": [ " Regiocode Regionaam Inwoners \\\n", "0 BU00030000 Appingedam-Centrum 2410 \n", "1 BU00030001 Appingedam-West 3160 \n", "2 BU00030002 Appingedam-Oost 5860 \n", "3 BU00030007 Verspr. huizen Damsterdiep en Eemskanaal 360 \n", "4 BU00030008 Verspr. huizen ten zuiden van Eemskanaal 95 \n", "\n", " Vermogen_Vernielingen_en_Geweld Vermogensmisdrijven Diefstal \\\n", "0 177 101 96 \n", "1 88 38 31 \n", "2 211 121 116 \n", "3 14 12 11 \n", "4 10 2 2 \n", "\n", " Fietsendiefstal Diefstal_overige_vervoersmiddelen \\\n", "0 39 2 \n", "1 2 2 \n", "2 27 6 \n", "3 2 1 \n", "4 1 0 \n", "\n", " Diefstal_uit_vanaf_vervoersmiddelen Zakkenrollerij_Straatroof_Beroving \\\n", "0 6 4 \n", "1 3 0 \n", "2 14 2 \n", "3 0 0 \n", "4 0 0 \n", "\n", " ... AV50ATTRAC AF_PODIUM AV5_PODIUM AV10PODIUM AV20PODIUM AF_POP \\\n", "0 ... 11.0 5.3 0.1 1.0 1.0 24.5 \n", "1 ... 11.7 6.3 0.0 1.0 1.0 23.7 \n", "2 ... 11.0 5.1 0.4 1.0 1.0 25.1 \n", "3 ... 11.6 7.0 0.0 1.0 1.0 23.7 \n", "4 ... 13.5 7.7 0.0 1.0 1.1 22.9 \n", "\n", " OPP_TOT OPP_LAND OPP_WATER id \n", "0 90 84 5 0 \n", "1 163 158 5 1 \n", "2 295 284 11 2 \n", "3 559 540 19 3 \n", "4 582 554 28 4 \n", "\n", "[5 rows x 216 columns]" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cbs_data.head()" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [], "source": [ "cbs_data_2015 = cbs_data.loc[cbs_data['YEAR'] == 2015];\n", "#list(cbs_data_2015)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We will subset the entire 2010-2015 into just the year 2015.\n", "\n", "In the table below you will see summary statistics" ] }, { "cell_type": "code", "execution_count": 182, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Inwoners</th>\n", " <th>Vermogen_Vernielingen_en_Geweld</th>\n", " <th>Vermogensmisdrijven</th>\n", " <th>Diefstal</th>\n", " <th>Fietsendiefstal</th>\n", " <th>Diefstal_overige_vervoersmiddelen</th>\n", " <th>Diefstal_uit_vanaf_vervoersmiddelen</th>\n", " <th>Zakkenrollerij_Straatroof_Beroving</th>\n", " <th>Woninginbraak_incl_schuur_tuin</th>\n", " <th>Diefstal_inbraak_niet_residentiele_gebouwen</th>\n", " <th>...</th>\n", " <th>AV50ATTRAC</th>\n", " <th>AF_PODIUM</th>\n", " <th>AV5_PODIUM</th>\n", " <th>AV10PODIUM</th>\n", " <th>AV20PODIUM</th>\n", " <th>AF_POP</th>\n", " <th>OPP_TOT</th>\n", " <th>OPP_LAND</th>\n", " <th>OPP_WATER</th>\n", " <th>id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>...</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>2808.629355</td>\n", " <td>103.882353</td>\n", " <td>74.540263</td>\n", " <td>65.772701</td>\n", " <td>13.794118</td>\n", " <td>4.416048</td>\n", " <td>12.323529</td>\n", " <td>2.459737</td>\n", " <td>12.900343</td>\n", " <td>8.300114</td>\n", " <td>...</td>\n", " <td>25.731810</td>\n", " <td>5.505397</td>\n", " <td>1.295060</td>\n", " <td>3.633067</td>\n", " <td>11.621074</td>\n", " <td>12.220160</td>\n", " <td>127.193604</td>\n", " <td>121.849800</td>\n", " <td>5.332096</td>\n", " <td>6053.459737</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>2197.816441</td>\n", " <td>131.950154</td>\n", " <td>101.114718</td>\n", " <td>93.461735</td>\n", " <td>28.204311</td>\n", " <td>7.148261</td>\n", " <td>17.676344</td>\n", " <td>9.995283</td>\n", " <td>15.971073</td>\n", " <td>19.342237</td>\n", " <td>...</td>\n", " <td>9.454629</td>\n", " <td>4.867955</td>\n", " <td>1.944618</td>\n", " <td>4.824405</td>\n", " <td>11.474965</td>\n", " <td>8.921645</td>\n", " <td>199.973329</td>\n", " <td>190.713978</td>\n", " <td>25.065847</td>\n", " <td>3342.892412</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>55.000000</td>\n", " <td>1.000000</td>\n", " <td>1.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>...</td>\n", " <td>0.500000</td>\n", " <td>0.300000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.300000</td>\n", " <td>4.000000</td>\n", " <td>4.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>1340.000000</td>\n", " <td>33.000000</td>\n", " <td>23.000000</td>\n", " <td>19.000000</td>\n", " <td>2.000000</td>\n", " <td>1.000000</td>\n", " <td>3.000000</td>\n", " <td>0.000000</td>\n", " <td>4.000000</td>\n", " <td>1.000000</td>\n", " <td>...</td>\n", " <td>19.000000</td>\n", " <td>1.800000</td>\n", " <td>0.000000</td>\n", " <td>1.000000</td>\n", " <td>4.400000</td>\n", " <td>5.200000</td>\n", " <td>38.000000</td>\n", " <td>37.000000</td>\n", " <td>0.000000</td>\n", " <td>3186.250000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>2190.000000</td>\n", " <td>65.000000</td>\n", " <td>45.000000</td>\n", " <td>38.000000</td>\n", " <td>5.000000</td>\n", " <td>2.000000</td>\n", " <td>7.000000</td>\n", " <td>0.000000</td>\n", " <td>9.000000</td>\n", " <td>3.000000</td>\n", " <td>...</td>\n", " <td>25.000000</td>\n", " <td>4.100000</td>\n", " <td>1.000000</td>\n", " <td>2.000000</td>\n", " <td>8.000000</td>\n", " <td>10.250000</td>\n", " <td>72.500000</td>\n", " <td>70.000000</td>\n", " <td>0.000000</td>\n", " <td>6103.500000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>3595.000000</td>\n", " <td>126.000000</td>\n", " <td>89.000000</td>\n", " <td>77.000000</td>\n", " <td>14.000000</td>\n", " <td>5.000000</td>\n", " <td>15.000000</td>\n", " <td>2.000000</td>\n", " <td>16.000000</td>\n", " <td>8.000000</td>\n", " <td>...</td>\n", " <td>32.000000</td>\n", " <td>7.800000</td>\n", " <td>2.000000</td>\n", " <td>4.500000</td>\n", " <td>14.000000</td>\n", " <td>17.300000</td>\n", " <td>136.000000</td>\n", " <td>132.000000</td>\n", " <td>3.000000</td>\n", " <td>8883.750000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>26755.000000</td>\n", " <td>2529.000000</td>\n", " <td>2031.000000</td>\n", " <td>1934.000000</td>\n", " <td>661.000000</td>\n", " <td>113.000000</td>\n", " <td>204.000000</td>\n", " <td>306.000000</td>\n", " <td>242.000000</td>\n", " <td>352.000000</td>\n", " <td>...</td>\n", " <td>49.000000</td>\n", " <td>56.200000</td>\n", " <td>33.200000</td>\n", " <td>48.000000</td>\n", " <td>63.000000</td>\n", " <td>60.600000</td>\n", " <td>3160.000000</td>\n", " <td>3106.000000</td>\n", " <td>852.000000</td>\n", " <td>12000.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>8 rows × 209 columns</p>\n", "</div>" ], "text/plain": [ " Inwoners Vermogen_Vernielingen_en_Geweld Vermogensmisdrijven \\\n", "count 3502.000000 3502.000000 3502.000000 \n", "mean 2808.629355 103.882353 74.540263 \n", "std 2197.816441 131.950154 101.114718 \n", "min 55.000000 1.000000 1.000000 \n", "25% 1340.000000 33.000000 23.000000 \n", "50% 2190.000000 65.000000 45.000000 \n", "75% 3595.000000 126.000000 89.000000 \n", "max 26755.000000 2529.000000 2031.000000 \n", "\n", " Diefstal Fietsendiefstal Diefstal_overige_vervoersmiddelen \\\n", "count 3502.000000 3502.000000 3502.000000 \n", "mean 65.772701 13.794118 4.416048 \n", "std 93.461735 28.204311 7.148261 \n", "min 0.000000 0.000000 0.000000 \n", "25% 19.000000 2.000000 1.000000 \n", "50% 38.000000 5.000000 2.000000 \n", "75% 77.000000 14.000000 5.000000 \n", "max 1934.000000 661.000000 113.000000 \n", "\n", " Diefstal_uit_vanaf_vervoersmiddelen \\\n", "count 3502.000000 \n", "mean 12.323529 \n", "std 17.676344 \n", "min 0.000000 \n", "25% 3.000000 \n", "50% 7.000000 \n", "75% 15.000000 \n", "max 204.000000 \n", "\n", " Zakkenrollerij_Straatroof_Beroving Woninginbraak_incl_schuur_tuin \\\n", "count 3502.000000 3502.000000 \n", "mean 2.459737 12.900343 \n", "std 9.995283 15.971073 \n", "min 0.000000 0.000000 \n", "25% 0.000000 4.000000 \n", "50% 0.000000 9.000000 \n", "75% 2.000000 16.000000 \n", "max 306.000000 242.000000 \n", "\n", " Diefstal_inbraak_niet_residentiele_gebouwen ... AV50ATTRAC \\\n", "count 3502.000000 ... 3502.000000 \n", "mean 8.300114 ... 25.731810 \n", "std 19.342237 ... 9.454629 \n", "min 0.000000 ... 0.500000 \n", "25% 1.000000 ... 19.000000 \n", "50% 3.000000 ... 25.000000 \n", "75% 8.000000 ... 32.000000 \n", "max 352.000000 ... 49.000000 \n", "\n", " AF_PODIUM AV5_PODIUM AV10PODIUM AV20PODIUM AF_POP \\\n", "count 3502.000000 3502.000000 3502.000000 3502.000000 3502.000000 \n", "mean 5.505397 1.295060 3.633067 11.621074 12.220160 \n", "std 4.867955 1.944618 4.824405 11.474965 8.921645 \n", "min 0.300000 0.000000 0.000000 0.000000 0.300000 \n", "25% 1.800000 0.000000 1.000000 4.400000 5.200000 \n", "50% 4.100000 1.000000 2.000000 8.000000 10.250000 \n", "75% 7.800000 2.000000 4.500000 14.000000 17.300000 \n", "max 56.200000 33.200000 48.000000 63.000000 60.600000 \n", "\n", " OPP_TOT OPP_LAND OPP_WATER id \n", "count 3502.000000 3502.000000 3502.000000 3502.000000 \n", "mean 127.193604 121.849800 5.332096 6053.459737 \n", "std 199.973329 190.713978 25.065847 3342.892412 \n", "min 4.000000 4.000000 0.000000 0.000000 \n", "25% 38.000000 37.000000 0.000000 3186.250000 \n", "50% 72.500000 70.000000 0.000000 6103.500000 \n", "75% 136.000000 132.000000 3.000000 8883.750000 \n", "max 3160.000000 3106.000000 852.000000 12000.000000 \n", "\n", "[8 rows x 209 columns]" ] }, "execution_count": 182, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cbs_data_2015.describe()\n", "#cbs_data_2015.YEAR.describe()" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Inwoners</th>\n", " <th>Vermogen_Vernielingen_en_Geweld</th>\n", " <th>Vermogensmisdrijven</th>\n", " <th>Diefstal</th>\n", " <th>Fietsendiefstal</th>\n", " <th>Diefstal_overige_vervoersmiddelen</th>\n", " <th>Diefstal_uit_vanaf_vervoersmiddelen</th>\n", " <th>Zakkenrollerij_Straatroof_Beroving</th>\n", " <th>Woninginbraak_incl_schuur_tuin</th>\n", " <th>Diefstal_inbraak_niet_residentiele_gebouwen</th>\n", " <th>...</th>\n", " <th>AV50ATTRAC</th>\n", " <th>AF_PODIUM</th>\n", " <th>AV5_PODIUM</th>\n", " <th>AV10PODIUM</th>\n", " <th>AV20PODIUM</th>\n", " <th>AF_POP</th>\n", " <th>OPP_TOT</th>\n", " <th>OPP_LAND</th>\n", " <th>OPP_WATER</th>\n", " <th>id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>...</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>2808.629355</td>\n", " <td>103.882353</td>\n", " <td>74.540263</td>\n", " <td>65.772701</td>\n", " <td>13.794118</td>\n", " <td>4.416048</td>\n", " <td>12.323529</td>\n", " <td>2.459737</td>\n", " <td>12.900343</td>\n", " <td>8.300114</td>\n", " <td>...</td>\n", " <td>25.731810</td>\n", " <td>5.505397</td>\n", " <td>1.295060</td>\n", " <td>3.633067</td>\n", " <td>11.621074</td>\n", " <td>12.220160</td>\n", " <td>127.193604</td>\n", " <td>121.849800</td>\n", " <td>5.332096</td>\n", " <td>6053.459737</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>2197.816441</td>\n", " <td>131.950154</td>\n", " <td>101.114718</td>\n", " <td>93.461735</td>\n", " <td>28.204311</td>\n", " <td>7.148261</td>\n", " <td>17.676344</td>\n", " <td>9.995283</td>\n", " <td>15.971073</td>\n", " <td>19.342237</td>\n", " <td>...</td>\n", " <td>9.454629</td>\n", " <td>4.867955</td>\n", " <td>1.944618</td>\n", " <td>4.824405</td>\n", " <td>11.474965</td>\n", " <td>8.921645</td>\n", " <td>199.973329</td>\n", " <td>190.713978</td>\n", " <td>25.065847</td>\n", " <td>3342.892412</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>55.000000</td>\n", " <td>1.000000</td>\n", " <td>1.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>...</td>\n", " <td>0.500000</td>\n", " <td>0.300000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.300000</td>\n", " <td>4.000000</td>\n", " <td>4.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>1340.000000</td>\n", " <td>33.000000</td>\n", " <td>23.000000</td>\n", " <td>19.000000</td>\n", " <td>2.000000</td>\n", " <td>1.000000</td>\n", " <td>3.000000</td>\n", " <td>0.000000</td>\n", " <td>4.000000</td>\n", " <td>1.000000</td>\n", " <td>...</td>\n", " <td>19.000000</td>\n", " <td>1.800000</td>\n", " <td>0.000000</td>\n", " <td>1.000000</td>\n", " <td>4.400000</td>\n", " <td>5.200000</td>\n", " <td>38.000000</td>\n", " <td>37.000000</td>\n", " <td>0.000000</td>\n", " <td>3186.250000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>2190.000000</td>\n", " <td>65.000000</td>\n", " <td>45.000000</td>\n", " <td>38.000000</td>\n", " <td>5.000000</td>\n", " <td>2.000000</td>\n", " <td>7.000000</td>\n", " <td>0.000000</td>\n", " <td>9.000000</td>\n", " <td>3.000000</td>\n", " <td>...</td>\n", " <td>25.000000</td>\n", " <td>4.100000</td>\n", " <td>1.000000</td>\n", " <td>2.000000</td>\n", " <td>8.000000</td>\n", " <td>10.250000</td>\n", " <td>72.500000</td>\n", " <td>70.000000</td>\n", " <td>0.000000</td>\n", " <td>6103.500000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>3595.000000</td>\n", " <td>126.000000</td>\n", " <td>89.000000</td>\n", " <td>77.000000</td>\n", " <td>14.000000</td>\n", " <td>5.000000</td>\n", " <td>15.000000</td>\n", " <td>2.000000</td>\n", " <td>16.000000</td>\n", " <td>8.000000</td>\n", " <td>...</td>\n", " <td>32.000000</td>\n", " <td>7.800000</td>\n", " <td>2.000000</td>\n", " <td>4.500000</td>\n", " <td>14.000000</td>\n", " <td>17.300000</td>\n", " <td>136.000000</td>\n", " <td>132.000000</td>\n", " <td>3.000000</td>\n", " <td>8883.750000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>26755.000000</td>\n", " <td>2529.000000</td>\n", " <td>2031.000000</td>\n", " <td>1934.000000</td>\n", " <td>661.000000</td>\n", " <td>113.000000</td>\n", " <td>204.000000</td>\n", " <td>306.000000</td>\n", " <td>242.000000</td>\n", " <td>352.000000</td>\n", " <td>...</td>\n", " <td>49.000000</td>\n", " <td>56.200000</td>\n", " <td>33.200000</td>\n", " <td>48.000000</td>\n", " <td>63.000000</td>\n", " <td>60.600000</td>\n", " <td>3160.000000</td>\n", " <td>3106.000000</td>\n", " <td>852.000000</td>\n", " <td>12000.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>8 rows × 209 columns</p>\n", "</div>" ], "text/plain": [ " Inwoners Vermogen_Vernielingen_en_Geweld Vermogensmisdrijven \\\n", "count 3502.000000 3502.000000 3502.000000 \n", "mean 2808.629355 103.882353 74.540263 \n", "std 2197.816441 131.950154 101.114718 \n", "min 55.000000 1.000000 1.000000 \n", "25% 1340.000000 33.000000 23.000000 \n", "50% 2190.000000 65.000000 45.000000 \n", "75% 3595.000000 126.000000 89.000000 \n", "max 26755.000000 2529.000000 2031.000000 \n", "\n", " Diefstal Fietsendiefstal Diefstal_overige_vervoersmiddelen \\\n", "count 3502.000000 3502.000000 3502.000000 \n", "mean 65.772701 13.794118 4.416048 \n", "std 93.461735 28.204311 7.148261 \n", "min 0.000000 0.000000 0.000000 \n", "25% 19.000000 2.000000 1.000000 \n", "50% 38.000000 5.000000 2.000000 \n", "75% 77.000000 14.000000 5.000000 \n", "max 1934.000000 661.000000 113.000000 \n", "\n", " Diefstal_uit_vanaf_vervoersmiddelen \\\n", "count 3502.000000 \n", "mean 12.323529 \n", "std 17.676344 \n", "min 0.000000 \n", "25% 3.000000 \n", "50% 7.000000 \n", "75% 15.000000 \n", "max 204.000000 \n", "\n", " Zakkenrollerij_Straatroof_Beroving Woninginbraak_incl_schuur_tuin \\\n", "count 3502.000000 3502.000000 \n", "mean 2.459737 12.900343 \n", "std 9.995283 15.971073 \n", "min 0.000000 0.000000 \n", "25% 0.000000 4.000000 \n", "50% 0.000000 9.000000 \n", "75% 2.000000 16.000000 \n", "max 306.000000 242.000000 \n", "\n", " Diefstal_inbraak_niet_residentiele_gebouwen ... AV50ATTRAC \\\n", "count 3502.000000 ... 3502.000000 \n", "mean 8.300114 ... 25.731810 \n", "std 19.342237 ... 9.454629 \n", "min 0.000000 ... 0.500000 \n", "25% 1.000000 ... 19.000000 \n", "50% 3.000000 ... 25.000000 \n", "75% 8.000000 ... 32.000000 \n", "max 352.000000 ... 49.000000 \n", "\n", " AF_PODIUM AV5_PODIUM AV10PODIUM AV20PODIUM AF_POP \\\n", "count 3502.000000 3502.000000 3502.000000 3502.000000 3502.000000 \n", "mean 5.505397 1.295060 3.633067 11.621074 12.220160 \n", "std 4.867955 1.944618 4.824405 11.474965 8.921645 \n", "min 0.300000 0.000000 0.000000 0.000000 0.300000 \n", "25% 1.800000 0.000000 1.000000 4.400000 5.200000 \n", "50% 4.100000 1.000000 2.000000 8.000000 10.250000 \n", "75% 7.800000 2.000000 4.500000 14.000000 17.300000 \n", "max 56.200000 33.200000 48.000000 63.000000 60.600000 \n", "\n", " OPP_TOT OPP_LAND OPP_WATER id \n", "count 3502.000000 3502.000000 3502.000000 3502.000000 \n", "mean 127.193604 121.849800 5.332096 6053.459737 \n", "std 199.973329 190.713978 25.065847 3342.892412 \n", "min 4.000000 4.000000 0.000000 0.000000 \n", "25% 38.000000 37.000000 0.000000 3186.250000 \n", "50% 72.500000 70.000000 0.000000 6103.500000 \n", "75% 136.000000 132.000000 3.000000 8883.750000 \n", "max 3160.000000 3106.000000 852.000000 12000.000000 \n", "\n", "[8 rows x 209 columns]" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cbs_data_2015 = cbs_data_2015.dropna();\n", "cbs_data_2015.describe()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Description of some of the demographic features of this dataset" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>POSTCODE</th>\n", " <th>DEK_PERC</th>\n", " <th>OAD</th>\n", " <th>STED</th>\n", " <th>AANT_INW</th>\n", " <th>AANT_MAN</th>\n", " <th>AANT_VROUW</th>\n", " <th>P_00_14_JR</th>\n", " <th>P_15_24_JR</th>\n", " <th>P_25_44_JR</th>\n", " <th>...</th>\n", " <th>AV50ATTRAC</th>\n", " <th>AF_PODIUM</th>\n", " <th>AV5_PODIUM</th>\n", " <th>AV10PODIUM</th>\n", " <th>AV20PODIUM</th>\n", " <th>AF_POP</th>\n", " <th>OPP_TOT</th>\n", " <th>OPP_LAND</th>\n", " <th>OPP_WATER</th>\n", " <th>id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>...</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " <td>3502.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>5091.974586</td>\n", " <td>1.199315</td>\n", " <td>1276.281839</td>\n", " <td>3.191319</td>\n", " <td>2809.084809</td>\n", " <td>1383.221017</td>\n", " <td>1424.384637</td>\n", " <td>16.961736</td>\n", " <td>11.333524</td>\n", " <td>23.747287</td>\n", " <td>...</td>\n", " <td>25.731810</td>\n", " <td>5.505397</td>\n", " <td>1.295060</td>\n", " <td>3.633067</td>\n", " <td>11.621074</td>\n", " <td>12.220160</td>\n", " <td>127.193604</td>\n", " <td>121.849800</td>\n", " <td>5.332096</td>\n", " <td>6053.459737</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>2390.316317</td>\n", " <td>0.732967</td>\n", " <td>837.932645</td>\n", " <td>1.251542</td>\n", " <td>2189.350731</td>\n", " <td>1072.449722</td>\n", " <td>1119.185300</td>\n", " <td>4.576896</td>\n", " <td>3.028968</td>\n", " <td>5.674533</td>\n", " <td>...</td>\n", " <td>9.454629</td>\n", " <td>4.867955</td>\n", " <td>1.944618</td>\n", " <td>4.824405</td>\n", " <td>11.474965</td>\n", " <td>8.921645</td>\n", " <td>199.973329</td>\n", " <td>190.713978</td>\n", " <td>25.065847</td>\n", " <td>3342.892412</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>1023.000000</td>\n", " <td>1.000000</td>\n", " <td>31.000000</td>\n", " <td>1.000000</td>\n", " <td>260.000000</td>\n", " <td>130.000000</td>\n", " <td>130.000000</td>\n", " <td>2.000000</td>\n", " <td>3.000000</td>\n", " <td>7.000000</td>\n", " <td>...</td>\n", " <td>0.500000</td>\n", " <td>0.300000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.300000</td>\n", " <td>4.000000</td>\n", " <td>4.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>3062.500000</td>\n", " <td>1.000000</td>\n", " <td>641.000000</td>\n", " <td>2.000000</td>\n", " <td>1345.000000</td>\n", " <td>655.000000</td>\n", " <td>680.000000</td>\n", " <td>14.000000</td>\n", " <td>10.000000</td>\n", " <td>20.000000</td>\n", " <td>...</td>\n", " <td>19.000000</td>\n", " <td>1.800000</td>\n", " <td>0.000000</td>\n", " <td>1.000000</td>\n", " <td>4.400000</td>\n", " <td>5.200000</td>\n", " <td>38.000000</td>\n", " <td>37.000000</td>\n", " <td>0.000000</td>\n", " <td>3186.250000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>5171.000000</td>\n", " <td>1.000000</td>\n", " <td>1160.000000</td>\n", " <td>3.000000</td>\n", " <td>2205.000000</td>\n", " <td>1080.000000</td>\n", " <td>1115.000000</td>\n", " <td>17.000000</td>\n", " <td>11.000000</td>\n", " <td>23.000000</td>\n", " <td>...</td>\n", " <td>25.000000</td>\n", " <td>4.100000</td>\n", " <td>1.000000</td>\n", " <td>2.000000</td>\n", " <td>8.000000</td>\n", " <td>10.250000</td>\n", " <td>72.500000</td>\n", " <td>70.000000</td>\n", " <td>0.000000</td>\n", " <td>6103.500000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>6968.500000</td>\n", " <td>1.000000</td>\n", " <td>1736.250000</td>\n", " <td>4.000000</td>\n", " <td>3595.000000</td>\n", " <td>1763.750000</td>\n", " <td>1823.750000</td>\n", " <td>19.000000</td>\n", " <td>12.000000</td>\n", " <td>26.000000</td>\n", " <td>...</td>\n", " <td>32.000000</td>\n", " <td>7.800000</td>\n", " <td>2.000000</td>\n", " <td>4.500000</td>\n", " <td>14.000000</td>\n", " <td>17.300000</td>\n", " <td>136.000000</td>\n", " <td>132.000000</td>\n", " <td>3.000000</td>\n", " <td>8883.750000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>9991.000000</td>\n", " <td>6.000000</td>\n", " <td>5820.000000</td>\n", " <td>5.000000</td>\n", " <td>26535.000000</td>\n", " <td>13200.000000</td>\n", " <td>13500.000000</td>\n", " <td>42.000000</td>\n", " <td>49.000000</td>\n", " <td>50.000000</td>\n", " <td>...</td>\n", " <td>49.000000</td>\n", " <td>56.200000</td>\n", " <td>33.200000</td>\n", " <td>48.000000</td>\n", " <td>63.000000</td>\n", " <td>60.600000</td>\n", " <td>3160.000000</td>\n", " <td>3106.000000</td>\n", " <td>852.000000</td>\n", " <td>12000.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>8 rows × 181 columns</p>\n", "</div>" ], "text/plain": [ " POSTCODE DEK_PERC OAD STED AANT_INW \\\n", "count 3502.000000 3502.000000 3502.000000 3502.000000 3502.000000 \n", "mean 5091.974586 1.199315 1276.281839 3.191319 2809.084809 \n", "std 2390.316317 0.732967 837.932645 1.251542 2189.350731 \n", "min 1023.000000 1.000000 31.000000 1.000000 260.000000 \n", "25% 3062.500000 1.000000 641.000000 2.000000 1345.000000 \n", "50% 5171.000000 1.000000 1160.000000 3.000000 2205.000000 \n", "75% 6968.500000 1.000000 1736.250000 4.000000 3595.000000 \n", "max 9991.000000 6.000000 5820.000000 5.000000 26535.000000 \n", "\n", " AANT_MAN AANT_VROUW P_00_14_JR P_15_24_JR P_25_44_JR \\\n", "count 3502.000000 3502.000000 3502.000000 3502.000000 3502.000000 \n", "mean 1383.221017 1424.384637 16.961736 11.333524 23.747287 \n", "std 1072.449722 1119.185300 4.576896 3.028968 5.674533 \n", "min 130.000000 130.000000 2.000000 3.000000 7.000000 \n", "25% 655.000000 680.000000 14.000000 10.000000 20.000000 \n", "50% 1080.000000 1115.000000 17.000000 11.000000 23.000000 \n", "75% 1763.750000 1823.750000 19.000000 12.000000 26.000000 \n", "max 13200.000000 13500.000000 42.000000 49.000000 50.000000 \n", "\n", " ... AV50ATTRAC AF_PODIUM AV5_PODIUM AV10PODIUM \\\n", "count ... 3502.000000 3502.000000 3502.000000 3502.000000 \n", "mean ... 25.731810 5.505397 1.295060 3.633067 \n", "std ... 9.454629 4.867955 1.944618 4.824405 \n", "min ... 0.500000 0.300000 0.000000 0.000000 \n", "25% ... 19.000000 1.800000 0.000000 1.000000 \n", "50% ... 25.000000 4.100000 1.000000 2.000000 \n", "75% ... 32.000000 7.800000 2.000000 4.500000 \n", "max ... 49.000000 56.200000 33.200000 48.000000 \n", "\n", " AV20PODIUM AF_POP OPP_TOT OPP_LAND OPP_WATER \\\n", "count 3502.000000 3502.000000 3502.000000 3502.000000 3502.000000 \n", "mean 11.621074 12.220160 127.193604 121.849800 5.332096 \n", "std 11.474965 8.921645 199.973329 190.713978 25.065847 \n", "min 0.000000 0.300000 4.000000 4.000000 0.000000 \n", "25% 4.400000 5.200000 38.000000 37.000000 0.000000 \n", "50% 8.000000 10.250000 72.500000 70.000000 0.000000 \n", "75% 14.000000 17.300000 136.000000 132.000000 3.000000 \n", "max 63.000000 60.600000 3160.000000 3106.000000 852.000000 \n", "\n", " id \n", "count 3502.000000 \n", "mean 6053.459737 \n", "std 3342.892412 \n", "min 0.000000 \n", "25% 3186.250000 \n", "50% 6103.500000 \n", "75% 8883.750000 \n", "max 12000.000000 \n", "\n", "[8 rows x 181 columns]" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cbs_data_2015.iloc[:,35:216].describe()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We want to make a label and a set of features out of our data\n", "\n", "Labelling: The relative amount of money and property crimes ( Vermogensmisdrijven_rel)\n", "\n", "Features : All neighbourhood demographic columns in the dataset" ] }, { "cell_type": "code", "execution_count": 156, "metadata": { "collapsed": false }, "outputs": [], "source": [ "labels = cbs_data_2015[\"Vermogensmisdrijven_rel\"].values\n", "columns = list(cbs_data_2015.iloc[:,37:215])" ] }, { "cell_type": "code", "execution_count": 157, "metadata": { "collapsed": false }, "outputs": [], "source": [ "features = cbs_data_2015[list(columns)];\n", "features = features.apply(lambda columns : pd.to_numeric(columns, errors='ignore'))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Inspect our labels and features" ] }, { "cell_type": "code", "execution_count": 158, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[ 10. 18. 10. 8. 10. 9. 110. 29. 17.]\n" ] }, { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>OAD</th>\n", " <th>STED</th>\n", " <th>AANT_INW</th>\n", " <th>AANT_MAN</th>\n", " <th>AANT_VROUW</th>\n", " <th>P_00_14_JR</th>\n", " <th>P_15_24_JR</th>\n", " <th>P_25_44_JR</th>\n", " <th>P_45_64_JR</th>\n", " <th>P_65_EO_JR</th>\n", " <th>...</th>\n", " <th>AV20ATTRAC</th>\n", " <th>AV50ATTRAC</th>\n", " <th>AF_PODIUM</th>\n", " <th>AV5_PODIUM</th>\n", " <th>AV10PODIUM</th>\n", " <th>AV20PODIUM</th>\n", " <th>AF_POP</th>\n", " <th>OPP_TOT</th>\n", " <th>OPP_LAND</th>\n", " <th>OPP_WATER</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>55982</th>\n", " <td>1106.0</td>\n", " <td>3.0</td>\n", " <td>2315</td>\n", " <td>1075</td>\n", " <td>1235</td>\n", " <td>10.0</td>\n", " <td>10.0</td>\n", " <td>20.0</td>\n", " <td>29.0</td>\n", " <td>31.0</td>\n", " <td>...</td>\n", " <td>1.0</td>\n", " <td>11.0</td>\n", " <td>5.3</td>\n", " <td>0.1</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>24.5</td>\n", " <td>90</td>\n", " <td>84</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>55983</th>\n", " <td>834.0</td>\n", " <td>4.0</td>\n", " <td>3175</td>\n", " <td>1570</td>\n", " <td>1600</td>\n", " <td>18.0</td>\n", " <td>10.0</td>\n", " <td>21.0</td>\n", " <td>33.0</td>\n", " <td>18.0</td>\n", " <td>...</td>\n", " <td>1.0</td>\n", " <td>11.7</td>\n", " <td>6.3</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>23.7</td>\n", " <td>163</td>\n", " <td>158</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>55984</th>\n", " <td>1040.0</td>\n", " <td>3.0</td>\n", " <td>5965</td>\n", " <td>2880</td>\n", " <td>3085</td>\n", " <td>16.0</td>\n", " <td>11.0</td>\n", " <td>23.0</td>\n", " <td>27.0</td>\n", " <td>23.0</td>\n", " <td>...</td>\n", " <td>1.0</td>\n", " <td>11.0</td>\n", " <td>5.1</td>\n", " <td>0.4</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>25.1</td>\n", " <td>295</td>\n", " <td>284</td>\n", " <td>11</td>\n", " </tr>\n", " <tr>\n", " <th>55988</th>\n", " <td>746.0</td>\n", " <td>4.0</td>\n", " <td>7800</td>\n", " <td>3870</td>\n", " <td>3930</td>\n", " <td>17.0</td>\n", " <td>12.0</td>\n", " <td>21.0</td>\n", " <td>30.0</td>\n", " <td>20.0</td>\n", " <td>...</td>\n", " <td>2.5</td>\n", " <td>18.9</td>\n", " <td>9.9</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>6.0</td>\n", " <td>9.9</td>\n", " <td>313</td>\n", " <td>308</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>55996</th>\n", " <td>163.0</td>\n", " <td>5.0</td>\n", " <td>2170</td>\n", " <td>1075</td>\n", " <td>1095</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>22.0</td>\n", " <td>29.0</td>\n", " <td>23.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>11.3</td>\n", " <td>12.1</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>48.1</td>\n", " <td>451</td>\n", " <td>445</td>\n", " <td>6</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>5 rows × 178 columns</p>\n", "</div>" ], "text/plain": [ " OAD STED AANT_INW AANT_MAN AANT_VROUW P_00_14_JR P_15_24_JR \\\n", "55982 1106.0 3.0 2315 1075 1235 10.0 10.0 \n", "55983 834.0 4.0 3175 1570 1600 18.0 10.0 \n", "55984 1040.0 3.0 5965 2880 3085 16.0 11.0 \n", "55988 746.0 4.0 7800 3870 3930 17.0 12.0 \n", "55996 163.0 5.0 2170 1075 1095 16.0 9.0 \n", "\n", " P_25_44_JR P_45_64_JR P_65_EO_JR ... AV20ATTRAC AV50ATTRAC \\\n", "55982 20.0 29.0 31.0 ... 1.0 11.0 \n", "55983 21.0 33.0 18.0 ... 1.0 11.7 \n", "55984 23.0 27.0 23.0 ... 1.0 11.0 \n", "55988 21.0 30.0 20.0 ... 2.5 18.9 \n", "55996 22.0 29.0 23.0 ... 0.0 11.3 \n", "\n", " AF_PODIUM AV5_PODIUM AV10PODIUM AV20PODIUM AF_POP OPP_TOT \\\n", "55982 5.3 0.1 1.0 1.0 24.5 90 \n", "55983 6.3 0.0 1.0 1.0 23.7 163 \n", "55984 5.1 0.4 1.0 1.0 25.1 295 \n", "55988 9.9 0.0 1.0 6.0 9.9 313 \n", "55996 12.1 0.0 0.0 1.0 48.1 451 \n", "\n", " OPP_LAND OPP_WATER \n", "55982 84 5 \n", "55983 158 5 \n", "55984 284 11 \n", "55988 308 5 \n", "55996 445 6 \n", "\n", "[5 rows x 178 columns]" ] }, "execution_count": 158, "metadata": {}, "output_type": "execute_result" } ], "source": [ "print(labels[1:10])\n", "features.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Feature selection using Randomized Lasso\n", "Import Randomized Lasso from the Python Scikit-learn package" ] }, { "cell_type": "code", "execution_count": 159, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from sklearn.linear_model import RandomizedLasso" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Run Randomized Lasso, with 3000 resampling and 100 iterations." ] }, { "cell_type": "code", "execution_count": 160, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "[Parallel(n_jobs=1)]: Done 3000 out of 3000 | elapsed: 8.1min finished\n" ] }, { "data": { "text/plain": [ "RandomizedLasso(alpha='aic', eps=2.2204460492503131e-16, fit_intercept=True,\n", " max_iter=100, memory=Memory(cachedir=None), n_jobs=1,\n", " n_resampling=3000, normalize=True, pre_dispatch='3*n_jobs',\n", " precompute='auto', random_state=None, sample_fraction=0.75,\n", " scaling=0.5, selection_threshold=0.25, verbose=True)" ] }, "execution_count": 160, "metadata": {}, "output_type": "execute_result" } ], "source": [ "rlasso = RandomizedLasso(alpha='aic',verbose =True,normalize =True,n_resampling=3000,max_iter=100)\n", "rlasso.fit(features, labels)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Features sorted by their score\n", "In the table below the top10 best features (i.e. columns) are shown with their score" ] }, { "cell_type": "code", "execution_count": 189, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Score</th>\n", " <th>FeatureName</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1.0000</td>\n", " <td>A_BED_GI</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1.0000</td>\n", " <td>AV1_CAFTAR</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0.9997</td>\n", " <td>AF_TREINST</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>0.9970</td>\n", " <td>AF_ZIEK_E</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0.9957</td>\n", " <td>G_ELEK_HOE</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>0.9940</td>\n", " <td>P_HUKO_ONB</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0.9937</td>\n", " <td>P_00_14_JR</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>0.9840</td>\n", " <td>G_GAS_HOEK</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>0.9823</td>\n", " <td>G_GAS_KO</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>0.9813</td>\n", " <td>BEV_DICHTH</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Score FeatureName\n", "0 1.0000 A_BED_GI\n", "1 1.0000 AV1_CAFTAR\n", "2 0.9997 AF_TREINST\n", "3 0.9970 AF_ZIEK_E\n", "4 0.9957 G_ELEK_HOE\n", "5 0.9940 P_HUKO_ONB\n", "6 0.9937 P_00_14_JR\n", "7 0.9840 G_GAS_HOEK\n", "8 0.9823 G_GAS_KO\n", "9 0.9813 BEV_DICHTH" ] }, "execution_count": 189, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dfResults = pd.DataFrame.from_dict(sorted(zip(map(lambda x: round(x, 4), rlasso.scores_), list(features)), reverse=True))\n", "dfResults.columns = ['Score', 'FeatureName']\n", "dfResults.head(10)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Because in the beginning of the lasso results table, a lot of high-scoring features are present, we want \n", "to check how the scores are devided across all features" ] }, { "cell_type": "code", "execution_count": 186, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAs8AAAFgCAYAAABE0JQRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f81ae72a6d8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "dfResults.plot('FeatureName', 'Score', kind='bar', color='navy')\n", "ax1 = plt.axes()\n", "x_axis = ax1.axes.get_xaxis()\n", "x_axis.set_visible(False)\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Scatterplot\n", "Let's inspect one of the top variables and make a scatterplot for this one" ] }, { "cell_type": "code", "execution_count": 170, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAusAAAGFCAYAAABE772KAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n5f8BEYWyG02yXKsAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f81ae1df828>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.scatter(y=pd.to_numeric(cbs_data_2015['Vermogensmisdrijven_rel']),x=pd.to_numeric(cbs_data_2015['A_BED_GI']));\n", "plt.ylabel('Vermogensmisdrijven_rel')\n", "plt.xlabel('A_BED_GI ( Bedrijfsvestigingen: Handel en horeca )')\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 188, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Score</th>\n", " <th>FeatureName</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>168</th>\n", " <td>0.0283</td>\n", " <td>AF_DAGLMD</td>\n", " </tr>\n", " <tr>\n", " <th>169</th>\n", " <td>0.0270</td>\n", " <td>AV5_ONDVRT</td>\n", " </tr>\n", " <tr>\n", " <th>170</th>\n", " <td>0.0260</td>\n", " <td>AANT_INK</td>\n", " </tr>\n", " <tr>\n", " <th>171</th>\n", " <td>0.0233</td>\n", " <td>P_LAAGINKH</td>\n", " </tr>\n", " <tr>\n", " <th>172</th>\n", " <td>0.0173</td>\n", " <td>AV20WARENH</td>\n", " </tr>\n", " <tr>\n", " <th>173</th>\n", " <td>0.0120</td>\n", " <td>AUTO_TOT</td>\n", " </tr>\n", " <tr>\n", " <th>174</th>\n", " <td>0.0117</td>\n", " <td>AANT_MAN</td>\n", " </tr>\n", " <tr>\n", " <th>175</th>\n", " <td>0.0093</td>\n", " <td>A_BEDV</td>\n", " </tr>\n", " <tr>\n", " <th>176</th>\n", " <td>0.0063</td>\n", " <td>AANT_VROUW</td>\n", " </tr>\n", " <tr>\n", " <th>177</th>\n", " <td>0.0003</td>\n", " <td>AANT_INW</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Score FeatureName\n", "168 0.0283 AF_DAGLMD\n", "169 0.0270 AV5_ONDVRT\n", "170 0.0260 AANT_INK\n", "171 0.0233 P_LAAGINKH\n", "172 0.0173 AV20WARENH\n", "173 0.0120 AUTO_TOT\n", "174 0.0117 AANT_MAN\n", "175 0.0093 A_BEDV\n", "176 0.0063 AANT_VROUW\n", "177 0.0003 AANT_INW" ] }, "execution_count": 188, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dfResults.tail(10)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's also inspect one of the worst variables (Perc% of Low income households) and plot this one too" ] }, { "cell_type": "code", "execution_count": 183, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAusAAAGECAYAAACPs24vAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f81adfda128>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.scatter(y=pd.to_numeric(cbs_data_2015['Vermogensmisdrijven_rel']),x=pd.to_numeric(cbs_data_2015['P_LAAGINKH']));\n", "plt.ylabel('Vermogensmisdrijven_rel')\n", "plt.xlabel('Perc. Laaginkomen Huish.')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Try-out another hypothese (e.g. Perc% of divorced vs. Rel% Domestic and Sexual violence crimes)" ] }, { "cell_type": "code", "execution_count": 184, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAusAAAGXCAYAAAAK8R4aAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f81ae190550>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.scatter(y=pd.to_numeric(cbs_data_2015['Gewelds_en_seksuele_misdrijven_rel']),x=pd.to_numeric(cbs_data_2015['P_GESCHEID']));\n", "plt.ylabel('Gewelds_en_seksuele_misdrijven_rel')\n", "plt.xlabel('Perc_Gescheiden')\n", "plt.show()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
ramseylab/networkscompbio
class08_components_python3.ipynb
1
5066
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# CS446/546 - Class Session 8 - Components\n", "\n", "In this class session we are going to find the number of proteins that are in the giant component of the (undirected) protein-protein interaction network, using igraph." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "from igraph import Graph\n", "from igraph import summary\n", "import pandas\n", "import numpy" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 1: load in the SIF file (refer to Class 6 exercise) into a data frame `sif_data`, using the `pandas.read_csv` function, and name the columns `species1`, `interaction_type`, and `species2`." ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "sif_data = pandas.read_csv(\"shared/pathway_commons.sif\",\n", " sep=\"\\t\", names=[\"species1\",\"interaction_type\",\"species2\"])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 2: restrict the interactions to protein-protein undirected (\"in-complex-with\", \"interacts-with\"), by using the `isin` function and then using `[` to index rows into the data frame. Call the returned ata frame `interac_ppi`." ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [], "source": [ "interaction_types_ppi = set([\"interacts-with\",\n", " \"in-complex-with\"])\n", "interac_ppi = sif_data[sif_data.interaction_type.isin(interaction_types_ppi)].copy()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 3: restrict the data frame to only the unique interaction pairs of proteins (ignoring the interaction type), and call that data frame `interac_ppi_unique`. Make an igraph `Graph` object from `interac_ppi_unique` using `Graph.TupleList`, `values`, and `tolist`. Call `summary` on the `Graph` object. Refer to the notebooks for the in-class exercises in Class sessions 3 and 6." ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "IGRAPH UN-- 17531 475553 -- \n", "+ attr: name (v)\n" ] } ], "source": [ "boolean_vec = interac_ppi['species1'] > interac_ppi['species2']\n", "interac_ppi.loc[boolean_vec, ['species1', 'species2']] = interac_ppi.loc[boolean_vec, ['species2', 'species1']].values\n", " \n", "interac_ppi_unique = interac_ppi[[\"species1\",\"species2\"]].drop_duplicates() \n", "\n", "\n", "ppi_igraph = Graph.TupleList(interac_ppi_unique.values.tolist(), directed=False)\n", "summary(ppi_igraph)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 4: Map the components of the network using the `igraph.Graph.clusters` method. That method returns a `igraph.clustering.VertexClustering` object. Call the `sizes` method on that `VertexClustering` object, to get a list of sizes of the components. What is the giant component size?" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "17524" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# call the `clusters` method on the `ppi_igraph` object, and assign the \n", "# resulting `VertexClustering` object to have object name `ppi_components`\n", "ppi_components = ppi_igraph.clusters()\n", "\n", "# call the `sizes` method on the `ppi_components` object, and assign the\n", "# resulting list object to have the name `ppi_component_sizes`.\n", "ppi_component_sizes = ppi_components.sizes()\n", "\n", "# make a `numpy.array` initialized by `ppi_component_sizes`, and find its \n", "# maximum value using the `max` method on the `numpy.array` class\n", "numpy.array(ppi_component_sizes).max()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Advanced code-spellunking question: go to the GitHub repo for igraph (https://github.com/igraph), and find the code components.c. For the weakly connected components, is it doing a BFS or DFS?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
apache-2.0
ES-DOC/esdoc-jupyterhub
notebooks/miroc/cmip6/models/sandbox-3/land.ipynb
1
173500
{ "nbformat_minor": 0, "nbformat": 4, "cells": [ { "source": [ "# ES-DOC CMIP6 Model Properties - Land \n", "**MIP Era**: CMIP6 \n", "**Institute**: MIROC \n", "**Source ID**: SANDBOX-3 \n", "**Topic**: Land \n", "**Sub-Topics**: Soil, Snow, Vegetation, Energy Balance, Carbon Cycle, Nitrogen Cycle, River Routing, Lakes. \n", "**Properties**: 154 (96 required) \n", "**Model descriptions**: [Model description details](https://specializations.es-doc.org/cmip6/land?client=jupyter-notebook) \n", "**Initialized From**: -- \n", "\n", "**Notebook Help**: [Goto notebook help page](https://es-doc.org/cmip6-models-documenting-with-ipython) \n", "**Notebook Initialised**: 2018-02-20 15:02:41" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### Document Setup \n", "**IMPORTANT: to be executed each time you run the notebook** " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# DO NOT EDIT ! \n", "from pyesdoc.ipython.model_topic import NotebookOutput \n", "\n", "# DO NOT EDIT ! \n", "DOC = NotebookOutput('cmip6', 'miroc', 'sandbox-3', 'land')" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Authors \n", "*Set document authors*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_author(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Contributors \n", "*Specify document contributors* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_contributor(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Publication \n", "*Specify document publication status* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set publication status: \n", "# 0=do not publish, 1=publish. \n", "DOC.set_publication_status(0)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Table of Contents \n", "[1. Key Properties](#1.-Key-Properties) \n", "[2. Key Properties --&gt; Conservation Properties](#2.-Key-Properties---&gt;-Conservation-Properties) \n", "[3. Key Properties --&gt; Timestepping Framework](#3.-Key-Properties---&gt;-Timestepping-Framework) \n", "[4. Key Properties --&gt; Software Properties](#4.-Key-Properties---&gt;-Software-Properties) \n", "[5. Grid](#5.-Grid) \n", "[6. Grid --&gt; Horizontal](#6.-Grid---&gt;-Horizontal) \n", "[7. Grid --&gt; Vertical](#7.-Grid---&gt;-Vertical) \n", "[8. Soil](#8.-Soil) \n", "[9. Soil --&gt; Soil Map](#9.-Soil---&gt;-Soil-Map) \n", "[10. Soil --&gt; Snow Free Albedo](#10.-Soil---&gt;-Snow-Free-Albedo) \n", "[11. Soil --&gt; Hydrology](#11.-Soil---&gt;-Hydrology) \n", "[12. Soil --&gt; Hydrology --&gt; Freezing](#12.-Soil---&gt;-Hydrology---&gt;-Freezing) \n", "[13. Soil --&gt; Hydrology --&gt; Drainage](#13.-Soil---&gt;-Hydrology---&gt;-Drainage) \n", "[14. Soil --&gt; Heat Treatment](#14.-Soil---&gt;-Heat-Treatment) \n", "[15. Snow](#15.-Snow) \n", "[16. Snow --&gt; Snow Albedo](#16.-Snow---&gt;-Snow-Albedo) \n", "[17. Vegetation](#17.-Vegetation) \n", "[18. Energy Balance](#18.-Energy-Balance) \n", "[19. Carbon Cycle](#19.-Carbon-Cycle) \n", "[20. Carbon Cycle --&gt; Vegetation](#20.-Carbon-Cycle---&gt;-Vegetation) \n", "[21. Carbon Cycle --&gt; Vegetation --&gt; Photosynthesis](#21.-Carbon-Cycle---&gt;-Vegetation---&gt;-Photosynthesis) \n", "[22. Carbon Cycle --&gt; Vegetation --&gt; Autotrophic Respiration](#22.-Carbon-Cycle---&gt;-Vegetation---&gt;-Autotrophic-Respiration) \n", "[23. Carbon Cycle --&gt; Vegetation --&gt; Allocation](#23.-Carbon-Cycle---&gt;-Vegetation---&gt;-Allocation) \n", "[24. Carbon Cycle --&gt; Vegetation --&gt; Phenology](#24.-Carbon-Cycle---&gt;-Vegetation---&gt;-Phenology) \n", "[25. Carbon Cycle --&gt; Vegetation --&gt; Mortality](#25.-Carbon-Cycle---&gt;-Vegetation---&gt;-Mortality) \n", "[26. Carbon Cycle --&gt; Litter](#26.-Carbon-Cycle---&gt;-Litter) \n", "[27. Carbon Cycle --&gt; Soil](#27.-Carbon-Cycle---&gt;-Soil) \n", "[28. Carbon Cycle --&gt; Permafrost Carbon](#28.-Carbon-Cycle---&gt;-Permafrost-Carbon) \n", "[29. Nitrogen Cycle](#29.-Nitrogen-Cycle) \n", "[30. River Routing](#30.-River-Routing) \n", "[31. River Routing --&gt; Oceanic Discharge](#31.-River-Routing---&gt;-Oceanic-Discharge) \n", "[32. Lakes](#32.-Lakes) \n", "[33. Lakes --&gt; Method](#33.-Lakes---&gt;-Method) \n", "[34. Lakes --&gt; Wetlands](#34.-Lakes---&gt;-Wetlands) \n", "\n" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "# 1. Key Properties \n", "*Land surface key properties*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 1.1. Model Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of land surface model.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.model_overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.2. Model Name\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Name of land surface model code (e.g. MOSES2.2)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.model_name') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.3. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of the processes modelled (e.g. dymanic vegation, prognostic albedo, etc.)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.4. Land Atmosphere Flux Exchanges\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Fluxes exchanged with the atmopshere.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.land_atmosphere_flux_exchanges') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"water\" \n", "# \"energy\" \n", "# \"carbon\" \n", "# \"nitrogen\" \n", "# \"phospherous\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.5. Atmospheric Coupling Treatment\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of land surface coupling with the Atmosphere model component, which may be different for different quantities (e.g. dust: semi-implicit, water vapour: explicit)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.atmospheric_coupling_treatment') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.6. Land Cover\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Types of land cover defined in the land surface model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.land_cover') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"bare soil\" \n", "# \"urban\" \n", "# \"lake\" \n", "# \"land ice\" \n", "# \"lake ice\" \n", "# \"vegetated\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.7. Land Cover Change\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe how land cover change is managed (e.g. the use of net or gross transitions)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.land_cover_change') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.8. Tiling\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general tiling procedure used in the land surface (if any). Include treatment of physiography, land/sea, (dynamic) vegetation coverage and orography/roughness*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 2. Key Properties --&gt; Conservation Properties \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 2.1. Energy\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe if/how energy is conserved globally and to what level (e.g. within X [units]/year)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.conservation_properties.energy') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.2. Water\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe if/how water is conserved globally and to what level (e.g. within X [units]/year)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.conservation_properties.water') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.3. Carbon\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe if/how carbon is conserved globally and to what level (e.g. within X [units]/year)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.conservation_properties.carbon') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 3. Key Properties --&gt; Timestepping Framework \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 3.1. Timestep Dependent On Atmosphere\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is a time step dependent on the frequency of atmosphere coupling?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.timestepping_framework.timestep_dependent_on_atmosphere') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overall timestep of land surface model (i.e. time between calls)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.timestepping_framework.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.3. Timestepping Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of time stepping method and associated time step(s)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.timestepping_framework.timestepping_method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 4. Key Properties --&gt; Software Properties \n", "*Software properties of land surface code*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 4.1. Repository\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Location of code for this component.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.software_properties.repository') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 4.2. Code Version\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Code version identifier.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.software_properties.code_version') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 4.3. Code Languages\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Code language(s).*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.key_properties.software_properties.code_languages') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 5. Grid \n", "*Land surface grid*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 5.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of the grid in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 6. Grid --&gt; Horizontal \n", "*The horizontal grid in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 6.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general structure of the horizontal grid (not including any tiling)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.horizontal.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 6.2. Matches Atmosphere Grid\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Does the horizontal grid match the atmosphere?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.horizontal.matches_atmosphere_grid') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 7. Grid --&gt; Vertical \n", "*The vertical grid in the soil*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 7.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general structure of the vertical grid in the soil (not including any tiling)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.vertical.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 7.2. Total Depth\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The total depth of the soil (in metres)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.grid.vertical.total_depth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 8. Soil \n", "*Land surface soil*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 8.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of soil in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.2. Heat Water Coupling\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the coupling between heat and water in the soil*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_water_coupling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.3. Number Of Soil layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The number of soil layers*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.number_of_soil layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.4. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the soil scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 9. Soil --&gt; Soil Map \n", "*Key properties of the land surface soil map*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 9.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of soil map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.2. Structure\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil structure map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.structure') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.3. Texture\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil texture map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.texture') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.4. Organic Matter\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil organic matter map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.organic_matter') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.5. Albedo\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil albedo map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.albedo') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.6. Water Table\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil water table map, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.water_table') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.7. Continuously Varying Soil Depth\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Does the soil properties vary continuously with depth?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.continuously_varying_soil_depth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.8. Soil Depth\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil depth map*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.soil_map.soil_depth') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 10. Soil --&gt; Snow Free Albedo \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 10.1. Prognostic\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is snow free albedo prognostic?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.prognostic') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.2. Functions\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If prognostic, describe the dependancies on snow free albedo calculations*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.functions') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"vegetation type\" \n", "# \"soil humidity\" \n", "# \"vegetation state\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.3. Direct Diffuse\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If prognostic, describe the distinction between direct and diffuse albedo*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.direct_diffuse') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"distinction between direct and diffuse albedo\" \n", "# \"no distinction between direct and diffuse albedo\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 10.4. Number Of Wavelength Bands\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If prognostic, enter the number of wavelength bands used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.snow_free_albedo.number_of_wavelength_bands') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 11. Soil --&gt; Hydrology \n", "*Key properties of the land surface soil hydrology*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 11.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of the soil hydrological model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of river soil hydrology in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.3. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil hydrology tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.4. Vertical Discretisation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the typical vertical discretisation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.vertical_discretisation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.5. Number Of Ground Water Layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The number of soil layers that may contain water*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.number_of_ground_water_layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.6. Lateral Connectivity\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Describe the lateral connectivity between tiles*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.lateral_connectivity') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"perfect connectivity\" \n", "# \"Darcian flow\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 11.7. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The hydrological dynamics scheme in the land surface model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Bucket\" \n", "# \"Force-restore\" \n", "# \"Choisnel\" \n", "# \"Explicit diffusion\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 12. Soil --&gt; Hydrology --&gt; Freezing \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 12.1. Number Of Ground Ice Layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *How many soil layers may contain ground ice*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.freezing.number_of_ground_ice_layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 12.2. Ice Storage Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the method of ice storage*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.freezing.ice_storage_method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 12.3. Permafrost\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of permafrost, if any, within the land surface scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.freezing.permafrost') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 13. Soil --&gt; Hydrology --&gt; Drainage \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 13.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General describe how drainage is included in the land surface scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.drainage.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 13.2. Types\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Different types of runoff represented by the land surface model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.hydrology.drainage.types') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Gravity drainage\" \n", "# \"Horton mechanism\" \n", "# \"topmodel-based\" \n", "# \"Dunne mechanism\" \n", "# \"Lateral subsurface flow\" \n", "# \"Baseflow from groundwater\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 14. Soil --&gt; Heat Treatment \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 14.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description of how heat treatment properties are defined*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of soil heat scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.3. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the soil heat treatment tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.4. Vertical Discretisation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the typical vertical discretisation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.vertical_discretisation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.5. Heat Storage\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Specify the method of heat storage*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.heat_storage') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"Force-restore\" \n", "# \"Explicit diffusion\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 14.6. Processes\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Describe processes included in the treatment of soil heat*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.soil.heat_treatment.processes') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"soil moisture freeze-thaw\" \n", "# \"coupling with snow temperature\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 15. Snow \n", "*Land surface snow*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 15.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of snow in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the snow tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.3. Number Of Snow Layers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The number of snow levels used in the land surface scheme/model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.number_of_snow_layers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.4. Density\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of snow density*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.density') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"constant\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.5. Water Equivalent\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of the snow water equivalent*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.water_equivalent') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.6. Heat Content\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of the heat content of snow*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.heat_content') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.7. Temperature\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of snow temperature*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.temperature') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.8. Liquid Water Content\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Description of the treatment of snow liquid water*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.liquid_water_content') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.9. Snow Cover Fractions\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Specify cover fractions used in the surface snow scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.snow_cover_fractions') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"ground snow fraction\" \n", "# \"vegetation snow fraction\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.10. Processes\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Snow related processes in the land surface scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.processes') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"snow interception\" \n", "# \"snow melting\" \n", "# \"snow freezing\" \n", "# \"blowing snow\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 15.11. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the snow scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 16. Snow --&gt; Snow Albedo \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 16.1. Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of snow-covered land albedo*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.snow_albedo.type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"prescribed\" \n", "# \"constant\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 16.2. Functions\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If prognostic, *" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.snow.snow_albedo.functions') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"vegetation type\" \n", "# \"snow age\" \n", "# \"snow density\" \n", "# \"snow grain type\" \n", "# \"aerosol deposition\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 17. Vegetation \n", "*Land surface vegetation*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 17.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of vegetation in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.2. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of vegetation scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.3. Dynamic Vegetation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is there dynamic evolution of vegetation?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.dynamic_vegetation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.4. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the vegetation tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.5. Vegetation Representation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Vegetation classification used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_representation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"vegetation types\" \n", "# \"biome types\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.6. Vegetation Types\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *List of vegetation types in the classification, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_types') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"broadleaf tree\" \n", "# \"needleleaf tree\" \n", "# \"C3 grass\" \n", "# \"C4 grass\" \n", "# \"vegetated\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.7. Biome Types\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *List of biome types in the classification, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biome_types') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"evergreen needleleaf forest\" \n", "# \"evergreen broadleaf forest\" \n", "# \"deciduous needleleaf forest\" \n", "# \"deciduous broadleaf forest\" \n", "# \"mixed forest\" \n", "# \"woodland\" \n", "# \"wooded grassland\" \n", "# \"closed shrubland\" \n", "# \"opne shrubland\" \n", "# \"grassland\" \n", "# \"cropland\" \n", "# \"wetlands\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.8. Vegetation Time Variation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *How the vegetation fractions in each tile are varying with time*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_time_variation') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"fixed (not varying)\" \n", "# \"prescribed (varying from files)\" \n", "# \"dynamical (varying from simulation)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.9. Vegetation Map\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If vegetation fractions are not dynamically updated , describe the vegetation map used (common name and reference, if possible)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.vegetation_map') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.10. Interception\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is vegetation interception of rainwater represented?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.interception') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.11. Phenology\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation phenology*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.phenology') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic (vegetation map)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.12. Phenology Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation phenology*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.phenology_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.13. Leaf Area Index\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation leaf area index*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.leaf_area_index') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prescribed\" \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.14. Leaf Area Index Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of leaf area index*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.leaf_area_index_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.15. Biomass\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation biomass *" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biomass') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.16. Biomass Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation biomass*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biomass_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.17. Biogeography\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Treatment of vegetation biogeography*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biogeography') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.18. Biogeography Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation biogeography*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.biogeography_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.19. Stomatal Resistance\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Specify what the vegetation stomatal resistance depends on*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.stomatal_resistance') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"light\" \n", "# \"temperature\" \n", "# \"water availability\" \n", "# \"CO2\" \n", "# \"O3\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.20. Stomatal Resistance Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of the treatment of vegetation stomatal resistance*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.stomatal_resistance_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 17.21. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the vegetation scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.vegetation.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 18. Energy Balance \n", "*Land surface energy balance*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 18.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of energy balance in land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the energy balance tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.3. Number Of Surface Temperatures\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The maximum number of distinct surface temperatures in a grid cell (for example, each subgrid tile may have its own temperature)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.number_of_surface_temperatures') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.4. Evaporation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Specify the formulation method for land surface evaporation, from soil and vegetation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.evaporation') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"alpha\" \n", "# \"beta\" \n", "# \"combined\" \n", "# \"Monteith potential evaporation\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 18.5. Processes\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Describe which processes are included in the energy balance scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.energy_balance.processes') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"transpiration\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 19. Carbon Cycle \n", "*Land surface carbon cycle*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 19.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of carbon cycle in land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the carbon cycle tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of carbon cycle in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.4. Anthropogenic Carbon\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Describe the treament of the anthropogenic carbon pool*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.anthropogenic_carbon') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"grand slam protocol\" \n", "# \"residence time\" \n", "# \"decay time\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 19.5. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the carbon scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 20. Carbon Cycle --&gt; Vegetation \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 20.1. Number Of Carbon Pools\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.number_of_carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 20.2. Carbon Pools\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 20.3. Forest Stand Dynamics\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the treatment of forest stand dyanmics*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.forest_stand_dynamics') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 21. Carbon Cycle --&gt; Vegetation --&gt; Photosynthesis \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 21.1. Method\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the general method used for photosynthesis (e.g. type of photosynthesis, distinction between C3 and C4 grasses, Nitrogen depencence, etc.)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.photosynthesis.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 22. Carbon Cycle --&gt; Vegetation --&gt; Autotrophic Respiration \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 22.1. Maintainance Respiration\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the general method used for maintainence respiration*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.autotrophic_respiration.maintainance_respiration') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 22.2. Growth Respiration\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the general method used for growth respiration*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.autotrophic_respiration.growth_respiration') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 23. Carbon Cycle --&gt; Vegetation --&gt; Allocation \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 23.1. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general principle behind the allocation scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.allocation.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 23.2. Allocation Bins\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Specify distinct carbon bins used in allocation*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.allocation.allocation_bins') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"leaves + stems + roots\" \n", "# \"leaves + stems + roots (leafy + woody)\" \n", "# \"leaves + fine roots + coarse roots + stems\" \n", "# \"whole plant (no distinction)\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 23.3. Allocation Fractions\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe how the fractions of allocation are calculated*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.allocation.allocation_fractions') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"fixed\" \n", "# \"function of vegetation type\" \n", "# \"function of plant allometry\" \n", "# \"explicitly calculated\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 24. Carbon Cycle --&gt; Vegetation --&gt; Phenology \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 24.1. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general principle behind the phenology scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.phenology.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 25. Carbon Cycle --&gt; Vegetation --&gt; Mortality \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 25.1. Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the general principle behind the mortality scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.vegetation.mortality.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 26. Carbon Cycle --&gt; Litter \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 26.1. Number Of Carbon Pools\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.number_of_carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.2. Carbon Pools\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.3. Decomposition\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the decomposition methods used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.decomposition') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 26.4. Method\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the general method used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.litter.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 27. Carbon Cycle --&gt; Soil \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 27.1. Number Of Carbon Pools\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.number_of_carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.2. Carbon Pools\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the carbon pools used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.carbon_pools') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.3. Decomposition\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the decomposition methods used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.decomposition') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 27.4. Method\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the general method used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.soil.method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 28. Carbon Cycle --&gt; Permafrost Carbon \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 28.1. Is Permafrost Included\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is permafrost included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.is_permafrost_included') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 28.2. Emitted Greenhouse Gases\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the GHGs emitted*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.emitted_greenhouse_gases') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 28.3. Decomposition\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *List the decomposition methods used*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.decomposition') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 28.4. Impact On Soil Properties\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the impact of permafrost on soil properties*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.carbon_cycle.permafrost_carbon.impact_on_soil_properties') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 29. Nitrogen Cycle \n", "*Land surface nitrogen cycle*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 29.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of the nitrogen cycle in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 29.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the notrogen cycle tiling, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 29.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of nitrogen cycle in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 29.4. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the nitrogen scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.nitrogen_cycle.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 30. River Routing \n", "*Land surface river routing*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 30.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of river routing in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.2. Tiling\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the river routing, if any.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.tiling') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of river routing scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.4. Grid Inherited From Land Surface\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is the grid inherited from land surface?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.grid_inherited_from_land_surface') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.5. Grid Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *General description of grid, if not inherited from land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.grid_description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.6. Number Of Reservoirs\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Enter the number of reservoirs*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.number_of_reservoirs') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.7. Water Re Evaporation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *TODO*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.water_re_evaporation') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"flood plains\" \n", "# \"irrigation\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.8. Coupled To Atmosphere\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Is river routing coupled to the atmosphere model component?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.coupled_to_atmosphere') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.9. Coupled To Land\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the coupling between land and rivers*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.coupled_to_land') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.10. Quantities Exchanged With Atmosphere\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If couple to atmosphere, which quantities are exchanged between river routing and the atmosphere model components?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.quantities_exchanged_with_atmosphere') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"heat\" \n", "# \"water\" \n", "# \"tracers\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.11. Basin Flow Direction Map\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *What type of basin flow direction map is being used?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.basin_flow_direction_map') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"present day\" \n", "# \"adapted for other periods\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.12. Flooding\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the representation of flooding, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.flooding') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 30.13. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the river routing*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 31. River Routing --&gt; Oceanic Discharge \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 31.1. Discharge Type\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Specify how rivers are discharged to the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.oceanic_discharge.discharge_type') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"direct (large rivers)\" \n", "# \"diffuse\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 31.2. Quantities Transported\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Quantities that are exchanged from river-routing to the ocean model component*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.river_routing.oceanic_discharge.quantities_transported') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"heat\" \n", "# \"water\" \n", "# \"tracers\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 32. Lakes \n", "*Land surface lakes*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 32.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of lakes in the land surface*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.2. Coupling With Rivers\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Are lakes coupled to the river routing model component?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.coupling_with_rivers') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.3. Time Step\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Time step of lake scheme in seconds*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.time_step') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.4. Quantities Exchanged With Rivers\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *If coupling with rivers, which quantities are exchanged between the lakes and rivers*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.quantities_exchanged_with_rivers') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"heat\" \n", "# \"water\" \n", "# \"tracers\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.5. Vertical Grid\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the vertical grid of lakes*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.vertical_grid') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 32.6. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *List the prognostic variables of the lake scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.prognostic_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 33. Lakes --&gt; Method \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 33.1. Ice Treatment\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is lake ice included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.ice_treatment') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.2. Albedo\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of lake albedo*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.albedo') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prognostic\" \n", "# \"diagnostic\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.3. Dynamics\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Which dynamics of lakes are treated? horizontal, vertical, etc.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.dynamics') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"No lake dynamics\" \n", "# \"vertical\" \n", "# \"horizontal\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.4. Dynamic Lake Extent\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is a dynamic lake extent scheme included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.dynamic_lake_extent') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 33.5. Endorheic Basins\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Basins not flowing to ocean included?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.method.endorheic_basins') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 34. Lakes --&gt; Wetlands \n", "*TODO*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 34.1. Description\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the treatment of wetlands, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.land.lakes.wetlands.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### \u00a92017 [ES-DOC](https://es-doc.org) \n" ], "cell_type": "markdown", "metadata": {} } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2", "language": "python" }, "language_info": { "mimetype": "text/x-python", "nbconvert_exporter": "python", "name": "python", "file_extension": ".py", "version": "2.7.10", "pygments_lexer": "ipython2", "codemirror_mode": { "version": 2, "name": "ipython" } } } }
gpl-3.0
hzuosit/ctci_answer_python
Chapter_4/graph_class.ipynb
2
775
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#Graph class\n", "from graph_node_class import node\n", "class graph:\n", " def __init__(self,root = None):\n", " self.root = root\n", " ajlist = {}" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.13" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
ES-DOC/esdoc-jupyterhub
notebooks/nuist/cmip6/models/sandbox-2/landice.ipynb
1
37248
{ "nbformat_minor": 0, "nbformat": 4, "cells": [ { "source": [ "# ES-DOC CMIP6 Model Properties - Landice \n", "**MIP Era**: CMIP6 \n", "**Institute**: NUIST \n", "**Source ID**: SANDBOX-2 \n", "**Topic**: Landice \n", "**Sub-Topics**: Glaciers, Ice. \n", "**Properties**: 30 (21 required) \n", "**Model descriptions**: [Model description details](https://specializations.es-doc.org/cmip6/landice?client=jupyter-notebook) \n", "**Initialized From**: -- \n", "\n", "**Notebook Help**: [Goto notebook help page](https://es-doc.org/cmip6-models-documenting-with-ipython) \n", "**Notebook Initialised**: 2018-02-15 16:54:34" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### Document Setup \n", "**IMPORTANT: to be executed each time you run the notebook** " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# DO NOT EDIT ! \n", "from pyesdoc.ipython.model_topic import NotebookOutput \n", "\n", "# DO NOT EDIT ! \n", "DOC = NotebookOutput('cmip6', 'nuist', 'sandbox-2', 'landice')" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Authors \n", "*Set document authors*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_author(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Contributors \n", "*Specify document contributors* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set as follows: DOC.set_contributor(\"name\", \"email\") \n", "# TODO - please enter value(s)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Publication \n", "*Specify document publication status* " ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# Set publication status: \n", "# 0=do not publish, 1=publish. \n", "DOC.set_publication_status(0)" ], "outputs": [], "metadata": {} }, { "source": [ "### Document Table of Contents \n", "[1. Key Properties](#1.-Key-Properties) \n", "[2. Key Properties --&gt; Software Properties](#2.-Key-Properties---&gt;-Software-Properties) \n", "[3. Grid](#3.-Grid) \n", "[4. Glaciers](#4.-Glaciers) \n", "[5. Ice](#5.-Ice) \n", "[6. Ice --&gt; Mass Balance](#6.-Ice---&gt;-Mass-Balance) \n", "[7. Ice --&gt; Mass Balance --&gt; Basal](#7.-Ice---&gt;-Mass-Balance---&gt;-Basal) \n", "[8. Ice --&gt; Mass Balance --&gt; Frontal](#8.-Ice---&gt;-Mass-Balance---&gt;-Frontal) \n", "[9. Ice --&gt; Dynamics](#9.-Ice---&gt;-Dynamics) \n", "\n" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "# 1. Key Properties \n", "*Land ice key properties*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 1.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of land surface model.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.key_properties.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.2. Model Name\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Name of land surface model code*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.key_properties.model_name') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.3. Ice Albedo\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Specify how ice albedo is modelled*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.key_properties.ice_albedo') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"prescribed\" \n", "# \"function of ice age\" \n", "# \"function of ice density\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.4. Atmospheric Coupling Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Which variables are passed between the atmosphere and ice (e.g. orography, ice mass)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.key_properties.atmospheric_coupling_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.5. Oceanic Coupling Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Which variables are passed between the ocean and ice*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.key_properties.oceanic_coupling_variables') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 1.6. Prognostic Variables\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Which variables are prognostically calculated in the ice model*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.key_properties.prognostic_variables') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"ice velocity\" \n", "# \"ice thickness\" \n", "# \"ice temperature\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 2. Key Properties --&gt; Software Properties \n", "*Software properties of land ice code*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 2.1. Repository\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Location of code for this component.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.key_properties.software_properties.repository') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.2. Code Version\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Code version identifier.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.key_properties.software_properties.code_version') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 2.3. Code Languages\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.N\n", "### *Code language(s).*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.key_properties.software_properties.code_languages') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 3. Grid \n", "*Land ice grid*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 3.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of the grid in the land ice scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.grid.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.2. Adaptive Grid\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is an adative grid being used?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.grid.adaptive_grid') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.3. Base Resolution\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The base resolution (in metres), before any adaption*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.grid.base_resolution') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.4. Resolution Limit\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** FLOAT&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *If an adaptive grid is being used, what is the limit of the resolution (in metres)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.grid.resolution_limit') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 3.5. Projection\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *The projection of the land ice grid (e.g. albers_equal_area)*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.grid.projection') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 4. Glaciers \n", "*Land ice glaciers*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 4.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of glaciers in the land ice scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.glaciers.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 4.2. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe the treatment of glaciers, if any*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.glaciers.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 4.3. Dynamic Areal Extent\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Does the model include a dynamic glacial extent?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.glaciers.dynamic_areal_extent') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 5. Ice \n", "*Ice sheet and ice shelf*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 5.1. Overview\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Overview of the ice sheet and ice shelf in the land ice scheme*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.overview') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 5.2. Grounding Line Method\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Specify the technique used for modelling the grounding line in the ice sheet-ice shelf coupling*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.grounding_line_method') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"grounding line prescribed\" \n", "# \"flux prescribed (Schoof)\" \n", "# \"fixed grid size\" \n", "# \"moving grid\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 5.3. Ice Sheet\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Are ice sheets simulated?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.ice_sheet') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 5.4. Ice Shelf\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Are ice shelves simulated?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.ice_shelf') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 6. Ice --&gt; Mass Balance \n", "*Description of the surface mass balance treatment*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 6.1. Surface Mass Balance\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Describe how and where the surface mass balance (SMB) is calulated. Include the temporal coupling frequeny from the atmosphere, whether or not a seperate SMB model is used, and if so details of this model, such as its resolution*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.mass_balance.surface_mass_balance') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 7. Ice --&gt; Mass Balance --&gt; Basal \n", "*Description of basal melting*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 7.1. Bedrock\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the implementation of basal melting over bedrock*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.mass_balance.basal.bedrock') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 7.2. Ocean\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the implementation of basal melting over the ocean*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.mass_balance.basal.ocean') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 8. Ice --&gt; Mass Balance --&gt; Frontal \n", "*Description of claving/melting from the ice shelf front*" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 8.1. Calving\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the implementation of calving from the front of the ice shelf*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.mass_balance.frontal.calving') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 8.2. Melting\n", "**Is Required:** FALSE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 0.1\n", "### *Describe the implementation of melting from the front of the ice shelf*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.mass_balance.frontal.melting') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "# 9. Ice --&gt; Dynamics \n", "**" ], "cell_type": "markdown", "metadata": {} }, { "source": [ "### 9.1. Description\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** STRING&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *General description if ice sheet and ice shelf dynamics*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.dynamics.description') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(\"value\") \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.2. Approximation\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** ENUM&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.N\n", "### *Approximation type used in modelling ice dynamics*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.dynamics.approximation') \n", "\n", "# PROPERTY VALUE(S): \n", "# Set as follows: DOC.set_value(\"value\") \n", "# Valid Choices: \n", "# \"SIA\" \n", "# \"SAA\" \n", "# \"full stokes\" \n", "# \"Other: [Please specify]\" \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.3. Adaptive Timestep\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** BOOLEAN&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Is there an adaptive time scheme for the ice scheme?*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.dynamics.adaptive_timestep') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# Valid Choices: \n", "# True \n", "# False \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### 9.4. Timestep\n", "**Is Required:** TRUE&nbsp;&nbsp;&nbsp;&nbsp;**Type:** INTEGER&nbsp;&nbsp;&nbsp;&nbsp;**Cardinality:** 1.1\n", "### *Timestep (in seconds) of the ice scheme. If the timestep is adaptive, then state a representative timestep.*" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "# PROPERTY ID - DO NOT EDIT ! \n", "DOC.set_id('cmip6.landice.ice.dynamics.timestep') \n", "\n", "# PROPERTY VALUE: \n", "# Set as follows: DOC.set_value(value) \n", "# TODO - please enter value(s)", "\n" ], "outputs": [], "metadata": { "collapsed": true } }, { "source": [ "### \u00a92017 [ES-DOC](https://es-doc.org) \n" ], "cell_type": "markdown", "metadata": {} } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2", "language": "python" }, "language_info": { "mimetype": "text/x-python", "nbconvert_exporter": "python", "name": "python", "file_extension": ".py", "version": "2.7.10", "pygments_lexer": "ipython2", "codemirror_mode": { "version": 2, "name": "ipython" } } } }
gpl-3.0
GoogleCloudPlatform/vertex-ai-samples
notebooks/official/ml_metadata/sdk-metric-parameter-tracking-for-custom-jobs.ipynb
1
33266
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "id": "ur8xi4C7S06n" }, "outputs": [], "source": [ "# Copyright 2022 Google LLC\n", "#\n", "# Licensed under the Apache License, Version 2.0 (the \"License\");\n", "# you may not use this file except in compliance with the License.\n", "# You may obtain a copy of the License at\n", "#\n", "# https://www.apache.org/licenses/LICENSE-2.0\n", "#\n", "# Unless required by applicable law or agreed to in writing, software\n", "# distributed under the License is distributed on an \"AS IS\" BASIS,\n", "# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", "# See the License for the specific language governing permissions and\n", "# limitations under the License." ] }, { "cell_type": "markdown", "metadata": { "id": "JAPoU8Sm5E6e" }, "source": [ "<table align=\"left\">\n", "\n", " <td>\n", " <a href=\"https://colab.research.google.com/github/GoogleCloudPlatform/vertex-ai-samples/blob/master/notebooks/official/ml_metadata/sdk-metric-parameter-tracking-for-custom-jobs.ipynb\">\n", " <img src=\"https://cloud.google.com/ml-engine/images/colab-logo-32px.png\" alt=\"Colab logo\"> Run in Colab\n", " </a>\n", " </td>\n", " <td>\n", " <a href=\"https://github.com/GoogleCloudPlatform/vertex-ai-samples/blob/master/notebooks/official/ml_metadata/sdk-metric-parameter-tracking-for-custom-jobs.ipynb\">\n", " <img src=\"https://cloud.google.com/ml-engine/images/github-logo-32px.png\" alt=\"GitHub logo\">\n", " View on GitHub\n", " </a>\n", " </td>\n", " <td>\n", " <a href=\"https://console.cloud.google.com/vertex-ai/workbench/deploy-notebook?download_url=https://github.com/GoogleCloudPlatform/vertex-ai-samples/blob/main/notebooks/official/ml_metadata/sdk-metric-parameter-tracking-for-custom-jobs.ipynb\">\n", " <img src=\"https://lh3.googleusercontent.com/UiNooY4LUgW_oTvpsNhPpQzsstV5W8F7rYgxgGBD85cWJoLmrOzhVs_ksK_vgx40SHs7jCqkTkCk=e14-rj-sc0xffffff-h130-w32\" alt=\"Vertex AI logo\">\n", " Open in Vertex AI Workbench\n", " </a>\n", " </td> \n", "</table>" ] }, { "cell_type": "markdown", "metadata": { "id": "j9gUDU_3vV9d" }, "source": [ "# Vertex AI: Track parameters and metrics for custom training jobs" ] }, { "cell_type": "markdown", "metadata": { "id": "tvgnzT1CKxrO" }, "source": [ "## Overview\n", "\n", "This notebook demonstrates how to track metrics and parameters for Vertex AI custom training jobs, and how to perform detailed analysis using this data.\n", "\n", "### Dataset\n", "\n", "This example uses the Abalone Dataset. For more information about this dataset please visit: https://archive.ics.uci.edu/ml/datasets/abalone\n", "### Objective\n", "\n", "In this notebook, you will learn how to use Vertex AI SDK for Python to:\n", "\n", " * Track training parameters and prediction metrics for a custom training job.\n", " * Extract and perform analysis for all parameters and metrics within an Experiment.\n", "\n", "### Costs \n", "\n", "\n", "This tutorial uses billable components of Google Cloud:\n", "\n", "* Vertex AI\n", "* Cloud Storage\n", "\n", "Learn about [Vertex AI\n", "pricing](https://cloud.google.com/vertex-ai/pricing) and [Cloud Storage\n", "pricing](https://cloud.google.com/storage/pricing), and use the [Pricing\n", "Calculator](https://cloud.google.com/products/calculator/)\n", "to generate a cost estimate based on your projected usage." ] }, { "cell_type": "markdown", "metadata": { "id": "ze4-nDLfK4pw" }, "source": [ "### Set up your local development environment\n", "\n", "**If you are using Colab or Vertex AI Workbench**, your environment already meets\n", "all the requirements to run this notebook. You can skip this step." ] }, { "cell_type": "markdown", "metadata": { "id": "gCuSR8GkAgzl" }, "source": [ "**Otherwise**, make sure your environment meets this notebook's requirements.\n", "You need the following:\n", "\n", "* The Google Cloud SDK\n", "* Git\n", "* Python 3\n", "* virtualenv\n", "* Jupyter notebook running in a virtual environment with Python 3\n", "\n", "The Google Cloud guide to [Setting up a Python development\n", "environment](https://cloud.google.com/python/setup) and the [Jupyter\n", "installation guide](https://jupyter.org/install) provide detailed instructions\n", "for meeting these requirements. The following steps provide a condensed set of\n", "instructions:\n", "\n", "1. [Install and initialize the Cloud SDK.](https://cloud.google.com/sdk/docs/)\n", "\n", "1. [Install Python 3.](https://cloud.google.com/python/setup#installing_python)\n", "\n", "1. [Install\n", " virtualenv](https://cloud.google.com/python/setup#installing_and_using_virtualenv)\n", " and create a virtual environment that uses Python 3. Activate the virtual environment.\n", "\n", "1. To install Jupyter, run `pip install jupyter` on the\n", "command-line in a terminal shell.\n", "\n", "1. To launch Jupyter, run `jupyter notebook` on the command-line in a terminal shell.\n", "\n", "1. Open this notebook in the Jupyter Notebook Dashboard." ] }, { "cell_type": "markdown", "metadata": { "id": "i7EUnXsZhAGF" }, "source": [ "### Install additional packages\n", "\n", "Install additional package dependencies not installed in your notebook environment." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "IaYsrh0Tc17L" }, "outputs": [], "source": [ "import os\n", "\n", "# The Google Cloud Notebook product has specific requirements\n", "IS_GOOGLE_CLOUD_NOTEBOOK = os.path.exists(\"/opt/deeplearning/metadata/env_version\")\n", "\n", "# Google Cloud Notebook requires dependencies to be installed with '--user'\n", "USER_FLAG = \"\"\n", "if IS_GOOGLE_CLOUD_NOTEBOOK:\n", " USER_FLAG = \"--user\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "qblyW_dcyOQA" }, "outputs": [], "source": [ "! pip3 install -U tensorflow $USER_FLAG\n", "! python3 -m pip install {USER_FLAG} google-cloud-aiplatform --upgrade\n", "! pip3 install scikit-learn {USER_FLAG}\n" ] }, { "cell_type": "markdown", "metadata": { "id": "hhq5zEbGg0XX" }, "source": [ "### Restart the kernel\n", "\n", "After you install the additional packages, you need to restart the notebook kernel so it can find the packages." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "EzrelQZ22IZj" }, "outputs": [], "source": [ "# Automatically restart kernel after installs\n", "import os\n", "\n", "if not os.getenv(\"IS_TESTING\"):\n", " # Automatically restart kernel after installs\n", " import IPython\n", "\n", " app = IPython.Application.instance()\n", " app.kernel.do_shutdown(True)" ] }, { "cell_type": "markdown", "metadata": { "id": "lWEdiXsJg0XY" }, "source": [ "## Before you begin\n", "\n", "### Select a GPU runtime\n", "\n", "**Make sure you're running this notebook in a GPU runtime if you have that option. In Colab, select \"Runtime --> Change runtime type > GPU\"**" ] }, { "cell_type": "markdown", "metadata": { "id": "BF1j6f9HApxa" }, "source": [ "### Set up your Google Cloud project\n", "\n", "**The following steps are required, regardless of your notebook environment.**\n", "\n", "1. [Select or create a Google Cloud project](https://console.cloud.google.com/cloud-resource-manager). When you first create an account, you get a $300 free credit towards your compute/storage costs.\n", "\n", "1. [Make sure that billing is enabled for your project](https://cloud.google.com/billing/docs/how-to/modify-project).\n", "\n", "1. [Enable the Vertex AI API and Compute Engine API](https://console.cloud.google.com/flows/enableapi?apiid=aiplatform.googleapis.com,compute_component).\n", "\n", "1. If you are running this notebook locally, you will need to install the [Cloud SDK](https://cloud.google.com/sdk).\n", "\n", "1. Enter your project ID in the cell below. Then run the cell to make sure the\n", "Cloud SDK uses the right project for all the commands in this notebook.\n", "\n", "**Note**: Jupyter runs lines prefixed with `!` as shell commands, and it interpolates Python variables prefixed with `$` into these commands." ] }, { "cell_type": "markdown", "metadata": { "id": "WReHDGG5g0XY" }, "source": [ "#### Set your project ID\n", "\n", "**If you don't know your project ID**, you may be able to get your project ID using `gcloud`." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "oM1iC_MfAts1" }, "outputs": [], "source": [ "import os\n", "\n", "PROJECT_ID = \"\"\n", "\n", "# Get your Google Cloud project ID from gcloud\n", "if not os.getenv(\"IS_TESTING\"):\n", " shell_output = !gcloud config list --format 'value(core.project)' 2>/dev/null\n", " PROJECT_ID = shell_output[0]\n", " print(\"Project ID: \", PROJECT_ID)" ] }, { "cell_type": "markdown", "metadata": { "id": "qJYoRfYng0XZ" }, "source": [ "Otherwise, set your project ID here." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "riG_qUokg0XZ" }, "outputs": [], "source": [ "if PROJECT_ID == \"\" or PROJECT_ID is None:\n", " PROJECT_ID = \"[your-project-id]\" # @param {type:\"string\"}" ] }, { "cell_type": "markdown", "metadata": { "id": "XsnuGoJM9mUw" }, "source": [ "Set gcloud config to your project ID." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "TL9QIaVd9hvm" }, "outputs": [], "source": [ "!gcloud config set project $PROJECT_ID" ] }, { "cell_type": "markdown", "metadata": { "id": "06571eb4063b" }, "source": [ "#### Timestamp\n", "\n", "If you are in a live tutorial session, you might be using a shared test account or project. To avoid name collisions between users on resources created, you create a timestamp for each instance session, and append it onto the name of resources you create in this tutorial." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "697568e92bd6" }, "outputs": [], "source": [ "from datetime import datetime\n", "\n", "TIMESTAMP = datetime.now().strftime(\"%Y%m%d%H%M%S\")" ] }, { "cell_type": "markdown", "metadata": { "id": "dr--iN2kAylZ" }, "source": [ "### Authenticate your Google Cloud account\n", "\n", "**If you are using Vertex AI Workbench**, your environment is already\n", "authenticated. Skip this step." ] }, { "cell_type": "markdown", "metadata": { "id": "sBCra4QMA2wR" }, "source": [ "**If you are using Colab**, run the cell below and follow the instructions\n", "when prompted to authenticate your account via oAuth.\n", "\n", "**Otherwise**, follow these steps:\n", "\n", "1. In the Cloud Console, go to the [**Create service account key**\n", " page](https://console.cloud.google.com/apis/credentials/serviceaccountkey).\n", "\n", "2. Click **Create service account**.\n", "\n", "3. In the **Service account name** field, enter a name, and\n", " click **Create**.\n", "\n", "4. In the **Grant this service account access to project** section, click the **Role** drop-down list. Type \"Vertex AI\"\n", "into the filter box, and select\n", " **Vertex AI Administrator**. Type \"Storage Object Admin\" into the filter box, and select **Storage Object Admin**.\n", "\n", "5. Click *Create*. A JSON file that contains your key downloads to your\n", "local environment.\n", "\n", "6. Enter the path to your service account key as the\n", "`GOOGLE_APPLICATION_CREDENTIALS` variable in the cell below and run the cell." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "PyQmSRbKA8r-" }, "outputs": [], "source": [ "import os\n", "import sys\n", "\n", "# If you are running this notebook in Colab, run this cell and follow the\n", "# instructions to authenticate your GCP account. This provides access to your\n", "# Cloud Storage bucket and lets you submit training jobs and prediction\n", "# requests.\n", "\n", "# If on Google Cloud Notebooks, then don't execute this code\n", "if not os.path.exists(\"/opt/deeplearning/metadata/env_version\"):\n", " if \"google.colab\" in sys.modules:\n", " from google.colab import auth as google_auth\n", "\n", " google_auth.authenticate_user()\n", "\n", " # If you are running this notebook locally, replace the string below with the\n", " # path to your service account key and run this cell to authenticate your GCP\n", " # account.\n", " elif not os.getenv(\"IS_TESTING\"):\n", " %env GOOGLE_APPLICATION_CREDENTIALS ''" ] }, { "cell_type": "markdown", "metadata": { "id": "zgPO1eR3CYjk" }, "source": [ "### Create a Cloud Storage bucket\n", "\n", "**The following steps are required, regardless of your notebook environment.**\n", "\n", "\n", "When you submit a training job using the Cloud SDK, you upload a Python package\n", "containing your training code to a Cloud Storage bucket. Vertex AI runs\n", "the code from this package. In this tutorial, Vertex AI also saves the\n", "trained model that results from your job in the same bucket. Using this model artifact, you can then\n", "create Vertex AI model and endpoint resources in order to serve\n", "online predictions.\n", "\n", "Set the name of your Cloud Storage bucket below. It must be unique across all\n", "Cloud Storage buckets.\n", "\n", "You may also change the `REGION` variable, which is used for operations\n", "throughout the rest of this notebook. Make sure to [choose a region where Vertex AI services are\n", "available](https://cloud.google.com/vertex-ai/docs/general/locations#available_regions). You may\n", "not use a Multi-Regional Storage bucket for training with Vertex AI." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "MzGDU7TWdts_" }, "outputs": [], "source": [ "BUCKET_URI = \"gs://[your-bucket-name]\" # @param {type:\"string\"}\n", "REGION = \"[your-region]\" # @param {type:\"string\"}" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "cf221059d072" }, "outputs": [], "source": [ "if BUCKET_URI == \"\" or BUCKET_URI is None or BUCKET_URI == \"gs://[your-bucket-name]\":\n", " BUCKET_URI = \"gs://\" + PROJECT_ID + \"-aip-\" + TIMESTAMP\n", "\n", "if REGION == \"[your-region]\":\n", " REGION = \"us-central1\"" ] }, { "cell_type": "markdown", "metadata": { "id": "-EcIXiGsCePi" }, "source": [ "**Only if your bucket doesn't already exist**: Run the following cell to create your Cloud Storage bucket." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "NIq7R4HZCfIc" }, "outputs": [], "source": [ "! gsutil mb -l $REGION $BUCKET_URI" ] }, { "cell_type": "markdown", "metadata": { "id": "ucvCsknMCims" }, "source": [ "Finally, validate access to your Cloud Storage bucket by examining its contents:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "vhOb7YnwClBb" }, "outputs": [], "source": [ "! gsutil ls -al $BUCKET_URI" ] }, { "cell_type": "markdown", "metadata": { "id": "XoEqT2Y4DJmf" }, "source": [ "### Import libraries and define constants" ] }, { "cell_type": "markdown", "metadata": { "id": "Y9Uo3tifg1kx" }, "source": [ "Import required libraries.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "pRUOFELefqf1" }, "outputs": [], "source": [ "import pandas as pd\n", "from google.cloud import aiplatform\n", "from sklearn.metrics import mean_absolute_error, mean_squared_error\n", "from tensorflow.python.keras.utils import data_utils" ] }, { "cell_type": "markdown", "metadata": { "id": "O8XJZB3gR8eL" }, "source": [ "## Initialize Vertex AI and set an _experiment_\n" ] }, { "cell_type": "markdown", "metadata": { "id": "xtXZWmYqJ1bh" }, "source": [ "Define experiment name." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "JIOrI-hoJ46P" }, "outputs": [], "source": [ "EXPERIMENT_NAME = \"\" # @param {type:\"string\"}" ] }, { "cell_type": "markdown", "metadata": { "id": "jWQLXXNVN4Lv" }, "source": [ "If EXEPERIMENT_NAME is not set, set a default one below:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "Q1QInYWOKsmo" }, "outputs": [], "source": [ "if EXPERIMENT_NAME == \"\" or EXPERIMENT_NAME is None:\n", " EXPERIMENT_NAME = \"my-experiment-\" + TIMESTAMP" ] }, { "cell_type": "markdown", "metadata": { "id": "DKIsYVjj56_X" }, "source": [ "Initialize the *client* for Vertex AI." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "Wrlk2B2nJ7-X" }, "outputs": [], "source": [ "aiplatform.init(\n", " project=PROJECT_ID,\n", " location=REGION,\n", " staging_bucket=BUCKET_URI,\n", " experiment=EXPERIMENT_NAME,\n", ")" ] }, { "cell_type": "markdown", "metadata": { "id": "6PlilQPFeS_h" }, "source": [ "## Tracking parameters and metrics in Vertex AI custom training jobs" ] }, { "cell_type": "markdown", "metadata": { "id": "9nokDKBAxwV8" }, "source": [ "This example uses the Abalone Dataset. For more information about this dataset please visit: https://archive.ics.uci.edu/ml/datasets/abalone" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "V_T10yTTqcS_" }, "outputs": [], "source": [ "!wget https://storage.googleapis.com/download.tensorflow.org/data/abalone_train.csv\n", "!gsutil cp abalone_train.csv {BUCKET_URI}/data/\n", "\n", "gcs_csv_path = f\"{BUCKET_URI}/data/abalone_train.csv\"" ] }, { "cell_type": "markdown", "metadata": { "id": "35QVNhACqcTJ" }, "source": [ "### Create a managed tabular dataset from a CSV\n", "\n", "A Managed dataset can be used to create an AutoML model or a custom model. " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "4OfCqaYRqcTJ" }, "outputs": [], "source": [ "ds = aiplatform.TabularDataset.create(display_name=\"abalone\", gcs_source=[gcs_csv_path])\n", "\n", "ds.resource_name" ] }, { "cell_type": "markdown", "metadata": { "id": "VcEOYYolqcTN" }, "source": [ "### Write the training script\n", "\n", "Run the following cell to create the training script that is used in the sample custom training job." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "OauJqJmJqcTO" }, "outputs": [], "source": [ "%%writefile training_script.py\n", "\n", "import pandas as pd\n", "import argparse\n", "import os\n", "import tensorflow as tf\n", "from tensorflow import keras\n", "from tensorflow.keras import layers\n", "\n", "parser = argparse.ArgumentParser()\n", "parser.add_argument('--epochs', dest='epochs',\n", " default=10, type=int,\n", " help='Number of epochs.')\n", "parser.add_argument('--num_units', dest='num_units',\n", " default=64, type=int,\n", " help='Number of unit for first layer.')\n", "args = parser.parse_args()\n", "# uncomment and bump up replica_count for distributed training\n", "# strategy = tf.distribute.experimental.MultiWorkerMirroredStrategy()\n", "# tf.distribute.experimental_set_strategy(strategy)\n", "\n", "col_names = [\"Length\", \"Diameter\", \"Height\", \"Whole weight\", \"Shucked weight\", \"Viscera weight\", \"Shell weight\", \"Age\"]\n", "target = \"Age\"\n", "\n", "def aip_data_to_dataframe(wild_card_path):\n", " return pd.concat([pd.read_csv(fp.numpy().decode(), names=col_names)\n", " for fp in tf.data.Dataset.list_files([wild_card_path])])\n", "\n", "def get_features_and_labels(df):\n", " return df.drop(target, axis=1).values, df[target].values\n", "\n", "def data_prep(wild_card_path):\n", " return get_features_and_labels(aip_data_to_dataframe(wild_card_path))\n", "\n", "\n", "model = tf.keras.Sequential([layers.Dense(args.num_units), layers.Dense(1)])\n", "model.compile(loss='mse', optimizer='adam')\n", "\n", "model.fit(*data_prep(os.environ[\"AIP_TRAINING_DATA_URI\"]),\n", " epochs=args.epochs ,\n", " validation_data=data_prep(os.environ[\"AIP_VALIDATION_DATA_URI\"]))\n", "print(model.evaluate(*data_prep(os.environ[\"AIP_TEST_DATA_URI\"])))\n", "\n", "# save as Vertex AI Managed model\n", "tf.saved_model.save(model, os.environ[\"AIP_MODEL_DIR\"])" ] }, { "cell_type": "markdown", "metadata": { "id": "Yp2clkOJSDhR" }, "source": [ "### Launch a custom training job and track its trainig parameters on Vertex AI ML Metadata" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "btb6d48lqcTT" }, "outputs": [], "source": [ "job = aiplatform.CustomTrainingJob(\n", " display_name=\"train-abalone-dist-1-replica\",\n", " script_path=\"training_script.py\",\n", " container_uri=\"us-docker.pkg.dev/vertex-ai/training/tf-cpu.2-8:latest\",\n", " requirements=[\"gcsfs==0.7.1\"],\n", " model_serving_container_image_uri=\"us-docker.pkg.dev/vertex-ai/prediction/tf2-cpu.2-8:latest\",\n", ")" ] }, { "cell_type": "markdown", "metadata": { "id": "k_QorXXztzPH" }, "source": [ "Start a new experiment run to track training parameters and start the training job. Note that this operation will take around 10 mins." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "oVTORjQpJ7-Y" }, "outputs": [], "source": [ "aiplatform.start_run(\"custom-training-run-1\") # Change this to your desired run name\n", "parameters = {\"epochs\": 10, \"num_units\": 64}\n", "aiplatform.log_params(parameters)\n", "\n", "model = job.run(\n", " ds,\n", " replica_count=1,\n", " model_display_name=\"abalone-model\",\n", " args=[f\"--epochs={parameters['epochs']}\", f\"--num_units={parameters['num_units']}\"],\n", ")" ] }, { "cell_type": "markdown", "metadata": { "id": "5vhDsMJNqcTW" }, "source": [ "### Deploy Model and calculate prediction metrics" ] }, { "cell_type": "markdown", "metadata": { "id": "O-uCOL3Naap4" }, "source": [ "Deploy model to Google Cloud. This operation will take 10-20 mins." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "Y9GH72wWqcTX" }, "outputs": [], "source": [ "endpoint = model.deploy(machine_type=\"n1-standard-4\")" ] }, { "cell_type": "markdown", "metadata": { "id": "JY-5skFhasWs" }, "source": [ "Once model is deployed, perform online prediction using the `abalone_test` dataset and calculate prediction metrics." ] }, { "cell_type": "markdown", "metadata": { "id": "saw50bqwa-dR" }, "source": [ "Prepare the prediction dataset." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "ABZQmqsWISQv" }, "outputs": [], "source": [ "def read_data(uri):\n", " dataset_path = data_utils.get_file(\"abalone_test.data\", uri)\n", " col_names = [\n", " \"Length\",\n", " \"Diameter\",\n", " \"Height\",\n", " \"Whole weight\",\n", " \"Shucked weight\",\n", " \"Viscera weight\",\n", " \"Shell weight\",\n", " \"Age\",\n", " ]\n", " dataset = pd.read_csv(\n", " dataset_path,\n", " names=col_names,\n", " na_values=\"?\",\n", " comment=\"\\t\",\n", " sep=\",\",\n", " skipinitialspace=True,\n", " )\n", " return dataset\n", "\n", "\n", "def get_features_and_labels(df):\n", " target = \"Age\"\n", " return df.drop(target, axis=1).values, df[target].values\n", "\n", "\n", "test_dataset, test_labels = get_features_and_labels(\n", " read_data(\n", " \"https://storage.googleapis.com/download.tensorflow.org/data/abalone_test.csv\"\n", " )\n", ")" ] }, { "cell_type": "markdown", "metadata": { "id": "_HphZ38obJeB" }, "source": [ "Perform online prediction." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "eXD-OvsrKmCt" }, "outputs": [], "source": [ "prediction = endpoint.predict(test_dataset.tolist())\n", "prediction" ] }, { "cell_type": "markdown", "metadata": { "id": "TDKiv_O7bNwE" }, "source": [ "Calculate and track prediction evaluation metrics." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "cj0fHucbKopn" }, "outputs": [], "source": [ "mse = mean_squared_error(test_labels, prediction.predictions)\n", "mae = mean_absolute_error(test_labels, prediction.predictions)\n", "\n", "aiplatform.log_metrics({\"mse\": mse, \"mae\": mae})" ] }, { "cell_type": "markdown", "metadata": { "id": "CCGmesdIbbHf" }, "source": [ "### Extract all parameters and metrics created during this experiment." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "KlcEBou-Pl4Z" }, "outputs": [], "source": [ "aiplatform.get_experiment_df()" ] }, { "cell_type": "markdown", "metadata": { "id": "WTHvPMweMlP1" }, "source": [ "### View data in the Cloud Console" ] }, { "cell_type": "markdown", "metadata": { "id": "F19_5lw0MqXv" }, "source": [ "Parameters and metrics can also be viewed in the Cloud Console. \n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "GmN9vE9pqqzt" }, "outputs": [], "source": [ "print(\"Vertex AI Experiments:\")\n", "print(\n", " f\"https://console.cloud.google.com/ai/platform/experiments/experiments?folder=&organizationId=&project={PROJECT_ID}\"\n", ")" ] }, { "cell_type": "markdown", "metadata": { "id": "TpV-iwP9qw9c" }, "source": [ "## Cleaning up\n", "\n", "To clean up all Google Cloud resources used in this project, you can [delete the Google Cloud\n", "project](https://cloud.google.com/resource-manager/docs/creating-managing-projects#shutting_down_projects) you used for the tutorial.\n", "\n", "Otherwise, you can delete the individual resources you created in this tutorial:\n", "Training Job\n", "Model\n", "Cloud Storage Bucket\n", "\n", "* Vertex AI Dataset\n", "* Training Job\n", "* Model\n", "* Endpoint\n", "* Cloud Storage Bucket\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "rwPZoZISHhaY" }, "outputs": [], "source": [ "# Warning: Setting this to true will delete everything in your bucket\n", "delete_bucket = False\n", "\n", "# Delete dataset\n", "ds.delete()\n", "\n", "# Delete the training job\n", "job.delete()\n", "\n", "# Undeploy model from endpoint\n", "endpoint.undeploy_all()\n", "\n", "# Delete the endpoint\n", "endpoint.delete()\n", "\n", "# Delete the model\n", "model.delete()\n", "\n", "\n", "if delete_bucket or os.getenv(\"IS_TESTING\"):\n", " ! gsutil -m rm -r $BUCKET_URI" ] } ], "metadata": { "colab": { "collapsed_sections": [], "name": "sdk-metric-parameter-tracking-for-custom-jobs.ipynb", "toc_visible": true }, "kernelspec": { "display_name": "Python 3", "name": "python3" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
dnxbjyj/python-basic
libs/ConfigParser/handout.ipynb
1
7504
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# 用ConfigParser模块读写conf配置文件" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "ConfigParser是Python内置的一个读取配置文件的模块,用它来读取和修改配置文件非常方便,本文介绍一下它的基本用法。" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 数据准备" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "假设当前目录下有一个名为`sys.conf`的配置文件,其内容如下:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "```bash\n", "[db]\n", "db_host=127.0.0.1\n", "db_port=22\n", "db_user=root\n", "db_pass=root123\n", "\n", "[concurrent]\n", "thread = 10\n", "processor = 20\n", "```" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "注:配置文件中,各个配置项其实是用等号'='隔开的键值对,这个等号两边如果有空白符,在处理的时候都会被自动去掉。但是key之前不能存在空白符,否则会报错。" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 配置文件介绍" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "配置文件即conf文件,其文件结构多为键值对的文件结构,比如上面的sys.conf文件。" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "conf文件有2个层次结构,`[]`中的文本是section的名称,下面的键值对列表是item,代表每个配置项的键和值。" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 初始化ConfigParser实例" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import ConfigParser" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "scrolled": true }, "outputs": [], "source": [ "cf = ConfigParser.ConfigParser()\n", "cf.read('./sys.conf')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 读取所有的section列表" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "section即`[]`中的内容。" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "【Output】\n", "['db', 'concurrent']\n" ] } ], "source": [ "s = cf.sections()\n", "print '【Output】'\n", "print s" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 读取指定section下options key列表" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "options即某个section下的每个键值对的key." ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "【Output】\n", "['thread', 'processor']\n" ] } ], "source": [ "opt = cf.options('concurrent')\n", "print '【Output】'\n", "print opt" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 获取指定section下的键值对字典列表" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "【Output】\n", "[('thread', '10'), ('processor', '20')]\n" ] } ], "source": [ "items = cf.items('concurrent')\n", "print '【Output】'\n", "print items" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 按照指定数据类型读取配置值" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "cf对象有get()、getint()、getboolean()、getfloat()四种方法来读取不同数据类型的配置项的值。" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "【Output】\n", "127.0.0.1 22 10\n" ] } ], "source": [ "db_host = cf.get('db','db_host')\n", "db_port = cf.getint('db','db_port')\n", "thread = cf.getint('concurrent','thread')\n", "\n", "print '【Output】'\n", "print db_host,db_port,thread" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 修改某个配置项的值" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "比如要修改一下数据库的密码,可以这样修改:" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": true }, "outputs": [], "source": [ "cf.set('db','db_pass','newpass')\n", "# 修改完了要写入才能生效\n", "with open('sys.conf','w') as f:\n", " cf.write(f)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 添加一个section" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [], "source": [ "cf.add_section('log')\n", "cf.set('log','name','mylog.log')\n", "cf.set('log','num',100)\n", "cf.set('log','size',10.55)\n", "cf.set('log','auto_save',True)\n", "cf.set('log','info','%(bar)s is %(baz)s!')\n", "\n", "# 同样的,要写入才能生效\n", "with open('sys.conf','w') as f:\n", " cf.write(f)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "执行上面代码后,sys.conf文件多了一个section,内容如下:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "```bash\n", "[log]\n", "name = mylog.log\n", "num = 100\n", "size = 10.55\n", "auto_save = True\n", "info = %(bar)s is %(baz)s!\n", "```" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 移除某个section" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": true }, "outputs": [], "source": [ "cf.remove_section('log')\n", "\n", "# 同样的,要写入才能生效\n", "with open('sys.conf','w') as f:\n", " cf.write(f)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 移除某个option" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": true }, "outputs": [], "source": [ "cf.remove_option('db','db_pass')\n", "\n", "# 同样的,要写入才能生效\n", "with open('sys.conf','w') as f:\n", " cf.write(f)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.13" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
damienstanton/tensorflownotes
6_lstm.ipynb
1
42631
{ "cells": [ { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "8tQJd2YSCfWR" }, "source": [] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "D7tqLMoKF6uq" }, "source": [ "Deep Learning\n", "=============\n", "\n", "Assignment 6\n", "------------\n", "\n", "After training a skip-gram model in `5_word2vec.ipynb`, the goal of this notebook is to train a LSTM character model over [Text8](http://mattmahoney.net/dc/textdata) data." ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 } }, "colab_type": "code", "collapsed": true, "id": "MvEblsgEXxrd" }, "outputs": [], "source": [ "# These are all the modules we'll be using later. Make sure you can import them\n", "# before proceeding further.\n", "from __future__ import print_function\n", "import os\n", "import numpy as np\n", "import random\n", "import string\n", "import tensorflow as tf\n", "import zipfile\n", "from six.moves import range\n", "from six.moves.urllib.request import urlretrieve" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 }, "output_extras": [ { "item_id": 1 } ] }, "colab_type": "code", "collapsed": false, "executionInfo": { "elapsed": 5993, "status": "ok", "timestamp": 1445965582896, "user": { "color": "#1FA15D", "displayName": "Vincent Vanhoucke", "isAnonymous": false, "isMe": true, "permissionId": "05076109866853157986", "photoUrl": "//lh6.googleusercontent.com/-cCJa7dTDcgQ/AAAAAAAAAAI/AAAAAAAACgw/r2EZ_8oYer4/s50-c-k-no/photo.jpg", "sessionId": "6f6f07b359200c46", "userId": "102167687554210253930" }, "user_tz": 420 }, "id": "RJ-o3UBUFtCw", "outputId": "d530534e-0791-4a94-ca6d-1c8f1b908a9e" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Found and verified text8.zip\n" ] } ], "source": [ "url = 'http://mattmahoney.net/dc/'\n", "\n", "def maybe_download(filename, expected_bytes):\n", " \"\"\"Download a file if not present, and make sure it's the right size.\"\"\"\n", " if not os.path.exists(filename):\n", " filename, _ = urlretrieve(url + filename, filename)\n", " statinfo = os.stat(filename)\n", " if statinfo.st_size == expected_bytes:\n", " print('Found and verified %s' % filename)\n", " else:\n", " print(statinfo.st_size)\n", " raise Exception(\n", " 'Failed to verify ' + filename + '. Can you get to it with a browser?')\n", " return filename\n", "\n", "filename = maybe_download('text8.zip', 31344016)" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 }, "output_extras": [ { "item_id": 1 } ] }, "colab_type": "code", "collapsed": false, "executionInfo": { "elapsed": 5982, "status": "ok", "timestamp": 1445965582916, "user": { "color": "#1FA15D", "displayName": "Vincent Vanhoucke", "isAnonymous": false, "isMe": true, "permissionId": "05076109866853157986", "photoUrl": "//lh6.googleusercontent.com/-cCJa7dTDcgQ/AAAAAAAAAAI/AAAAAAAACgw/r2EZ_8oYer4/s50-c-k-no/photo.jpg", "sessionId": "6f6f07b359200c46", "userId": "102167687554210253930" }, "user_tz": 420 }, "id": "Mvf09fjugFU_", "outputId": "8f75db58-3862-404b-a0c3-799380597390" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Data size 100000000\n" ] } ], "source": [ "def read_data(filename):\n", " f = zipfile.ZipFile(filename)\n", " for name in f.namelist():\n", " return tf.compat.as_str(f.read(name))\n", " f.close()\n", " \n", "text = read_data(filename)\n", "print('Data size %d' % len(text))" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "ga2CYACE-ghb" }, "source": [ "Create a small validation set." ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 }, "output_extras": [ { "item_id": 1 } ] }, "colab_type": "code", "collapsed": false, "executionInfo": { "elapsed": 6184, "status": "ok", "timestamp": 1445965583138, "user": { "color": "#1FA15D", "displayName": "Vincent Vanhoucke", "isAnonymous": false, "isMe": true, "permissionId": "05076109866853157986", "photoUrl": "//lh6.googleusercontent.com/-cCJa7dTDcgQ/AAAAAAAAAAI/AAAAAAAACgw/r2EZ_8oYer4/s50-c-k-no/photo.jpg", "sessionId": "6f6f07b359200c46", "userId": "102167687554210253930" }, "user_tz": 420 }, "id": "w-oBpfFG-j43", "outputId": "bdb96002-d021-4379-f6de-a977924f0d02" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "99999000 ons anarchists advocate social relations based upon voluntary as\n", "1000 anarchism originated as a term of abuse first used against earl\n" ] } ], "source": [ "valid_size = 1000\n", "valid_text = text[:valid_size]\n", "train_text = text[valid_size:]\n", "train_size = len(train_text)\n", "print(train_size, train_text[:64])\n", "print(valid_size, valid_text[:64])" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "Zdw6i4F8glpp" }, "source": [ "Utility functions to map characters to vocabulary IDs and back." ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 }, "output_extras": [ { "item_id": 1 } ] }, "colab_type": "code", "collapsed": false, "executionInfo": { "elapsed": 6276, "status": "ok", "timestamp": 1445965583249, "user": { "color": "#1FA15D", "displayName": "Vincent Vanhoucke", "isAnonymous": false, "isMe": true, "permissionId": "05076109866853157986", "photoUrl": "//lh6.googleusercontent.com/-cCJa7dTDcgQ/AAAAAAAAAAI/AAAAAAAACgw/r2EZ_8oYer4/s50-c-k-no/photo.jpg", "sessionId": "6f6f07b359200c46", "userId": "102167687554210253930" }, "user_tz": 420 }, "id": "gAL1EECXeZsD", "outputId": "88fc9032-feb9-45ff-a9a0-a26759cc1f2e" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1 26 0 Unexpected character: ï\n", "0\n", "a z \n" ] } ], "source": [ "vocabulary_size = len(string.ascii_lowercase) + 1 # [a-z] + ' '\n", "first_letter = ord(string.ascii_lowercase[0])\n", "\n", "def char2id(char):\n", " if char in string.ascii_lowercase:\n", " return ord(char) - first_letter + 1\n", " elif char == ' ':\n", " return 0\n", " else:\n", " print('Unexpected character: %s' % char)\n", " return 0\n", " \n", "def id2char(dictid):\n", " if dictid > 0:\n", " return chr(dictid + first_letter - 1)\n", " else:\n", " return ' '\n", "\n", "print(char2id('a'), char2id('z'), char2id(' '), char2id('ï'))\n", "print(id2char(1), id2char(26), id2char(0))" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "lFwoyygOmWsL" }, "source": [ "Function to generate a training batch for the LSTM model." ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 }, "output_extras": [ { "item_id": 1 } ] }, "colab_type": "code", "collapsed": false, "executionInfo": { "elapsed": 6473, "status": "ok", "timestamp": 1445965583467, "user": { "color": "#1FA15D", "displayName": "Vincent Vanhoucke", "isAnonymous": false, "isMe": true, "permissionId": "05076109866853157986", "photoUrl": "//lh6.googleusercontent.com/-cCJa7dTDcgQ/AAAAAAAAAAI/AAAAAAAACgw/r2EZ_8oYer4/s50-c-k-no/photo.jpg", "sessionId": "6f6f07b359200c46", "userId": "102167687554210253930" }, "user_tz": 420 }, "id": "d9wMtjy5hCj9", "outputId": "3dd79c80-454a-4be0-8b71-4a4a357b3367" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['ons anarchi', 'when milita', 'lleria arch', ' abbeys and', 'married urr', 'hel and ric', 'y and litur', 'ay opened f', 'tion from t', 'migration t', 'new york ot', 'he boeing s', 'e listed wi', 'eber has pr', 'o be made t', 'yer who rec', 'ore signifi', 'a fierce cr', ' two six ei', 'aristotle s', 'ity can be ', ' and intrac', 'tion of the', 'dy to pass ', 'f certain d', 'at it will ', 'e convince ', 'ent told hi', 'ampaign and', 'rver side s', 'ious texts ', 'o capitaliz', 'a duplicate', 'gh ann es d', 'ine january', 'ross zero t', 'cal theorie', 'ast instanc', ' dimensiona', 'most holy m', 't s support', 'u is still ', 'e oscillati', 'o eight sub', 'of italy la', 's the tower', 'klahoma pre', 'erprise lin', 'ws becomes ', 'et in a naz', 'the fabian ', 'etchy to re', ' sharman ne', 'ised empero', 'ting in pol', 'd neo latin', 'th risky ri', 'encyclopedi', 'fense the a', 'duating fro', 'treet grid ', 'ations more', 'appeal of d', 'si have mad']\n", "['ists advoca', 'ary governm', 'hes nationa', 'd monasteri', 'raca prince', 'chard baer ', 'rgical lang', 'for passeng', 'the nationa', 'took place ', 'ther well k', 'seven six s', 'ith a gloss', 'robably bee', 'to recogniz', 'ceived the ', 'icant than ', 'ritic of th', 'ight in sig', 's uncaused ', ' lost as in', 'cellular ic', 'e size of t', ' him a stic', 'drugs confu', ' take to co', ' the priest', 'im to name ', 'd barred at', 'standard fo', ' such as es', 'ze on the g', 'e of the or', 'd hiver one', 'y eight mar', 'the lead ch', 'es classica', 'ce the non ', 'al analysis', 'mormons bel', 't or at lea', ' disagreed ', 'ing system ', 'btypes base', 'anguages th', 'r commissio', 'ess one nin', 'nux suse li', ' the first ', 'zi concentr', ' society ne', 'elatively s', 'etworks sha', 'or hirohito', 'litical ini', 'n most of t', 'iskerdoo ri', 'ic overview', 'air compone', 'om acnm acc', ' centerline', 'e than any ', 'devotional ', 'de such dev']\n", "[' a']\n", "['an']\n" ] } ], "source": [ "batch_size=64\n", "num_unrollings=10\n", "\n", "class BatchGenerator(object):\n", " def __init__(self, text, batch_size, num_unrollings):\n", " self._text = text\n", " self._text_size = len(text)\n", " self._batch_size = batch_size\n", " self._num_unrollings = num_unrollings\n", " segment = self._text_size // batch_size\n", " self._cursor = [ offset * segment for offset in range(batch_size)]\n", " self._last_batch = self._next_batch()\n", " \n", " def _next_batch(self):\n", " \"\"\"Generate a single batch from the current cursor position in the data.\"\"\"\n", " batch = np.zeros(shape=(self._batch_size, vocabulary_size), dtype=np.float)\n", " for b in range(self._batch_size):\n", " batch[b, char2id(self._text[self._cursor[b]])] = 1.0\n", " self._cursor[b] = (self._cursor[b] + 1) % self._text_size\n", " return batch\n", " \n", " def next(self):\n", " \"\"\"Generate the next array of batches from the data. The array consists of\n", " the last batch of the previous array, followed by num_unrollings new ones.\n", " \"\"\"\n", " batches = [self._last_batch]\n", " for step in range(self._num_unrollings):\n", " batches.append(self._next_batch())\n", " self._last_batch = batches[-1]\n", " return batches\n", "\n", "def characters(probabilities):\n", " \"\"\"Turn a 1-hot encoding or a probability distribution over the possible\n", " characters back into its (mostl likely) character representation.\"\"\"\n", " return [id2char(c) for c in np.argmax(probabilities, 1)]\n", "\n", "def batches2string(batches):\n", " \"\"\"Convert a sequence of batches back into their (most likely) string\n", " representation.\"\"\"\n", " s = [''] * batches[0].shape[0]\n", " for b in batches:\n", " s = [''.join(x) for x in zip(s, characters(b))]\n", " return s\n", "\n", "train_batches = BatchGenerator(train_text, batch_size, num_unrollings)\n", "valid_batches = BatchGenerator(valid_text, 1, 1)\n", "\n", "print(batches2string(train_batches.next()))\n", "print(batches2string(train_batches.next()))\n", "print(batches2string(valid_batches.next()))\n", "print(batches2string(valid_batches.next()))" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 } }, "colab_type": "code", "collapsed": true, "id": "KyVd8FxT5QBc" }, "outputs": [], "source": [ "def logprob(predictions, labels):\n", " \"\"\"Log-probability of the true labels in a predicted batch.\"\"\"\n", " predictions[predictions < 1e-10] = 1e-10\n", " return np.sum(np.multiply(labels, -np.log(predictions))) / labels.shape[0]\n", "\n", "def sample_distribution(distribution):\n", " \"\"\"Sample one element from a distribution assumed to be an array of normalized\n", " probabilities.\n", " \"\"\"\n", " r = random.uniform(0, 1)\n", " s = 0\n", " for i in range(len(distribution)):\n", " s += distribution[i]\n", " if s >= r:\n", " return i\n", " return len(distribution) - 1\n", "\n", "def sample(prediction):\n", " \"\"\"Turn a (column) prediction into 1-hot encoded samples.\"\"\"\n", " p = np.zeros(shape=[1, vocabulary_size], dtype=np.float)\n", " p[0, sample_distribution(prediction[0])] = 1.0\n", " return p\n", "\n", "def random_distribution():\n", " \"\"\"Generate a random column of probabilities.\"\"\"\n", " b = np.random.uniform(0.0, 1.0, size=[1, vocabulary_size])\n", " return b/np.sum(b, 1)[:,None]" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "K8f67YXaDr4C" }, "source": [ "Simple LSTM Model." ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 } }, "colab_type": "code", "collapsed": true, "id": "Q5rxZK6RDuGe" }, "outputs": [], "source": [ "num_nodes = 64\n", "\n", "graph = tf.Graph()\n", "with graph.as_default():\n", " \n", " # Parameters:\n", " # Input gate: input, previous output, and bias.\n", " ix = tf.Variable(tf.truncated_normal([vocabulary_size, num_nodes], -0.1, 0.1))\n", " im = tf.Variable(tf.truncated_normal([num_nodes, num_nodes], -0.1, 0.1))\n", " ib = tf.Variable(tf.zeros([1, num_nodes]))\n", " # Forget gate: input, previous output, and bias.\n", " fx = tf.Variable(tf.truncated_normal([vocabulary_size, num_nodes], -0.1, 0.1))\n", " fm = tf.Variable(tf.truncated_normal([num_nodes, num_nodes], -0.1, 0.1))\n", " fb = tf.Variable(tf.zeros([1, num_nodes]))\n", " # Memory cell: input, state and bias. \n", " cx = tf.Variable(tf.truncated_normal([vocabulary_size, num_nodes], -0.1, 0.1))\n", " cm = tf.Variable(tf.truncated_normal([num_nodes, num_nodes], -0.1, 0.1))\n", " cb = tf.Variable(tf.zeros([1, num_nodes]))\n", " # Output gate: input, previous output, and bias.\n", " ox = tf.Variable(tf.truncated_normal([vocabulary_size, num_nodes], -0.1, 0.1))\n", " om = tf.Variable(tf.truncated_normal([num_nodes, num_nodes], -0.1, 0.1))\n", " ob = tf.Variable(tf.zeros([1, num_nodes]))\n", " # Variables saving state across unrollings.\n", " saved_output = tf.Variable(tf.zeros([batch_size, num_nodes]), trainable=False)\n", " saved_state = tf.Variable(tf.zeros([batch_size, num_nodes]), trainable=False)\n", " # Classifier weights and biases.\n", " w = tf.Variable(tf.truncated_normal([num_nodes, vocabulary_size], -0.1, 0.1))\n", " b = tf.Variable(tf.zeros([vocabulary_size]))\n", " \n", " # Definition of the cell computation.\n", " def lstm_cell(i, o, state):\n", " \"\"\"Create a LSTM cell. See e.g.: http://arxiv.org/pdf/1402.1128v1.pdf\n", " Note that in this formulation, we omit the various connections between the\n", " previous state and the gates.\"\"\"\n", " input_gate = tf.sigmoid(tf.matmul(i, ix) + tf.matmul(o, im) + ib)\n", " forget_gate = tf.sigmoid(tf.matmul(i, fx) + tf.matmul(o, fm) + fb)\n", " update = tf.matmul(i, cx) + tf.matmul(o, cm) + cb\n", " state = forget_gate * state + input_gate * tf.tanh(update)\n", " output_gate = tf.sigmoid(tf.matmul(i, ox) + tf.matmul(o, om) + ob)\n", " return output_gate * tf.tanh(state), state\n", "\n", " # Input data.\n", " train_data = list()\n", " for _ in range(num_unrollings + 1):\n", " train_data.append(\n", " tf.placeholder(tf.float32, shape=[batch_size,vocabulary_size]))\n", " train_inputs = train_data[:num_unrollings]\n", " train_labels = train_data[1:] # labels are inputs shifted by one time step.\n", "\n", " # Unrolled LSTM loop.\n", " outputs = list()\n", " output = saved_output\n", " state = saved_state\n", " for i in train_inputs:\n", " output, state = lstm_cell(i, output, state)\n", " outputs.append(output)\n", "\n", " # State saving across unrollings.\n", " with tf.control_dependencies([saved_output.assign(output),\n", " saved_state.assign(state)]):\n", " # Classifier.\n", " logits = tf.nn.xw_plus_b(tf.concat(0, outputs), w, b)\n", " loss = tf.reduce_mean(\n", " tf.nn.softmax_cross_entropy_with_logits(\n", " logits, tf.concat(0, train_labels)))\n", "\n", " # Optimizer.\n", " global_step = tf.Variable(0)\n", " learning_rate = tf.train.exponential_decay(\n", " 10.0, global_step, 5000, 0.1, staircase=True)\n", " optimizer = tf.train.GradientDescentOptimizer(learning_rate)\n", " gradients, v = zip(*optimizer.compute_gradients(loss))\n", " gradients, _ = tf.clip_by_global_norm(gradients, 1.25)\n", " optimizer = optimizer.apply_gradients(\n", " zip(gradients, v), global_step=global_step)\n", "\n", " # Predictions.\n", " train_prediction = tf.nn.softmax(logits)\n", " \n", " # Sampling and validation eval: batch 1, no unrolling.\n", " sample_input = tf.placeholder(tf.float32, shape=[1, vocabulary_size])\n", " saved_sample_output = tf.Variable(tf.zeros([1, num_nodes]))\n", " saved_sample_state = tf.Variable(tf.zeros([1, num_nodes]))\n", " reset_sample_state = tf.group(\n", " saved_sample_output.assign(tf.zeros([1, num_nodes])),\n", " saved_sample_state.assign(tf.zeros([1, num_nodes])))\n", " sample_output, sample_state = lstm_cell(\n", " sample_input, saved_sample_output, saved_sample_state)\n", " with tf.control_dependencies([saved_sample_output.assign(sample_output),\n", " saved_sample_state.assign(sample_state)]):\n", " sample_prediction = tf.nn.softmax(tf.nn.xw_plus_b(sample_output, w, b))" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "cellView": "both", "colab": { "autoexec": { "startup": false, "wait_interval": 0 }, "output_extras": [ { "item_id": 41 }, { "item_id": 80 }, { "item_id": 126 }, { "item_id": 144 } ] }, "colab_type": "code", "collapsed": false, "executionInfo": { "elapsed": 199909, "status": "ok", "timestamp": 1445965877333, "user": { "color": "#1FA15D", "displayName": "Vincent Vanhoucke", "isAnonymous": false, "isMe": true, "permissionId": "05076109866853157986", "photoUrl": "//lh6.googleusercontent.com/-cCJa7dTDcgQ/AAAAAAAAAAI/AAAAAAAACgw/r2EZ_8oYer4/s50-c-k-no/photo.jpg", "sessionId": "6f6f07b359200c46", "userId": "102167687554210253930" }, "user_tz": 420 }, "id": "RD9zQCZTEaEm", "outputId": "5e868466-2532-4545-ce35-b403cf5d9de6" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Initialized\n", "Average loss at step 0 : 3.29904174805 learning rate: 10.0\n", "Minibatch perplexity: 27.09\n", "================================================================================\n", "srk dwmrnuldtbbgg tapootidtu xsciu sgokeguw hi ieicjq lq piaxhazvc s fht wjcvdlh\n", "lhrvallvbeqqquc dxd y siqvnle bzlyw nr rwhkalezo siie o deb e lpdg storq u nx o\n", "meieu nantiouie gdys qiuotblci loc hbiznauiccb cqzed acw l tsm adqxplku gn oaxet\n", "unvaouc oxchywdsjntdh zpklaejvxitsokeerloemee htphisb th eaeqseibumh aeeyj j orw\n", "ogmnictpycb whtup otnilnesxaedtekiosqet liwqarysmt arj flioiibtqekycbrrgoysj\n", "================================================================================\n", "Validation set perplexity: 19.99\n", "Average loss at step 100 : 2.59553678274 learning rate: 10.0\n", "Minibatch perplexity: 9.57\n", "Validation set perplexity: 10.60\n", "Average loss at step 200 : 2.24747137785 learning rate: 10.0\n", "Minibatch perplexity: 7.68\n", "Validation set perplexity: 8.84\n", "Average loss at step 300 : 2.09438110709 learning rate: 10.0\n", "Minibatch perplexity: 7.41\n", "Validation set perplexity: 8.13\n", "Average loss at step 400 : 1.99440989017 learning rate: 10.0\n", "Minibatch perplexity: 6.46\n", "Validation set perplexity: 7.58\n", "Average loss at step 500 : 1.9320810616 learning rate: 10.0\n", "Minibatch perplexity: 6.30\n", "Validation set perplexity: 6.88\n", "Average loss at step 600 : 1.90935629249 learning rate: 10.0\n", "Minibatch perplexity: 7.21\n", "Validation set perplexity: 6.91\n", "Average loss at step 700 : 1.85583009005 learning rate: 10.0\n", "Minibatch perplexity: 6.13\n", "Validation set perplexity: 6.60\n", "Average loss at step 800 : 1.82152368546 learning rate: 10.0\n", "Minibatch perplexity: 6.01\n", "Validation set perplexity: 6.37\n", "Average loss at step 900 : 1.83169809818 learning rate: 10.0\n", "Minibatch perplexity: 7.20\n", "Validation set perplexity: 6.23\n", "Average loss at step 1000 : 1.82217029214 learning rate: 10.0\n", "Minibatch perplexity: 6.73\n", "================================================================================\n", "le action b of the tert sy ofter selvorang previgned stischdy yocal chary the co\n", "le relganis networks partucy cetinning wilnchan sics rumeding a fulch laks oftes\n", "hian andoris ret the ecause bistory l pidect one eight five lack du that the ses\n", "aiv dromery buskocy becomer worils resism disele retery exterrationn of hide in \n", "mer miter y sught esfectur of the upission vain is werms is vul ugher compted by\n", "================================================================================\n", "Validation set perplexity: 6.07\n", "Average loss at step 1100 : 1.77301145077 learning rate: 10.0\n", "Minibatch perplexity: 6.03\n", "Validation set perplexity: 5.89\n", "Average loss at step 1200 : 1.75306463003 learning rate: 10.0\n", "Minibatch perplexity: 6.50\n", "Validation set perplexity: 5.61\n", "Average loss at step 1300 : 1.72937195778 learning rate: 10.0\n", "Minibatch perplexity: 5.00\n", "Validation set perplexity: 5.60\n", "Average loss at step 1400 : 1.74773373723 learning rate: 10.0\n", "Minibatch perplexity: 6.48\n", "Validation set perplexity: 5.66\n", "Average loss at step 1500 : 1.7368799901 learning rate: 10.0\n", "Minibatch perplexity: 5.22\n", "Validation set perplexity: 5.44\n", "Average loss at step 1600 : 1.74528762937 learning rate: 10.0\n", "Minibatch perplexity: 5.85\n", "Validation set perplexity: 5.33\n", "Average loss at step 1700 : 1.70881183743 learning rate: 10.0\n", "Minibatch perplexity: 5.33\n", "Validation set perplexity: 5.56\n", "Average loss at step 1800 : 1.67776108027 learning rate: 10.0\n", "Minibatch perplexity: 5.33\n", "Validation set perplexity: 5.29\n", "Average loss at step 1900 : 1.64935536742 learning rate: 10.0\n", "Minibatch perplexity: 5.29\n", "Validation set perplexity: 5.15\n", "Average loss at step 2000 : 1.69528644681 learning rate: 10.0\n", "Minibatch perplexity: 5.13\n", "================================================================================\n", "vers soqually have one five landwing to docial page kagan lower with ther batern\n", "ctor son alfortmandd tethre k skin the known purated to prooust caraying the fit\n", "je in beverb is the sournction bainedy wesce tu sture artualle lines digra forme\n", "m rousively haldio ourso ond anvary was for the seven solies hild buil s to te\n", "zall for is it is one nine eight eight one neval to the kime typer oene where he\n", "================================================================================\n", "Validation set perplexity: 5.25\n", "Average loss at step 2100 : 1.68808053017 learning rate: 10.0\n", "Minibatch perplexity: 5.17\n", "Validation set perplexity: 5.01\n", "Average loss at step 2200 : 1.68322490931 learning rate: 10.0\n", "Minibatch perplexity: 5.09\n", "Validation set perplexity: 5.15\n", "Average loss at step 2300 : 1.64465074301 learning rate: 10.0\n", "Minibatch perplexity: 5.51\n", "Validation set perplexity: 5.00\n", "Average loss at step 2400 : 1.66408578038 learning rate: 10.0\n", "Minibatch perplexity: 5.86\n", "Validation set perplexity: 4.80\n", "Average loss at step 2500 : 1.68515402555 learning rate: 10.0\n", "Minibatch perplexity: 5.75\n", "Validation set perplexity: 4.82\n", "Average loss at step 2600 : 1.65405208349 learning rate: 10.0\n", "Minibatch perplexity: 5.38\n", "Validation set perplexity: 4.85\n", "Average loss at step 2700 : 1.65706222177 learning rate: 10.0\n", "Minibatch perplexity: 5.46\n", "Validation set perplexity: 4.78\n", "Average loss at step 2800 : 1.65204829812 learning rate: 10.0\n", "Minibatch perplexity: 5.06\n", "Validation set perplexity: 4.64\n", "Average loss at step 2900 : 1.65107253551 learning rate: 10.0\n", "Minibatch perplexity: 5.00\n", "Validation set perplexity: 4.61\n", "Average loss at step 3000 : 1.6495274055 learning rate: 10.0\n", "Minibatch perplexity: 4.53\n", "================================================================================\n", "ject covered in belo one six six to finsh that all di rozial sime it a the lapse\n", "ble which the pullic bocades record r to sile dric two one four nine seven six f\n", " originally ame the playa ishaps the stotchational in a p dstambly name which as\n", "ore volum to bay riwer foreal in nuily operety can and auscham frooripm however \n", "kan traogey was lacous revision the mott coupofiteditey the trando insended frop\n", "================================================================================\n", "Validation set perplexity: 4.76\n", "Average loss at step 3100 : 1.63705502152 learning rate: 10.0\n", "Minibatch perplexity: 5.50\n", "Validation set perplexity: 4.76\n", "Average loss at step 3200 : 1.64740695596 learning rate: 10.0\n", "Minibatch perplexity: 4.84\n", "Validation set perplexity: 4.67\n", "Average loss at step 3300 : 1.64711504817 learning rate: 10.0\n", "Minibatch perplexity: 5.39\n", "Validation set perplexity: 4.57\n", "Average loss at step 3400 : 1.67113256454 learning rate: 10.0\n", "Minibatch perplexity: 5.56\n", "Validation set perplexity: 4.71\n", "Average loss at step 3500 : 1.65637169957 learning rate: 10.0\n", "Minibatch perplexity: 5.03\n", "Validation set perplexity: 4.80\n", "Average loss at step 3600 : 1.66601825476 learning rate: 10.0\n", "Minibatch perplexity: 4.63\n", "Validation set perplexity: 4.52\n", "Average loss at step 3700 : 1.65021387935 learning rate: 10.0\n", "Minibatch perplexity: 5.50\n", "Validation set perplexity: 4.56\n", "Average loss at step 3800 : 1.64481814981 learning rate: 10.0\n", "Minibatch perplexity: 4.60\n", "Validation set perplexity: 4.54\n", "Average loss at step 3900 : 1.642069453 learning rate: 10.0\n", "Minibatch perplexity: 4.91\n", "Validation set perplexity: 4.54\n", "Average loss at step 4000 : 1.65179730773 learning rate: 10.0\n", "Minibatch perplexity: 4.77\n", "================================================================================\n", "k s rasbonish roctes the nignese at heacle was sito of beho anarchys and with ro\n", "jusar two sue wletaus of chistical in causations d ow trancic bruthing ha laters\n", "de and speacy pulted yoftret worksy zeatlating to eight d had to ie bue seven si\n", "s fiction of the feelly constive suq flanch earlied curauking bjoventation agent\n", "quen s playing it calana our seopity also atbellisionaly comexing the revideve i\n", "================================================================================\n", "Validation set perplexity: 4.58\n", "Average loss at step 4100 : 1.63794238806 learning rate: 10.0\n", "Minibatch perplexity: 5.47\n", "Validation set perplexity: 4.79\n", "Average loss at step 4200 : 1.63822438836 learning rate: 10.0\n", "Minibatch perplexity: 5.30\n", "Validation set perplexity: 4.54\n", "Average loss at step 4300 : 1.61844664574 learning rate: 10.0\n", "Minibatch perplexity: 4.69\n", "Validation set perplexity: 4.54\n", "Average loss at step 4400 : 1.61255454302 learning rate: 10.0\n", "Minibatch perplexity: 4.67\n", "Validation set perplexity: 4.54\n", "Average loss at step 4500 : 1.61543365479 learning rate: 10.0\n", "Minibatch perplexity: 4.83\n", "Validation set perplexity: 4.69\n", "Average loss at step 4600 : 1.61607327104 learning rate: 10.0\n", "Minibatch perplexity: 5.18\n", "Validation set perplexity: 4.64\n", "Average loss at step 4700 : 1.62757282495 learning rate: 10.0\n", "Minibatch perplexity: 4.24\n", "Validation set perplexity: 4.66\n", "Average loss at step 4800 : 1.63222063541 learning rate: 10.0\n", "Minibatch perplexity: 5.30\n", "Validation set perplexity: 4.53\n", "Average loss at step 4900 : 1.63678096652 learning rate: 10.0\n", "Minibatch perplexity: 5.43\n", "Validation set perplexity: 4.64\n", "Average loss at step 5000 : 1.610340662 learning rate: 1.0\n", "Minibatch perplexity: 5.10\n", "================================================================================\n", "in b one onarbs revieds the kimiluge that fondhtic fnoto cre one nine zero zero \n", " of is it of marking panzia t had wap ironicaghni relly deah the omber b h menba\n", "ong messified it his the likdings ara subpore the a fames distaled self this int\n", "y advante authors the end languarle meit common tacing bevolitione and eight one\n", "zes that materly difild inllaring the fusts not panition assertian causecist bas\n", "================================================================================\n", "Validation set perplexity: 4.69\n", "Average loss at step 5100 : 1.60593637228 learning rate: 1.0\n", "Minibatch perplexity: 4.69\n", "Validation set perplexity: 4.47\n", "Average loss at step 5200 : 1.58993269444 learning rate: 1.0\n", "Minibatch perplexity: 4.65\n", "Validation set perplexity: 4.39\n", "Average loss at step 5300 : 1.57930587292 learning rate: 1.0\n", "Minibatch perplexity: 5.11\n", "Validation set perplexity: 4.39\n", "Average loss at step 5400 : 1.58022856832 learning rate: 1.0\n", "Minibatch perplexity: 5.19\n", "Validation set perplexity: 4.37\n", "Average loss at step 5500 : 1.56654450059 learning rate: 1.0\n", "Minibatch perplexity: 4.69\n", "Validation set perplexity: 4.33\n", "Average loss at step 5600 : 1.58013380885 learning rate: 1.0\n", "Minibatch perplexity: 5.13\n", "Validation set perplexity: 4.35\n", "Average loss at step 5700 : 1.56974959254 learning rate: 1.0\n", "Minibatch perplexity: 5.00\n", "Validation set perplexity: 4.34\n", "Average loss at step 5800 : 1.5839582932 learning rate: 1.0\n", "Minibatch perplexity: 4.88\n", "Validation set perplexity: 4.31\n", "Average loss at step 5900 : 1.57129439116 learning rate: 1.0\n", "Minibatch perplexity: 4.66\n", "Validation set perplexity: 4.32\n", "Average loss at step 6000 : 1.55144061089 learning rate: 1.0\n", "Minibatch perplexity: 4.55\n", "================================================================================\n", "utic clositical poopy stribe addi nixe one nine one zero zero eight zero b ha ex\n", "zerns b one internequiption of the secordy way anti proble akoping have fictiona\n", "phare united from has poporarly cities book ins sweden emperor a sass in origina\n", "quulk destrebinist and zeilazar and on low and by in science over country weilti\n", "x are holivia work missincis ons in the gages to starsle histon one icelanctrotu\n", "================================================================================\n", "Validation set perplexity: 4.30\n", "Average loss at step 6100 : 1.56450940847 learning rate: 1.0\n", "Minibatch perplexity: 4.77\n", "Validation set perplexity: 4.27\n", "Average loss at step 6200 : 1.53433164835 learning rate: 1.0\n", "Minibatch perplexity: 4.77\n", "Validation set perplexity: 4.27\n", "Average loss at step 6300 : 1.54773445129 learning rate: 1.0\n", "Minibatch perplexity: 4.76\n", "Validation set perplexity: 4.25\n", "Average loss at step 6400 : 1.54021131516 learning rate: 1.0\n", "Minibatch perplexity: 4.56\n", "Validation set perplexity: 4.24\n", "Average loss at step 6500 : 1.56153374553 learning rate: 1.0\n", "Minibatch perplexity: 5.43\n", "Validation set perplexity: 4.27\n", "Average loss at step 6600 : 1.59556478739 learning rate: 1.0\n", "Minibatch perplexity: 4.92\n", "Validation set perplexity: 4.28\n", "Average loss at step 6700 : 1.58076951623 learning rate: 1.0\n", "Minibatch perplexity: 4.77\n", "Validation set perplexity: 4.30\n", "Average loss at step 6800 : 1.6070714438 learning rate: 1.0\n", "Minibatch perplexity: 4.98\n", "Validation set perplexity: 4.28\n", "Average loss at step 6900 : 1.58413293839 learning rate: 1.0\n", "Minibatch perplexity: 4.61\n", "Validation set perplexity: 4.29\n", "Average loss at step 7000 : 1.57905534983 learning rate: 1.0\n", "Minibatch perplexity: 5.08\n", "================================================================================\n", "jague are officiencinels ored by film voon higherise haik one nine on the iffirc\n", "oshe provision that manned treatists on smalle bodariturmeristing the girto in s\n", "kis would softwenn mustapultmine truativersakys bersyim by s of confound esc bub\n", "ry of the using one four six blain ira mannom marencies g with fextificallise re\n", " one son vit even an conderouss to person romer i a lebapter at obiding are iuse\n", "================================================================================\n", "Validation set perplexity: 4.25\n" ] } ], "source": [ "num_steps = 7001\n", "summary_frequency = 100\n", "\n", "with tf.Session(graph=graph) as session:\n", " tf.initialize_all_variables().run()\n", " print('Initialized')\n", " mean_loss = 0\n", " for step in range(num_steps):\n", " batches = train_batches.next()\n", " feed_dict = dict()\n", " for i in range(num_unrollings + 1):\n", " feed_dict[train_data[i]] = batches[i]\n", " _, l, predictions, lr = session.run(\n", " [optimizer, loss, train_prediction, learning_rate], feed_dict=feed_dict)\n", " mean_loss += l\n", " if step % summary_frequency == 0:\n", " if step > 0:\n", " mean_loss = mean_loss / summary_frequency\n", " # The mean loss is an estimate of the loss over the last few batches.\n", " print(\n", " 'Average loss at step %d: %f learning rate: %f' % (step, mean_loss, lr))\n", " mean_loss = 0\n", " labels = np.concatenate(list(batches)[1:])\n", " print('Minibatch perplexity: %.2f' % float(\n", " np.exp(logprob(predictions, labels))))\n", " if step % (summary_frequency * 10) == 0:\n", " # Generate some samples.\n", " print('=' * 80)\n", " for _ in range(5):\n", " feed = sample(random_distribution())\n", " sentence = characters(feed)[0]\n", " reset_sample_state.run()\n", " for _ in range(79):\n", " prediction = sample_prediction.eval({sample_input: feed})\n", " feed = sample(prediction)\n", " sentence += characters(feed)[0]\n", " print(sentence)\n", " print('=' * 80)\n", " # Measure validation set perplexity.\n", " reset_sample_state.run()\n", " valid_logprob = 0\n", " for _ in range(valid_size):\n", " b = valid_batches.next()\n", " predictions = sample_prediction.eval({sample_input: b[0]})\n", " valid_logprob = valid_logprob + logprob(predictions, b[1])\n", " print('Validation set perplexity: %.2f' % float(np.exp(\n", " valid_logprob / valid_size)))" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "pl4vtmFfa5nn" }, "source": [ "---\n", "Problem 1\n", "---------\n", "\n", "You might have noticed that the definition of the LSTM cell involves 4 matrix multiplications with the input, and 4 matrix multiplications with the output. Simplify the expression by using a single matrix multiply for each, and variables that are 4 times larger.\n", "\n", "---" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "4eErTCTybtph" }, "source": [ "---\n", "Problem 2\n", "---------\n", "\n", "We want to train a LSTM over bigrams, that is pairs of consecutive characters like 'ab' instead of single characters like 'a'. Since the number of possible bigrams is large, feeding them directly to the LSTM using 1-hot encodings will lead to a very sparse representation that is very wasteful computationally.\n", "\n", "a- Introduce an embedding lookup on the inputs, and feed the embeddings to the LSTM cell instead of the inputs themselves.\n", "\n", "b- Write a bigram-based LSTM, modeled on the character LSTM above.\n", "\n", "c- Introduce Dropout. For best practices on how to use Dropout in LSTMs, refer to this [article](http://arxiv.org/abs/1409.2329).\n", "\n", "---" ] }, { "cell_type": "markdown", "metadata": { "colab_type": "text", "id": "Y5tapX3kpcqZ" }, "source": [ "---\n", "Problem 3\n", "---------\n", "\n", "(difficult!)\n", "\n", "Write a sequence-to-sequence LSTM which mirrors all the words in a sentence. For example, if your input is:\n", "\n", " the quick brown fox\n", " \n", "the model should attempt to output:\n", "\n", " eht kciuq nworb xof\n", " \n", "Refer to the lecture on how to put together a sequence-to-sequence model, as well as [this article](http://arxiv.org/abs/1409.3215) for best practices.\n", "\n", "---" ] } ], "metadata": { "colab": { "default_view": {}, "name": "6_lstm.ipynb", "provenance": [], "version": "0.3.2", "views": {} }, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
google/floq-client
samples/notebooks/Floq_Client_Colab_Tutorial.ipynb
1
16285
{ "nbformat": 4, "nbformat_minor": 0, "metadata": { "colab": { "name": "Floq Client Colab Tutorial", "provenance": [], "collapsed_sections": [] }, "kernelspec": { "name": "python3", "display_name": "Python 3" }, "language_info": { "name": "python" } }, "cells": [ { "cell_type": "markdown", "metadata": { "id": "BA4x-JwReATi" }, "source": [ "Copyright 2021 Floq authors.\n", "\n", "Licensed under the Apache License, Version 2.0 (the \"License\");" ] }, { "cell_type": "code", "metadata": { "id": "r_T_EAyqeM--" }, "source": [ "#@title Copyright 2021 Floq authors, All Rights Reserved.\n", "# Licensed under the Apache License, Version 2.0 (the \"License\");\n", "# you may not use this file except in compliance with the License.\n", "# You may obtain a copy of the License at\n", "#\n", "# https://www.apache.org/licenses/LICENSE-2.0\n", "#\n", "# Unless required by applicable law or agreed to in writing, software\n", "# distributed under the License is distributed on an \"AS IS\" BASIS,\n", "# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", "# See the License for the specific language governing permissions and\n", "# limitations under the License." ], "execution_count": null, "outputs": [] }, { "cell_type": "markdown", "metadata": { "id": "BRRw-AIWZqlc" }, "source": [ "## Setup" ] }, { "cell_type": "code", "metadata": { "id": "4OaF0QTPKp9v" }, "source": [ "!pip install floq_client --quiet" ], "execution_count": null, "outputs": [] }, { "cell_type": "code", "metadata": { "id": "IaugKX-sZW_E" }, "source": [ "# Imports\n", "import numpy as np\n", "import sympy\n", "\n", "import cirq\n", "import floq.client" ], "execution_count": null, "outputs": [] }, { "cell_type": "markdown", "metadata": { "id": "qvL0neTKZzMT" }, "source": [ "## Floq simulation" ] }, { "cell_type": "code", "metadata": { "id": "m_8G8NmsKRKO" }, "source": [ "nrows = 10\n", "ncols = 2\n", "qubits = cirq.GridQubit.rect(nrows, ncols) # 20 qubits\n", "parameters = sympy.symbols([f'a{idx}' for idx in range(nrows * ncols)])\n", "circuit = cirq.Circuit(cirq.HPowGate(exponent=p).on(q) for p, q in zip(parameters, qubits))" ], "execution_count": null, "outputs": [] }, { "cell_type": "markdown", "metadata": { "id": "o1FtrWaZLyaE" }, "source": [ "### New observable compatible with Floq" ] }, { "cell_type": "markdown", "metadata": { "id": "Q2ZVJ0LjMeWn" }, "source": [ "Floq accepts observables in the type of `cirq.ops.linear_combinations.PauliSum` only" ] }, { "cell_type": "code", "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, "id": "52uVoaB0LxmX", "outputId": "0f94b8f9-a72c-4cc5-95d9-2de50e2af729" }, "source": [ "observables = []\n", "for i in range(nrows):\n", " for j in range(ncols):\n", " if i < nrows - 1:\n", " observables.append(cirq.Z(qubits[i*ncols + j]) * cirq.Z(qubits[(i + 1)*ncols + j]))\n", " # Z[i * ncols + j] * Z[(i + 1) * ncols + j]\n", " if j < ncols - 1:\n", " observables.append(cirq.Z(qubits[i*ncols + j]) * cirq.Z(qubits[i*ncols + j+1]))\n", " # Z[i * ncols + j] * Z[i * ncols + (j + 1)]\n", "len(observables)" ], "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "28" ] }, "metadata": { "tags": [] }, "execution_count": 10 } ] }, { "cell_type": "code", "metadata": { "id": "o07zMbZDhGIh" }, "source": [ "import copy\n", "\n", "def sum_pauli_strings(obs):\n", " m = copy.deepcopy(obs[0])\n", " for o in obs[1:]:\n", " m += o\n", " return m\n", "\n", "def split_observables(obs):\n", "# hack: split observables into many buckets with at most 26 terms\n", " obs_buckets = [obs[s:s+25] for s in range(0, len(obs), 25)]\n", " measure = []\n", " for obs in obs_buckets:\n", " measure.append(sum_pauli_strings(obs))\n", " return measure" ], "execution_count": null, "outputs": [] }, { "cell_type": "code", "metadata": { "id": "u7LvljJiQUxz" }, "source": [ "measure = split_observables(observables)" ], "execution_count": null, "outputs": [] }, { "cell_type": "code", "metadata": { "colab": { "base_uri": "https://localhost:8080/" }, "id": "NkHtjJHeQoyh", "outputId": "896b4799-0502-4449-9c7c-a535598ca2e0" }, "source": [ "[len(m) for m in measure]" ], "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "[25, 3]" ] }, "metadata": { "tags": [] }, "execution_count": 18 } ] }, { "cell_type": "code", "metadata": { "id": "Gjs3WK33WTGh" }, "source": [ "# These two results should have the same number of Pauli string terms\n", "assert sum_pauli_strings(observables) == sum_pauli_strings(measure)" ], "execution_count": null, "outputs": [] }, { "cell_type": "markdown", "metadata": { "id": "5IxZpC4gL8cM" }, "source": [ "### Padding qubits" ] }, { "cell_type": "markdown", "metadata": { "id": "lxL4w9P1MFAU" }, "source": [ "Because Floq's minimum number of qubits is 26, we need to pad it. This will be changed in the future." ] }, { "cell_type": "code", "metadata": { "id": "GoOTklQWMEO_" }, "source": [ "def pad_circuit(circ, qubits):\n", " return circ + cirq.Circuit([cirq.I(q) for q in qubits])\n", "\n", "def get_pad_qubits(circ):\n", " num = len(circ.all_qubits())\n", " return [cirq.GridQubit(num, pad) for pad in range(26 - num)]" ], "execution_count": null, "outputs": [] }, { "cell_type": "code", "metadata": { "id": "0PWqXf0kMN_-" }, "source": [ "pad_qubits = get_pad_qubits(circuit)\n", "padded_circuit = pad_circuit(circuit, pad_qubits)" ], "execution_count": null, "outputs": [] }, { "cell_type": "code", "metadata": { "colab": { "base_uri": "https://localhost:8080/", "height": 898 }, "id": "Xo_LA2xlMl6o", "outputId": "07d1e49e-0cde-41bf-a7e2-12ef87878dc7" }, "source": [ "padded_circuit" ], "execution_count": null, "outputs": [ { "output_type": "execute_result", "data": { "text/html": [ "<pre style=\"overflow: auto; white-space: pre;\">(0, 0): ────H^a0────────\n", "\n", "(0, 1): ────H^a1────────\n", "\n", "(1, 0): ────H^a2────────\n", "\n", "(1, 1): ────H^a3────────\n", "\n", "(2, 0): ────H^a4────────\n", "\n", "(2, 1): ────H^a5────────\n", "\n", "(3, 0): ────H^a6────────\n", "\n", "(3, 1): ────H^a7────────\n", "\n", "(4, 0): ────H^a8────────\n", "\n", "(4, 1): ────H^a9────────\n", "\n", "(5, 0): ────H^a10───────\n", "\n", "(5, 1): ────H^a11───────\n", "\n", "(6, 0): ────H^a12───────\n", "\n", "(6, 1): ────H^a13───────\n", "\n", "(7, 0): ────H^a14───────\n", "\n", "(7, 1): ────H^a15───────\n", "\n", "(8, 0): ────H^a16───────\n", "\n", "(8, 1): ────H^a17───────\n", "\n", "(9, 0): ────H^a18───────\n", "\n", "(9, 1): ────H^a19───────\n", "\n", "(20, 0): ───────────I───\n", "\n", "(20, 1): ───────────I───\n", "\n", "(20, 2): ───────────I───\n", "\n", "(20, 3): ───────────I───\n", "\n", "(20, 4): ───────────I───\n", "\n", "(20, 5): ───────────I───</pre>" ], "text/plain": [ "(0, 0): ────H^a0────────\n", "\n", "(0, 1): ────H^a1────────\n", "\n", "(1, 0): ────H^a2────────\n", "\n", "(1, 1): ────H^a3────────\n", "\n", "(2, 0): ────H^a4────────\n", "\n", "(2, 1): ────H^a5────────\n", "\n", "(3, 0): ────H^a6────────\n", "\n", "(3, 1): ────H^a7────────\n", "\n", "(4, 0): ────H^a8────────\n", "\n", "(4, 1): ────H^a9────────\n", "\n", "(5, 0): ────H^a10───────\n", "\n", "(5, 1): ────H^a11───────\n", "\n", "(6, 0): ────H^a12───────\n", "\n", "(6, 1): ────H^a13───────\n", "\n", "(7, 0): ────H^a14───────\n", "\n", "(7, 1): ────H^a15───────\n", "\n", "(8, 0): ────H^a16───────\n", "\n", "(8, 1): ────H^a17───────\n", "\n", "(9, 0): ────H^a18───────\n", "\n", "(9, 1): ────H^a19───────\n", "\n", "(20, 0): ───────────I───\n", "\n", "(20, 1): ───────────I───\n", "\n", "(20, 2): ───────────I───\n", "\n", "(20, 3): ───────────I───\n", "\n", "(20, 4): ───────────I───\n", "\n", "(20, 5): ───────────I───" ] }, "metadata": { "tags": [] }, "execution_count": 23 } ] }, { "cell_type": "code", "metadata": { "id": "lCG1Gw48MJf8" }, "source": [ "values = np.random.random(len(parameters))\n", "resolver = {s: v for s, v in zip(parameters, values)}\n", "print(resolver)" ], "execution_count": null, "outputs": [] }, { "cell_type": "markdown", "metadata": { "id": "XGTlxl6mMcIR" }, "source": [ "## Using Floq simulator" ] }, { "cell_type": "markdown", "metadata": { "id": "NJS68iN9Nf1o" }, "source": [ "Before going further, please **FORK THIS COLAB NOTEBOOK**, and **DO NOT SHARE YOUR API KEY WITH OTHERS PLEASE**" ] }, { "cell_type": "markdown", "metadata": { "id": "cgUmMYogWnsw" }, "source": [ "### Create & start a Floq instance" ] }, { "cell_type": "code", "metadata": { "id": "mHMKORPyLdxS" }, "source": [ "# Please specify your API_KEY\n", "API_KEY = \"\" #@param {type:\"string\"}" ], "execution_count": null, "outputs": [] }, { "cell_type": "code", "metadata": { "id": "e-myF57Ibzes" }, "source": [ "!floq-client \"$API_KEY\" worker start" ], "execution_count": null, "outputs": [] }, { "cell_type": "code", "metadata": { "id": "R51cZ4hbMmit" }, "source": [ "client = floq.client.CirqClient(API_KEY)" ], "execution_count": null, "outputs": [] }, { "cell_type": "markdown", "metadata": { "id": "qu_KpPZYYS6K" }, "source": [ "### Expectation values from the circuit and measurements" ] }, { "cell_type": "code", "metadata": { "id": "WC_Q90CpMZBJ" }, "source": [ "energy = client.simulator.simulate_expectation_values(padded_circuit, measure, resolver)" ], "execution_count": null, "outputs": [] }, { "cell_type": "code", "metadata": { "id": "3ZPG_TIxRpe7" }, "source": [ "# energy shows expectation values on each Pauli sum in measure.\n", "energy" ], "execution_count": null, "outputs": [] }, { "cell_type": "code", "metadata": { "id": "rJmyrkkNbOhf" }, "source": [ "# Here is the total energy\n", "sum(energy)" ], "execution_count": null, "outputs": [] }, { "cell_type": "markdown", "metadata": { "id": "gZKvtE8rbr28" }, "source": [ "### Samples from the circuit" ] }, { "cell_type": "code", "metadata": { "id": "PspLm1ZDWqlC" }, "source": [ "niter = 100\n", "samples = client.simulator.run(padded_circuit, resolver, niter)" ], "execution_count": null, "outputs": [] }, { "cell_type": "code", "metadata": { "id": "Bx7LNZmyZJtY" }, "source": [ "samples" ], "execution_count": null, "outputs": [] }, { "cell_type": "markdown", "metadata": { "id": "UbscoejqdheC" }, "source": [ "### Stop the Floq instance" ] }, { "cell_type": "code", "metadata": { "id": "au7BJp1WdjjU" }, "source": [ "!floq-client \"$API_KEY\" worker stop" ], "execution_count": null, "outputs": [] } ] }
apache-2.0
m2dsupsdlclass/lectures-labs
labs/09_triplet_loss/triplet_loss_totally_looks_like.ipynb
1
21667
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## Triplet Loss on Totally Looks Like dataset\n", "\n", "This notebook is inspired from [this Keras tutorial](https://keras.io/examples/vision/siamese_network/) by Hazem Essam and Santiago L. Valdarrama.\n", "\n", "The goal is to showcase the use of siamese networks and triplet loss to do representation learning using a CNN. It will also showcase data generators and data augmentation techniques.\n", "\n", "### Dataset\n", "\n", "The dataset considered is the [Totally Looks Like](https://sites.google.com/view/totally-looks-like-dataset) dataset, consisting of pairs of web curated similar looking images:\n", "\n", "Image pair 1 | Image pair 2\n", ":-------------------------:|:-------------------------:\n", "![](https://github.com/m2dsupsdlclass/lectures-labs/raw/master/labs/09_triplet_loss/example1.jpg) | ![](https://github.com/m2dsupsdlclass/lectures-labs/raw/master/labs/09_triplet_loss/example2.jpg)\n", "\n", "The goal is to extract generic human perceptual representation through a CNN. The next cell downloads the dataset and unzips it (run it asap, it will download a few hundead megabytes)." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import os\n", "import os.path as op\n", "from urllib.request import urlretrieve\n", "from pathlib import Path\n", "\n", "URL = \"https://github.com/m2dsupsdlclass/lectures-labs/releases/download/totallylookslike/dataset_totally.zip\"\n", "FILENAME = \"dataset_totally.zip\"\n", "\n", "if not op.exists(FILENAME):\n", " print('Downloading %s to %s...' % (URL, FILENAME))\n", " urlretrieve(URL, FILENAME)\n", "\n", "import zipfile\n", "if not op.exists(\"anchors\"):\n", " print('Extracting image files...')\n", " with zipfile.ZipFile(FILENAME, 'r') as zip_ref:\n", " zip_ref.extractall('.')\n", "\n", "home_dir = Path(Path.home())\n", "anchor_images_path = Path(\"./anchors\")\n", "positive_images_path = Path(\"./positives\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We will use mostly TensorFlow functions to open and process images:" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "def open_image(filename, target_shape = (256, 256)):\n", " \"\"\" Load the specified file as a JPEG image, preprocess it and\n", " resize it to the target shape.\n", " \"\"\"\n", " image_string = tf.io.read_file(filename)\n", " image = tf.image.decode_jpeg(image_string, channels=3)\n", " image = tf.image.convert_image_dtype(image, tf.float32)\n", " image = tf.image.resize(image, target_shape)\n", " return image" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import tensorflow as tf\n", "\n", "# Careful to sort images folders so that the anchor and positive images correspond.\n", "anchor_images = sorted([str(anchor_images_path / f) for f in os.listdir(anchor_images_path)])\n", "positive_images = sorted([str(positive_images_path / f) for f in os.listdir(positive_images_path)])\n", "\n", "anchor_count = len(anchor_images)\n", "positive_count = len(positive_images)\n", "\n", "print(f\"number of anchors: {anchor_count}, positive: {positive_count}\")\n", "\n", "anchor_dataset_files = tf.data.Dataset.from_tensor_slices(anchor_images)\n", "anchor_dataset = anchor_dataset_files.map(open_image)\n", "positive_dataset_files = tf.data.Dataset.from_tensor_slices(positive_images)\n", "positive_dataset = positive_dataset_files.map(open_image)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import matplotlib.pyplot as plt \n", "\n", "def visualize(img_list):\n", " \"\"\"Visualize a list of images\"\"\"\n", " def show(ax, image):\n", " ax.imshow(image)\n", " ax.get_xaxis().set_visible(False)\n", " ax.get_yaxis().set_visible(False)\n", "\n", " fig = plt.figure(figsize=(6, 18))\n", " \n", " num_imgs = len(img_list)\n", " \n", " axs = fig.subplots(1, num_imgs)\n", " for i in range(num_imgs):\n", " show(axs[i], img_list[i])\n", "\n", "# display the first element of our dataset\n", "anc = next(iter(anchor_dataset))\n", "pos = next(iter(positive_dataset))\n", "visualize([anc, pos])" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from tensorflow.keras import layers\n", "\n", "# data augmentations\n", "data_augmentation = tf.keras.Sequential([\n", " layers.RandomFlip(\"horizontal\"),\n", " # layers.RandomRotation(0.15), # you may add random rotations\n", " layers.RandomCrop(224, 224)\n", "])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To generate the list of negative images, let's randomize the list of available images (anchors and positives) and concatenate them together.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import numpy as np \n", "\n", "rng = np.random.RandomState(seed=42)\n", "rng.shuffle(anchor_images)\n", "rng.shuffle(positive_images)\n", "\n", "negative_images = anchor_images + positive_images\n", "np.random.RandomState(seed=32).shuffle(negative_images)\n", "\n", "negative_dataset_files = tf.data.Dataset.from_tensor_slices(negative_images)\n", "negative_dataset_files = negative_dataset_files.shuffle(buffer_size=4096)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Build final triplet dataset\n", "dataset = tf.data.Dataset.zip((anchor_dataset_files, positive_dataset_files, negative_dataset_files))\n", "dataset = dataset.shuffle(buffer_size=1024)\n", "\n", "# preprocess function\n", "def preprocess_triplets(anchor, positive, negative):\n", " return (\n", " data_augmentation(open_image(anchor)),\n", " data_augmentation(open_image(positive)),\n", " data_augmentation(open_image(negative)),\n", " )\n", "\n", "# The map function is lazy, it is not evaluated on the spot, \n", "# but each time a batch is sampled.\n", "dataset = dataset.map(preprocess_triplets)\n", "\n", "# Let's now split our dataset in train and validation.\n", "train_dataset = dataset.take(round(anchor_count * 0.8))\n", "val_dataset = dataset.skip(round(anchor_count * 0.8))\n", "\n", "# define the batch size\n", "train_dataset = train_dataset.batch(32, drop_remainder=False)\n", "train_dataset = train_dataset.prefetch(8)\n", "\n", "val_dataset = val_dataset.batch(32, drop_remainder=False)\n", "val_dataset = val_dataset.prefetch(8)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can visualize a triplet and display its shape:" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "anc_batch, pos_batch, neg_batch = next(train_dataset.take(1).as_numpy_iterator())\n", "print(anc_batch.shape, pos_batch.shape, neg_batch.shape)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "idx = np.random.randint(0, 32)\n", "visualize([anc_batch[idx], pos_batch[idx], neg_batch[idx]])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Exercise\n", "\n", "Build the embedding network, starting from a resnet and adding a few layers. The output should have a dimension $d= 128$ or $d=256$. Edit the following code, and you may use the next cell to test your code.\n", "\n", "Bonus: Try to freeze the weights of the ResNet." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from tensorflow.keras import Model, layers\n", "from tensorflow.keras import optimizers, losses, metrics, applications\n", "from tensorflow.keras.applications import resnet\n", "\n", "input_img = layers.Input((224,224,3))\n", "\n", "output = input_img # change that line and edit this code!\n", "\n", "embedding = Model(input_img, output, name=\"Embedding\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "output = embedding(np.random.randn(1,224,224,3))\n", "output.shape" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "\n", "\n", "\n", "\n", "\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Run the following can be run to get the same architecture as we have:" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from tensorflow.keras import Model, layers\n", "from tensorflow.keras import optimizers, losses, metrics, applications\n", "from tensorflow.keras.applications import resnet\n", "\n", "input_img = layers.Input((224,224,3))\n", "\n", "base_cnn = resnet.ResNet50(weights=\"imagenet\", input_shape=(224,224,3), include_top=False)\n", "resnet_output = base_cnn(input_img)\n", "\n", "flatten = layers.Flatten()(resnet_output)\n", "dense1 = layers.Dense(512, activation=\"relu\")(flatten)\n", "# The batch normalization layer enables to normalize the activations\n", "# over the batch\n", "dense1 = layers.BatchNormalization()(dense1)\n", "dense2 = layers.Dense(256, activation=\"relu\")(dense1)\n", "dense2 = layers.BatchNormalization()(dense2)\n", "output = layers.Dense(256)(dense2)\n", "\n", "embedding = Model(input_img, output, name=\"Embedding\")\n", "\n", "trainable = False\n", "for layer in base_cnn.layers:\n", " if layer.name == \"conv5_block1_out\":\n", " trainable = True\n", " layer.trainable = trainable" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "def preprocess(x):\n", " \"\"\" we'll need to preprocess the input before passing them\n", " to the resnet for better results. This is the same preprocessing\n", " that was used during the training of ResNet on ImageNet.\n", " \"\"\"\n", " return resnet.preprocess_input(x * 255.)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Exercise\n", "\n", "Our goal is now to build the positive and negative distances from 3 inputs images: the anchor, the positive, and the negative one $‖f(A) - f(P)‖²$ $‖f(A) - f(N)‖²$. You may define a specific Layer using the [Keras subclassing API](https://keras.io/guides/making_new_layers_and_models_via_subclassing/), or any other method.\n", "\n", "You will need to run the Embedding model previously defined, don't forget to apply the preprocessing function defined above!" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "anchor_input = layers.Input(name=\"anchor\", shape=(224, 224, 3))\n", "positive_input = layers.Input(name=\"positive\", shape=(224, 224, 3))\n", "negative_input = layers.Input(name=\"negative\", shape=(224, 224, 3))\n", "\n", "distances = [anchor_input, positive_input] # TODO: Change this code to actually compute the distances\n", "\n", "siamese_network = Model(\n", " inputs=[anchor_input, positive_input, negative_input], outputs=distances\n", ")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "\n", "\n", "\n", "\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Solution: run the following cell to get the exact same method as we have." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "class DistanceLayer(layers.Layer):\n", " def __init__(self, **kwargs):\n", " super().__init__(**kwargs)\n", "\n", " def call(self, anchor, positive, negative):\n", " ap_distance = tf.reduce_sum(tf.square(anchor - positive), -1)\n", " an_distance = tf.reduce_sum(tf.square(anchor - negative), -1)\n", " return (ap_distance, an_distance)\n", "\n", "\n", "anchor_input = layers.Input(name=\"anchor\", shape=(224, 224, 3))\n", "positive_input = layers.Input(name=\"positive\", shape=(224, 224, 3))\n", "negative_input = layers.Input(name=\"negative\", shape=(224, 224, 3))\n", "\n", "distances = DistanceLayer()(\n", " embedding(preprocess(anchor_input)),\n", " embedding(preprocess(positive_input)),\n", " embedding(preprocess(negative_input)),\n", ")\n", "\n", "siamese_network = Model(\n", " inputs=[anchor_input, positive_input, negative_input], outputs=distances\n", ")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### The final triplet model\n", "Once we are able to produce the distances, we may wrap it into a new Keras Model which includes the computation of the loss. The following implementation uses a subclassing of the Model class, redefining a few functions used internally during `model.fit`: `call`, `train_step`, `test_step` " ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "class TripletModel(Model):\n", " \"\"\"The Final Keras Model with a custom training and testing loops.\n", "\n", " Computes the triplet loss using the three embeddings produced by the\n", " Siamese Network.\n", "\n", " The triplet loss is defined as:\n", " L(A, P, N) = max(‖f(A) - f(P)‖² - ‖f(A) - f(N)‖² + margin, 0)\n", " \"\"\"\n", "\n", " def __init__(self, siamese_network, margin=0.5):\n", " super(TripletModel, self).__init__()\n", " self.siamese_network = siamese_network\n", " self.margin = margin\n", " self.loss_tracker = metrics.Mean(name=\"loss\")\n", "\n", " def call(self, inputs):\n", " return self.siamese_network(inputs)\n", "\n", " def train_step(self, data):\n", " # GradientTape is a context manager that records every operation that\n", " # you do inside. We are using it here to compute the loss so we can get\n", " # the gradients and apply them using the optimizer specified in\n", " # `compile()`.\n", " with tf.GradientTape() as tape:\n", " loss = self._compute_loss(data)\n", "\n", " # Storing the gradients of the loss function with respect to the\n", " # weights/parameters.\n", " gradients = tape.gradient(loss, self.siamese_network.trainable_weights)\n", "\n", " # Applying the gradients on the model using the specified optimizer\n", " self.optimizer.apply_gradients(\n", " zip(gradients, self.siamese_network.trainable_weights)\n", " )\n", "\n", " # Let's update and return the training loss metric.\n", " self.loss_tracker.update_state(loss)\n", " return {\"loss\": self.loss_tracker.result()}\n", "\n", " def test_step(self, data):\n", " loss = self._compute_loss(data)\n", " self.loss_tracker.update_state(loss)\n", " return {\"loss\": self.loss_tracker.result()}\n", "\n", " def _compute_loss(self, data):\n", " # The output of the network is a tuple containing the distances\n", " # between the anchor and the positive example, and the anchor and\n", " # the negative example.\n", " ap_distance, an_distance = self.siamese_network(data)\n", "\n", " loss = ap_distance - an_distance\n", " loss = tf.maximum(loss + self.margin, 0.0)\n", " return loss\n", "\n", " @property\n", " def metrics(self):\n", " # We need to list our metrics here so the `reset_states()` can be\n", " # called automatically.\n", " return [self.loss_tracker]\n", "\n", "\n", "siamese_model = TripletModel(siamese_network)\n", "siamese_model.compile(optimizer=optimizers.Adam(0.0001))\n", "siamese_model.fit(train_dataset, epochs=10, validation_data=val_dataset)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "embedding.save('best_model.h5')" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# uncomment to get a pretrained model\n", "url_pretrained = \"https://github.com/m2dsupsdlclass/lectures-labs/releases/download/totallylookslike/best_model.h5\"\n", "urlretrieve(url_pretrained, \"best_model.h5\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "loaded_model = tf.keras.models.load_model('best_model.h5')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Find most similar images in test dataset\n", "\n", "The `negative_images` list was built by concatenating all possible images, both anchors and positive. We can reuse these to form a bank of possible images to query from.\n", "\n", "We will first compute all embeddings of these images. To do so, we build a `tf.Dataset` and apply the few functions: `open_img` and `preprocess`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from functools import partial\n", "\n", "open_img = partial(open_image, target_shape=(224,224))\n", "all_img_files = tf.data.Dataset.from_tensor_slices(negative_images)\n", "dataset = all_img_files.map(open_img).map(preprocess).take(1024).batch(32, drop_remainder=False).prefetch(8)\n", "all_embeddings = loaded_model.predict(dataset)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "all_embeddings.shape" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can build a `most_similar` function which takes an image path as input and return the `topn` most similar images through the embedding representation. It would be possible to use another metric, such as the cosine similarity here." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "random_img = np.random.choice(negative_images)\n", "\n", "def most_similar(img, topn=5):\n", " img_batch = tf.expand_dims(open_image(img, target_shape=(224, 224)), 0)\n", " new_emb = loaded_model.predict(preprocess(img_batch))\n", " dists = tf.sqrt(tf.reduce_sum((all_embeddings - new_emb)**2, -1)).numpy()\n", " idxs = np.argsort(dists)[:topn]\n", " return [(negative_images[idx], dists[idx]) for idx in idxs]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "print(random_img)\n", "most_similar(random_img)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "random_img = np.random.choice(negative_images)\n", "visualize([open_image(im) for im, _ in most_similar(random_img)])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note that this is not a rigorous evaluation, as we are using the images from the training set for both the query and the possible images. You may try with a completely different picture!\n", "\n", "### Going further\n", "\n", "In order to improve the training efficiency, hard negative mining would be most relevant in that case." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.6" }, "toc": { "base_numbering": 1, "nav_menu": {}, "number_sections": true, "sideBar": true, "skip_h1_title": false, "title_cell": "Table of Contents", "title_sidebar": "Contents", "toc_cell": false, "toc_position": {}, "toc_section_display": true, "toc_window_display": false } }, "nbformat": 4, "nbformat_minor": 4 }
mit
mne-tools/mne-tools.github.io
0.22/_downloads/243172b1ef6a2d804d3245b8c0a927ef/plot_60_maxwell_filtering_sss.ipynb
2
19280
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n\n# Signal-space separation (SSS) and Maxwell filtering\n\nThis tutorial covers reducing environmental noise and compensating for head\nmovement with SSS and Maxwell filtering.\n :depth: 2\n\nAs usual we'll start by importing the modules we need, loading some\n`example data <sample-dataset>`, and cropping it to save on memory:\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import os\nimport matplotlib.pyplot as plt\nimport seaborn as sns\nimport pandas as pd\nimport numpy as np\nimport mne\nfrom mne.preprocessing import find_bad_channels_maxwell\n\nsample_data_folder = mne.datasets.sample.data_path()\nsample_data_raw_file = os.path.join(sample_data_folder, 'MEG', 'sample',\n 'sample_audvis_raw.fif')\nraw = mne.io.read_raw_fif(sample_data_raw_file, verbose=False)\nraw.crop(tmax=60)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Background on SSS and Maxwell filtering\n\nSignal-space separation (SSS) :footcite:`TauluKajola2005,TauluSimola2006`\nis a technique based on the physics\nof electromagnetic fields. SSS separates the measured signal into components\nattributable to sources *inside* the measurement volume of the sensor array\n(the *internal components*), and components attributable to sources *outside*\nthe measurement volume (the *external components*). The internal and external\ncomponents are linearly independent, so it is possible to simply discard the\nexternal components to reduce environmental noise. *Maxwell filtering* is a\nrelated procedure that omits the higher-order components of the internal\nsubspace, which are dominated by sensor noise. Typically, Maxwell filtering\nand SSS are performed together (in MNE-Python they are implemented together\nin a single function).\n\nLike `SSP <tut-artifact-ssp>`, SSS is a form of projection. Whereas SSP\nempirically determines a noise subspace based on data (empty-room recordings,\nEOG or ECG activity, etc) and projects the measurements onto a subspace\northogonal to the noise, SSS mathematically constructs the external and\ninternal subspaces from `spherical harmonics`_ and reconstructs the sensor\nsignals using only the internal subspace (i.e., does an oblique projection).\n\n<div class=\"alert alert-danger\"><h4>Warning</h4><p>Maxwell filtering was originally developed for Elekta Neuromag\u00ae systems,\n and should be considered *experimental* for non-Neuromag data. See the\n Notes section of the :func:`~mne.preprocessing.maxwell_filter` docstring\n for details.</p></div>\n\nThe MNE-Python implementation of SSS / Maxwell filtering currently provides\nthe following features:\n\n- Basic bad channel detection\n (:func:`~mne.preprocessing.find_bad_channels_maxwell`)\n- Bad channel reconstruction\n- Cross-talk cancellation\n- Fine calibration correction\n- tSSS\n- Coordinate frame translation\n- Regularization of internal components using information theory\n- Raw movement compensation (using head positions estimated by MaxFilter)\n- cHPI subtraction (see :func:`mne.chpi.filter_chpi`)\n- Handling of 3D (in addition to 1D) fine calibration files\n- Epoch-based movement compensation as described in\n :footcite:`TauluKajola2005` through :func:`mne.epochs.average_movements`\n- **Experimental** processing of data from (un-compensated) non-Elekta\n systems\n\n\n## Using SSS and Maxwell filtering in MNE-Python\n\nFor optimal use of SSS with data from Elekta Neuromag\u00ae systems, you should\nprovide the path to the fine calibration file (which encodes site-specific\ninformation about sensor orientation and calibration) as well as a crosstalk\ncompensation file (which reduces interference between Elekta's co-located\nmagnetometer and paired gradiometer sensor units).\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fine_cal_file = os.path.join(sample_data_folder, 'SSS', 'sss_cal_mgh.dat')\ncrosstalk_file = os.path.join(sample_data_folder, 'SSS', 'ct_sparse_mgh.fif')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Before we perform SSS we'll look for bad channels \u2014 ``MEG 2443`` is quite\nnoisy.\n\n<div class=\"alert alert-danger\"><h4>Warning</h4><p>It is critical to mark bad channels in ``raw.info['bads']`` *before*\n calling :func:`~mne.preprocessing.maxwell_filter` in order to prevent\n bad channel noise from spreading.</p></div>\n\nLet's see if we can automatically detect it.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "raw.info['bads'] = []\nraw_check = raw.copy()\nauto_noisy_chs, auto_flat_chs, auto_scores = find_bad_channels_maxwell(\n raw_check, cross_talk=crosstalk_file, calibration=fine_cal_file,\n return_scores=True, verbose=True)\nprint(auto_noisy_chs) # we should find them!\nprint(auto_flat_chs) # none for this dataset" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<div class=\"alert alert-info\"><h4>Note</h4><p>`~mne.preprocessing.find_bad_channels_maxwell` needs to operate on\n a signal without line noise or cHPI signals. By default, it simply\n applies a low-pass filter with a cutoff frequency of 40 Hz to the\n data, which should remove these artifacts. You may also specify a\n different cutoff by passing the ``h_freq`` keyword argument. If you\n set ``h_freq=None``, no filtering will be applied. This can be\n useful if your data has already been preconditioned, for example\n using :func:`mne.chpi.filter_chpi`,\n :func:`mne.io.Raw.notch_filter`, or :meth:`mne.io.Raw.filter`.</p></div>\n\nNow we can update the list of bad channels in the dataset.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "bads = raw.info['bads'] + auto_noisy_chs + auto_flat_chs\nraw.info['bads'] = bads" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We called `~mne.preprocessing.find_bad_channels_maxwell` with the optional\nkeyword argument ``return_scores=True``, causing the function to return a\ndictionary of all data related to the scoring used to classify channels as\nnoisy or flat. This information can be used to produce diagnostic figures.\n\nIn the following, we will generate such visualizations for\nthe automated detection of *noisy* gradiometer channels.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Only select the data forgradiometer channels.\nch_type = 'grad'\nch_subset = auto_scores['ch_types'] == ch_type\nch_names = auto_scores['ch_names'][ch_subset]\nscores = auto_scores['scores_noisy'][ch_subset]\nlimits = auto_scores['limits_noisy'][ch_subset]\nbins = auto_scores['bins'] # The the windows that were evaluated.\n# We will label each segment by its start and stop time, with up to 3\n# digits before and 3 digits after the decimal place (1 ms precision).\nbin_labels = [f'{start:3.3f} \u2013 {stop:3.3f}'\n for start, stop in bins]\n\n# We store the data in a Pandas DataFrame. The seaborn heatmap function\n# we will call below will then be able to automatically assign the correct\n# labels to all axes.\ndata_to_plot = pd.DataFrame(data=scores,\n columns=pd.Index(bin_labels, name='Time (s)'),\n index=pd.Index(ch_names, name='Channel'))\n\n# First, plot the \"raw\" scores.\nfig, ax = plt.subplots(1, 2, figsize=(12, 8))\nfig.suptitle(f'Automated noisy channel detection: {ch_type}',\n fontsize=16, fontweight='bold')\nsns.heatmap(data=data_to_plot, cmap='Reds', cbar_kws=dict(label='Score'),\n ax=ax[0])\n[ax[0].axvline(x, ls='dashed', lw=0.25, dashes=(25, 15), color='gray')\n for x in range(1, len(bins))]\nax[0].set_title('All Scores', fontweight='bold')\n\n# Now, adjust the color range to highlight segments that exceeded the limit.\nsns.heatmap(data=data_to_plot,\n vmin=np.nanmin(limits), # bads in input data have NaN limits\n cmap='Reds', cbar_kws=dict(label='Score'), ax=ax[1])\n[ax[1].axvline(x, ls='dashed', lw=0.25, dashes=(25, 15), color='gray')\n for x in range(1, len(bins))]\nax[1].set_title('Scores > Limit', fontweight='bold')\n\n# The figure title should not overlap with the subplots.\nfig.tight_layout(rect=[0, 0.03, 1, 0.95])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<div class=\"alert alert-info\"><h4>Note</h4><p>You can use the very same code as above to produce figures for\n *flat* channel detection. Simply replace the word \"noisy\" with\n \"flat\", and replace ``vmin=np.nanmin(limits)`` with\n ``vmax=np.nanmax(limits)``.</p></div>\n\nYou can see the un-altered scores for each channel and time segment in the\nleft subplots, and thresholded scores \u2013 those which exceeded a certain limit\nof noisiness \u2013 in the right subplots. While the right subplot is entirely\nwhite for the magnetometers, we can see a horizontal line extending all the\nway from left to right for the gradiometers. This line corresponds to channel\n``MEG 2443``, which was reported as auto-detected noisy channel in the step\nabove. But we can also see another channel exceeding the limits, apparently\nin a more transient fashion. It was therefore *not* detected as bad, because\nthe number of segments in which it exceeded the limits was less than 5,\nwhich MNE-Python uses by default.\n\n<div class=\"alert alert-info\"><h4>Note</h4><p>You can request a different number of segments that must be\n found to be problematic before\n `~mne.preprocessing.find_bad_channels_maxwell` reports them as bad.\n To do this, pass the keyword argument ``min_count`` to the\n function.</p></div>\n\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Obviously, this algorithm is not perfect. Specifically, on closer inspection\nof the raw data after looking at the diagnostic plots above, it becomes clear\nthat the channel exceeding the \"noise\" limits in some segments without\nqualifying as \"bad\", in fact contains some flux jumps. There were just not\n*enough* flux jumps in the recording for our automated procedure to report\nthe channel as bad. So it can still be useful to manually inspect and mark\nbad channels. The channel in question is ``MEG 2313``. Let's mark it as bad:\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "raw.info['bads'] += ['MEG 2313'] # from manual inspection" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "After that, performing SSS and Maxwell filtering is done with a\nsingle call to :func:`~mne.preprocessing.maxwell_filter`, with the crosstalk\nand fine calibration filenames provided (if available):\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "raw_sss = mne.preprocessing.maxwell_filter(\n raw, cross_talk=crosstalk_file, calibration=fine_cal_file, verbose=True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To see the effect, we can plot the data before and after SSS / Maxwell\nfiltering.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "raw.pick(['meg']).plot(duration=2, butterfly=True)\nraw_sss.pick(['meg']).plot(duration=2, butterfly=True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice that channels marked as \"bad\" have been effectively repaired by SSS,\neliminating the need to perform `interpolation <tut-bad-channels>`.\nThe heartbeat artifact has also been substantially reduced.\n\nThe :func:`~mne.preprocessing.maxwell_filter` function has parameters\n``int_order`` and ``ext_order`` for setting the order of the spherical\nharmonic expansion of the interior and exterior components; the default\nvalues are appropriate for most use cases. Additional parameters include\n``coord_frame`` and ``origin`` for controlling the coordinate frame (\"head\"\nor \"meg\") and the origin of the sphere; the defaults are appropriate for most\nstudies that include digitization of the scalp surface / electrodes. See the\ndocumentation of :func:`~mne.preprocessing.maxwell_filter` for details.\n\n\n## Spatiotemporal SSS (tSSS)\n\nAn assumption of SSS is that the measurement volume (the spherical shell\nwhere the sensors are physically located) is free of electromagnetic sources.\nThe thickness of this source-free measurement shell should be 4-8 cm for SSS\nto perform optimally. In practice, there may be sources falling within that\nmeasurement volume; these can often be mitigated by using Spatiotemporal\nSignal Space Separation (tSSS) :footcite:`TauluSimola2006`.\ntSSS works by looking for temporal\ncorrelation between components of the internal and external subspaces, and\nprojecting out any components that are common to the internal and external\nsubspaces. The projection is done in an analogous way to\n`SSP <tut-artifact-ssp>`, except that the noise vector is computed\nacross time points instead of across sensors.\n\nTo use tSSS in MNE-Python, pass a time (in seconds) to the parameter\n``st_duration`` of :func:`~mne.preprocessing.maxwell_filter`. This will\ndetermine the \"chunk duration\" over which to compute the temporal projection.\nThe chunk duration effectively acts as a high-pass filter with a cutoff\nfrequency of $\\frac{1}{\\mathtt{st\\_duration}}~\\mathrm{Hz}$; this\neffective high-pass has an important consequence:\n\n- In general, larger values of ``st_duration`` are better (provided that your\n computer has sufficient memory) because larger values of ``st_duration``\n will have a smaller effect on the signal.\n\nIf the chunk duration does not evenly divide your data length, the final\n(shorter) chunk will be added to the prior chunk before filtering, leading\nto slightly different effective filtering for the combined chunk (the\neffective cutoff frequency differing at most by a factor of 2). If you need\nto ensure identical processing of all analyzed chunks, either:\n\n- choose a chunk duration that evenly divides your data length (only\n recommended if analyzing a single subject or run), or\n\n- include at least ``2 * st_duration`` of post-experiment recording time at\n the end of the :class:`~mne.io.Raw` object, so that the data you intend to\n further analyze is guaranteed not to be in the final or penultimate chunks.\n\nAdditional parameters affecting tSSS include ``st_correlation`` (to set the\ncorrelation value above which correlated internal and external components\nwill be projected out) and ``st_only`` (to apply only the temporal projection\nwithout also performing SSS and Maxwell filtering). See the docstring of\n:func:`~mne.preprocessing.maxwell_filter` for details.\n\n\n## Movement compensation\n\nIf you have information about subject head position relative to the sensors\n(i.e., continuous head position indicator coils, or :term:`cHPI <HPI>`), SSS\ncan take that into account when projecting sensor data onto the internal\nsubspace. Head position data can be computed using\n:func:`mne.chpi.compute_chpi_locs` and :func:`mne.chpi.compute_head_pos`,\nor loaded with the:func:`mne.chpi.read_head_pos` function. The\n`example data <sample-dataset>` doesn't include cHPI, so here we'll\nload a :file:`.pos` file used for testing, just to demonstrate:\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "head_pos_file = os.path.join(mne.datasets.testing.data_path(), 'SSS',\n 'test_move_anon_raw.pos')\nhead_pos = mne.chpi.read_head_pos(head_pos_file)\nmne.viz.plot_head_positions(head_pos, mode='traces')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The cHPI data file could also be passed as the ``head_pos`` parameter of\n:func:`~mne.preprocessing.maxwell_filter`. Not only would this account for\nmovement within a given recording session, but also would effectively\nnormalize head position across different measurement sessions and subjects.\nSee `here <example-movement-comp>` for an extended example of applying\nmovement compensation during Maxwell filtering / SSS. Another option is to\napply movement compensation when averaging epochs into an\n:class:`~mne.Evoked` instance, using the :func:`mne.epochs.average_movements`\nfunction.\n\nEach of these approaches requires time-varying estimates of head position,\nwhich is obtained from MaxFilter using the ``-headpos`` and ``-hp``\narguments (see the MaxFilter manual for details).\n\n\n## Caveats to using SSS / Maxwell filtering\n\n1. There are patents related to the Maxwell filtering algorithm, which may\n legally preclude using it in commercial applications. More details are\n provided in the documentation of\n :func:`~mne.preprocessing.maxwell_filter`.\n\n2. SSS works best when both magnetometers and gradiometers are present, and\n is most effective when gradiometers are planar (due to the need for very\n accurate sensor geometry and fine calibration information). Thus its\n performance is dependent on the MEG system used to collect the data.\n\n\n## References\n\n.. footbibliography::\n\n\n.. LINKS\n\n\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.8.5" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
dragly/doconce
doc/pub/ipynb/info.ipynb
3
20895
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- dom:TITLE: Special DocOnce features for Jupyter notebooks -->\n", "# Special DocOnce features for Jupyter notebooks\n", "<!-- dom:AUTHOR: Hans Petter Langtangen at Simula & University of Oslo -->\n", "<!-- Author: --> **Hans Petter Langtangen**, Simula and University of Oslo\n", "\n", "Date: **May 25, 2015**\n", "\n", "DocOnce enables turning book chapters, manuals, research papers, and in fact\n", "any type of document into Jupyter Notebooks (formerly knowns as IPython\n", "Notebooks). This note outlines some special features that one should\n", "be aware of and that can be used to tune the notebook typesetting.\n", "\n", "# Interactive sessions\n", "\n", "By default, interactive Python sessions in `!bc pyshell` and `!bc ipy`\n", "environments are (for the `ipynb` format)\n", "split such that the output is removed and each\n", "input part is a separate cell. This means that when executing\n", "all the cells, one recreates the entire interactive session\n", "with all the output. Below is an example.\n", "\n", "## Solving the world's simplest differential equation\n", "<div id=\"ipynb:de:simplest\"></div>\n", "\n", "Let us explore SymPy to solve" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "$$\n", "y' = y,\\quad y(0)=y_0 = 2\\thinspace .\n", "$$" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from sympy import *\n", "init_printing(use_latex=True) # find the best method to print\n", "t = symbols('t', real=True, positive=True)\n", "y = symbols('y', cls=Function)\n", "# Solve differential equation using dsolve\n", "eq = diff(y(t), t) - y(t)\n", "print eq" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sol = dsolve(eq)\n", "print sol" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "y = sol.rhs # grab right-hand side of equation\n", "# Determine integration constant C1 from initial condition\n", "C1 = symbols('C1')\n", "y0 = 2\n", "eq = y.subs(t, 0) - y0 # equation for initial condition\n", "print eq" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sol = solve(eq, C1) # solve wrt C1\n", "print sol" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "y = y.subs(C1, sol[0]) # insert C1=2 in solution\n", "print y" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print latex(y)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The DocOnce input syntax of the first part of the above session looks like\n", "this" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " !bc pyshell\n", " >>> from sympy import *\n", " >>> t = symbols('t', real=True, positive=True)\n", " >>> y = symbols('y', cls=Function)\n", " >>> # Solve differential equation using dsolve\n", " >>> eq = diff(y(t), t) - y(t)\n", " >>> print eq\n", " -y(t) + Derivative(y(t), t)\n", " >>> sol = dsolve(eq)\n", " >>> print sol\n", " y(t) == C1*exp(t)\n", " ...\n", " ...\n", " !ec\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "That is, the interactive session looks exactly as it does in the terminal\n", "window with the primitive Python shell.\n", "\n", "We can, alternatively, use IPython syntax:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " !bc ipy\n", " In [1]: from sympy import *\n", " In [2]: t = symbols('t', real=True, positive=True)\n", " In [3]: y = symbols('y', cls=Function)\n", " In [4]: # Solve differential equation using dsolve\n", " In [5]: eq = diff(y(t), t) - y(t)\n", " In [6]: print eq\n", " Out[6]: -y(t) + Derivative(y(t), t)\n", " In [7]: sol = dsolve(eq)\n", " In [8]: print sol\n", " Out[8]: y(t) == C1*exp(t)\n", " !ec\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This last `ipy` environment results in exactly the same interactive session\n", "in all formats except `ipynb` where the output is removed and the\n", "input is split over *two* cells. In format **ipynb** the above\n", "block is rendered as" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from sympy import *\n", "t = symbols('t', real=True, positive=True)\n", "y = symbols('y', cls=Function)\n", "# Solve differential equation using dsolve\n", "eq = diff(y(t), t) - y(t)\n", "print eq" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sol = dsolve(eq)\n", "print sol" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "There is an option `--ipynb_split_pyshell=off` that can be given to\n", "`doconce format ipynb` when compiling documents and that turns off\n", "the behaviour that interactive sessions are split into multiple\n", "cells. The result is then one single cell, and if we have\n", "\"printing\" as in `>>> eq`, there will be no output, except from\n", "the last one, in the output field in the notebook. This is usually\n", "not the behavior you want.\n", "\n", "\n", "## Showing an interactive session as pure text\n", "\n", "Sometimes one wants to show an interactive session exactly as it looks like,\n", "with the input and the output.\n", "This can be done in the notebook by using the `pyshell-t`\n", "or `ipy-t` environments (`-t` for *plain text* display).\n", "With this DocOnce input," ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " !bc pyshell-t\n", " >>> a = 1\n", " >>> b = 2\n", " >>> a + b\n", " 3\n", " !ec\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "we get the plain text" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "```Python\n", " >>> a = 1\n", " >>> b = 2\n", " >>> a + b\n", " 3\n", "```" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The `-t` postfix can be used for any code that you want to display as\n", "text rather than as an executable cell. For example, with `!bc pycod-t`\n", "we create verbatim text and not a cell:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "```Python\n", " import MySpecialModule as m\n", " print m.main()\n", "```" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Ordinary code blocks\n", "\n", "For ordinary book and manual writing, interactive sessions are used\n", "when the results of statements are important. Otherwise one applies\n", "standard code blocks. A standard code block can for example be" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " !bc pycod\n", " x = np.linspace(0, 4*np.pi, 501)\n", " y = np.exp(-0.5*x)*np.sin(np.pi*x)\n", " plt.plot(x, y)\n", " !ec\n", " The result of this code segment appears in Figure ref{myfig}.\n", " \n", " FIGURE: [fig/myfig, width=500 frac=0.8] Plot. label{myfig}\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This snippet turns out fine in all formats, except the notebook.\n", "The problem with notebooks is two-fold:\n", "\n", "1. The snippet does not run without import of `numpy` and `matplotlib`.\n", "\n", "2. The snippet results in a plot automatically, and with the figure\n", " in addittion, we get two plots.\n", "\n", "The remedy for problem 1 is to use *hidden code blocks*, notified as\n", "`!bc pyhid` for Python code and `!bc Xhid` in general for language `X`:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " !bc pyhid\n", " import numpy as np\n", " import matplotlib.pyplot as plt\n", " !ec\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Such code blocks are invisible in all formats except for `ipynb`.\n", "Books, manuals, and research papers will very often contain code\n", "snippets that do not run without (extensive) extra code. This exatra\n", "code must be provided in hidden code blocks for successful conversion\n", "to notebooks. If these cells take too much attention (that is probably\n", "why they were left out of the text...), one can insert some comments\n", "to explain that fact.\n", "\n", "Problem 2 is solved using one of the preprocessors and an if test on\n", "the format, e.g., with Preprocess:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " # #if FORMAT != 'ipynb'\n", " The result of this code segment appears in Figure ref{myfig}.\n", " \n", " FIGURE: [fig/myfig, width=500 frac=0.8] Plot. label{myfig}\n", " # #endif\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we can write the complete code segment with a preceding hidden\n", "block for import and the if test. Below is the rendering of\n", "this in the format **ipynb**.\n", "\n", "<!-- Note: since Preprocess is used in verbatim block above, we must compile -->\n", "<!-- this document with --no_preprocess and therefore use Mako if test -->\n", "<!-- to test on the format -->" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib inline\n", "import numpy as np\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [], "source": [ "x = np.linspace(0, 4*np.pi, 501)\n", "y = np.exp(-0.5*x)*np.sin(np.pi*x)\n", "plt.plot(x, y)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note that `%matplotlib inline` is automatically inserted before the\n", "first import of `matplotlib` in a DocOnce-generated notebook such\n", "that all plots are inlined.\n", "\n", "(Hidden code blocks are also relevant for RunestoneInteractive\n", "books, which are made from Sphinx output.)\n", "\n", "# Other special options for notebooks\n", "\n", "## Figures and movies\n", "\n", "Figures and movies can be implemented in several ways in notebooks, depending\n", "on the value of the options `--ipynb_figure=` and `ipynb_movie=`. For\n", "the former we have the values\n", "\n", " * `md`: plain Markdown syntax for a figure, with no possibility to adjust\n", " the size (default)\n", "\n", " * `imgtag`: `<img ...>` tag in HTML taking the specified width into account\n", "\n", " * `Image`: Python notebook cell with `Image` object\n", "\n", "Below is an example\n", "with `--ipynb_figure=imgtag`.\n", "\n", "<!-- dom:FIGURE: [https://raw.githubusercontent.com/hplgit/doconce/master/doc/src/manual/fig/wave1D.png, width=400] -->\n", "<!-- begin figure -->\n", "\n", "<p></p>\n", "<img src=\"https://raw.githubusercontent.com/hplgit/doconce/master/doc/src/manual/fig/wave1D.png\" width=400>\n", "\n", "<!-- end figure -->\n", "\n", "\n", "\n", "For the movies we have the values\n", "\n", " * `md`: raw HTML code with `iframe` tag - not relevant for the notebook\n", "\n", " * `HTML`: raw HTML code with `iframe` tag\n", " embedded in the `HTML` object from the notebook (default)\n", "\n", " * `HTML-YouTube`: as `HTML` but use an `IPython.display.YouTubeVideo`\n", " object to display YouTube videos\n", "\n", " * `ipynb`: use `IPython.display.YouTubeVideo` object for YouTube videos,\n", " and use an `HTML` object with `video` tag for local movies\n", "\n", "Below is an example\n", "with `--ipynb_movie=ipynb`.\n", "Execute the cell to create the YouTube video object.\n", "\n", "<!-- dom:MOVIE: [http://youtu.be/PtJrPEIHNJw, width=640 height=480] -->\n", "<!-- begin movie -->" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from IPython.display import YouTubeVideo\n", "YouTubeVideo(\"PtJrPEIHNJw\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- end movie -->\n", "\n", "\n", "\n", "## Admonitions\n", "\n", "Markdown has no support for admonitions while DocOnce has extensive\n", "support. Some methods for simulating admonitions in notebooks have\n", "therefore been implemented. These are specified by the `--ipynb_admon=`\n", "command-line option.\n", "\n", " * `quote`: typeset admon as Markdown quote (special font and gray vertical bar on\n", " the left)\n", "\n", " * `paragraph`: typeset admon as a plain paragraph with a heading if any (default)\n", "\n", " * `hrule`: use a horozontal rule to surround the heading and the text\n", "\n", "Note that quotes in `!bc quote` environments\n", "are always typeset as Markdown quotes.\n", "\n", "Here are two examples\n", "typeset with `--ipynb_admon=hrule`.\n", "\n", "\n", "\n", "<hr/>\n", "**Notebooks have limited support for typesetting admons.**\n", "\n", "Admon environments must be simulated using Markdown quote environment,\n", "a plain paragraph, or decorations with HTML `<hr>` hrules.\n", "<hr/>\n", "\n", "\n", "\n", "\n", "\n", "<hr/>\n", "**Splitting documents.**\n", "\n", "Formats like `html` and `sphinx` support splitting documents into multiple\n", "web pages. The `!split` specification has no support in notebooks. This\n", "means that long documents *must* be long notebooks.\n", "<hr/>\n", "\n", "\n", "\n", "# Equation references\n", "\n", "Markdown (and thereby the Jupyter Notebook)\n", "does not support references to equations." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq1\"></div>\n", "\n", "$$\n", "\\begin{equation}\n", "a = 1,\n", "\\label{eq1} \\tag{1}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- Equation labels as ordinary links -->\n", "<div id=\"eq2\"></div>\n", "\n", "$$\n", "\\begin{equation} \n", "b =2\n", "\\label{eq2} \\tag{2}\n", "\\end{equation}\n", "$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Can we refer to [(1)](#eq1) and [(2)](#eq2) in format `ipynb`?\n", "Yes, in DocOnce-extended `ipynb` format, but not when writing\n", "notebooks interactively in the browser and using Markdown with LaTeX.\n", "\n", "# Translating notebooks to DocOnce\n", "\n", "## The `ipynb2doconce` tool\n", "\n", "There is a program `doconce ipynb2doconce` for translating a notebook\n", "file to DocOnce format:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " Terminal> doconce ipynb2doconce notebook.ipynb\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The output file `notebook.do.txt` should be an ordinary DocOnce file.\n", "With an optional argument `--cell_delimiter`, comment lines a la `# ---------- code cell` act in the as delimiter between the *markdown* and *code* cells in the\n", "`.do.txt` file.\n", "\n", "## Special comment annotations in the `.ipynb` file\n", "\n", "In order to translate a DocOnce document to the Jupyter Notebook format\n", "and back again, some information needs to be coded as comments\n", "in the notebook and such that it can be brought back to DocOnce syntax.\n", "For example, index workds (`idx`) and labels are coded in comments, so\n", "are the original DocOnce figure and movie commands (which contain more\n", "information than what the notebook normally can make use of).\n", "Comments in the `.ipynb` file starting with `dom:` (DocOnce Metadata)\n", "contain coded information that can be translated back to DocOnce (see\n", "below for examples).\n", "\n", "It is possible to write ordinary DocOnce documents with index words, labels,\n", "cross references, etc.; translate the document to a notebook; edit\n", "and expand the notebook interactively; and convert the notebook to\n", "a DocOnce document again - with preservation of index words, labels, etc.,\n", "despite the fact that the notebook does not know about such syntax\n", "and construction. If you want to insert title, authors, date,\n", "index words, labels,\n", "figures, or movies in the notebook, use these types of constructions\n", "while writing a markdown cell in the notebook:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " <!-- dom:TITLE: Here goes the title -->\n", " # Here goes the title\n", " <!-- dom:AUTHOR: HPL Email:hpl@simula.no at Simula & UiO -->\n", " <!-- Author: --> **HPL** (email: `hpl@simula.no`), Simula and UiO\n", " \n", " <!-- dom:AUTHOR: Kaare Dump at Segfault, Cyberspace -->\n", " <!-- Author: --> **Kaare Dump**, Segfault, Cyberspace\n", " \n", " Date: **May 25, 2015**\n", " \n", " # Section heading\n", " <!-- dom:\\label{mysubsec} -->\n", " <!-- dom:idx{headigs} -->\n", " <!-- dom:idx{section} -->\n", " \n", " Some text...\n", " \n", " <!-- dom:FIGURE: [fig/myfig, width=500 frac=0.6] This is a caption. -->\n", " <!-- begin figure -->\n", " <p>This is a caption.</p>\n", " <img src=\"fig/myfig.png\" width=500>\n", " <!-- end figure -->\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It is important to respect whitespace exactly as shown in this example.\n", "The `dom:` syntax must be the valid DocOnce syntax used when translating\n", "the document back to DocOnce format, while the Markdown lines coming\n", "after are the ones that can been seen in the present version of\n", "the notebook (these are removed forever when converting the notebook to\n", "DocOnce format).\n", "\n", "\n", "\n", "<hr/>\n", "**Mako code is lost!**\n", "\n", "Any Preprocess or Mako code one has in a DocOnce document is lost forever when\n", "converting to a Jupyter Notebook.\n", "<hr/>\n", "\n", "\n", "\n", "As a specific example on generating a notebook and converting it back,\n", "consider the [`example.do.txt`](https://github.com/hplgit/doconce/blob/master/doc/src/ipynb/example.do.txt) file:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " Terminal> cp example.do.txt tmp1.do.txt\n", " Terminal> doconce format ipynb tmp1\n", " Terminal> cp tmp1.ipynb tmp2.ipynb\n", " Terminal> doconce ipynb2doconce tmp2.ipynb\n", " Terminal> doconce diff tmp1.do.txt tmp2.do.txt\n", " Termonal> google-chrome tmp_diff_tmp2.do.txt.html\n" ] } ], "metadata": {}, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
atulsingh0/MachineLearning
BMLSwPython/01_GettingStarted_withPython.ipynb
1
224623
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# import\n", "import numpy as np\n", "import scipy as sp\n", "import timeit\n", "import matplotlib.pyplot as plt\n", "\n", "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Comparing the time**" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Total time taken: -0.006210096431396868\n" ] } ], "source": [ "start = timeit.timeit()\n", "\n", "X = range(1000)\n", "\n", "pySum = sum([n*n for n in X])\n", "\n", "end = timeit.timeit()\n", "\n", "print(\"Total time taken: \", end-start)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Learning Scipy **" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[ 1.00000000e+00 2.27200000e+03]\n", " [ 2.00000000e+00 nan]\n", " [ 3.00000000e+00 1.38600000e+03]]\n", "743\n" ] } ], "source": [ "# reading the web data \n", "\n", "data = sp.genfromtxt(\"data/web_traffic.tsv\", delimiter=\"\\t\")\n", "print(data[:3])\n", "print(len(data))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Preprocessing and Cleaning the data **" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n", "8\n" ] } ], "source": [ "X = data[:, 0]\n", "y = data[:, 1]\n", "\n", "# checking for nan values\n", "print(sum(np.isnan(X)))\n", "print(sum(np.isnan(y)))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Filtering the nan data **" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0\n", "0\n" ] } ], "source": [ "X = X[~np.isnan(y)]\n", "y = y[~np.isnan(y)]\n", "\n", "# checking for nan values\n", "print(sum(np.isnan(X)))\n", "print(sum(np.isnan(y)))" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x297739d8710>" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAr4AAAIiCAYAAAAuI8tNAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x29773758b70>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots(figsize=(8,6))\n", "\n", "ax.plot(X, y, '.b')\n", "ax.margins(0.2)\n", "plt.xticks([w*24*7 for w in range(0, 6)], [\"week %d\" %w for w in range(0, 6)])\n", "ax.set_xlabel(\"Week\")\n", "ax.set_ylabel(\"Hits / Week\")\n", "ax.set_title(\"Web Traffic over weeks\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Choosing the right model and learning algorithm **" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# creating a error calc fuction\n", "def error(f, x, y):\n", " return np.sum((f(x) - y)**2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Linear 1-d model **" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[ 2.59619213 989.02487106]\n", "[ 3.17389767e+08]\n", "Error : 317389767.34\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAr4AAAIiCAYAAAAuI8tNAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nExT4ioiIiEhNUOArIiIiIjXh/wPiJkTkE0lgjQAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x29773758b70>" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# sp's polyfit func do the same\n", "fp1, residuals, rank, sv, rcond = sp.polyfit(X, y, 1, full=True)\n", "\n", "print(fp1)\n", "print(residuals)\n", "\n", "# generating the one order function\n", "f1 = sp.poly1d(fp1)\n", "\n", "# checking error\n", "print(\"Error : \",error(f1, X, y))\n", "\n", "x1 = np.array([-100, np.max(X)+100])\n", "y1 = f1(x1)\n", "\n", "ax.plot(x1, y1, c='g', linewidth=2)\n", "ax.legend([\"data\", \"d = %i\" % f1.order], loc='best')\n", "fig" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ " $$ f(x) = 2.59619213 * x + 989.02487106 $$ \n", " \n", " ** Polynomial 2-d **" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[ 1.05322215e-02 -5.26545650e+00 1.97476082e+03]\n", "Error : 179983507.878\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAr4AAAIiCAYAAAAuI8tNAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nktQL/wuyDQRHhrgRrAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x29773758b70>" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# sp's polyfit func do the same\n", "fp2 = sp.polyfit(X, y, 2)\n", "\n", "print(fp2)\n", "\n", "# generating the 2 order function\n", "f2= sp.poly1d(fp2)\n", "\n", "# checking error\n", "print(\"Error : \",error(f2, X, y))\n", "\n", "x1= np.linspace(-100, np.max(X)+100, 2000)\n", "y2= f2(x1)\n", "\n", "ax.plot(x1, y2, c='r', linewidth=2)\n", "ax.legend([\"data\", \"d = %i\" % f1.order, \"d = %i\" % f2.order], loc='best')\n", "fig" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "$$ f(x) = 0.0105322215 * x^2 - 5.26545650 * x + 1974.6082 $$" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "What if we want to regress two response output instead of one, As we can see in the graph that there is a steep change in data between week 3 and 4, so let's draw two reponses line, one for the data between week0 and week3.5 and second for week3.5 to week5" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# we are going to divide the data on time so\n", "div = 3.5*7*24\n", "\n", "X1 = X[X<=div]\n", "Y1 = y[X<=div]\n", "\n", "X2 = X[X>div]\n", "Y2 = y[X>div]\n" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Error inflection = 135015350.586215\n" ] } ], "source": [ "# now plotting the both data\n", "\n", "fa = sp.poly1d(sp.polyfit(X1, Y1, 1))\n", "fb = sp.poly1d(sp.polyfit(X2, Y2, 1))\n", "\n", "fa_error = error(fa, X1, Y1)\n", "fb_error = error(fb, X2, Y2)\n", "print(\"Error inflection = %f\" % (fa_error + fb_error))" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAr4AAAIiCAYAAAAuI8tNAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAxPa7SndDhiFAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x29773758b70>" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x1 = np.linspace(-100, X1[-1]+100, 1000)\n", "x2 = np.linspace(X1[-10], X2[-1]+100, 1000)\n", "\n", "ya = fa(x1)\n", "yb = fb(x2)\n", "\n", "ax.plot(x1, ya, c='#800000', linewidth=2) # brown\n", "ax.plot(x2, yb, c='#FFA500', linewidth=2) # orange\n", "ax.grid(True)\n", "\n", "\n", "fig" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Suppose we choose that function with degree 2 is best fit for our data and want to predict that if everything will go same then when we will hit the 100000 count ??\n", "\n", "$$ 0 = f(x) - 100000 = 0.0105322215 * x^2 - 5.26545650 * x + 1974.6082 - 100000 $$ \n", "\n", "SciPy's optimize module has the function \n", "fsolve that achieves this, when providing an initial starting position with parameter \n", "x0. As every entry in our input data file corresponds to one hour, and we have 743 of \n", "them, we set the starting position to some value after that. Let fbt2 be the winning \n", "polynomial of degree 2." ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " 2\n", "0.01053 x - 5.265 x + 1975\n" ] } ], "source": [ "print(f2)" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " 2\n", "0.01053 x - 5.265 x - 9.803e+04\n", "100,000 hits/hour expected at week 19.708090\n" ] } ], "source": [ "print(f2 - 100000)\n", "\n", "# import \n", "from scipy.optimize import fsolve\n", "\n", "reached_max = fsolve(f2-100000, x0=800)/(7*24)\n", "print(\"100,000 hits/hour expected at week %f\" % reached_max[0])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [conda root]", "language": "python", "name": "conda-root-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
gpl-3.0
NicholasBermuda/transit-fitting
notebooks/demo.ipynb
2
1507454
null
mit
Prooffreader/intro_machine_learning
08_Dimensionality_Reduction.ipynb
1
53609
{ "metadata": { "name": "", "signature": "sha256:55da80124d4fb776789c8deec8f1a94af945058ee2ed7d6eb47aed6679e8e09f" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Introduction to data analysis using machine learning #\n", "\n", "## 08. Dimensionality reduction with Principal Component Analysis (PCA) ##\n", "\n", "by David Taylor, [www.prooffreader.com](http://www.prooffreader.com) (blog) [www.dtdata.io](http://dtdata.io) (hire me!)\n", "\n", "For links to more material including a slideshow explaining all this stuff in further detail, please see the front page of [this GitHub repo.](https://github.com/Prooffreader/intro_machine_learning)\n", "\n", "This is notebook 8 of 8. \n", "\n", "[[01]](http://nbviewer.ipython.org/github/Prooffreader/intro_machine_learning/blob/master/01_The_Dataset.ipynb) [[02]](http://nbviewer.ipython.org/github/Prooffreader/intro_machine_learning/blob/master/02_Clustering_KMeans.ipynb) [[03]](http://nbviewer.ipython.org/github/Prooffreader/intro_machine_learning/blob/master/03_Clustering_OtherAlgos.ipynb) [[04]](http://nbviewer.ipython.org/github/Prooffreader/intro_machine_learning/blob/master/04_Classification_kNN.ipynb) [[05]](http://nbviewer.ipython.org/github/Prooffreader/intro_machine_learning/blob/master/05_Classification_OtherAlgos.ipynb) [[06]](http://nbviewer.ipython.org/github/Prooffreader/intro_machine_learning/blob/master/06_Classification_Decision_Trees.ipynb) [[07]](http://nbviewer.ipython.org/github/Prooffreader/intro_machine_learning/blob/master/07_Classification_Random_Forest.ipynb) **[08]**\n", "***\n", "\n", "In the [previous notebook](http://nbviewer.ipython.org/github/Prooffreader/intro_machine_learning/blob/master/07_Classification_Random_Forest.ipynb), we finished our look at Supervised Learning. Now we see a way to address the Curse of Dimensionality by reducing our features using PCA." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### 1. Import libraries and load data #" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline\n", "import numpy as np\n", "from statsmodels.sandbox.tools.tools_pca import pcasvd\n", "from sklearn.ensemble import RandomForestClassifier\n", "\n", "df = pd.read_csv('fruit.csv')\n", "\n", "fruitnames = {1: 'Orange', 2: 'Pear', 3: 'Apple'}\n", "colors = {1: '#e09028', 2: '#55aa33', 3: '#cc3333'}\n", "fruitlist = ['Orange', 'Pear', 'Apple']\n", "\n", "numerical_columns = ['elongatedness', 'weight', 'sweetness', 'acidity', 'color_id']" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### 2. Run PCA #\n", "\n", "Scikit-learn has a PCA module, but instead I'm using statsmodels's ``pcasvd`` function in order to make a biplot." ] }, { "cell_type": "code", "collapsed": false, "input": [ "# code taken from http://okomestudio.net/biboroku/?p=2292\n", "\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import pandas as pd\n", "\n", "\n", "def biplot(plt, pca, labels=None, colors=None,\n", " xpc=1, ypc=2, scale=1):\n", " \"\"\"Generate biplot from the result of pcasvd of statsmodels.\n", "\n", " Parameters\n", " ----------\n", " plt : object\n", " An existing pyplot module reference.\n", "\n", " pca : tuple\n", " The result from statsmodels.sandbox.tools.tools_pca.pcasvd.\n", "\n", " labels : array_like, optional\n", " Labels for each observation.\n", "\n", " colors : array_like, optional\n", " Colors for each observation.\n", "\n", " xpc, ypc : int, optional\n", " The principal component number for x- and y-axis. Defaults to\n", " (xpc, ypc) = (1, 2).\n", "\n", " scale : float\n", " The variables are scaled by lambda ** scale, where lambda =\n", " singular value = sqrt(eigenvalue), and the observations are\n", " scaled by lambda ** (1 - scale). Must be in [0, 1].\n", "\n", " Returns\n", " -------\n", " None.\n", "\n", " \"\"\"\n", " xpc, ypc = (xpc - 1, ypc - 1)\n", " xreduced, factors, evals, evecs = pca\n", " singvals = np.sqrt(evals)\n", " \n", " # data\n", " xs = factors[:, xpc] * singvals[xpc]**(1. - scale)\n", " ys = factors[:, ypc] * singvals[ypc]**(1. - scale)\n", " \n", " colors = 'k' if colors is None else colors\n", " plt.scatter(xs, ys, c=colors, marker='o', alpha=0.4)\n", " \n", " # variables\n", " tvars = np.dot(np.eye(factors.shape[0], factors.shape[1]),\n", " evecs) * singvals**scale\n", " \n", " for i, col in enumerate(xreduced.columns.values):\n", " x, y = tvars[i][xpc], tvars[i][ypc]\n", " plt.arrow(0, 0, x, y, color='r',\n", " width=0.002, head_width=0.05)\n", " plt.text(x* 1.4, y * 1.4, col, color='r', ha='center', va='center')\n", " \n", " plt.title('Principal Component Analysis with biplot')\n", " plt.xlabel('PC{}'.format(xpc + 1))\n", " plt.ylabel('PC{}'.format(ypc + 1))\n", " \n", " return xs, ys " ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "columns = ['elongatedness', 'weight', 'sweetness', 'acidity', 'color_id']\n", "\n", "data = df[columns]\n", "classes = df['fruit_id']\n", "data = (data - data.mean()) / data.std() # pca data must be normalized\n", "pca = pcasvd(data, keepdim=0, demean=False)\n", "\n", "colors = [['#e09028', '#55aa33', '#cc3333'][i-1] for i in classes]\n", "\n", "plt.figure(1)\n", "xs, ys = biplot(plt, pca, labels=classes, colors=colors,\n", " xpc=1, ypc=2)\n", "plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX8AAAEZCAYAAAB/6SUgAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzsnXd4VNed9z9nmjTSqHdUkIQQAkTvxSAMpphiG9u44LjF\nduw0pzmJN9mN3012s3mTd7PZ9WY3cey4YWOb3owxmN6L6CogkBDqSKM2kmY0M+f9445ACAkESIyw\nzud57jN37j33nN+9c+d7zvmdJqSUKBQKhaJ3ofO2AQqFQqG48yjxVygUil6IEn+FQqHohSjxVygU\nil6IEn+FQqHohSjxVygUil6IEn8vI4SoE0Ik3mYcrwsh3uoie9xCiOSuiEvR9Qgh8oUQ028zjtt+\n564T93XfRSHEs0KInTcRX4f3K4S4RwiR3cl4MoQQhZ1NtzegxL+L8bysDZ4/WKkQ4u9CCP+Owksp\nA6SU+beTppTyt1LKF28njs4ihJglhNghhKgVQpQLIbYJIebfibR7Ap7f995OhEvyZKR/7mITpGe7\n9Qi64J27TtyX30UhRKLnGdyOznR4v1LKnVLKtNuIu12EEO8KIX7d1fH2NJT4dz0SmCelDABGAqOB\nX7YNJIQw3GnDbhchxCPAp8C7QKyUMhL4J6DXiD/a7ys6Ee5p4CTwmBDC1L0m9Xg687wUdxgl/t2I\nlLIY2AgMhssulW8LIc4AOa2OJXv23xVC/LcQYp2nZL2vtQtGCDFYCPGlEKLSU6t43XP8DSHEB579\nltLWi0KIIiFEsRDix63iGCuE2CuEsHrO/ZcQwnijexFCCODfgX+WUr4jpazz3OMOKeVLLWGEEL/0\nlI7LhBDvCSEC29j1rBDiguceXhZCjBFCHPfY81+t0ntWCLHbY1+1ECKrdYlbCNFHCLHGE88ZIcQL\nrc69IYT41JN+rRDipBBiVJtrl3tqLueEEN/rzLWeZ5wArPXU7H5ynWf1DeANoJI2maPnOXxLCJHr\nue83W53rJ4T4SghxSQhRIYT4UAgR1E4a0UIImxAitNWxkZ570gshUoQQ2z3PrkIIsbRN+i3v3P1C\niFOee73Y+l1pk16BEGKkZ3+xJ46Bnu/fFEKsbPX8PvBctsPzWe2JfzyeUrwQ4vdCiCrP85/dXpqt\nGOuxsUoI8Y4QwscTx1WuHM979/P2wrZzPwOFVmu1en7j+Z7jLwFPAj/1/Marb2Db3YuUUm1duAHn\ngeme/Xi00t//8Xx3A18AwYBPq2PJnv13gUtotQU98CHwsedcAFAC/BAwARZgrOfcr4APPPuJnjiX\nAGYgHShvZdNIYCxaxt8XOA282sr+y/a0ua80z7m+17n354EzHhv8geXA+23s+rPH/vsAO7ASCAf6\nAGXAFE/4Z4Fm4FXPs1gEVAPBnvM7gDc9cQ3z3OM0z7k3gEZgNlqp81+BvZ5zOuAwWm3MACQBecDM\nG13b6ve99wbvwD1AHeAL/Auwps15N7AGCPS8I+XALM+5fsB0wOh5LtuBP7aXPrAeeLnVuT8Cf/Ls\nfwy87tk3ARPb+43R3qlJnv0gYEQH9/Qe8CPP/l89v/PLnu/vt7xDnufX8i729aSlaxXPs4AD+Kbn\n+b4MFF3nWeYDx4FYIATYBfzacy4DKLzZsJ5nexb4uecdmAbUAqme839HK+R4XU+6c/O6AV+3zfMC\n1gFWz/6bXC30GW3Ct/4j/h34a6tzc4Asz/4TwOEO0mz9h0v0xJna6vzvgL91cO0PgBXt2dMm3CTP\nOdN17n1LGzFK9fzRda3siml1/hLwaKvvy1qJyLNtRQHYDzyFJphOwL/VuX8F/t7qeWxqdW4Q0ODZ\nHwcUtIn3deCdG13r+d4Z8f8b8JFnf7jnGUS0ecatxfgT4GcdxPUgcKS99IHHgF2efT2akI/2fH8P\n+Auae65tnK3fuQLgJSDwBvf0PLDas3/a872lYJIPDL/Ou9hW/M+0+u7nCRPZQbrngZfa/CfOevYz\nuFr8OxUWLXMuaZPOR8CvPPvv4sk0vs6bcvt0PRJ4QEoZIqVMlFJ+V0ppb3X+Rj0OylrtN6KV8EET\nvHM3YUfrdC6glawRQqQKza1UIoSoQSuZhnUivkrPZ8x1wsSgiUnrdA1AVKtjbe+v7ffWjeNFbeIv\n8KQRA1RJKW1t0ortIJ0GwFdoDY99gT6e6r5VCGFFE//ITlx7Q4QQZuAR4DMAKeVRNHF8sk3Q0jZp\nWDzXRwkhlnpcMDXAB3T8+6wGBgmt5859QI2U8pDn3E/RStYHPG6N5zqI42HgfiDf4wYZ30G4HcA9\nQohotIzmM2CSEKIvEOS5z85y+d6llA2eXUsHYaGDd/k2wvbh2v9hQauwvWK2SyX+d55bfbEuAB11\nwWwvzoQ2+y1C+j9oJbcUKWUQ8As69x7koP1hHrlOmGK00l7rdJ1cLaY3Q2yb7309aRQDoUKI1oKR\nAFzsRJyFwHlP5tyyBUop53nO3+j3udH5h9DcOX/xZLAlaBn3M52M918BF5Du+X2+QQe/j5SyCU2E\nn/Js77c6VyalfElKGQt8C/izaKcLr5TykJTyQSACWIXWoN9eWmfRMqnvAdul1uZTilZraN11U3aw\nfzu0fZeLbzNsMRDvaZtpoS9X/iNK/BV3nOv1ilgPxAghXhVC+AghAoQQY69z3S+FEGYhxGC0qvYn\nnuMWNLdUgxAiDXilM4ZJrT78I+AfhdYYGyiE0AkhJgsh/uIJ9jHwQ6E17lrQhGyplNLdmTTauZdI\nIcT3hRBGIcSjaO0OG6SUF4E9wG89z2Iomhviw07EfwCoE0L81PN89EKIdCHE6HbSb48yNL98RzwD\nvI3W1jLMs00Chgkh0ju4pnWaFsAG1AohYoHXbmDP+8BzwAK0WoIWoRCPCiHiPF+r0QTtqt/B81wX\nCyGCpJQutPfCdZ20tgPf9XwCbGvzve29VHjSvN7zuhEC+I4QItbTuP0LYOl1wn67E2H3o2VkP/U8\ngwxgXquwZXRc0PraoMT/ztJeiaJtSaltGAngKWndh9ZzpATIRfNjdnTddrRGrc3A76WUmz3Hf4Lm\ngqhFa7hb2o4N7Rsv5XI0P/PzaKWkUuCf0UqMAO+gCdAONBdVS0nxhnF3EGY/0B9NRH4NPCyltHrO\nPYFWyygGVgD/JKX8qlUcHT1HF9offbjHxgq05xB4o2s9/BYtY7UKIX7UOpBHrO8F/kNKWd5qO4LW\n6+vpTtzz/0FrlK8B1qI1ml/vN9mNJrCHpZStXRmjgX1CiDo099D35ZW+/a3jewo473ExvQQs7igt\ntHfKwpVePG2/t8Td8qwb0NyKuz29b8Zx4+d7zS2idV7YhNYwfwb4TQfXSjTf/XXDSikdaP+jOWi/\n/5vAN6SUuZ5wb6O506xCiBXXse2uRngaOO58wkL4or08Pmi9EVZLKV/3ijFfIzz+33OA4SZL3D0K\nIcSzwDellPd425aejhBiM1oD8zvetsWbCCHOo70zX90wsAKvDTSSUjYJIaZJKRuENuBplxBispRy\nl7dsUijuNoQQY9BqCg942xbF3YVX3T6tWvpNaD0IqrxozteJr0ODVXvuAUUrhBDvAV8CP2jT80mh\nuCFec/sAeLrPHUFrEPofKeVPvWaMQqFQ9CK8XfJ3SymHA3HAFE+ru0KhUCi6mR4xuZiUskYIsR6t\nh8K2luNCCFXtVygUiltASnndbsteK/kLIcKFEMGefTNaN8bMtuG8PQS6M9uvfvUrr9ug7FQ2KjuV\nnS1bZ/BmyT8GeM/j99ehzQeyxYv2KBQKRa/Bm109T6B1UVMoFArFHUaN8O0CMjIyvG1Cp1B2dh13\ng42g7Oxq7hY7O4NXu3reCCGE7Mn2KRQKRU9ECIHsqQ2+CoVCofAeSvwVCoWiF6LEX6FQKHohSvwV\nCoWiF6LEX6FQKHohSvwVCoWiF6LEX6FQKHohSvwVCoWiF6LEX6FQKHohSvwVCoWiF6LEX6FQKHoh\nSvwVCoWiF6LEX6FQKHohSvwVCoWiF6LEX6FQKHohSvwVCoWiF6LEX6FQKHohSvwVCoWiF6LEX6FQ\nKHohSvwVCoWiF6LEX6FQKHohSvwVCoWiF6LEX6FQKHohSvwVCoWiF6LEX6FQKHohBm8boFB0lsrK\nSjZv30Rl9SUSY5OYnnEfPj4+3jZLobgr8VrJXwgRL4TYKoQ4JYQ4KYT4vrdsUfR8Ghsb+WjF+zQE\nVhI7KoS8ulOs2bDK22YpFHct3nT7NAM/lFIOBsYD3xFCDPSiPYoeTGlpKS6znfjkPvgH+JE2IoW8\nolzsdru3TVMo7kq85vaRUpYCpZ79eiFEFtAHyPKWTYqei9FopLnJiZQSIQQOuwMhdRgM3fcK19fX\ns2vvDiqrK+nbJ5Hx4yZ0a3oKxZ2kR7zJQohEYASw37uWKHoqsbGxJIalcnx3Fv6hZmqKG5g67j70\nen23pOdwOPh4+Ye4QmyExAdzMG8n1hor8+9f0C3pKRR3Gq+LvxDCAiwDXpVS1nvbHkXPRAjBwgUP\nk5WVRV19LdGDY0hKSuq29EpKSqgTVoamDwAgJDyIw58fY5ZjNiaTqdvS7W04nU4qKysxGAyEhYV5\n25xehVfFXwhhBJYDH0op2229e+ONNy7vZ2RkkJGRcUdsU/Q89Ho96enpdyQtnU6HdMvL390uN6Bl\nQoquoa6ujjXLPkTvqMTR7CYqeQQz58xTz/gW2LZtG9u2bbupa4SU8sahugGh/cLvAZVSyh92EEZ6\nyz5F78blcrHk0w+w6koJCrdw6UI1IxLHM33aDG+b9rVh/ZrlhDSfY0hqX9xuN5v35zBw8qMMGjTI\n26bd9QghkFJeNxf1Zsl/EvAUcFwIkek59rqUcqMXbVIoAK2W8djCJziceZjqWisjRsUxdMhQb5v1\ntaL6UilDB4QDWk2rT5gf1VWVXraq9+DN3j67UCOMFT0YHx8fJo6f6G0zvraER8VxrjCbkYOTcDpd\nFFY0MCw90ttm9Rq85vbpDMrto1B8fWloaGD9yqU0VhfR7HSTPGQiGdNmKJ9/F9AZt48Sf4VC4TWk\nlNTU1GA0GvH39/e2OV8blPgrFApFL6Qz4q987gqFQtELUeKvUCgUvRAl/gqFQtEL8fr0Doq7Eykl\neXl51NTUEBoaettTLdjtdi5cuABAQkKCmqdfoehmVIOv4pbYtGUTx/IP4Bfmg62iifFpU5hyz9Rb\nistms/HBp+/SaKoFwOwI5BuLnlW9PxSKW0Q1+Cq6BavVSmbuAYZOGcCAof0Yck8qe4/vxGaz3VJ8\n+w7sRYY2MmTCAIZMGIAMbWTvgb1dbLVCoWiNEn/FTeNwODD66C9Pp2w0GdEZBA6H46bicblc2O12\nauqrCQy1XD4eGGqhtr66S21WKBRXo3z+ipsmNDQUswig4GwRUbHhFOeXEuoXQVBQUKfjOHjoAF/u\n2si5grNcKq/Cx6Jn/uLZhEWGUnL2EtNHjO3GO1AoFMrn34txu93odLdW+auurmbjlg2UVZYSE9GH\nOTPmEhAQ0KlrCwoKWLrxPdwhjdTKShqqmrCeb6CmzMaIQaOYNmEGU+6Zqob5KxS3iBrhq2iXgoIC\n1nyxkrrGWhKiE3ng/oc6Ldxdwf4D+zlcsoO88mwS0qNpbnJSeLCSfn37M2PIfDWl79eEiooKtny+\niupLJYRGxTFjzoOEhoZ626xegWrwVVxDTU0Nn63/iOjhQYyZN5gGSyUr1y27ozYEWAJorHGg1xlo\ndjRTXlRFwdlCvvpyGxs2r6OqquqGcahCQc/G4XCwfsWHDAxv5InpqaQE1rJuxRJcLpe3TVN4UD7/\nXkZFRQWmED0h4Zp/PnlgXw6sO0lzczNGo/GO2DBgwACyclMpz65g/4lTXMwrpt+oONIGpBES5ssn\nq5bw4tOvtLtYus1mY+3G1Zy/mEeAXwDzZz5E375974jdPR0pJQf27eXEoR1IKUkfNZnxEyd7xX1m\ntVoxYyM5PhWA/ol9yLqYe3lcSGuampqorKzEbDarmsEdRIl/L8NsNtNUZ8flcqHX66mrqcfH4Nuu\n0HYXer2ehQ88wrjREzh58iSf71nDqBmDiY6OQqfTcawwB6vVSkRExDXXrt6wklrfMkbPG0R1ZS2f\nbfiIF554heDg4Dtmf0/l1KmT5B/dxPxx/RBCsO3wFsx+/gwfMfKO2+Lr60uD3Y2j2YnJaKDJ7qDR\n4cbX1/eqcKWlpXy+8kMCjQ5qG52kDpvCpCkZd9ze3ogS/15GbGwsQ5NGc3z7YXwDTTRVOpkxYQ4n\nTpxASklycvId8f8LIYiLiyMoKIijeQeJCA9Hp9PR7GjGaXddIxKgdQ0tKDnH6LmDEUIQEh5EaUgF\n5eXlSvyBwvwzDEoMx8+sjY5OT47kXP5Zr4h/UFAQaSOn8fmer4gKMVFS5WD4hNn4+fldFW7LhhWM\n6+dHfEwCzc1OPt+7nb7JKcTFxd1xm3sbSvx7IbPvm8PgwnRsNhs+Pj6s27wKgrU++tv2G3n60ecI\nCQm5I7YEBAQweXgGe3Zswz/cl/pLTUwaltFuBqTX6/ExmqmvtREQZMHtdtNU78BsNt+2Hc3NzZw8\neZL6hnoS4hLuSleS2T8Qa/kZEj3frbU2zIGJ17mie5kw+R7iE5Oorq5mcGgoffr0ueq8lJIaawVx\no9MAMBoNhAeZqK2t9Ya5vQ7V26eXs2nLF+Q3nSZ5oCZ257MvkGAawKwZc+6oHYWFhVitVkJCQoiP\nj+8w3JkzZ1i1+TN8www01jpIjx/OnJlzb8uv7XQ6+XjZEi65L+IfbKbqQj2zJ8xn+LARtxynN6iv\nr2f5x38n3FiLEFDe5M/CJ54nMDDQ26Z1yCcfvk1KYC2pSbHYGprYeOA8cxa9TFRUlLdNu6vp6Qu4\nK3oADY02zAFXXCx+Ab7Yqm9tmoZbobq6msrKSiwWC0OH3niB9P79+/N86LcoLy/Hz8+PhISE227Q\nzM/Pp8JxkaGTBwIQHdfIlp2b7jrxt1gsLHrqBfLz8wHI6Nv3GjdLT2Pm3IWsX/kRJy/k4HAJxkyZ\nr4T/DqHEv5eTkpjKW8s3YUkwYDKYcFcZeWT6pDuSdm5uLqu2LMM3yEBjnYNJ6VOZNHEyDocDk8nU\noaiHhYURFhbWZXY4nU6MPld6Ovn4mmh2NSOlvOsGmpnNZgYOHOhtMzpNSEgITz77CnV1dfj6+qrZ\nXO8gSvx7OdbqKgQ6KvKt2JschOijSYhP6PZ0XS4XazevpP+EOAKCLDibnXyxej17Du3GpXNg8Qlk\n4dxHiY2N7XZb4uLicG3XUZRfQmBIAAXZRQwdMPzOCP/27WAywYQJ3Z9WD0Wn093U1CCKrkEN8url\nHD51kOkPT2bRMw/zjW89Qb+RcRQUFHR7una7HSfNBARpE7oJIcg9n4U5EcbMTSdyaACfrfuYpqam\nbrfFYrGweOEz+FhDqDhhY2jMGO67d1a3pwvA1q2wZ8+dSUuhaIUS/16OUa+Nsm3B1ey+PFtnd2I2\nmwn1D+fi+RIAii+U4nQ7Seqv1TrCIkNwm5qprr4zs3tGRETw+MNP8tLTr3BvxgxtwJvNBnPnwvDh\nMGQI/N//Cw8/rF2wejX4+YHTCU1N0K+fdjwvD+bMgdGjYcoUyMnRjldUwCOPwNix2rZnDxQUwF/+\nAn/8I4wcCbt2wbPPwquvwqRJWpzLl18x8ve/164dNgzeeEM71tbGzz7Tjv/85zB4sBb2tde67DlJ\nKTl58iRbNm3gwP69NDc33/iiTpKbm8vKT95n5afvc+bMmS6LV9E+yu3Ty5ky/l7W71pJRFIQDfVN\nmJuC6NciZN2IEIKH5y9i+dpPOZR1Cr00MiBhENKl9e6yN9lxNrq9u6DLxo0QGwvr12vfa2s1sQbY\nuVMT2wMHoLkZxo/Xjr/0khYmJQX274dvfxu2bNEE/Yc/1ET9wgWYPRtOn4aXX4aAAPjRj7Tr334b\nSkth927IyoIFC7QMZ9MmOHtWS8/thgce0GyoqLjWxspKWLUKsrOvHOsitn+1mcITX5GWGMalkibW\nnD/Dg48uvu0Cw7lz59i78SPGDYxCItmz8SMMhqdve4U4Rcco8e/lDEkfgsXfwvmCPMxBfgybM7zd\nAVbdQWhoKC8+8zJ2ux2TycTJUyf4fOdafIOMNFbbmT5u9h2dcK4t1vh4jKtWkVN4jqbpMxj5nVcx\n9+unierBg5pg79gBLhfcc49WCt+zBx599EokLWscbN6siXkLdXVaeIC23ZkffFD7HDgQysq0/U2b\ntG2EpweSzaZlBpMnw49/rJX0583Tvjud4OsL3/ymdmzevC55HiUlJXz23n8wb2QoFQUl9BswlKP5\nBZSUlNz2oKyc00cZnhJKbLTWkO9odpJz+qgS/25Eib+CpKQkr/7JWnp4DEkfSmyfOKxWK0FBQYSH\nh3vNpqamJj48tofQv/6EtKx8Et99izOHDjN0yhTYsAGMRpg+HZ55RiuJ/+EPWiYQEgKZmddGKKVW\nEzCZbpx46zCtM4bXX9dqFm3JzNRK/r/8pWbTP/6jVkPYsgWWLYM339T2b5ONaz6hT6COkanRNDud\nnMo5SrMuHrfbfU3Y7OxszpzORG80MWL0RGJiYq4bt15noLnZefl7c7MTvenOzDXVW1E+f0WPIjQ0\nlH79+nlV+AHKysrwaSonZkAC1sdmUP7qInyzTmEfNw7+4z9g4kQID9dcLLm5mn89MBCSkjTBBU24\njx/X9mfOhP/8zysJHD2qfQYEaLWAGzFrFrzzzpXaQlGR5vIpKdFK+YsXw09+AkeOaGGqq7W2h3//\ndzh27Lafh8PhoLmhhoFpA9hzspjqejvFFTVUNJiIjo6+KuypU6c4tHkp/SxWYsRFNnz2DhUVFdeN\nf9iocRy70MSJnHxO5ORz7EITQ0eOuW27FR2jSv4KRTsYjUaC84oY8+ePQKfDpdexavZMksePh/Jy\nrTEXtAbVFtcMwJIl8Mor8JvfaG0BTzwBQ4dqwv+d72jhnU6YOhX+/GeYP19rCF6z5krm0LqLacv+\nffdpbqOWLqEBAfDBB5rr57XXQKfTaiP/+79aZvLAA1pDtJRag/JtYjKZMJoDGdgvgotF/hy6UEpu\ndQAvPv8NTCYTJSUl7Nn+BY31dZwvKOCRKcnERWsZeENjPrnZp4mImNph/FFRUSx4/EWyT50ABAum\nprc7sZ+i6/Dq9A5CiHeAuUC5lHJIO+fV9A7tIKWkqqoKnU5HcHDwXTcQqT3q6+upr6+/3N/72PGj\nNDY1kJyY4pV5dqSUrFy7gnPWLCyhvtSUNDJ1+H2MHzv+jtvSUygqKuKLVUsINDVT1+gifdxMxowb\nT01NDcs/+DNjUwIIDrTwycoNhEdEsXDOPQBknj6HMW4yEyZN9vId9B56/EpeQoh7gHrgfSX+ncNu\nt7Ns9acUWQuQbsmA+HTmz1lwR7pndhcnT51gw/a1GMwCR70bV5ObwCQTZosPlfl1zLtnIYMHD77j\ndrndbrKzs6mtqyUqMko1PgKNjY1YrVb8/f0vZ9SnTp2iKHM1E4alAFBUXMKf3lvPi4/fT1Ozg+xS\neOjJF+/YZIGKu2BuHynlTiFEojdtuNvYuWcnVbpiRt43CCklJ/aeJvNoHKNH3Z3+0bq6OjZsX0Pa\n5ET8LGayT+WybcMeXljwDAajgdCIOrbt2+IV8dfpdGpJyTaYzeZrZlE1GAw02q+s0OVnCSB1yFiq\nTP0xWEw8kDFSCX8PRPn87zLKLhUTGa/5UoUQhMYEUV5Z3q1pOp1OTp8+TV19LX1iYru0BFxbW4vR\nX4efRRMU/0A/dL4Ce5Mdg9GA0ceI0+W8QSyK26GhoYGzZ8/idrtJSkq66akWkpOTOXYwlh2Hcgjy\nN5FX1sSsB55UGWcPp8eL/xstIxmBjIwMMjIyvGZLTyAqvA/ZRUcIiwxBSom1tJb0tMhuS8/lcrFs\n1acUN53HP8TMrpM27h05izGjx3ZJ/EFBQThtkvpaG5ZAf3RSh6tGR2W5lWaHk/xTRYwdeE+XpNXb\nkVKyd9dOTh7ZhRCC9FGTSR86nBVL/06UTx1GveDILj3zH3v+phpbjUYjDz32DU6fPk1TYwPTJ8ar\nxVjuMNu2bWPbtm03dY3X5/P3uH3WKp9/52jx+RdXFyDd0D92EAvuf6DbfP4FBQV8tuUDhmdopbim\nRjunt57nte+83mUNzbm5uazZvAKMbgxuE1PHTudsQS6XKisw6cwMTEsjbcDAr8f6ruXlENl9mfX1\nOJp5hNz9a5g2OgUpYdvhs9h9E4jzKWN0ujaq+8z5YkqIY+6CR7xio6Jr6PE+f8XN4+PjwxOPLMZq\ntd6R3j5OpxODz5XXxMfXhMvtxOVydbju7/nz59m4+XOcLgejho9l3Jhx182cUlNT+V7fH2Kz2QgI\nCMBoNJKYmMh7n76NO7KB7dlfsHTlh8yb8RCTJ0/u8XPUd8iJE9r8QB984JXkL+afZXBSBL4+2iCy\ngX3D+fzwOQYNvTI9doDFTEFFg1fsU9xZvCr+QoiPgalAmBCiEPgnKeXfvWnT3YBOp+vS+eyvR0xM\nDMJm4uL5EoLDAinIKSItKV1r5Gts5IvNn3P2Qi4B/kHcP30e5RXl/Nv//jN+cTqqyq2s272SiYOm\n8ZPvv3bdeXp8fHyumsv94JGD1MgKdJV6zpzNwS/WwNojS8krOsMzjz1392UAFy9CRgaMGuU1E/wC\nArFa80noo7l0rLU24pIGcPpCHmEhgRgNejJzS0kZc7/XbFTcObzd2+cJb6avuDFCCKJCotmxYRsG\no56ZU+Ywc8ZsANZtXEO5vMDg6UnUVNXy8eoPKCkqIW5cMFia8UuF4kwrh87uZumKWJ598vlOuafc\nbjfbdn1FMbnU2etwOOyEmPvSJz6aZmcdWVlZjOpGEW1sbESn03XdwiLV1drcP1YreHGxkjHjJrHi\n4xxqDp9BAlXOQBY+/hD5+efZuW8rLpeLgcPuY8TI0V6zUXHnUG4fRYe43W4+XfkxNr9Kpj8xkbKL\nFVRWX8JgMOB2uzlzIYcx8wYjhCA8OoyioDLq8+oJ0ENDcwOhMUFYA23om/2pbCqjqqqqUw2JFy5c\nQAS4CAu6csRYAAAgAElEQVQOgbpmfMMsnNp2llHPTsBW1Uiz09El95ednU322dOYfc2MHTUePz8/\n1mxYRV5xLrhh/LDJTL0n4/bdal98AZ6lFfFijSUgIIBF33iJgoIChBD07dsXX19f0tOHkJ5+pcnN\n6XQipdSmtb5FGhsbqaqqwmKx3PGFWgoKCjifl4uPj5khw4ZjsVjuaPp3C0r8FR1itVopry9mxHit\nsTcoNJDMzaexWq2EhYXhazJjq2vAEuiPlBK3AxJjkigsyaXaWIe93kFpVjVzZo9H1skO2wja4nA4\niO4TQXB8IvsP7aXyYhkBvsHoXHrqixwkjUu+7Xs7eiyTL/avo8+AcCoa7GR/dprEPv0oac5n1OxB\nuJwuDu7aRXRkDGlpabeX2KJF8Pjj2uyaL7xw27bfDmazucP7kVKyfdsWco7uBiB50GjunTH7pjsT\nXLx4kU2rlhDk66K2wcmQ8bNITunPtk3rsF4qISw6gXtnzu2WheWzs7PZ/+UnDIoPoL7RzvJTh3lk\n8QvenRq8h6LEX3ENtbW1fL55Pecu5HEy5wT9RscTGByA2+1GurgsBvdPm8+arcvwj/KhsdZBv/A0\nMh66l+VrP2XdpjWU2KoYPX40epeJtMRhBAYGcuDgfkrKiwkLiWDs6LGY2pnlMiYmBke1RB9nYPaM\n+9m1ZR+1Pk24S3xZdP/iLlnge1/mHvqPSiAwRJsyOqvhDEeOH2LYrP4IITAYDYTEBlBSVnz74t+y\nBsDy5Z2b1fMG2O12Tp48SVNDPXEJiV02/cWxo5lUntnNooxUhBDsOHyYwwdDGTu+80tMSinZtPYT\npgwOISo8mCa7g7W7N7B3p4lJqf7ckxJL3oVi1q34mMeffhGdrv25JV0uFzabDT8/v04XGgCO7NvK\nPUP6EBGm1Taaj54hNzeXES1TYSsuo8RfwYGD+9l5cBsut4sRA0dx7kIeuuhmhs7sR7WhhKVvrWDG\n/KnUVjQwKHEYwcHBAKSlpRES8iKlpaX4+fnRr18/dDodLz7zMs8tfoFjx47x5dYvqLlooymokWUr\nP6Wo6RwR8aEUFOZwoSifxx9+8hoBCAgI4MkHnmbjV+s5e6qYiSnTmfnSrK5fZ+Cq+dMEQZYQKsus\nBIYEIKWk9pKN4MFdMDL1lVfAYukS4Xc4HKz45D1CKCfY35ftR7cxctrCq9w2t0pp8QX6x4diMGiZ\ne2pCBLlF54HOi7/D4cBlrycqPB4AXx8TfgYn9fV19E/sD8Dg/vGc2ZFLbW3t5XepNSUlJWxc/TE6\npw0nJjLmPNLpBYZczmaMxivvidGgw+VyXeeK3osS/15Obm4uWzM3MmhKfwwGPfu276D8QiUPTJ0J\nwPTZ97KlbifhjgTGj0glPT2dgoIC6uvriYiIICoqqt2SuF6vJ+dcFgHJRtKS07h4Pp8dm3bz3I+f\nxGg0EhMfxdGvsqioqGj3+piYGJ5b3H0uknHDJ7DpwDpi0yJptDXhrNCxaOFjrP1yNccrcnA1u+gb\nmsLQoUNvL6HCQu1zx47bNxptxSuLs5xJY1IBiI22sXnXl10i/oFBoZQXZJEUp/0e5VW11DnMbPvq\nS8x+/gwdNuKaqR3a4uPjg29AGPkXy0mMi6TO1kiVDfQGH5xOFwaDHrujGbvT3W6DusvlYuPqj5nQ\nz0xsdByV1XVs2fAJ0c+/2inXzYD0Mew9uolRaTHU2Zo4d0ny0KzbdxN+HVHi38vJLzxHZHIoZj+t\ntJSYFktWZg7OZicGowHpdhMaFMb0afcRFBTEpi2bOHpuP34hPti225k79SHSB6dfE6/NZqOwQvOf\nA/RNicUpHNTV1RMa6ilNC81N4A1GDB+Jr4+Z7LzThJl8GffoBEJDQ/nm4hcpKyvDYDAQFRXVoVui\n09zv6TbZRW4Hp9OJj+mKTWZfE06HvUviHjl6LKvO5/LF3hx0Oh25xQ1EWGqwBFZRU9LE8tOZPPLk\nN29YA5u94DE2rPyIzHO52F2CjHlPUl5Wwhf79hAVbKS4ysGQsTPazUjq6+vRuxqIjdZGCIcFBxBs\nLr08mdyNGDNuPAajgaO5JzH6BHL/I49SX1/PuhVLaKirJiahP/fNWXD3dRXuBpT491JOnz7N5l0b\nycnNxRQt6dM3Br1eh6PJyeDkYRzfmYsl3Jf6S42MHjiBoKAgSktLOZp3gGHT0tDr9TTUN/L5trUM\nTBt4TaOgwWBAurmcifj6+RJgCuHM0fMkpbmoKqsm0i/Wq3O2Dxw4kIEDB151zGQyER8f3zUJuN1w\n8iT87GddEx8QHx/PgW06zhWWEhxo4VjORfqnd94tcz18fX155IlnKS4uRkpJ9fpPuW9YOMGBmuju\nOJxLXl7eDSfZi4iI4Klvfpf6+nrMZjMmk4lBgwZzrm8yNTU1JIeGdthO4efnh8Otp6qmntAgCw2N\ndmoa3J1ezlMIwchRYxjpmeiwpqaGZe//N1PTwwkP6c/xnAI2rV/Fg48+eRNP5uuJEn8vIKVk/8H9\n5Jw7jb/ZwpQJGUTexpD/uro6tu/eRnVtFYmxyYwfN+G6jWSFhYWs3bGc1HF9SRgbwdK/reDzz74k\nOTkZWWvk2y9+l+rqaqqqqggeEnzZ39rY2IjJz3BZ6P0sZlw4cTgc15TifH19mTB0Mgd27SQoxp/a\nigYWzFhIdEQ0xeVFDA7vx8Txk+/qqahvyH/9l/b5m990WZRBQUHMffQ59u7cTGNFHQn9pzK+C+fJ\nNxgMJCQkACClG5Pxyntk1OvaXbKxPfR6/TVdPJOTb+x+MRqNZMx5lM2ff0qIH1TbXIyaMu+Wu4uW\nlZUREyiICtfaFoYPTOSjzdm4XK6v97vXCZT4e4Fdu3ey78x2EgfHUl9Xzgcr3uWbj7/UbuPXjbDb\n7Xy47D30kc0E9w3kwNkdVNdWM2/O/HbDSylZu34Np0uOUpV5kX59+/PIsws4tfk89w1bQEJCAv7+\n/oSEhFwze2dkZCSueh2XSisJjQyhIPci0SGx1wh/yx9r6pQMYqL7UFZRRmjfUAYOHHj7bpQO7unk\nyZOUVpQQGhzG8GHDe8Yf+wc/0JZ6vIneKp0hKiqKBx9Z3KVxtkfakPHsOrqV4al9qKlr4GKtgfGe\nErvL5SI7O5u62hqiomO6dKbXlJQUop/7PlarlcDAwNsaJ+Dr60tNgwMpJUIIauoaMJrMt/R+uN1u\nDh88wMX8XPwDQhg3acodH8PQlSjx9wJHTh8ibUIyZn8zIeHB1FnPUFBQcEviX1xcTJOhjiEDBwAQ\nHBbE4c+PMqt5druDdI5kHuZM6SkCw81E9g/hzLksoisT6JeUco0LpC3+/v48Pn8xazatJP9gFvEx\niSyY/+Dl82VlZazYsAxr7SUigqN48P6HSU1NJTU19abv62bYtGUTxwsPEBYXxInTdZwryOORBx/1\n7gpn585pnzc502JPYsLkezjs40Nm3ml8zH2Yv2gagYGBSClZt3oZsiqHiCBfdh9poHLMHEaPHddl\naVssli4ZnBUfH09w/DA27jlOsMVAUZWTybMeu6W4dmz/CuvZ3aT3i6ayuoKVS8/y2NMv37ARvKei\nxN8LGPQGmpudtLwybqf7lkvEOp0Ot+tKo6nL6QJJh/GdLTjDyIwhnDx2moKjJTTZG6kpzufJ117q\nVHqxsbG88tx3L5ekWnA4HCxdvYSIQf707zOEksIyPlm1hJef++5N9dO+WWw2G5k5BxgxU2uHiE+W\nZG7RehHdjivttpk1S/v0wiI0XYVOp2PMuPGMGXf10pVFRUU0luVw/6QBCCHon+hg5e5NjBg1umfU\nuFohhGD23Ac4e3Ywhw7sxyewgZLiQhISEm5KtKWU5Bzdy6NT+2M0GoiOCOFS7RkKCwu7vXDTXXR9\nHVxxQ6aMm0bugQIKzhSSffQsPo2BpKSk3FJccXFxRPj04dTBXArPFXFidw6TRkzp8E8Y4BdAU4Od\nabPvYcTA0UQa45k//SFiYmI6lV5jYyNffvUln6z8mF17duF0agutWK1WXAY7kZ5Jw2Lio7CLBmpq\nam7pvjqL2+1GpxOXMzshBHpD533T3WSUtrD6r37lPRu6AZfLRUNDg9bG42O4nPn7+hgR0t1j+9ML\nIcjLzcLSdJ4RsU5k6UFWffbB5Xe30/Ho9DhdV94rl0ve1etnq5K/FxiSPgSLv4XzBXn4BpoZPvvG\n/adbU1ZWRn19PaGhoYSEhPD4w09yJPMwNXXVjB2fcN0VlCaNv4cPPj1Lbu153G43sYHJ3JsxvVPp\nOp1OPl6+hCZ/K6HRwRzM38GlqgoenPeQ1kuj0YnD7sDkY6Kp0Y7TLru9SmyxWOgbmUz2kbNE942k\nsrSKEFME4eHh3Zrudfn977XPf/xH79nQxWRnZ7Nz0wr00onOHIytXnKmoJiosGCyzpUQ1XfA5dHa\nbWuF3sZut1OYm8mieweg0+mIiw5n495cSktLO73ojBCCYWMz+OrQJgbEh1BV04BNH9Flo6u9gRJ/\nL5GUlHRLjWS79+xi1/Gt+AaasFc7WXDvw6SlpTF+XOe6+wUFBfH84iuTeyUmJl7Tb9vhcHDoyCGq\na63ERscxdMhQrfSUl0dB5TnGjRqKycdEaGQIRzaeoLFxNgEBAUwbO5Nt2zfhF+JDQ5WDGRNmd3t/\naiEED857mJ17dnIxr4CE0AFMfWhat7qabsjPfw7x8dDDXCDtUV9fz7YtG6kqv0hIRB+m3jv7mjl3\nrFYre79cxpzRcQQF+HEmv5gjhX6cqwth/e4joDcwdlI6R48e5ciezTiaGkjon870mXO7bmbU20VA\n6yEltzK8ZNyEiQQEBVNceB7/xCAeGjmq3elJ7haU+N9FVFZWsvvYNoZOS8VoMmKrs7Huq1WkpPzk\npsTOz8+vw8Zdl8vFJys+pkqUEBRu4fThTC5VVhAcFMzKTZ+RU3KSSyuLmTx1EqGRwUjJ5VLeuDHj\nSExIpKCggGxXFtl5WTQ7nYwdPbZbevm04OPjw4xpM7ot/psiJ0f73LzZu3Z0ApfLxdoVH5HgV8PI\nIZEUFF1g7bIlPPb0i1e9T5cuXSIyUEdQgJaR90/sw8GzWRhMMYxOi6BfXDgncveyeeXf+e437kcv\ngti6byvvF5XwzPMvel0gS0tLuVRr528frWHs8DSaXAaEJbbTrs7WDBo06GuzNrHy+d9F2Gw2TBYj\nRpPWi8c/wB+3cNLU1NRlaRQXF1PeVMTgManEJfUhfWIq2w9sYdOe9YybN5RhEwehD3excc0mju/O\nZkTamKtqDhaLhf3HduMIrUYfZ2fX6S/ZvnNbl9nX47nvPu3zLmgErKmpwVVfxtABfQnwN5OemoDO\nUYnVar0qnMVioarOSXOz5iOvqKwBnYmqizlMGtGfmMhQosKCSAqyU1tdycnDu0iyVJN7YC3Ll76H\nw9E1U3DfCoWFhXy1+l1mj4wkPj6W9buyqffrz4JHnuxxjdN3GlXyv4sICwvDVS+xXqohJDyIovwS\nAnxDunS6WrfbjdBd8dfq9DrsDgdB0f6Y/cyMHjGG/IJ8DmSdYGLqNCaMn3jV9RcuXMAdYKdRusg+\neQKXy03BhmKmTb23y2zssbhc2lw+v/2tty3pFEajEUezvDznjsvlpqnZfU0X4ZiYGJKHTmHt7u0E\n+RuobBBkzH6YXRuX4nZL9HqBr48Ra72TwvO5pPaxYGtyMyjFTKC7jJycHIYMuf25h26FrBNHGJ4c\nTErfGFL69mFAcjwlUn+VO6qsrIwDe7Zjb6wnMWUwo8aM7VFtFt2FEv+7CH9/fx6d+yQrP/+MvOaL\nhAVEsGhB1/Znj4mJIUCGcPbUeUIigik+V8bwAaMorDxPQ30jfhYzgeZgRg4ew8QJk65JW6fTcfHi\nRYSzibjBkTTZ7Ow7cIrTp09/barLHfLrX2ufP/2pd+3oJAEBASQPncimfbuIDTNTXNVEQtrYdseb\nTJqSQerAwTQ0NBAWFobFYqHg/Ci2HjxMUp8QSqvqafBPYd/pAsoqTBzLu0RKvyQuFhUTMajRC3en\nIcTVPb+0ws0Vh0d1dTXrP3uHEYl+BIaYyTy6EaezmfETu27UdE9FeGtirc4ghJA92T5vIaXE4XB0\nW2NafX09u/buoLK6kr59Ehk/bgI5uTms37oaYZT4Cj8WLXiy3dk47XY73/vpKxj6NREWE8yl/Foi\n/GMYn5LB/TPndou9PQYhICUFzpzxtiWdRkrJ2bNnqaq8RHBIKKmpqZ0uTLjdbo4dzeRSWTFBIeEM\nHT6CJR++x/6NS3h4UhzBAb5sPHiREXO+xYIHH75lG+12O7W1tVgslpvuPVZSUsLny95maILWXnG8\nwMash58nNjYWgMzMTKxZGxkzRJvCpM7WyJfHqnjmWz+8ZXt7AkIIpJTX/SFVyf8uRAhxa8KfmAhH\njkBo6HWDWSwWZt939SLegwcNpl9yPxoaGggMDOywgdnHx4eZ0+7nzMG1DMouofKhaTTUNhHg38lV\nm559FubPh4dvXSy8wsmT2ucXX3jXjptECEH//v2hf/9OX1NXV8fRwwexNzXQt98ARoy8sp7ymLET\ncJUcAhPY3CYeWziXnTm5t2xfQUEBW9YuxWxoxuYQTJ65kLS0649Eb01MTAxzHvkmp09kIqVk9iMj\n6NOnz+Xzer0eh/NKzcDhaEbXS9oClPj3Jm5QonO5XGRlZWGtsRITFXPNwDNfX9/Ljbv19fUcPX6U\nJnsT/ZP7X9Xf+b5p96HfvY0h+w6weuJYLK5QRnd2UXAhbmhnj+ReT5tGJyYvu5ux2Wws/+htkoPt\nhPn7snXlTs4NzWDipMkEBARgMpnoE5fIxNFaZlJb34DecGsNvs3NzWxZ/wkZ6aFEhAVRU9fAF5tW\nEBf36k1N/RATE9Nhz57+/ftz9EAgW/ccxaCXFFxyMnXBc7dk792G6u3zdeXDD2HcOG0e+Zdf5mJB\nAXaHgzNnzmg+0H//dxgyRNv+9CeklHz59l+ImTmN8F//lKCpk7COGwstPYkOHoShQ2HECBw/+AH2\ntFSOl++h8sIOdDOm0jR4MIwaBXv3EhQUxAPbD9D3bBGv/OsHPHepHrOPD7z2GowdC8OGwV//qsUr\nJXz3u5CWpvWUKS+/cg+JifDGG1q8Q4de6UZps8Hzz2v3N3IkrFmjHT916so9DxsGeXla2LlzYfhw\n7V4//bTrn7XTCRUV8Mc/dn3cPYy8vDxi/Gyk94/nwMHDnDrwBX/7/U/5j9/+kvz8fJKSkqghlP3H\nzpJz7iJbj+QzckLnBhG2paGhAaN0XF6SMSjAj2A/0aWjxs1mM/FJaRzJLSfrfDm2pmaaGm1dFn9P\nRon/15GsLE3k9uyBzEwqrFUc/dWPsDsb+eLgGrb/6f8h330XDhyAffvgrbe4tHkzBZV5hFRYqfn+\noxzc/J+UNjfi/OQTLc7nnoO33oLMTKy1tUi9JHVoChFjBnLg7//ABz/7HixdCt//PgC63/8e/dSp\n+J4+jeHHP4a//Q2Cg7U0DxzQ4srPh5UrITdXs/n99zWbWxACIiLg8GFtKcQ//EE7/i//AtOnw/79\n8NVXWqbS0KCtlfvqq5CZqV0TGwsbN2qfR4/CiRMwe3bXP+9f/lL79Nx7j2XtWvjd79o/11KSLi6G\nRx/V9o8dg88/vyqYlBK9EHy1+yDOyhy+Pacvj06KJrD5AsuW/A2TycTCx58lICWD+oB0xs96iuEj\nRt6SuX5+fjQLk9a1FKipa6C6QXbpTJo1NTXkn9rL9xbfy0uPz+LpuaM5vGsjjY3ea6S+Uyi3z9eR\nLVs08Rs9GgnoSoro//A9GE1G0sf3x/3/PqNuxgwCWxrPFi7EsHcveouexrhI6tMSMUpJaUIM/c6f\nh5oaqK/XStXApZkzid6iiYJodjL2397D71g+hP/lSmNn24b6TZs08V22TPteW6uF3bkTnnxSE/qY\nmCvukxYWLtQ+R46EFSuuxLV27ZXMwG6HCxdgwgQtY7h4UbsuJUWrMfzkJ9qo23nzYHI39OL43e8g\nPR26cSBblzB/vra1R4urrU8f+Owzbb8lE50z53KwpKQkjuw2kHcim3sSzFwob6Bf31jCGiVfnSvH\nbrdjNpsZ16YL8PWw2WxkZWXhbHaQlNzvckcCo9HIjHmPs3ntUsyGcmx2zeffFbN9ttDY2Ii/r/7y\nugVmXxNmo6Cpqemuna2zs1z3bRVCBAohrlk5WQhxmwubKrqdZ56BzEya9+/nr7/4Hhd+pK1cJIRA\nZ9Thaj2plZRYAgMxOf2xA/W1NvZsOYDN5qCoIJ+6urrLQfPz86koL8Pe4OB87gUi31xGiUuQu2wZ\nHDqkCXFHvPmmJiiZmZpLpmVAVEtGUV+vZQqHD8Of/wxVVfDjH2vn9XrNvdLCihVX4srP19xGTzyh\nZQpms7Z84tatWkNmZqbm8vnlL690x7wFqqqqOHv2LOWtXVOZmdrnhg23HO9t89BDMHq0lgG99ZZ2\nbONGzV02fPiV5/zuu/C972n7589rmeXQoVdqLqA9yyFDoLkZ/umf4JNPtIz3008hNZVAh4MFj30T\nt188Y3+/n2CfYBJiI8gvqcY/KJwzZ3LZsGYZ27Zuvuq96Qibzcayj96mOvsLnIU72fDpWxQUFFw+\nn5CQwOIXXmXGwpdY/OIPb6qxtzOEhITQ4DZzobhC6/l0oQS3KeiaKS6+jnQo/kKIRUA2sFwIcUoI\nMbbV6fe63TLFrTN9ulbCrqjAZDKRFhBFyebDSCkpLa6gKD6FwK1bobFR84mvWoVx2jQenP0QereB\nQ+tOcSGrmPDEIC7ZS3j/i5W4/f0589ESPvnifYL3rMPgayDzyyzkxQZi0kYzfux4zW3TMrNjQAC0\n/vPPmqUJeouA5+ZqrpopUzSBcbs14dm1SyvRf+c7Wkbw8cdaifpnP9PaA+rqtLj+8z+vxN0iwOfP\nQ1KSJnAPPADHj0NJCfj6wuLFWg3gyJFbeqQnT53kraV/ZsPBFby74q/sO7BPOzF1qvbZVUs/3grv\nvKNlvAcPas+lvBxeeknLII8evVKSb92Q/uqr2jM+flwr7bfFaNQyyscf157ZokXw1FOwZAlhYWH8\neNw0yuMS2JLfyH+vPM5paxDDRk0ga/cK4o2l6MoPs2Lp32/oPjl9+hSx5jrGDevPsIFJjE8L5dCe\nrVeF8fHxISIiAiEEVVVVNz0b5/Xw8fHh/oVPcbRIx4dfZpNdaWbuQ71j9O/13D6/AEZJKUs8wv++\nEOIfpJQr7pBtiltl4EBt6cCZM8Ht5gGDgV2LH6PZ7sJd6sOM7/8cfXiC1vgK8OKLMGwYgfn5EBRM\ndHQ0o+cNJvTTLegtTbgsNs794heE/OwnfMvfSO2EIZiiQkkdlYjvfQ8R/7OfaY2ss2df8R0PG6aV\n1ocP19oLvv99TdxHjtRK8pGRsGqVVmr96isYNAgSErSM69FHta6TLW4d0MIAtC6Rvfmm9j01VVs0\n5dNP4YMPNOGKiYFf/EJrX3jtNS0DMZngf/7nph+n3W5nw7a1DLwnCT+LGYe9me1bN5OWmExwXR38\n7//eyq/UdfzDP2iuPl9fbYTxX/+qZUotPbDaWyRozx6tvQU0UW+1zrDL5eLLdSuJ2LeLuEvVRLg9\n6008/7yWqb76KmGrV+P7xm+Ym5aG2+1m0KBBvPs/f+CBCSmYfU0kAtb92Zw8eZIRI0Z02DXY6XBg\n9rkyotjs60N9fQ1lZWUEBgZedr2cPHGcvV+twc8EzTp/5jzY/jiTWyEyMpLFz7/S42Yj7W6uJ/56\nKWUJgJTygBBiGrBOCOHFIo6i0yxapG1o1bspAD/6KU+gDc4pfeIJ5OOPExkZeaWUk5gIx4/jfuuP\n6HQ6LrywAABx5AyNfZNZ/fqrpGUkkPruemqHpqA36miMjdUaBlv4t3/TPg0GTZBa8y//om1taVnr\nti2vv665flp3ObVaYf16rbawbp1WOzh06EqmA5r4Dx4MO3ZoLo/W9t0CTU1NCIPEz6IJkcnHiMnP\ngOEf/kEL8FLnFsLpUtxuTejffhvee0+rxRkMWul+zRrw8cEz695NRdvQ0IC9xkqo8xyxAQ7qcy6Q\nu2Mb92TcC3FxEBWlZcQHD2JesoQhHZSQzxWWsnvPXqKLKjl5aAezHnjiqv71LSQm92Pj4a2EBVci\nkCxdu5WiKgfNtSXofILIuP8xQkJCOLh1NXPHJRDgb6aw5BIb13zC0y98r0vFujcJP1zf51/b2t/v\nyQimAQuALlmeSAgxWwiRLYQ4I4T42Y2vUNwuzc3NLF3+MR+uf5uPPv877y9995qq+egh48g5eI6K\nkkrycwtxVxlIycnhpT++xdhZP8Sy9yS7502muVJcXuz7VnE6nZSVlVFVVXXtydDQq4UfICREK6mu\nXQtSUlJcTNbp05SdPKkJ4axZmr96yRKtlOrnd2XsgJ+fNohsw4YrXVg7gcViwc8QQElhGQCV5VZo\n0mN5+23N197VoiEllJZqIv7zn2uusRZhb9n0ei2z/vWvNeEHrXbjcmldWwsKtA20DLQl3hYmTdJ6\nZ4H2rDwUFxdj0EkG9osnKCacWH8fso7tu3LdCy/gfOIJjqX05S9/+g2rPvuIhoYGANJHTWbb4Tyy\nz11kxZqNjE2L5Nn5Y5iU6s8Xa5a2666JiYlh2oJvcLBQ8qclX1JYWMgzkwKJNxQzLE7P1g2fUV5e\nTniggQB/LfONjwmn2VaN/XrtS4obcr2S/7dpkzlIKWuFEHOARbebsBBCD7wJzACKgINCiDVSyqzb\njVvRMQcPH6TCdYHh07SGs9xjeezet/uqKZEnjJuA2deXnHPZRJmjmPTIZMyhobieeorj+/eScz4b\ni7Dw1MJ7b6vbXW1tLR8v/5B6WY3T7mJYymhmzZh9wxJYY2MjNpuNU1mn2Hd6h2f9ADv3jpnNmOef\nvzpwWRmsXq25hLZs0UrJ77VpsgoIuFxTklOmkFtQgLWykpCwMFJTU9Hr9Sxa8Dgr1n3GweOnsPgE\nsEMuIRMAACAASURBVDjO0/DYMsbgZqiu1more/Zc2TrROMrIkTBxoraNH6+5yVwurTfOsWNaA29z\nM0ybpvXMWrhQqyFERWkjj1sPoPvTn7ReVr/7nZZJeo4LIZCAAJxjB+Pzt5Us/MObEJMCixZROXEi\nAbU1hD0zncXpKRzNyufLz9fwwMOPM37iZPz8LRw9fICAsGimTsvAaDQRExmKPrsCm83W7vuSmJhI\n+eCRmBou4KzxIzUphupaG8VVxZhEOEajkUt1zTQ2OTD7miitsKL3tfSctQLuUjqc20cI0R+IklLu\nanN8MlAqpTz7/9u77+gozzPh/997unqvSKigggDRi8FgUwym2BRXjMvGzuZNTzbrLdnk7K6zv2Q3\n+2Y3m2Sz+2aTdew4No6xjQsI21TRe5VAQhIIVVRGvYw07f798QghQBVJjITuzzk6RzN65plrBLrm\nmbtc16CeWIj5wD9KKVd23P4+gJTyp12OUbV9BqC1tZXjJ4/R2NxAXEw806ZOvyORbv/sU2otpUTH\nRQJgraiB6z5sfHLTPY/3g0+2UGe6TkJqLC6XiwuHLrN2wdOkpqb2+JhLOZfI2PcJDto4efIk619c\nw7j4KNrb2sned4Vvv/yX/WsgU16uzTls2QL793d7SLuXF9VLlxLz6qvaElGjEbvdjtFoRJhM2uT1\n7f8/W1q0RNw1sVdW9h3PxIk3E/v8+doqpduqa94L7e3tvP/O60RbGgj08yK3pJ7UuauZNVubH7q6\nZQuB//C3GN/X9gu43W4277nMn3/7B1y5cgWHw4Gfnx97t/2R1XPj8PG2UNvQzO6zVbz0tVfvqBgK\n2v/bd9/5I4bq09TUWnl8XgxGPZwubKbBK42X/s/3yLpwjjMHP8PPS0ezw8ij65/vrM+j3GmwtX1+\nAfxdN/c3Av8B9LBguN/GASVdbpcC8wZ5zjGnrKyM/Uf30dzSzLWia4ybEoJ/iC+7zmTT0NDAww8t\nvuX4qIhoLmdnEREThk6no6LIyqzYnpPtcKq0VhA7R2u3qNfr8Qu1UFffzfBPh6amJrbv+5jUB8fj\nkk7K3QWcPHaKyNjVmC1mDGYdNputf8k/Ohq+8Q3tq0NDQwM7fvxj1liteB07hjk3l5iMDG2OoYMJ\ntOEopxNCQ/s35BMbezOxL1igLcm8rXvaSGE2m3nyuZc5d+Y0dS2NzFo24eab8U9/Suyvf83+51Yy\nt2NytKauCbOXLx9veRuTrQQfLyMna93ETpzLjuMnCPDWUW8TPLzqmW4Tv81m44PNrxPguE5OaRlm\nfTub9+Rjd7rwCp/In319IwaDgRkzZ5OUnEpLSwuBgYF3dJ9TBq635B8hpbxw+51SygtCiIH3H7xT\nvy7pX3vttc7vFy9ezOLFi4fgqe8PVquVdz99i4hJgdj1jVxpvEBcwFKiYiMIjQjm6M5DLFr40C1d\ntGZMn0lldSVnd55BAMmxk+6oyX+vRIfHUF5USNLkBJwOJ01VbYRM7Ln3bkNDAyZfHT5+3jidTvz9\nAigtr8DW0kZjXRMW4TeoYSiHw4EjKIj2+fNp79gMtSMnh8e++lVCmpu1pZNvvqltVgOwWrWx+OXL\ntXH5BQu0VU9+fncdw0jg5eXF/Ae72Qz3/e9j+Nu/xZHxCTsOXyDAx0h5vRvvsEQuHv2Y5NgQQgPi\nmJ8awIWKYp760ndpamoiMDCwx54Tly9fJsLcyIJ505mUFMPBY6e4Xt7O2k2vsODBhbcM7fj5+eE3\nyn+3wyUzM5PMzMwBPaa35N/N+rBOQ/G2WwZ0XTkUi3b1f4uuyV+5VWFhId5RRqJiI5BuSVBUIKXX\ni5mQkNjjuLlOp2P1o2tYvGgJUsohbQQzUMuXrOD9T97j7K4cXE4386Y8qFWY7EFAQAD2ZldnX4Hk\n2DSuHa7mwp58xoXH8Oy6ZwbVuzcoKAh9SAjZRUXEhYVRVF2NMSyMwKAg7Srfy+tm4m9t1Rq0//u/\nayUQzp3TJqLv8+QkhGDlmnUUF8/AZrORYjSy+X9/zoxxLiaMg7M5Z2mOm4jN5o+/v3+fm6WcTidm\no3ZxEhsVyppHHsL7UiNLlt5dPaD+crlcHDywj2uXL2C2ePPAw4/eVU/tkeL2C+Mf/ehHfT6mtzH/\nPwF7pZS/ve3+rwCPSCmfHUywQggDcBlYBpQDJ4Dnuk74qjH/3p05c4ZDBTuZNDsFe7uDT97LQBjd\nPPTwQ5RfqWLG+AdYNlJ62/bA7XZrV/QmU7/eiC5eukjGvk8weAmw63lq9UZiYmKGrEdwU1MT+3fu\npKa8nJDoaB5esQI/X19YtAgOH6bx8cex/e53N9eYS6nVLeq63PPUKW3ydQw4ceI41qxt6FuKSY0J\npNXuZHNmKUuf+gZLH+m7jlJNTQ0fvf3/mDXBjyB/H07llhEzZdmwN1PJ3LebxqtHmDMlnoJr5Ww/\nkM2ch1Yyf+GS+2IuoT9j/r0l/0jgI8AOnO64exZgBjbc2AMwyABXoc0t6IHXpZT/ctvPVfLvRWtr\nK2+++zruIBtmLyPXc2uJDY7HN8CX+JgEZs2cPayN0z2ltbWV5uZmAgIChn/FR1NT58ay3Rs30jJj\nBtb2dqatWMHsebdNUe3ZA490ebP96CNYv3544/OwU6dOUZ/7BfGR/lzNy6LK2sAVWzg/+KefdzvG\nf7vjR49wcNdHXC8pxDswnNXrN/HAgjs7xA21N3/zc1bOCKW+sYXMAwcI82rHHJJEVbvfLc1eRqtB\nJf8uJ1kCTOm4eVFKuXeI4uuTSv59a2lpISv7Au3t7UxITCImJsbTId0/Tp2COXMAeOev/opFM2ci\ngT3HjnE4J4c5jzzCqmefvaWXAQC5udomsxvtA3/2M61G0SjfRHTt2jVqamrw9/cnKSkJIQTNzc18\n8M7viA9ow8di5mJxEwtWPkdKPxrY5+fnc2rXOzwyNwmT0cCRcwV4xz3Aw4uH/9Pqu2/+htnjBdk5\nBURb6nE67YTGT6elXWA1JLJi9dphj2E49Sf591bbx0sI8T3gKbSr/9/cy8Sv9I+Pjw8PzJvPww8t\nVol/KP30p1ri9/KipqICV1AQXmYz+0+eJLilhbWxscy0WNi1eTN1dXW3PnbiRG39fVWVVrbiRnmJ\nV17R1uGPQieOHeVQxps0F+zk3N7N7P5CWwHl6+vLk5v+HHPsIlr801m24ZV+JX6AirISJkT5YTGb\n0Ol0pCVGUVF85Y7jhuMC8IGHH+XQxWqullRwrdxKuy5AG8oT0M+1KKNeb7Njf0BL+geBVcAk4Lv3\nIiild1VVVeQX5GE0mkibmDbgFRAOh4PjJ49TaS0nPDiSB+bN79dH9DFBSi1h5+Zqhc9+/Wv8HQ5c\n3t5cq6igsrKSyaGhlDocjI+MpPLaNSorKwkKCrrzXGFhWoOZtjZtMviNN7Sv+fO1SeIhrEs/nNrb\n2zl/fDcbFiZjNhlxudx8eugM1dXzCAsLw8/Pr/vVQX3w8Q/kemErN+p0Vtc24CSAnJwcfH19aWxs\n4FjmZzjabcSnTmfZilVD9v80ISEBn2f/DydPniT72OckR8RTWFrN+cImlj8xNuZrekv+aVLKdAAh\nxOvAyXsT0thUXFxMXV0dgYGBdw4jdFFaWsrmbW/hH2PC6XBx/NwRvrTxy/1+A5BS8tG2D7luLyQs\nJpgzpVcoryzlmSee6xxnramp4fM9GVTXVRETMZ6Vj6we0hrqw62+vh6Xy0VQUNDA5jxqayEkRPv+\niy+0wnhodeXXbNrE5x98QG5tLVFeXsxfuBCdXk+D3d5ZfKy8vJympiZCQ0MJuXEe0Nb0f/CBNgz0\nD/+g1TcKDNRKVZw5o5VpGMHsdjsGncRs0hKvXq/Dx2LAbr+79ow3pKenU5iXzedHLmM26cm+1kCQ\nr5WiU1VcK6+huLSSrz7zMNYKG0cOv8fVgny+8o3v3PIG4HK5qKmpQQhBaGjogOYKwsPDWbNmDenp\n6Vy8cAoQPLJh9pj5BN3bhO9ZKeWMnm7fC2NlzH/rRx+y7+wX+Ef44KsL4MEpS3ho0cPdHvvuh+/g\nDG0kKlZbbXL5fAHTIhawsMvqCCklbW1tmEymO0rT1tXV8bst/8WMR9JujAtydncOX37q64SEhNDe\n3s7v3vp/+CYaCQwN4GpuIb62UL7yZ18b0B9WYWEhew7upLW9lclJ6Sx+aMmwl8l1u918sX075Rcu\nYBACc1QU6557rn9NOQ4cuFmeubJSqzrajZycHA5v3UqU0Ui93U7g5MmsXr+eQ5mZXDl8mGCTiSqH\ng4VPPMHEtF5qz7/1ltZz4YajR7WSDSOQlJIt7/yeaFMNKfFRlFXWcKFc8tyXvjHoCXeXy0VpaSl2\nu53dn25m7YI4/H29uXLlChm79jMpOY4on3ZMRgMfHKlg3soXWbvhGYTQGq5s+/BdnI2luNwS38hk\nHlv39KCW+94vBjXmD0wVQjTd+ALSu9xuHNpQx66TJ0/yh23/g/9UgTu0mVafWg6e3UdjY/e/Yruj\nHYvXzT84k8WEw3HzCqyhoYE33vlffvn7f+Pnv/kZl3Iu3XGO299QJTcrGlqtVhwmG94BFo6dOUSl\nvZgdhz7lxMnj/X5NVVVVvP/5ZvxSDCQ8EM75suPsP7iv7wcOUnZ2Nk0XLvDYxImsTksjuKaGQ3v7\nMU31/e9riX/cOG2svofED5CWlsaGr32N+DVrWPD886xevx6r1Ur+oUOsSElhflISS+PiyPzkE1w3\neht056WXtCGmAwe02/PnaxPCN9pmjiBCCB7bsJF6UzwZpyq41hLM40+9NCQrrfR6PXFxccTExGAy\nCPx9td3ZXl4WdNJFc30VE2LDkUJPWlIsdWWXO/82jh05SLCo5LGFqaxdlIqx6Qpnz5wadExjRY9v\nkVLK+7+bwQiw9/AuwhKCiYzVEk7Z1QocrY09ViycOnE6u05tRzddh8PuoLawiZR1N8szfLJjK+7Q\nVmYvmExLUyvb9m0lPCyc0FBt52xgYCCJEalcPJFHWEwQ1rI6EsKSOsesTSYT7a0OTl04QUicL0aj\nieqoJvYc/YKJqWn92kFbWlqKT5SJkHDtnMnT4sk+lsXSYV7FUVtVxTh//86hnvFhYZy7fnNFcm1t\nLQ0NDQQFBRFYUKAN8TzwgDYxO4AuXyEhIbcM67S2tuJnNGLsuOL08/ZG73TS3t7ed6mJRYu0N4GC\nAq0HwsaN2tc//ZMW0whZIeTj48Pj6wddz7FHXl5e+ARHczG/hElJMaA3U27zpamkEX/fcsobJMsW\nL+LIperOx9TXVDApQtuLKoRgXJg/VdZ+1FFSANXA3eN0Bh3eBh8qCq04HS7qqxoxOi0EdteAA5g+\nbQbLZq6mPrcde7GBp1feLHDldrsprSohLlkbs/Tx88Y71ITVau18vBCC9Y9tYG7Cw3jVhzI77iE2\nPP5k55V/WFgYqdGTuXKmGGtJA/nHSpg5azrewRYaGhr69ZqMRiMO283yva0tbXib+1FvZ5CCw8Mp\na2zE3bHEsthqJaSjhvy506f58L//mzNbtvDhL3+J4/HHITFRS/yHDg2qvWNoaCj1QFV9PQD5ZWV4\nh4UNrAdsUpJWFK6mRisR8Q//oK0Qev55GOTY+kjicrl67O61et0zlLWH8s7uXI4VOnn1H/+D2OmP\nUeEIZsb06eQVVxM6Pq1z13BoeAxXy6xIKXG73RRdryc0YnSvz7+X+lzn70ljYcx/b+ZujuTso7bZ\nSlVlNTQb+fH3/5X4u5wE/PX//orwdB+Cw4JwOV2cz8xl0+qXBzSJ5XQ6ee1f/h7f8UZiE6PxC/Aj\n9/A1vrrpW/268rfb7bzz/h+pF5WYvI20XLfzzKrn7/o19Zfb7WZnRgZl58+jB7zGjWPtxo04nU7e\n/dWveDQxEW+zGeMbb+CfkYFOSm2yNTsbBlnmoqSkhF0ffoitoYGQ2FhWPvFEj2/g/WK3w5e/DG+/\nrd2ePl3bRBYcPKg4PSk7O4sjez5FJ10EhMWwat0z3S4k6NpRy+FwcPrkcWqtFYSGj2Pm7DmdY/oO\nh4OMTz+kvjwfKSWRidNYseqxMdGCsS9DssnLk8ZC8ne5XBw9doTcq5fw9vJhyYPLiIqKuuvzlZSU\nsGX7Oxj8BG0tdmanzL+rEg9lZWVs2fYuboMDd7tk9ZJ1TJ7U/x4+drudvLw87HY7sbGxhIWFDTiG\nAXntNa2uzquv3rHap6Kigr1vvMHy1FT0164R9Nd/TWNwMIa/+Rt8/vzPtVU3N/zP/2hNX1588dbz\nX7sGjz9+s7ZPD1wu19AmHym11UF///fabYtFi6G1VYvz9mY3I1RlZSWfbfkNj85NwNfbwoXLRVTL\ncax/enClxKWUNDU1IYRQRd+6UMl/jGpubsZqteLl5TWoPqd2u53GxkZ8fHwGNoThCT/6kdbK8dVX\n7/hRW1sbf/zVr5gfEsL47Gyu+/tzxM+PF//iL/q/MqSfyX9YvfeeNh9wg8Wi9QuYcU8X4d2VrKws\nKi9kMG9qIgAul5s/7cvna9/7ew9Hdn8a7GofZZTy9fUlPj5+0A2uTSYToaGhnk38b72lTYROn64t\njSwqgqVLtfseeUTrY3u7c+e0idxp0+CJJ7C0tbFq0yZC/vmfKTp+nOA33uDJlpbuE/9rr2mVOgFO\nn7753P/933cc2tTUxOnTp7X6Nh3j/cPq2We1TwJ/19Fmo61NWyU0wFK+nuDr64u10Y7Lpc3HXK+u\nxS8gpI9HKcNJJX9l5Lp4URvy2LdPS+i/+AV861vw8stat6znn4fvfOfm8TdWxrz0klZP5/x5SE+H\nH/2ImJgYIsePZ+LUqYQWFeH/j//Y/XN2bXX48svwX/+lPfdtGhoaeP93v6Nq925q9+7l/d/+lurq\n6juOGxZPPQW//S1873vaaqG/+ivYufPePPddio+PJyRxFtsPXWbfqSscy2tm6aoNng5rTFO7Ifrg\ncrnIysrCWmclPCSc9PT0Ya84OFo4HA4OHTlAYWkhQf7BLH1o2aCaqdxh71545hmaTSYOb9tGQ1UV\n6w8ehC1btI5aL7wAf/M3tz6msREaGrSkCNqnhaefBrSyLcbnn+/f8smGBu1rYcfmuRdf1EoydDh3\n8iTxQHpH/wGf0lJOHT7MqntRxXPmTO2rq96GR7/yFfjLv4TeNp196UvasNaTT956f1GRNrT03HN3\nHS5owxDLH13D9akzaWtrIzw83KO9JBR15d8rKSWf7viEPVkZFDkusfPsNj7f9VnfDxwBLl26xEfb\nP2Tnni8GPSRRUlLC8RPHyc7OvmXjUsYX2zlffoKAVAM1hhLe/uAt2traBhv6TULgcjr5+O23MeTl\nka7TIZ1OPtu6tf/nuD0p3m3Cue087W1t+JhMN09rsWDvYQnjPdHbG9rvftd74u/t8YWFsHnz3cd1\nm6ioKK2ujkr8HqeSfy9qa2vJK7/E1AUTiUuKIX1BCufzT9PU1OTp0Hp1+swpth/+gGa/Kq61XeKt\nLb+nubn5rs51/sI5Nme8yZmKg+w89wkffPweLpcLh8NBztVsJs1JJiDYn/jUWOymZq5fH3Sbh5uW\nLsW9ZQuWsjKmJiQQptNBWhp+H31ES0sLvPOO1j4RtOQspVZ7PyhIW7sP8Mc/wkBbf0qpFV0LDITD\nh7X73nnnlkMSJ07kUm0t1oYG6pqayKqoYMKUKd2cbAj97Gfwn/+pff+978Gyjm5Xe/dqn4J27dJa\nSc6aBc88o+0bAO31n+5oyfH665CaCvPmaZ8Ivv3tm+c/cAAefBAmTIAPP9Tu+/734eBBbVL5l78c\nlpfldrs5d/YMn2d8zJFDB3rc4KgMLZX8e+FyuTAYdJ3DPHq9Hp1edG4iGqmOnT1CypwEomIjSEyL\nQwQ5uHLlzlK5fZFSsvPAZ0x6MJHkKYlMXZBGSWMhJSUl6HQ6dELgdNz8JOB2uod2meOkSbR897ss\n++1vCXz1VXzeeov6V14h5cwZvObP1xLyjYTUdaz+D3/QyihPmwYXLmgbpgbixnneeEOr7HljNU2X\nq+OkpCTmPvkkZ9raON7czOTVq5mSnj7IF9yHhx7SEjFovQZaWrRG8gcPwtSp8OMfw+7dWqKfNQt+\n/vObcQsB5eXaMcePa29qly/ffE1SQkWFdv/27VrSB/jXf9WG0M6ehe8OT1HfzL27uHpqG9G6Elqu\nHeKT99/B6XT2/UBlUNSYfy9CQkIItkSQn1VIWHQwlSVWxgXH9dmX1NOkHJo3J7fbjdPtxHxLLSED\nDocDvV7Pg7MWc+TwPkJi/WmqbSXSZ/ygOyC5XC6Ki4txOp1ER0cT8O1v80lEBO6CAiL9/CiuqSH8\nN78hpqPiZqeuE7jTpmmF0m63rx/1hbqeZ+bMWyd7//Vfbzl08uTJTJ7c/70PgzZzppbYm5q0ZZ6z\nZ2tvAocOwdq1cOmSduUO2iaxBQtuPlZKOHFCq2F0Y/PZ009DXp72vRA3u46lpWnF7W48rhctLS3U\n1NTg4+NzayXTfrLb7RRkH+Pph1MwGPQkxETw+dE8rl+/TmxsbN8nUO6aSv690Ov1PLvhOTIP7qWq\noJLk8Kk89ODDI37Cd/7Mhew5uYPo1HBszW2464wkJiYO+Dx6vZ60xCnknskjfmIMDbUNuBp0nZvQ\nFi5YSFhIGGXXS/BPCWTa1GmDuvJ3OBx8/Kc/4SwuxmIwcMBoZN1LL/HYk09y4fx56mtqmBIdPaCE\n29bWhtlsHvH/Zv1iNEJCArz5ppbYp07VhnwKCrT7ly/vfXz+9t/B7Ym9yxxGX0kftDLkuz99hyBv\nSUOLi4kzl/DAAOv6SylBcsu/j04MTwMX5VYq+ffB29ub1Y8+5ukwBmTWzNmYzRbyruYSavFm3tPz\n73r346rlq9l3wMyVkwUE+AayacOTt2zJT01NJTU1tZcz9N/FixcxlJSwuGNy8sr16xzavZv1Gzcy\nc4AN0Wtra9mxZQst1dUIi4XlTz1FQkLCzQP++Z/h/fdvfdAzz9xcQz9SLVoE//Zv2pDUlCna2P+c\nOdq+hm9+E65c0cbsW1q0YZ6O1UgIoR33F38B9fXahrgPP9Q+JfXGz0/7pHEbKSW7M95n8ZRQwkIC\nsDucbD+8h4Sk5AHtLzGbzcSlzuTg6XMkjw+lsqaRdmPYoHa5K/2jkv99asrkKUyZPPgJSLPZzMrl\nq4cgor61NjURZLF03g7x8+PK7S0S+0FKScZ775HicpE4eTI1jY3s/tOf2Pitb918E/zBD7Sv0WbR\nIu2Na/588PLSvhYtgtBQ7RPBc8/BjQnTn/zkZvIHiI7WXvPcuVqNoIkTb+0m1vWTwY3vp00DvV7b\n6Pbyy53j/na7HVd7M2Eh2tCMyWggxM9IU1PTgDcXPvLoak6dCCGv/Bp+YRNYP3+h6ix3D6jkr4wY\nUTEx7N+3j4T2dixGI5fKyhjX0UD9dlVVVZ3lmW+Uq77BZrNhs1pJ7BgeCvH3J6iykpqamtFf/2Xp\n0pvJHbRJ2xuWLNHG9W/Xda5j0yZtlY/TCU88ARs6Nlq98catj7nRT8Jg0ArK3cZsNuPtH86V4gom\njI+koamV6iY3D97FuL9er2fe/AXAgj6PHQ5SSnJzc6mrsRISFk5KSsr9MUzYB5X8FaSUFBYWYrPZ\niIyMvKuJu6GQkJBA3erV7Ni5E+lyEZ+ezoM3umt1cfrECc598QUhJhNWu505jz3GtC71bcxmM5hM\n1Dc3E+jri93hoMFu9+jacpvNxr4vvqC8oADfoCAWr1lDZGTkvQ/ktde0FUFtbfDoo7Bu3V2fauW6\nZ9jx8bucvXoZFwYWLn+q+17GI9yuzzNoLDnNuBBvzme3UlY6n6XLVvT9wFFOFXYb47Sevlu5WpOD\nxc9Ea5WDp1Y+d1cTxEMZk9vd/bLRpqYm3v3FL1iVnIzFZKKlrY2dhYW8+Jd/eUsNovz8fPZ/8AHB\nOh31djsTFy9mwY1dvx7w0ebNeJWWMik2luqGBs41N7Px618f9ZudpJS0tLRgsVhGZfvEuro6Pnn7\nV6xflIper8PpdPHRgXyefuV7o/pTYn8Ku42+fy1lSBUWFnK1JpdpD2k9fetrGtix51O+lfgXHotJ\nCNHjqqHW1lZ8DAYsHStTfCwWzEJgs9luSf7JycmEf+Mb1NTU4OvrS3gvrRmHm8PhoOLKFZ6cNAkh\nBOPDwylqaKCiooIJEyZ4LK6hIITotib/aOFwODAb9ej12pYng0GP0SDGxD4DlfzHuLa2Nsy+xs4x\nTr9AXwpaS29pqDGSBAYG0mYyUV5TQ3RICMVVVbi9vbvdexEQEDC0tYbuksFgAIOBlrY2fL28tKtl\nhwNT16WVyrAoLy8nJ/scQqdjytSZd1wEBAcHIy2hXLhcRFx0GIWlVZgCokfE/5vhpnb4jnGRkZHY\nrE7qrA24XC7yswpJips4IhM/aOP5qzdt4ozNxgcXL5LtcrFm06YRPeQghODBVavYd/UqZwsK2Jeb\nS2Ba2oC6qykDV1JSwhcfvE6ALRefxmy2vfe/VFbe2uPXYDDw+JPPU29KJDOniRbvFB7bsLGzD/T9\nTI35KxQWFpKx+xOaW5tIjk9j9Yo1I795C9pH9sEuCZRSUlNTg9vtJiQkZMjKU+Tn53O9tBTfgADS\n09MxGo2UlZVRVVWFt7c3ycnJYyLBeFLGJ+8TY7xOYqw2sZ5TUEKz7ySWLl/l4ciGnxrzV/olISGB\nb33Fc2P8d2uwid/lcpGxdSu1ly+jEwJTVBTrnntu0G98J44eJXfXLhL8/SlqbeXKxYs8sWkT48aN\nG3T5C6X/pFui7/IGq9frRnxdrnvJI5ceQoinhRAXhRAuIcTMvh+h3O8cDgdFRUVcu3YNh8NxT57z\n3JkzOPPzWZ2Wxqq0NIJqajhy4MCgzul2uzm9Zw9LUlKYOH48CydOxFFcTGlp6RBFrfRX2tRZnM6v\noaisiqslFVy41kTalOmeDmvE8NSVfxawAfgfDz2/MoLYbDY+evtt9FYrAnAEB7PhxRfx9va+NQZF\nowAAGVlJREFUq/O1traye/t2SvPy8A4IYMnatcTFxd1xXF11NdH+/p3zG+OCg8mtqBjMS9GuLKXE\n1GUOwqzXj4nVIyPNhAkTYPULXDp/EqHTsWz9evXJqwuPXPlLKXOllHmeeG5l5Dl59CjB9fUsTU1l\nSWoqYY2NnDhy5K7Pt/OTT7AUFfFEaipzfHzYtXlztw1tQqOiKGnQJrqllBRZrYR1JAcp5V3VlTcY\nDMSlp3MsP5/apiYul5bSaLEQHR19169HuXsTJkzg8Sc28tj6Zxg/frynwxlR1Ji/4nHNdXVEdNlQ\nE+bvT2lt7V2dy+12U5afz9OTJyOEIDwwkAirlYqKCgwGA62trQQGBmIymZg6bRoVpaVsO38eHRCU\nmMgjixZRVFTErg8+wNnSgndoKGuefXZAu56Xr1nDEV9fzly9il90NOuXLRsVE+jK2DJsyV8IsQvo\nbv/6D6SU2/p7ntdee63z+8WLF7N4oF2ZlBEvMi6O/JwcojsSbIHVSsL0uxub1el0mH18qG9uJsjP\nDykljXY7V/LyOPDhh3jrdLSbzazZtInIyEhWPv44TYsX43a78ff3p7W1lZ3vvsuDERGEJSRQWFHB\n9nff5aVvfvPGCgqampoQQvS4A9RoNPLwI4/ccp/NZqOyshKz2UxkZOSIXUqrjE6ZmZlkZmYO6DEe\nXeophNgHvCqlPNPDz9VSzzHA7XaTuXs3l48fByBl7lyWLF9+10sh8/Pz2b9lCxEGAxcLCrjW2Iix\ntZUXly4lIS6OMquVs21tvPyd7wDaZPPxI0eoLCqize2GkhJWdBSFK6yo4IMTJ1jx3HPMnDOHAzt3\nYs3PRwpBzNSpPPrYY33GWV1dzad//CP+djs2p5PQ9HRWrV2r3gCUYTNalnqqv4AxTqfTsXTFCh5a\nuhQpJTabjV0ZGTTV1hKdmMi8BQsGtP4+OTmZoK9+lc937MC3qopnJ07k6pkzlGdlEeDnx7jQUI5e\nvIjdbsdkMrFz+3bsOTmkRkSQV1bGgbNnWThhAtcqKzlz7BgxgOPcOX6ekcGs8HDWTpqElJKDWVmc\ni45m5uzZvcazLyODdIuFhPh43G43ey9cID8tjZSUlEH+5hTl7nlqqecGIUQJ8ACQIYT4zBNxKCOL\nwWDA7Xbz0VtvYS4oIK6xkeytW9n85psD7uwUGhqKzmZj+dSpRIWE0CIEfno9tTU1lFZX49Ux7m+z\n2SjNzmZBSgoRQUEsmjKFkIgIPjp3jm0HDhBiMrF08WJmJyejq6rCB+2qSqfTERsYiLUfq4MarVYi\nO6pd6nQ6Qi0Wmpub7+ZXpChDxlOrfT6SUsZKKb2klJFSyvt/y53SL+Xl5Xg3NRHm5UXp+fMkNDdz\ndMsWtm/dOuA3AIuPD40tLYT4+5M+fTo7Sks5WFrKudZWVj3zDKAlYzfg7nLuhNhYlr7wAhGTJzP7\noYc6m5P4+vpyvWPVkJSS8sZGgm7rJdCdyIQELpeVAdDa3k6pzUZYWNiAXouiDLWRMOyjKJ10Oh0u\nIO/8eZKDgjAajSS2tlJ38SLFs2d3u16/JwseeYRtb75JdXMz7S4Xk1av5tENG4iIiOjcHWw2m5n4\nwAPsP36c+MBAqpqaMI8fz+TJk2lZtYpze/YwVaejsaUF38REZGAgn+Xk4JaSwKQkZvQx5AOwdNUq\nMj78kK3Z2bj1euatWqWakysep2r7KCOK0+nk/T/8gctbt7Jw/HgKm5qITk3FZTaTum7dgPsF19fX\nU1xcjF6vJykpSWv0chspJVkXLlBVVoZ/UBAzZs/GaDQipeTsqVMU5uZi8fFh7qJFBAcHY7VateGb\n0NABTdrabDaMRuOILkKn3B/6M+Grkr8y4rS3t/PLn/4UfWkpsydNIsTfn2NVVTz19a8TGBjo6fB6\n1dDQ0NkuUg3tKJ6ikr8yaFJKThw9yvlDhwCYtnAhc+fPH5ZlioWFhVRVVuIfEEBsbCx7tm+nLD8f\nL39/lq5fP6AhH0/Iz88n8/33te5hDgeTly3jgQWe6UurjG2jZamnMoJlZ2WRv3s3K5KSADi0ezde\n3t5MvctNWD05eewYl3btItbLi2ttbVyZNIm1zz4LMORvNA0NDezdsYOasjKCo6NZtmbNoJt3uFwu\n9m3dypKYmM6+wZ/v3UtyaqrHeiIrSm9UQXGlVyX5+aSFh+NjseBjsTApPJySgoIhfQ6Hw8Gp3btZ\nmpxMemIiS9LSqL14kevXrw848be2ttLQ0NDjyiCXy8W2d98ltKqKFePGEW618sk77wy68FpbWxvC\n4SCwo6WhyWjE32hUSzqVEUtd+Su98vL3p6GoqPN2fWsrliFubO10OtFJ2dmXVwiBxWgccGnng/v2\ncenQIYw6Hd5RUTz+7LN3NEivr6/HXVvLxIkTAUiNieFqbi51dXWDGqP39vbGFBREYUUFCZGR1DQ2\nUu92ExwcfNfnVJThpK78lV7NfuABio1GjuTlcSQvjyK9njnz5w/pc3h5eRE2YQKnr1yh2WajoLyc\nFrO5c319fxQUFFB06BCPp6byeFoaYXV17N+5847jTCYT7W43jo4rfYfTSbvbPeh+ukIIHtu4kVxg\n68WLHKiu5pGNG3us/6MonqYmfJU+2Ww2CgsLAYiPj7/rOvt9Pcf+XbuouHYN/5AQHl65ckBj5ceP\nHqXl8GHSExMBaLbZ2F9Tw5c66vd0dXDfPq4dOkSUlxfXbTbiFizgoWXLhuR13CgFbTabVe0exWPU\nhK8yJLy8vJg0adKwP8fKtWvv+vEBQUEUtrXhdrvR6XSUWq0ER3ZXVBYWLVnCuLg46urqSAwKIrHj\nDWMoCCGwWCxDdj5FGS7qyl+5L0gp+WL7dsrPn8ei1+MMCGDd888PehWPooxGap2/MuZYrVYcDgeh\noaGDbvA+HEpKSrh6+TImi4X06dPx7VgdpChDSSV/RRlBLl++zKEtW0jx88Nmt1Pu5cXTr7xyx4ok\nRRksNeavjEkul4sL585RU1lJcHg402bMGFA/gOFyOjOTB8aNI7yjRIUjP5+8vDymTJkCMCI/qSj3\nL5X8lfvOzowMWrKyiAkIoPDsWcqKinjsiSc8vvrG6XRi6tLL1yAERw8e5Nj27cDgO5gpykCo5K/c\nVxoaGig7f57H09LQ6XQkRkWxPSeHurq6XjdctbS0kJOTg9NuJ2HChAHtMeivtFmzOLlrF9PGjaOl\nrY1jxcXE+PuzZsYMJHDo1CnOBgUxa+7cIX9uRbmdSv7KfcXtdqPr6LQF2tinXghcLlePj2lpaeH9\n3/+e8NZWLHo92zIzWf7883ddSM5ut9PS0oKvr+8tQzmz581Dr9dzKTsbY3AwidOmMdnl6hySmhAS\nwvXiYlDJX7kHVPJX7gvl5eWcP34cl9NJm7c3JwsKGB8SQmlNDTVA9tmzBIWHk56efsf4/6WLF4lo\nbWV2cjIAQdXVnMjMJO7P/qzP53U6nRzOzKTo8mV8/P2JTkoia/9+TC4XTrOZlRs3EhMTA2hvRDPn\nzGHmnDkAHNi7l+rTp4kNDweguqkJP9XXV7lH1OCiMupVVlaS8eabBJWVEWm1oq+vpy48nFyDgUsu\nFyHt7RhzcijIyGDHxx/fUfTNabdj6XKF7mU242xr69dz79u1i9oTJ1jg709UTQ1/+PGPmR0YyJq0\nNB4ICuLz997rsWjcnPnzqQkMZM/ly+y5fJmawMAhL52hKD1RV/7KqJeTlUWqtzcToqMB0Ot0FJlM\nLF+3jnd+/nNWpKdj0OtJkZIdOTlYrdZbirjFT5jA9sxMgmtqsJhMnCkuJuXRR/v13AVnz7IuKQmj\nwQAOBwlGIy0dbxwRQUHoKytpbm7utgmNl5cXT3/pS5SXlwMQHR2tVvwo94y68ldGPQG3XM3f+N7l\ncqEXAkPHMI8QAqNOd8eVeFRUFMtfeIE8g4HTNhvJK1b0e9LVZDbT2t4OgNliodHhwN5x/tqmJhx6\nfa/r+I1GI3FxccTFxanEr9xTapOXMupVV1fz8euvM9FiwWgwkF1Xx7IXXiA+Pp6tmzfjVVZGYng4\nZTU1VPj68uyXvzxkfXSzs7I48dFHxPv40NjezlWXCy8g0GikGVj2zDNDWjtIUfpD7fBVxoyqqiou\nnD6N2+Vi4tSpjB8/HtCarBzev5/qkhICw8NZuHQpvr6+OBwOsrKyaG1uZlxsLAkJCXf93CUlJZQV\nF+Pl68ukSZNob2+nqamJgICAYamAqih9UclfUbrhdDr58O23MZeXE+TlRWFTE9PWrGHGrFmeDk1R\nhoQq76AoXbS3t2MymSgqKkKUl/NgRzevuLY2Pt+5UyV/ZUxRyV+571VXV7NjyxZstbWY/PxImjkT\nU5cSChajEelyIaX0eAkIRblXVPJX7mtOp5Ptmzcz1Wxm/OTJVNbVsf/gQfQGA1euXyfEz4/ssjIS\np09XiV8ZU9RST+W+1tTUhGhpYXzHLtqIoCCC9XoWrllDRUgIJ1paCJk7l2UrV3o4UkW5tzxy5S+E\n+BnwGGAHrgAvSykbPBGLcn/z9vamXUqabTZ8vbxos9tpdjoZP358ZyllT2tvb+fq1au43W7i4uJU\ngxflnvDIah8hxHJgj5TSLYT4KYCU8vvdHKdW+yiDln3hAkc//ZRQk4lau51py5cze948T4cFQGtr\nKx+8+Sb+jY3ohaDKYGDDyy/3WoFUUfoyKpZ6CiE2AE9KKV/o5mcq+StDoqamhrq6OgICAm4p7eBp\nhw8epOnoUWYlJQFwubSUxpgYVj/xhIcjU0az0bLU8xXgXU8HodzfQkJCCAkJ8XQYd2hrbiagS4OX\nQB8fKpubPRiRMlYM24SvEGKXECKrm6/HuxzzQ8Aupdw8XHEoykgWO2ECefX1NNts2Nrbyb5+nbjU\nVE+HpYwBw3blL6Vc3tvPhRBfAlYDy3o77rXXXuv8fvHixSxevHjwwSnKCJGSkkLzqlXs2b8ft9NJ\n2sKFnfX+FaW/MjMzyczMHNBjPDXhuxL4d+BhKaW1l+PUmL8y5rlcLs6eOkV1eTkBYWHMnjsXk8nk\n6bCUEWzETvgKIfIBE1DbcddRKeU3ujlOJX9lzPvs009pzcoiLjiY6w0NtMfE8MSmTT02eq+pqeFK\nQQE6vZ60tLReS0or96cRO+ErpUz2xPMqymjT0tJCyfnzrOtoSB8XEcFnOTlUV1d322T++vXrZPzh\nD8Tp9TjcbrIOHeKpL38ZPz8/D0SvjGRqh6+ijGA36g11LT1xe/Oark4cOMBUf3+mT5jAnORkYhwO\nss6du0fRKqPJSFjqqShKD3x9fYmaNInDly+TEBJCeX095piYHvcq2G02vM3mztteJhP2jk5jitKV\nSv7KmFBbW8vVq1cxGAykpKSMqiYrK9eu5eTx4xSXlhKYlMSyBx9E39Ga8nZJ6emcy8hgrsGA3enk\ncmMjy1NS7nHEymjg8R2+vVETvspQqKioYNubbxKn02GXEqu3N0+/8sqgJkKLi4spKy7G4uPD5MmT\n7/nqm4sXL3LhyBEAps6fz+SOOkVSSk6fOEHu6dPojUZmPfwwKSr5jzkjdrVPf6nkrwyFT957j6ia\nGhIiIwE4U1CA/4IFzF+4sPMYu91OfX09Xl5efU6OZmdlceLjj0nw8aGhrY22yEiefPHFe9aAPS8v\njyN/+hNzYmIAOFlayoKNG1WSVzqN2NU+inIv2Vta8LFYOm97m0zY29o6b1dUVJCxeTPm9nZaXS5m\nrVjBrLlzezzfsZ07WRIfj3/HJ4fM3FwKCwvvWfK9kp3N5NBQwgMDAZjS3s6V7GyV/JUBUat9lPte\n4pQpnC8tpbGlheqGBvKbmohPvrna+PP332eWry+PpqaycsIEzn3xBVVVVT2ez9HWhleXSVWLwYDD\n4RjW19CVwWymrcvz2ex2DF3iUZT+UMlfue/NnDOHpOXLOVRfz5m2NhY8/TRxcXEAOBwObPX1jAsN\nBcDLbCbUbKa+vr7H8yXNnMmx/HwaWloorKigAoiNjb0XLwWAGfPmkdvezvmrVzl/9Sq57e3MGCEl\nqpXRQ435K2PeH/7rv5ii1zM+PJzW9nZ2X7nC2q9+lbCwMJxOJ4cyM7ly4QImi4UHV65k/PjxHNm/\nn+LLl/Hy82PhihXdbrgaTnV1deTl5gKQMnEiQUFB9/T5lZFNTfgqSj9UVVWRsXkz+tZW2qRk7sqV\nTJ81C4D9u3djPX6cWQkJtLS1caS8nLVf+co9T/aKMhBqwldR+iE8PJwXvvlNGhsb8fLyumUPwNXs\nbBbHx+NjseBjsZBQW0tJSYlK/sqop8b8FQUwGo2EhITcsfnL4uNDU2tr5+0mux2zmlxV7gPqyl9R\nerFgxQp2vv024+vqaHE6aY+IIFU1W1HuA2rMX1H6YLVaKSkpwWQykZKScs82cynK3VITvoqiKGNQ\nf5K/GvNXFEUZg1TyVxRFGYNU8lcURRmDVPJXFEUZg9RST0UZIa5cucLpzEwcdjsTZ81i5pw5t7Rv\nVJShpK78FWUEKC0tZd/mzaRJyWxvb3I+/5xzZ854OizlPqaSv6KMAFfz8kjx8yMyOJhgPz9mxMRQ\ncP68p8NS7mMq+SvKCGA0mWiz2ztv29rbMXZpQKMoQ00lf0UZAaZMm0aZycSpvDwuXL3Kufp65jz8\nsKfDUu5jaoevoowQzc3N5Obm4nI4SExKIiwszNMhKaOUKu+gKIoyBqnyDoqiKEq3VPJXFEUZgzyS\n/IUQ/58Q4rwQ4pwQYo8Q4t51v1YURVE8duX/f6WU06SU04GPgX/0UBxDIjMz09Mh9IuKc+iMhhhB\nxTnURkuc/eGR5C+lbOpy0xeweiKOoTJa/kOoOIfOaIgRVJxDbbTE2R8eq+0jhPgJ8CLQCjzgqTgU\nRVHGomG78hdC7BJCZHXz9TiAlPKHUsrxwJvAfwxXHIqiKMqdPL7OXwgxHtghpZzSzc/UIn9FUZS7\n0Nc6f48M+wghkqWU+R031wFnuzuur+AVRVGUu+ORK38hxAdAKuACrgBfl1JW3fNAFEVRxiiPD/so\niqIo996o2OErhHhVCOEWQgR7OpbujJZNa0KInwkhcjpi3SqECPB0TN0RQjwthLgohHAJIWZ6Op7b\nCSFWCiFyhRD5Qoi/9XQ83RFC/F4IUSmEyPJ0LL0RQsQKIfZ1/HtnCyG+4+mYuiOEsAghjnf8jV8S\nQvyLp2PqiRBCL4Q4K4TY1ttxIz75dyTS5UCRp2PpxWjZtLYTmCylnAbkAX/n4Xh6kgVsAA54OpDb\nCSH0wK+BlcAk4DkhRJpno+rWG2gxjnQO4HtSysloS76/ORJ/n1LKNmBJx9/4VGCJEGKhh8PqyXeB\nS0CvwzojPvkDPwf+xtNB9Ga0bFqTUu6SUro7bh4HYjwZT0+klLlSyjxPx9GDuUCBlPKalNIB/Alt\n0cKIIqU8CNR5Oo6+SCkrpJTnOr5vBnKAaM9G1T0pZWvHtyZAD9R6MJxuCSFigNXA/wKjt6qnEGId\nUCqlvODpWPoihPiJEKIY+DPgp56Opx9eAXZ4OohRaBxQ0uV2acd9yiAJIeKBGWgXJiOOEEInhDgH\nVAL7pJSXPB1TN/4D+GvA3deBHtvhe4MQYhcQ2c2Pfog2LLGi6+H3JKhu9BLnD6SU26SUPwR+KIT4\nPto/wMv3NMAOfcXZccwPAbuUcvM9Da6L/sQ5QqkVEsNACOELfAB8t+MTwIjT8al5esdc2RdCiMVS\nykwPh9VJCPEYUCWlPCuEWNzX8R5P/lLK5d3dL4SYAiQA54UQoA1RnBZCzPXEstCe4uzGZjx4Rd1X\nnEKIL6F9LFx2TwLqwQB+nyNNGdB1Qj8W7epfuUtCCCPwIfC2lPJjT8fTFyllgxAiA5gNZHo4nK4W\nAGuFEKsBC+AvhHhLSvlSdweP2GEfKWW2lDJCSpkgpUxA+wObORL3Awghkrvc7HHTmqcJIVaifSRc\n1zGBNRqMtI1+p4BkIUS8EMIEPAt86uGYRi2hXdm9DlySUv7C0/H0RAgRKoQI7PjeC20Ryoj6O5dS\n/kBKGduRLzcCe3tK/DCCk383RvLH7X/pqFt0DlgMvOrheHryn2gT0rs6loL9t6cD6o4QYoMQogRt\n9UeGEOIzT8d0g5TSCXwL+AJtRcV7Usocz0Z1JyHEu8ARIEUIUSKE8MgwZD88CLyAtnrmbMfXSFyl\nFAXs7fgbPw5sk1Lu8XBMfek1Z6pNXoqiKGPQaLryVxRFUYaISv6KoihjkEr+iqIoY5BK/oqiKGOQ\nSv6KoihjkEr+iqIoY5BK/orSjY5y0mc79m9s6djYgxAiUgjxJyFEgRDilBAi48YmPyHE50KIur5K\n6SrKSKCSv6J0r1VKOUNKmQ7Yga913P8R2s7JJCnlbLT6UxEdP/u/wIv3PlRFGTiV/BWlbweBJCHE\nErSCeL+98QMp5QUp5aGO7/cCI7IomaLcTiV/RemFEMIArAIuAFOA056NSFGGhkr+itI9LyHEWeAk\nWhe533s4HkUZUh4v6awoI5RNSjmj6x1CiIvAU308ThXLUkYFdeWvKP3UMaZvFkJ85cZ9Qoipt/Vy\nHWklqBWlWyr5K0r3erqC3wA80rHUMxv4CXAdQAhxENgCLOsoozxaG9YoY4Aq6awoijIGqSt/RVGU\nMUglf0VRlDFIJX9FUZQxSCV/RVGUMUglf0VRlDFIJX9FUZQxSCV/RVGUMUglf0VRlDHo/we2zkjU\nHydRSQAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x87a9ef0>" ] } ], "prompt_number": 3 }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### 3. Do 100 runs of Random Forest Classifier using two principal components and show confusion matrix #" ] }, { "cell_type": "code", "collapsed": false, "input": [ "df_pca = pd.DataFrame({'PC1': xs,\n", " 'PC2': ys,\n", " 'fruit_id': classes})\n", "df_pca.sort('fruit_id', inplace=True)\n", "\n", "# parameters\n", "# I did not make a function because I wanted to preserve the \n", "# IPython output of the confusion matrix in the same cell.\n", "reps=100\n", "features = ['PC1', 'PC2']\n", "title_suffix='with two principal components'\n", "\n", "import time\n", "start = time.time()\n", "for i in range(reps):\n", " df_pca['is_train'] = np.random.uniform(0, 1, len(df_pca)) <= .75 # randomly assign training and testing set\n", " train, test = df_pca[df_pca['is_train']==True], df_pca[df_pca['is_train']==False]\n", " y, _ = pd.factorize(train['fruit_id'])\n", " clf = RandomForestClassifier(n_jobs=2)\n", " clf = clf.fit(train[features], y)\n", " preds = clf.predict(test[features])\n", " test_result = pd.crosstab(np.array([fruitnames[x] for x in test['fruit_id']]), \n", " np.array([fruitnames[x+1] for x in preds]), rownames=['actual'], colnames=['predicted'])\n", " if i == 0:\n", " final_result = test_result[:]\n", " else:\n", " final_result += test_result\n", "confmatrix = np.array(final_result)\n", "correct = 0\n", "for i in range(confmatrix.shape[0]):\n", " correct += confmatrix[i,i]\n", "accuracy = correct/confmatrix.sum()\n", "print('{} runs {}\\nFeatures: {}\\nAccuracy: {}\\ntime: {} sec'.format(reps, title_suffix, features, accuracy, int(time.time()-start)))\n", "final_result" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "100 runs with two principal components\n", "Features: ['PC1', 'PC2']\n", "Accuracy: 0.9665188470066519\n", "time: 23 sec\n" ] }, { "html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th>predicted</th>\n", " <th>Apple</th>\n", " <th>Orange</th>\n", " <th>Pear</th>\n", " </tr>\n", " <tr>\n", " <th>actual</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Apple</th>\n", " <td> 1146</td>\n", " <td> 8</td>\n", " <td> 92</td>\n", " </tr>\n", " <tr>\n", " <th>Orange</th>\n", " <td> 8</td>\n", " <td> 1481</td>\n", " <td> 1</td>\n", " </tr>\n", " <tr>\n", " <th>Pear</th>\n", " <td> 42</td>\n", " <td> 0</td>\n", " <td> 1732</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "metadata": {}, "output_type": "pyout", "prompt_number": 4, "text": [ "predicted Apple Orange Pear\n", "actual \n", "Apple 1146 8 92\n", "Orange 8 1481 1\n", "Pear 42 0 1732" ] } ], "prompt_number": 4 } ], "metadata": {} } ] }
mit
tynbl/tynbl.github.io
docs/python-xxxy-2/00/01/coding_utf8_example.ipynb
2
1065
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# coding:utf-8\n", "\n", "'''\n", "Created on Dec 3, 2016\n", "\n", "@author: Bin Liang\n", "'''\n", "\n", "\n", "def run_main():\n", " \"\"\"\n", " main function\n", " \"\"\"\n", " chinese_str = 'Python数据分析'\n", " print chinese_str\n", " \n", " other_str = 'naïve'\n", " print other_str\n", "\n", "\n", "if __name__ == '__main__':\n", " run_main()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.13" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
justanr/notebooks
fun.itertools.ipynb
1
9650
{ "metadata": { "name": "" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Originally, I saw this issue in a post on /r/learnpython:\n", "\n", " '''\n", " if (n%a==0) or (n%b==0) or (n%c==0) or (n%ab==0) or (n%ac==0) or (n%bc==0) or (n%abc==0):\n", " print \"Yes.\"\n", " else:\n", " print \"No.\"\n", " '''\n", "\n", "The original poster was seeking advice on why this was throwing a `NameError`. Turns out, what he really wanted was to find out if n divided evenly by a, b, c or any combination of a, b and c.\n", "\n", "My first suggestion was to use any/all." ] }, { "cell_type": "code", "collapsed": false, "input": [ "# pick a number, any numbers\n", "n = 15\n", "a = 1\n", "b = 2\n", "c = 3\n", "\n", "if any(not n%x for x in [a,b,c, a*b, a*c, c*b, a*b*c]):\n", " print(\"Yes.\")\n", "else:\n", " print(\"No.\")" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Yes.\n" ] } ], "prompt_number": 1 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Which works. But could you imagine being the guy who gets this code and is tasked with adding `d = 4` to this mix? Forbid `e = 5` or `f = 6`." ] }, { "cell_type": "code", "collapsed": false, "input": [ "import itertools as it\n", "\n", "def powerset(iterable):\n", " '''Courtesy of the itertools documentation.'''\n", " s = list(iterable)\n", " return it.chain.from_iterable(it.combinations(s,r) for r in range(1, len(s)+1))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Enter itertools: a tool box of fun. This function returns powersets. That is given `range(1,4)` it'll output `(1,), (2,), (3,), (1,2), (1,3), (2,3), (1,2,3)`. The original itertools documentation actually has `range(0, len(s)+1)` but that causes the first set to be `(,)` -- which I consider to be useless in this instance (despite being a valid set result). So, let's rewrite that conditional." ] }, { "cell_type": "code", "collapsed": false, "input": [ "from functools import partial, reduce\n", "from operator import mul\n", "\n", "f = partial(reduce, mul)\n", "\n", "if any(not n%f(x) for x in powerset(range(1,4))):\n", " print(\"Yes.\")\n", "else:\n", " print(\"No.\")" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Yes.\n" ] } ], "prompt_number": 3 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now imagine being the guy tasked to extend the range from 3 to 6. You change one integer: `range(1,7)`. However, we'll likely want to do something with the valid results from that test. " ] }, { "cell_type": "code", "collapsed": false, "input": [ "def do_thing(*stuff):\n", " '''A dummy functions.'''\n", " print(\"Yes.\")\n", "\n", "sets, selectors = it.tee(powerset(range(1,4)))\n", "selectors = (not n%f(x) for x in selectors)\n", "wanted = list(it.compress(sets, selectors))\n", "\n", "if any(wanted):\n", " do_thing()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Yes.\n" ] } ], "prompt_number": 4 }, { "cell_type": "markdown", "metadata": {}, "source": [ "How this works is that `it.tee` produces a number of iterables from the original iterable -- you can change the number by passing a second value to tee. However, you must be careful with tee. If you advance the original iterable, you advanced the tee'd iterables as well -- most likely prematurely. But, since we're generating the iterable directly into it instead of passing a stored iterable, we can't do that (well, you could but that's black magic).\n", "\n", "What compress does is accept an iterable of data and an iterable of truthy values. The same result could be had with either `filter` or `it.filterfalse`." ] }, { "cell_type": "code", "collapsed": false, "input": [ "filterd, filterfd = it.tee(powerset(range(1,4)))\n", "\n", "filterd = list(filter(lambda x: not n%f(x), filterd))\n", "filterfd = list(it.filterfalse(lambda x: n%f(x), filterfd))\n", "\n", "print(wanted == filterd == filterfd)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "True\n" ] } ], "prompt_number": 5 }, { "cell_type": "markdown", "metadata": {}, "source": [ "You can also use itertools to divide up iterable based on a variety of things:\n", "\n", "* into values that are True/False based on a key.\n", "* into a set of values until a false one, a set of values after (and including) the first false one" ] }, { "cell_type": "code", "collapsed": false, "input": [ "def partition(iterable, key):\n", " t, f = it.tee(iterable)\n", " return filter(key, t), it.filterfalse(key, f)\n", "\n", "def divide(iterable, key):\n", " t, f = it.tee(iterable)\n", " return it.takewhile(key, t), it.dropwhile(key, f)\n", "\n", "parted = partition(powerset(range(1,4)), lambda x: not n%f(x))\n", "divided = divide(powerset(range(1,4)), lambda x: not n%f(x))\n", "\n", "print(\"-\"*20)\n", "print(\"partition(powerset(range(1,4)), lambda x: not n%f(x))\", list(map(list, parted)), sep=\"\\n\")\n", "print(\"-\"*20)\n", "print(\"divide(powerset(range(1,4)), lambda x: not n%f(x))\", list(map(list, divided)), sep=\"\\n\")\n", "print(\"-\"*20)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "--------------------\n", "partition(powerset(range(1,4)), lambda x: not n%f(x))\n", "[[(1,), (3,), (1, 3)], [(2,), (1, 2), (2, 3), (1, 2, 3)]]\n", "--------------------\n", "divide(powerset(range(1,4)), lambda x: not n%f(x))\n", "[[(1,)], [(2,), (3,), (1, 2), (1, 3), (2, 3), (1, 2, 3)]]\n", "--------------------\n" ] } ], "prompt_number": 6 }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can also divy up the sets based on their product by way of `collections.defaultdict` and `it.groupby`" ] }, { "cell_type": "code", "collapsed": false, "input": [ "from collections import defaultdict\n", "\n", "store = defaultdict(list)\n", "for k, g in it.groupby(powerset(range(1,4)), key=f):\n", " # g will be a grouper iterable that must be consumed\n", " store[k].extend(g)\n", "\n", "print(store)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "defaultdict(<class 'list'>, {1: [(1,)], 2: [(2,), (1, 2)], 3: [(3,), (1, 3)], 6: [(2, 3), (1, 2, 3)]})\n" ] } ], "prompt_number": 7 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Retrieving the desired information from this store is a little more involved, however." ] }, { "cell_type": "code", "collapsed": false, "input": [ "wanted = [v for k, v in store.items() if not n%k]\n", "\n", "print('-'*20)\n", "print(\"Raw list:\", wanted)\n", "print('-'*20)\n", "print(\"it.chain(*wanted)\", list(it.chain(*wanted)))\n", "print('-'*20)\n", "print(\"it.chain.from_iterable(wanted)\", list(it.chain.from_iterable(wanted)))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "--------------------\n", "Raw list: [[(1,)], [(3,), (1, 3)]]\n", "--------------------\n", "it.chain(*wanted) [(1,), (3,), (1, 3)]\n", "--------------------\n", "it.chain.from_iterable(wanted) [(1,), (3,), (1, 3)]\n" ] } ], "prompt_number": 8 }, { "cell_type": "markdown", "metadata": {}, "source": [ "The difference between `it.chain(*wanted)` and `it.chain.from_iterable(wanted)` is that the former is eager and the later is lazy. In this particular instance, we're consuming the iterator immediately either way and it's a basic list. However, with more expensive operations -- say we had a list of generators that each returned the results of floating point math -- you'd want the results to be as lazy as possible." ] } ], "metadata": {} } ] }
mit
mjbrodzik/ExploringCETB
Reading CETB files.ipynb
1
4812100
null
apache-2.0
chongxi/spiketag
spiketag/analysis/tests/Decoding_dusty.ipynb
1
2994418
null
bsd-3-clause
uwkejia/Clean-Energy-Outlook
examples/Demo.ipynb
2
7734
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Examples" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Importing libraries" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from ceo import data_cleaning\n", "from ceo import missing_data\n", "from ceo import svr_prediction\n", "from ceo import ridge_prediction" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### datacleaning\n", "\n", "* The datacleaning module is used to clean and organize the data into 51 CSV files corresponding to the 50 states of the US and the District of Columbia. \n", "* The wrapping function **`clean_all_data`** takes all the data sets as input and sorts the data in to CSV files of the states.\n", "* The CSVs are stored in the **`Cleaned Data`** directory which is under the **`Data`** directory. " ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "data_cleaning.clean_all_data()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### missing_data" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "* The missing_data module is used to estimate the missing data of the GDP (from 1960 - 1962) and determine the values of the predictors (from 2016-2020).\n", "* The wrapping function **`predict_all`** takes the CSV files of the states as input and stores the predicted missing values in the same CSV files.\n", "* The CSVs generated replace the previous CSV files in the **`Cleaned Data`** directory which is under the **`Data`** directory." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "missing_data.predict_all()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### ridge_prediction" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "* The ridge_prediction module is used to predict the future values of energies like wind energy, solar energy, hydro energy and nuclear energy from 2016-2020 using ridge regression.\n", "* The wrapping function ridge_predict_all takes the CSV files of the states as input and stores the future values of the energies in another CSV file under Ridge Regression folder under the Predicted Data directory." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "ridge_prediction.ridge_predict_all()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### svr_prediction" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "* The svr_prediction module is used to predict the future values of energies like wind energy, solar energy, hydro energy and nuclear energy from 2016-2020 using Support Vector Regression\n", "* The wrapping function SVR_predict_all takes the CSV files of the states as input and stores the future values of the energies in another CSV file under SVR folder under the Predicted Data directory." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "svr_prediction.SVR_predict_all()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### plots" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Visualizations is done using Tableau software. The Tableau workbook for the predicted data is included in the repository. The Tableau dashboard created for this data is illustrated below:" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "\n", "<div class='tableauPlaceholder' id='viz1489609724011' style='position: relative'><noscript><a href='#'><img alt='Clean Energy Production in the contiguous United States(in million kWh) ' src='https:&#47;&#47;public.tableau.com&#47;static&#47;images&#47;PB&#47;PB87S38NW&#47;1_rss.png' style='border: none' /></a></noscript><object class='tableauViz' style='display:none;'><param name='host_url' value='https%3A%2F%2Fpublic.tableau.com%2F' /> <param name='path' value='shared&#47;PB87S38NW' /> <param name='toolbar' value='yes' /><param name='static_image' value='https:&#47;&#47;public.tableau.com&#47;static&#47;images&#47;PB&#47;PB87S38NW&#47;1.png' /> <param name='animate_transition' value='yes' /><param name='display_static_image' value='yes' /><param name='display_spinner' value='yes' /><param name='display_overlay' value='yes' /><param name='display_count' value='yes' /></object></div> <script type='text/javascript'> var divElement = document.getElementById('viz1489609724011'); var vizElement = divElement.getElementsByTagName('object')[0]; vizElement.style.width='1004px';vizElement.style.height='869px'; var scriptElement = document.createElement('script'); scriptElement.src = 'https://public.tableau.com/javascripts/api/viz_v1.js'; vizElement.parentNode.insertBefore(scriptElement, vizElement); </script>" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%HTML\n", "\n", "<div class='tableauPlaceholder' id='viz1489609724011' style='position: relative'><noscript><a href='#'><img alt='Clean Energy Production in the contiguous United States(in million kWh) ' src='https:&#47;&#47;public.tableau.com&#47;static&#47;images&#47;PB&#47;PB87S38NW&#47;1_rss.png' style='border: none' /></a></noscript><object class='tableauViz' style='display:none;'><param name='host_url' value='https%3A%2F%2Fpublic.tableau.com%2F' /> <param name='path' value='shared&#47;PB87S38NW' /> <param name='toolbar' value='yes' /><param name='static_image' value='https:&#47;&#47;public.tableau.com&#47;static&#47;images&#47;PB&#47;PB87S38NW&#47;1.png' /> <param name='animate_transition' value='yes' /><param name='display_static_image' value='yes' /><param name='display_spinner' value='yes' /><param name='display_overlay' value='yes' /><param name='display_count' value='yes' /></object></div> <script type='text/javascript'> var divElement = document.getElementById('viz1489609724011'); var vizElement = divElement.getElementsByTagName('object')[0]; vizElement.style.width='1004px';vizElement.style.height='869px'; var scriptElement = document.createElement('script'); scriptElement.src = 'https://public.tableau.com/javascripts/api/viz_v1.js'; vizElement.parentNode.insertBefore(scriptElement, vizElement); </script>" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [conda root]", "language": "python", "name": "conda-root-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
moizumi99/CVBookExercise
Chapter-1/CV Book Ch1 Ex 6.ipynb
1
23325
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from numpy import *\n", "from numpy import random\n", "from scipy.ndimage import filters\n", "from PIL import *\n", "from pylab import *\n", "from scipy.ndimage import measurements, morphology\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "im = array(Image.open('data/houses.png').convert('L'))\n", "im = 1*(im<128)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Number of objects 45\n" ] } ], "source": [ "labels, nbr_objects = measurements.label(im)\n", "print \"Number of objects\", nbr_objects" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXoAAAFxCAYAAAB9Z2NTAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nACSOoAeAxP1/ENxB8pTqlpYAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f163e8e9690>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "figure(figsize=(6, 12))\n", "imshow(labels)\n", "show()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYoAAAD8CAYAAABpcuN4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ny6CQJHUZFJKkLoNCktT1/wCQdld6y/EHXgAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f163c259fd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "figure()\n", "hist(labels.flatten())\n", "axis([5, 45, 0, 20000])\n", "show()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 2 }
unlicense
mne-tools/mne-tools.github.io
0.23/_downloads/c7633c38a703b9d0a626a5a4fa161026/psf_ctf_label_leakage.ipynb
2
10377
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n# Visualize source leakage among labels using a circular graph\n\nThis example computes all-to-all pairwise leakage among 68 regions in\nsource space based on MNE inverse solutions and a FreeSurfer cortical\nparcellation. Label-to-label leakage is estimated as the correlation among the\nlabels' point-spread functions (PSFs). It is visualized using a circular graph\nwhich is ordered based on the locations of the regions in the axial plane.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Authors: Olaf Hauk <olaf.hauk@mrc-cbu.cam.ac.uk>\n# Martin Luessi <mluessi@nmr.mgh.harvard.edu>\n# Alexandre Gramfort <alexandre.gramfort@inria.fr>\n# Nicolas P. Rougier (graph code borrowed from his matplotlib gallery)\n#\n# License: BSD (3-clause)\n\nimport numpy as np\nimport matplotlib.pyplot as plt\n\nimport mne\nfrom mne.datasets import sample\nfrom mne.minimum_norm import (read_inverse_operator,\n make_inverse_resolution_matrix,\n get_point_spread)\n\nfrom mne.viz import circular_layout, plot_connectivity_circle\n\nprint(__doc__)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Load forward solution and inverse operator\n\nWe need a matching forward solution and inverse operator to compute\nresolution matrices for different methods.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "data_path = sample.data_path()\nsubjects_dir = data_path + '/subjects'\nfname_fwd = data_path + '/MEG/sample/sample_audvis-meg-eeg-oct-6-fwd.fif'\nfname_inv = data_path + '/MEG/sample/sample_audvis-meg-oct-6-meg-fixed-inv.fif'\nforward = mne.read_forward_solution(fname_fwd)\n# Convert forward solution to fixed source orientations\nmne.convert_forward_solution(\n forward, surf_ori=True, force_fixed=True, copy=False)\ninverse_operator = read_inverse_operator(fname_inv)\n\n# Compute resolution matrices for MNE\nrm_mne = make_inverse_resolution_matrix(forward, inverse_operator,\n method='MNE', lambda2=1. / 3.**2)\nsrc = inverse_operator['src']\ndel forward, inverse_operator # save memory" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Read and organise labels for cortical parcellation\n\nGet labels for FreeSurfer 'aparc' cortical parcellation with 34 labels/hemi\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "labels = mne.read_labels_from_annot('sample', parc='aparc',\n subjects_dir=subjects_dir)\nn_labels = len(labels)\nlabel_colors = [label.color for label in labels]\n# First, we reorder the labels based on their location in the left hemi\nlabel_names = [label.name for label in labels]\nlh_labels = [name for name in label_names if name.endswith('lh')]\n\n# Get the y-location of the label\nlabel_ypos = list()\nfor name in lh_labels:\n idx = label_names.index(name)\n ypos = np.mean(labels[idx].pos[:, 1])\n label_ypos.append(ypos)\n\n# Reorder the labels based on their location\nlh_labels = [label for (yp, label) in sorted(zip(label_ypos, lh_labels))]\n\n# For the right hemi\nrh_labels = [label[:-2] + 'rh' for label in lh_labels]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Compute point-spread function summaries (PCA) for all labels\n\nWe summarise the PSFs per label by their first five principal components, and\nuse the first component to evaluate label-to-label leakage below.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Compute first PCA component across PSFs within labels.\n# Note the differences in explained variance, probably due to different\n# spatial extents of labels.\nn_comp = 5\nstcs_psf_mne, pca_vars_mne = get_point_spread(\n rm_mne, src, labels, mode='pca', n_comp=n_comp, norm=None,\n return_pca_vars=True)\nn_verts = rm_mne.shape[0]\ndel rm_mne" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can show the explained variances of principal components per label. Note\nhow they differ across labels, most likely due to their varying spatial\nextent.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "with np.printoptions(precision=1):\n for [name, var] in zip(label_names, pca_vars_mne):\n print(f'{name}: {var.sum():.1f}% {var}')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The output shows the summed variance explained by the first five principal\ncomponents as well as the explained variances of the individual components.\n\n## Evaluate leakage based on label-to-label PSF correlations\n\nNote that correlations ignore the overall amplitude of PSFs, i.e. they do\nnot show which region will potentially be the bigger \"leaker\".\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# get PSFs from Source Estimate objects into matrix\npsfs_mat = np.zeros([n_labels, n_verts])\n# Leakage matrix for MNE, get first principal component per label\nfor [i, s] in enumerate(stcs_psf_mne):\n psfs_mat[i, :] = s.data[:, 0]\n# Compute label-to-label leakage as Pearson correlation of PSFs\n# Sign of correlation is arbitrary, so take absolute values\nleakage_mne = np.abs(np.corrcoef(psfs_mat))\n\n# Save the plot order and create a circular layout\nnode_order = lh_labels[::-1] + rh_labels # mirror label order across hemis\nnode_angles = circular_layout(label_names, node_order, start_pos=90,\n group_boundaries=[0, len(label_names) / 2])\n# Plot the graph using node colors from the FreeSurfer parcellation. We only\n# show the 200 strongest connections.\nfig = plt.figure(num=None, figsize=(8, 8), facecolor='black')\nplot_connectivity_circle(leakage_mne, label_names, n_lines=200,\n node_angles=node_angles, node_colors=label_colors,\n title='MNE Leakage', fig=fig)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Most leakage occurs for neighbouring regions, but also for deeper regions\nacross hemispheres.\n\n## Save the figure (optional)\n\nMatplotlib controls figure facecolor separately for interactive display\nversus for saved figures. Thus when saving you must specify ``facecolor``,\nelse your labels, title, etc will not be visible::\n\n >>> fname_fig = data_path + '/MEG/sample/plot_label_leakage.png'\n >>> fig.savefig(fname_fig, facecolor='black')\n\n## Plot PSFs for individual labels\n\nLet us confirm for left and right lateral occipital lobes that there is\nindeed no leakage between them, as indicated by the correlation graph.\nWe can plot the summary PSFs for both labels to examine the spatial extent of\ntheir leakage.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# left and right lateral occipital\nidx = [22, 23]\nstc_lh = stcs_psf_mne[idx[0]]\nstc_rh = stcs_psf_mne[idx[1]]\n\n# Maximum for scaling across plots\nmax_val = np.max([stc_lh.data, stc_rh.data])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Point-spread function for the lateral occipital label in the left hemisphere\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "brain_lh = stc_lh.plot(subjects_dir=subjects_dir, subject='sample',\n hemi='both', views='caudal',\n clim=dict(kind='value',\n pos_lims=(0, max_val / 2., max_val)))\nbrain_lh.add_text(0.1, 0.9, label_names[idx[0]], 'title', font_size=16)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "and in the right hemisphere.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "brain_rh = stc_rh.plot(subjects_dir=subjects_dir, subject='sample',\n hemi='both', views='caudal',\n clim=dict(kind='value',\n pos_lims=(0, max_val / 2., max_val)))\nbrain_rh.add_text(0.1, 0.9, label_names[idx[1]], 'title', font_size=16)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Both summary PSFs are confined to their respective hemispheres, indicating\nthat there is indeed low leakage between these two regions.\n\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.8.5" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
xpmethod/middlemarch-critical-histories
old/e1/e1c-dehyphenate.ipynb
2
31442
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Experiment 1-C dehyphenate\n", "\n", "Improvements to the text matcher. Stemming, etc. Strip sequences of hyphen plus space." ] }, { "cell_type": "code", "execution_count": 66, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "The autoreload extension is already loaded. To reload it, use:\n", " %reload_ext autoreload\n" ] } ], "source": [ "%load_ext autoreload\n", "%autoreload 2import json\n", "\n", "from collections import Counter\n", "import pandas as pd\n", "from nltk.corpus import names\n", "import nltk\n", "import re \n", "import os\n", "import difflib \n", "import logging\n", "import itertools\n", "from nltk.util import ngrams \n", "from nltk.stem import LancasterStemmer\n", "from difflib import SequenceMatcher\n", "from string import punctuation\n", "from termcolor import colored\n", "from IPython.display import clear_output\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 67, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Load the data. \n", "with open('txt/middlemarch.json') as f: \n", " rawCriticism = f.readlines()\n", "\n", "# Parse the data. \n", "data = [json.loads(line) for line in rawCriticism]\n", "\n", "# Load Middlemarch\n", "with open('middlemarch.txt') as f: \n", " rawMM = f.read()" ] }, { "cell_type": "code", "execution_count": 68, "metadata": { "collapsed": false }, "outputs": [], "source": [ "class Text: \n", " def __init__(self, raw_text, label, removeStopwords=True): \n", " if type(raw_text) == list: \n", " # JSTOR critical works come in lists, where each item represents a page. \n", " self.text = re.sub(r'- ',r'',' \\n '.join(raw_text))\n", " else: \n", " self.text = raw_text\n", " self.label = label\n", " self.tokens = self.getTokens(removeStopwords)\n", " self.trigrams = self.ngrams(3)\n", " \n", " def getTokens(self, removeStopwords=True): \n", " \"\"\" Tokenizes the text, breaking it up into words, removing punctuation. \"\"\"\n", " tokenizer = nltk.RegexpTokenizer('[a-zA-Z]\\w+\\'?\\w*') # A custom regex tokenizer. \n", " #tokenizer = nltk.RegexpTokenizer('\\w+|\\$[\\d\\.]+|\\S+') # A custom regex tokenizer. \n", " spans = list(tokenizer.span_tokenize(self.text))\n", " # Take note of how many spans there are in the text\n", " #print(spans)\n", " self.length = spans[-1][-1] \n", " tokens = tokenizer.tokenize(self.text)\n", " tokens = [ token.lower() for token in tokens ] # make them lowercase\n", " stemmer = LancasterStemmer()\n", " tokens = [ stemmer.stem(token) for token in tokens ]\n", " if not removeStopwords: \n", " self.spans = spans\n", " return tokens\n", " tokenSpans = list(zip(tokens, spans)) # zip it up\n", " stopwords = nltk.corpus.stopwords.words('english') # get stopwords\n", " tokenSpans = [ token for token in tokenSpans if token[0] not in stopwords ] # remove stopwords from zip\n", " self.spans = [ x[1] for x in tokenSpans ] # unzip; get spans\n", " return [ x[0] for x in tokenSpans ] # unzip; get tokens\n", " \n", " def ngrams(self, n): \n", " \"\"\" Returns ngrams for the text.\"\"\"\n", " return list(ngrams(self.tokens, n))\n", "\n", "class Matcher: \n", " def __init__(self, textObjA, textObjB, threshold=5, ngramSize=3, removeStopwords=True):\n", " \"\"\"\n", " Takes as input two Text() objects, and matches between them.\n", " \"\"\"\n", " self.threshold = threshold\n", " self.ngramSize = ngramSize\n", " \n", " #self.textA, self.textB = Text(fileA, removeStopwords=removeStopwords), \\\n", " # Text(fileB, removeStopwords=removeStopwords)\n", " self.textA = textObjA\n", " self.textB = textObjB \n", " \n", " self.textAgrams = self.textA.ngrams(ngramSize)\n", " self.textBgrams = self.textB.ngrams(ngramSize)\n", "\n", " self.locationsA = []\n", " self.locationsB = []\n", "\n", " def getContext(self, text, start, length, context): \n", " match = self.getTokensText(text, start, length)\n", " before = self.getTokensText(text, start-context, context)\n", " after = self.getTokensText(text, start+length, context)\n", " match = colored(match, 'red')\n", " out = \" \".join([before, match, after])\n", " out = out.replace('\\n', ' ') # Replace newlines with spaces. \n", " out = re.sub('\\s+', ' ', out)\n", " return out\n", "\n", " def getTokensText(self, text, start, length): \n", " \"\"\" Looks up the passage in the original text, using its spans. \"\"\"\n", " matchTokens = text.tokens[start:start+length]\n", " spans = text.spans[start:start+length]\n", " if len(spans) == 0: \n", " # Don't try to get text or context beyond the end of a text. \n", " passage = \"\"\n", " else: \n", " passage = text.text[spans[0][0]:spans[-1][-1]]\n", " return passage \n", "\n", " def getLocations(self, text, start, length, asPercentages=False): \n", " \"\"\" Gets the numeric locations of the match. \"\"\"\n", " spans = text.spans[start:start+length]\n", " if asPercentages: \n", " locations = (spans[0][0]/text.length, spans[-1][-1]/text.length)\n", " else: \n", " locations = (spans[0][0], spans[-1][-1])\n", " return locations\n", "\n", " def getMatch(self, match, textA, textB, context): \n", " length = match.size + self.ngramSize - 1 # offset according to nGram size \n", " wordsA = self.getContext(textA, match.a, length, context)\n", " wordsB = self.getContext(textB, match.b, length, context)\n", " spansA = self.getLocations(textA, match.a, length)\n", " spansB = self.getLocations(textB, match.b, length)\n", " self.locationsA.append(spansA)\n", " self.locationsB.append(spansB)\n", " line1 = ('%s: %s %s' % (colored(textA.label, 'green'), spansA, wordsA) )\n", " line2 = ('%s: %s %s' % (colored(textB.label, 'green'), spansB, wordsB) )\n", " return line1 + '\\n' + line2\n", "\n", " def match(self): \n", " \"\"\"\n", " This does the main work of finding matching n-gram sequences between\n", " the texts.\n", " \"\"\"\n", " sequence = SequenceMatcher(None,self.textAgrams,self.textBgrams)\n", " matchingBlocks = sequence.get_matching_blocks()\n", "\n", " # Only return the matching sequences that are higher than the \n", " # threshold given by the user. \n", " highMatchingBlocks = [match for match in matchingBlocks if match.size > self.threshold]\n", " \n", " numBlocks = len(highMatchingBlocks)\n", " self.numMatches = numBlocks\n", " \n", " if numBlocks > 0: \n", " print('%s total matches found.' % numBlocks, flush=True)\n", "\n", " for num, match in enumerate(highMatchingBlocks): \n", " print('match: ', match)\n", " out = self.getMatch(match, self.textA, self.textB, 5)\n", " print('\\n')\n", " print('match %s:' % (num+1), flush=True)\n", " print(out, flush=True)\n", "\n", " return self.numMatches, self.locationsA, self.locationsB" ] }, { "cell_type": "code", "execution_count": 69, "metadata": { "collapsed": false }, "outputs": [], "source": [ "mm = Text(rawMM, 'Middlemarch')" ] }, { "cell_type": "code", "execution_count": 70, "metadata": { "collapsed": false }, "outputs": [], "source": [ "test1 = Text(data[0][0]['ocr'], 'test1')" ] }, { "cell_type": "code", "execution_count": 71, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'labo'" ] }, "execution_count": 71, "metadata": {}, "output_type": "execute_result" } ], "source": [ "stemmer = LancasterStemmer()\n", "stemmer.stem('labourer')" ] }, { "cell_type": "code", "execution_count": 72, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "20 total matches found.\n", "match: Match(a=550, b=959, size=37)\n", "\n", "\n", "match 1:\n", "\u001b[32mMiddlemarch\u001b[0m: (5809, 6218) interest in gimp and artificial protrusions of drapery \u001b[31mmind was theoretic, and yearned by its nature after some lofty conception of the world which might frankly include the parish of Tipton and her own rule of conduct there; she was enamoured of intensity and greatness, and rash in embracing whatever seemed to her to have those aspects; likely to seek martyrdom, to make retractations, and then to incur martyrdom after all in a quarter where she had not sought\u001b[0m Certainly such elements in the character of a marriageable girl\n", "\u001b[32mtest1\u001b[0m: (10452, 10861) closely, as the first description of Dorothea shows \u001b[31mmind was theoretic, and yearned by its nature after some lofty conception of the world which might frankly include the parish of Tipton and her own rule of conduct there; she was enamoured of intensity and greatness, and rash in embracing whatever seemed to her to have those aspects; likely to seek martyrdom, to make retractations, and then to incur martyrdom after all in a quarter where she had not sought\u001b[0m central issue of marriage is raised immediately\n", "match: Match(a=820, b=1007, size=9)\n", "\n", "\n", "match 2:\n", "\u001b[32mMiddlemarch\u001b[0m: (8751, 8851) might lead her at last to refuse all \u001b[31myoung lady of some birth and fortune, who knelt suddenly down on a brick floor by the side of a sick\u001b[0m laborer and prayed fervidly as if she thought herself living\n", "\u001b[32mtest1\u001b[0m: (10990, 11090) immediately-\"And how should Dorothea not marry?\" (p. 6)-with its obvious answer \u001b[31myoung lady of some birth and fortune, who knelt suddenly down on a brick floor by the side of a sick\u001b[0m labourer and prayed fervidly 3George Eliot's\n", "match: Match(a=834, b=1063, size=13)\n", "\n", "\n", "match 3:\n", "\u001b[32mMiddlemarch\u001b[0m: (8890, 9046) side of a sick laborer and prayed fervidly \u001b[31mthought herself living in the time of the Apostles--who had strange whims of fasting like a Papist, and of sitting up at night to read old theological books\u001b[0m wife might awaken you some fine\n", "\u001b[32mtest1\u001b[0m: (11578, 11733) parenthetically in my text. 74 NINETEENTH-CENTURY FICTION \u001b[31mthought herself living in the time of the Apostles-who had strange whims of fasting like a Papist, and of sitting up at night to read old theological books\u001b[0m Although the parallel relaxes, it remains the organizing\n", "match: Match(a=5333, b=1137, size=6)\n", "\n", "\n", "match 4:\n", "\u001b[32mMiddlemarch\u001b[0m: (57013, 57100) energetically, forgetting her previous small vexations \u001b[31mthink we deserve to be beaten out of our beautiful houses with a scourge of small cords\u001b[0m all of us who let tenants live\n", "\u001b[32mtest1\u001b[0m: (12400, 12487) Dorothea's cottages on his own estate, her enthusiastic reply \u001b[31mthink we deserve to be beaten out of our beautiful houses with a scourge of small cords\u001b[0m earlier, with Casaubon overhearing, she had cried out at dinner\n", "match: Match(a=7776, b=1245, size=10)\n", "\n", "\n", "match 5:\n", "\u001b[32mMiddlemarch\u001b[0m: (83868, 83999) letter, to look at it critically as a profession of love \u001b[31mwhole soul was possessed by the fact that a fuller life was opening before her: she was a neophyte about to enter on a higher grade\u001b[0m going to have room for the energies which stirred\n", "\u001b[32mtest1\u001b[0m: (13702, 13833) letter of proposal as what we might term a \"call \u001b[31mwhole soul was possessed by the fact that a fuller life was opening before her: she was a neophyte about to enter on a higher grade\u001b[0m This is the Dorothea of \"Miss Brooke.\" Whatever\n", "match: Match(a=10885, b=2632, size=73)\n", "\n", "\n", "match 6:\n", "\u001b[32mMiddlemarch\u001b[0m: (116900, 117594) little of women by following them about in their pony-phaetons \u001b[31mEven with a microscope directed on a water-drop we find ourselves making interpretations which turn out to be rather coarse; for whereas under a weak lens you may seem to see a creature exhibiting an active voracity into which other smaller creatures actively play as if they were so many animated tax-pennies, a stronger lens reveals to you certain tiniest hairlets which make vortices for these victims while the swallower waits passively at his receipt of custom. In this way, metaphorically speaking, a strong lens applied to Mrs. Cadwallader's match-making will show a play of minute causes producing what may be called thought and speech vortices to bring her the sort of food she needed\u001b[0m life was rurally simple, quite free\n", "\u001b[32mtest1\u001b[0m: (29273, 29966) affords a glimpse of the convergent paths of the stories \u001b[31mEven with a microscope directed on a water-drop we find ourselves making interpretations which turn out to be rather coarse; for whereas under a weak lens you may seem to see a creature exhibiting an active voracity into which other smaller creatures actively play as if they were so many animated tax-pennies, a stronger lens reveals to you certain tiniest hairlets which make vortices for these victims while the swallower waits passively at his receipt of custom. In this way, metaphorically speaking, a strong lens applied to Mrs. Cadwallader's match-making will show a play of minute causes producing what may be called thought and speech vortices to bring her the sort of food she needed\u001b[0m images of the microscope and of microorganisms have their origin\n", "match: Match(a=17853, b=3119, size=12)\n", "\n", "\n", "match 7:\n", "\u001b[32mMiddlemarch\u001b[0m: (192301, 192441) fine young women to purplefaced bachelors \u001b[31mLydgate was less ripe, and might possibly have experience before him which would modify his opinion as to the most excellent things in woman\u001b[0m Miss Brooke, however, was not again seen by either\n", "\u001b[32mtest1\u001b[0m: (34576, 34716) Lydgate's and Chichely's comparison of the two women \u001b[31mLydgate was less ripe, and might possibly have experience before him which would modify his opinion as to the most excellent things in woman\u001b[0m motto comparing the two figures of ivory\n", "match: Match(a=18112, b=3188, size=40)\n", "\n", "\n", "match 8:\n", "\u001b[32mMiddlemarch\u001b[0m: (195148, 195588) laughs for bird-notes, and blue eyes for a heaven \u001b[31mCertainly nothing at present could seem much less important to Lydgate than the turn of Miss Brooke's mind, or to Miss Brooke than the qualities of the woman who had attracted this young surgeon. But any one watching keenly the stealthy convergence of human lots, sees a slow preparation of effects from one life on another, which tells like a calculated irony on the indifference or the frozen stare with which we look at our unintroduced\u001b[0m neighbor. Destiny stands by sarcastic with our dramatis\n", "\u001b[32mtest1\u001b[0m: (35325, 35764) other's lives but on the part they have already \u001b[31mCertainly nothing at present could seem much less important to Lydgate than the turn of Miss Brooke's mind, or to Miss Brooke than the qualities of the woman who had attracted this young surgeon. But any one watching keenly the stealthy convergence of human lots, sees a slow preparation of effects from one life on another, which tells like a calculated irony on the indifference or the frozen stare with which we look at our unintroduced\u001b[0m neighbour. Destiny stands by sarcastic with our dramatis\n", "match: Match(a=37410, b=3393, size=11)\n", "\n", "\n", "match 9:\n", "\u001b[32mMiddlemarch\u001b[0m: (402604, 402726) would think of Mistress Second-Cousin \u001b[31mantique form animated by Christian sentiment--a sort of Christian Antigone--sensuous force controlled by spiritual passion\u001b[0m Yes, and that your painting her was the chief outcome\n", "\u001b[32mtest1\u001b[0m: (37559, 37679) religious depth for which her Puritanism does not account \u001b[31mAntique form animated by Christian sentiment-a sort of Christian Antigone-sensuous force controlled by spiritual passion\u001b[0m None of this serves to advance Middlemarch\n", "match: Match(a=38246, b=3772, size=41)\n", "\n", "\n", "match 10:\n", "\u001b[32mMiddlemarch\u001b[0m: (411725, 412177) expect people to be deeply moved by what is not unusual \u001b[31melement of tragedy which lies in the very fact of frequency, has not yet wrought itself into the coarse emotion of mankind; and perhaps our frames could hardly bear much of it. If we had a keen vision and feeling of all ordinary human life, it would be like hearing the grass grow and the squirrel's heart beat, and we should die of that roar which lies on the other side of silence. As it is, the quickest of us walk about well wadded with stupidity\u001b[0m However, Dorothea was crying, and if she had been required to state\n", "\u001b[32mtest1\u001b[0m: (41887, 42337) cannot suppose we will regard as tragic \u001b[31melement of tragedy which lies in the very fact of frequency, has not yet wrought itself into the coarse emotion of mankind; and perhaps our frames could hardly bear much of it. If we had a keen vision and feeling of all ordinary human life, it would be like hearing the grass grow and the squirrel's heart beat, and we should die of that roar which lies on the other side of silence. As it is, the quickest of us walk about well wadded with stupidity\u001b[0m end of the next chapter, after her quarrel\n", "match: Match(a=41626, b=3830, size=54)\n", "\n", "\n", "match 11:\n", "\u001b[32mMiddlemarch\u001b[0m: (449403, 450049) some new motive is born. Today \u001b[31mbegun to see that she had been under a wild illusion in expecting a response to her feeling from Mr. Casaubon, and she had felt the waking of a presentiment that there might be a sad consciousness in his life which made as great a need on his side as on her own. We are all of us born in moral stupidity, taking the world as an udder to feed our supreme selves: Dorothea had early begun to emerge from that stupidity, but yet it had been easier to her to imagine how she would devote herself to Mr. Casaubon, and become wise and strong in his strength and wisdom, than to conceive with that distinctness which is no longer reflection but feeling\u001b[0m idea wrought back to the directness of sense\n", "\u001b[32mtest1\u001b[0m: (42522, 43167) good of the world\" is thoroughly laid: To-day \u001b[31mbegun to see that she had been under a wild illusion in expecting a response to her feeling from Mr. Casaubon, and she had felt the waking of a presentiment that there might be a sad consciousness in his life which made as great a need on his side as on her own. We are all of us born in moral stupidity, taking the world as an udder to feed our supreme selves: Dorothea had early begun to emerge from that stupidity, but yet it had been easier to her to imagine how she would devote herself to Mr. Casaubon, and become wise and strong in his strength and wisdom, than to conceive with that distinctness which is no longer reflection but feeling\u001b[0m equivalent centre of self, whence the lights\n", "match: Match(a=41690, b=3886, size=9)\n", "\n", "\n", "match 12:\n", "\u001b[32mMiddlemarch\u001b[0m: (450145, 450244) directness of sense, like the solidity of objects \u001b[31mequivalent centre of self, whence the lights and shadows must always fall with a certain difference\u001b[0m CHAPTER XXII. \"Nous câusames longtemps\n", "\u001b[32mtest1\u001b[0m: (43187, 43286) conceive with that distinctness which is no longer reflection but feeling \u001b[31mequivalent centre of self, whence the lights and shadows must always fall with a certain difference\u001b[0m pp. 15657) Given this major development\n", "match: Match(a=145645, b=4006, size=7)\n", "\n", "\n", "match 13:\n", "\u001b[32mMiddlemarch\u001b[0m: (1575265, 1575374) cruel!\" said Dorothea, clasping her hands \u001b[31mwould you not like to be the one person who believed in that man's innocence, if the rest of the world belied\u001b[0m Besides, there is a man's character beforehand\n", "\u001b[32mtest1\u001b[0m: (44587, 44696) practical action on behalf of a friend and neighbor \u001b[31mWould you not like to be the one person who believed in that man's innocence, if the rest of the world belied\u001b[0m People glorify all sorts of bravery\n", "match: Match(a=145739, b=4015, size=9)\n", "\n", "\n", "match 14:\n", "\u001b[32mMiddlemarch\u001b[0m: (1576340, 1576437) would all stand by him and bring him out of his trouble \u001b[31mPeople glorify all sorts of bravery except the bravery they might show on behalf of their nearest\u001b[0m neighbors.\" Dorothea's eyes had a moist brightness\n", "\u001b[32mtest1\u001b[0m: (44706, 44803) man's innocence, if the rest of the world belied \u001b[31mPeople glorify all sorts of bravery except the bravery they might show on behalf of their nearest\u001b[0m neighbours\" (p. 538). Her instinctive understanding of what is tragic in Lydgate's\n", "match: Match(a=152207, b=4095, size=69)\n", "\n", "\n", "match 15:\n", "\u001b[32mMiddlemarch\u001b[0m: (1648982, 1649704) like me.\" As Lydgate rode away, he thought \u001b[31mThis young creature has a heart large enough for the Virgin Mary. She evidently thinks nothing of her own future, and would pledge away half her income at once, as if she wanted nothing for herself but a chair to sit in from which she can look down with those clear eyes at the poor mortals who pray to her. She seems to have what I never saw in any woman before--a fountain of friendship towards men--a man can make a friend of her. Casaubon must have raised some heroic hallucination in her. I wonder if she could have any other sort of passion for a man? Ladislaw?--there was certainly an unusual feeling between them. And Casaubon must have had a notion of it. Well--her love might help a man more than her money\u001b[0m Dorothea on her side had immediately formed a plan\n", "\u001b[32mtest1\u001b[0m: (45636, 46348) Miss Brooke. As he rides away he thinks \u001b[31mThis young creature has a heart large enough for the Virgin Mary. She evidently thinks nothing of her own future, and would pledge away half her income at once, as if she wanted nothing for herself but a chair to sit in from which she can look down with those clear eyes at the poor mortals who pray to her. She seems to have what I never saw in any woman before-a fountain of friendship towards men-a man can make a friend of her. Casaubon must have raised some heroic hallucination in her. I wonder if she could have any other sort of passion for a man? Ladislaw?-there was certainly an unusual feeling between them. And Casaubon must have had a notion of it. Well-her love might help a man more than her money\u001b[0m NINETEENTH-CENTURY FICTION What Dorothea has thought\n", "match: Match(a=155785, b=4230, size=9)\n", "\n", "\n", "match 16:\n", "\u001b[32mMiddlemarch\u001b[0m: (1688955, 1689089) consciousness that only sees another's lot as an accident \u001b[31mbegan now to live through that yesterday morning deliberately again, forcing herself to dwell on every detail and its possible meaning\u001b[0m alone in that scene? Was it her event only? She forced herself to think\n", "\u001b[32mtest1\u001b[0m: (47128, 47262) During her night watch in the cold room \u001b[31mbegan now to live through that yesterday morning deliberately again, forcing herself to dwell on every detail and its possible meaning\u001b[0m All the active thought with which she had before been representing\n", "match: Match(a=155864, b=4241, size=35)\n", "\n", "\n", "match 17:\n", "\u001b[32mMiddlemarch\u001b[0m: (1689907, 1690307) once shown her the truer measure of things \u001b[31mAll the active thought with which she had before been representing to herself the trials of Lydgate's lot, and this young marriage union which, like her own, seemed to have its hidden as well as evident troubles--all this vivid sympathetic experience returned to her now as a power: it asserted itself as acquired knowledge asserts itself and will not let us see as we saw in the day of our ignorance\u001b[0m said to her own irremediable grief, that it should make her more\n", "\u001b[32mtest1\u001b[0m: (47267, 47666) dwell on every detail and its possible meaning \u001b[31mAll the active thought with which she had before been representing to herself the trials of Lydgate's lot, and this young marriage union which, like her own, seemed to have its hidden as well as evident troubles-all this vivid sympathetic experience returned to her now as a power: it asserted itself as acquired knowledge asserts itself and will not let us see as we saw in the day of our ignorance\u001b[0m this victory of knowledge over self\n", "match: Match(a=157584, b=4387, size=12)\n", "\n", "\n", "match 18:\n", "\u001b[32mMiddlemarch\u001b[0m: (1708999, 1709140) anxiety, could only seize her language brokenly \u001b[31mmean, marriage drinks up all our power of giving or getting any blessedness in that sort of love. I know it may be very dear--but it murders\u001b[0m marriage--and then the marriage stays with us like\n", "\u001b[32mtest1\u001b[0m: (48916, 49055) those we were married to, it would be no use \u001b[31mmean, marriage drinks up all our power of giving or getting any blessedness in that sort of love. I know it may be very dear-but it murders\u001b[0m marriageand then the marriage stays with us like\n", "match: Match(a=157599, b=4402, size=15)\n", "\n", "\n", "match 19:\n", "\u001b[32mMiddlemarch\u001b[0m: (1709168, 1709342) know it may be very dear--but it murders our marriage \u001b[31mmarriage stays with us like a murder--and everything else is gone. And then our husband--if he loved and trusted us, and we have not helped him, but made a curse in his life\u001b[0m voice had sunk very low: there was a dread\n", "\u001b[32mtest1\u001b[0m: (49081, 49252) know it may be very dear-but it murders our marriageand \u001b[31mmarriage stays with us like a murder-and everything else is gone. And then our husband-if he loved and trusted us, and we have not helped him, but made a curse in his life\u001b[0m pp. 583-84) Here is the true reason for her overlong mourning\n", "match: Match(a=165399, b=4489, size=25)\n", "\n", "\n", "match 20:\n", "\u001b[32mMiddlemarch\u001b[0m: (1793142, 1793447) spent itself in channels which had no great name on the earth \u001b[31meffect of her being on those around her was incalculably diffusive: for the growing good of the world is partly dependent on unhistoric acts; and that things are not so ill with you and me as they might have been, is half owing to the number who lived faithfully a hidden life, and rest in unvisited tombs\u001b[0m \n", "\u001b[32mtest1\u001b[0m: (50020, 50325) real value of lives like Dorothea's \u001b[31meffect of her being on those around her was incalculably diffusive: for the growing good of the world is partly dependent on unhistoric acts; and that things are not so ill with you and me as they might have been, is half owing to the number who lived faithfully a hidden life, and rest in unvisited tombs\u001b[0m joining of \"Miss Brooke\" and \"Middlemarch\" suggested\n" ] }, { "data": { "text/plain": [ "(20,\n", " [(5809, 6218),\n", " (8751, 8851),\n", " (8890, 9046),\n", " (57013, 57100),\n", " (83868, 83999),\n", " (116900, 117594),\n", " (192301, 192441),\n", " (195148, 195588),\n", " (402604, 402726),\n", " (411725, 412177),\n", " (449403, 450049),\n", " (450145, 450244),\n", " (1575265, 1575374),\n", " (1576340, 1576437),\n", " (1648982, 1649704),\n", " (1688955, 1689089),\n", " (1689907, 1690307),\n", " (1708999, 1709140),\n", " (1709168, 1709342),\n", " (1793142, 1793447)],\n", " [(10452, 10861),\n", " (10990, 11090),\n", " (11578, 11733),\n", " (12400, 12487),\n", " (13702, 13833),\n", " (29273, 29966),\n", " (34576, 34716),\n", " (35325, 35764),\n", " (37559, 37679),\n", " (41887, 42337),\n", " (42522, 43167),\n", " (43187, 43286),\n", " (44587, 44696),\n", " (44706, 44803),\n", " (45636, 46348),\n", " (47128, 47262),\n", " (47267, 47666),\n", " (48916, 49055),\n", " (49081, 49252),\n", " (50020, 50325)])" ] }, "execution_count": 72, "metadata": {}, "output_type": "execute_result" } ], "source": [ "Matcher(mm, test1).match()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
gpl-3.0
revspete/self-driving-car-nd
sem1/p2-traffic-sign-classifier/Traffic_Sign_Classifier.ipynb
1
2033519
null
mit
allentran/reinforcement-learning
MC/Off-Policy MC Control with Weighted Importance Sampling.ipynb
1
461646
{ "cells": [ { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib inline\n", "\n", "import gym\n", "import matplotlib\n", "import numpy as np\n", "import sys\n", "\n", "from collections import defaultdict\n", "if \"../\" not in sys.path:\n", " sys.path.append(\"../\") \n", "from lib.envs.blackjack import BlackjackEnv\n", "from lib import plotting\n", "\n", "matplotlib.style.use('ggplot')" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": true }, "outputs": [], "source": [ "env = BlackjackEnv()" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def create_random_policy(nA):\n", " \"\"\"\n", " Creates a random policy function.\n", " \n", " Args:\n", " nA: Number of actions in the environment.\n", " \n", " Returns:\n", " A function that takes an observation as input and returns a vector\n", " of action probabilities\n", " \"\"\"\n", " A = np.ones(nA, dtype=float) / nA\n", " def policy_fn(observation):\n", " return A\n", " return policy_fn" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def create_greedy_policy(Q):\n", " \"\"\"\n", " Creates a greedy policy based on Q values.\n", " \n", " Args:\n", " Q: A dictionary that maps from state -> action values\n", " \n", " Returns:\n", " A function that takes an observation as input and returns a vector\n", " of action probabilities.\n", " \"\"\"\n", " \n", " def policy_fn(observation):\n", " probs = np.zeros(len(Q[observation]))\n", " optimal_action = np.argmax(Q[observation])\n", " probs[optimal_action] = 1.\n", " return probs\n", " return policy_fn" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def mc_control_importance_sampling(env, num_episodes, behavior_policy, discount_factor=1.0):\n", " \"\"\"\n", " Monte Carlo Control Off-Policy Control using Weighted Importance Sampling.\n", " Finds an optimal greedy policy.\n", " \n", " Args:\n", " env: OpenAI gym environment.\n", " num_episodes: Number of episodes to sample.\n", " behavior_policy: The behavior to follow while generating episodes.\n", " A function that given an observation returns a vector of probabilities for each action.\n", " discount_factor: Gamma discount factor.\n", " \n", " Returns:\n", " A tuple (Q, policy).\n", " Q is a dictionary mapping state -> action values.\n", " policy is a function that takes an observation as an argument and returns\n", " action probabilities. This is the optimal greedy policy.\n", " \"\"\"\n", " \n", " # The final action-value function.\n", " # A dictionary that maps state -> action values\n", " Q = defaultdict(lambda: np.zeros(env.action_space.n))\n", " C = defaultdict(lambda: np.zeros(env.action_space.n))\n", " \n", " # Our greedily policy we want to learn\n", " target_policy = create_greedy_policy(Q)\n", " \n", " for _ in xrange(num_episodes):\n", " state = env.reset()\n", " experience = []\n", " while True:\n", " probs = behavior_policy(state)\n", " action = np.random.choice(np.arange(len(probs)), p=probs)\n", " next_state, reward, done, _ = env.step(action)\n", " experience.append((state, action, reward))\n", " if done:\n", " break\n", " state = next_state\n", " \n", " G = 0.\n", " W = 1.\n", " for state, action, reward in experience[::-1]:\n", " \n", " G = discount_factor * G + reward\n", " C[state][action] += W\n", " Q[state][action] += (W / C[state][action]) * (G - Q[state][action])\n", " p_action_target = target_policy(state)[action]\n", " if p_action_target <= 0:\n", " break\n", " W = W * p_action_target / behavior_policy(state)[action]\n", " \n", " return Q, target_policy" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": true }, "outputs": [], "source": [ "random_policy = create_random_policy(env.action_space.n)\n", "Q, policy = mc_control_importance_sampling(env, num_episodes=500000, behavior_policy=random_policy)" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": true }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABYwAAAMpCAYAAACnrne8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nERERERER/eL/AT0RsgR2UfkxAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10f8dd610>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABYwAAAMpCAYAAACnrne8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11109ee90>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# For plotting: Create value function from action-value function\n", "# by picking the best action at each state\n", "V = defaultdict(float)\n", "for state, action_values in Q.items():\n", " action_value = np.max(action_values)\n", " V[state] = action_value\n", "plotting.plot_value_function(V, title=\"Optimal Value Function\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3.0 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, <<<<<<< HEAD "nbformat_minor": 0 } ======= "nbformat_minor": 1 } >>>>>>> f45bcbf23daddbb7cfd9d08103609053ba4f92c6
mit
c4fcm/oped-gender-report
data_acquisition/Opinion Byline Statistics, New York Times LA Times Washington Post.ipynb
1
489579
{ "metadata": { "name": "", "signature": "sha256:940f75de9540a72ccb338bf9a98bf355489253e70514f1688c5afb5dcc350949" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "#TODO: words written by men and women\n", "\n", "import csv\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import pylab as P\n", "import os\n", "\n", "csv.field_size_limit(sys.maxsize)\n", "lines = []\n", "\n", "#CHOOSE A FOLDER FROM ABOVE\n", "#folder = \"./test_results/30bf9fd5bb824eb49e89c8a828276348c0b1570c/\"\n", "folder = \"./annual_data/\"\n", "\n", "files = [\"[2013-08-01T00:00:00Z TO 2013-09-01T00:00:00Z].csv\",\n", "\"[2013-09-01T00:00:00Z TO 2013-10-01T00:00:00Z].csv\",\n", "\"[2013-10-01T00:00:00Z TO 2013-11-01T00:00:00Z].csv\",\n", "\"[2013-11-01T00:00:00Z TO 2013-12-01T00:00:00Z].csv\",\n", "\"[2013-12-01T00:00:00Z TO 2014-01-01T00:00:00Z].csv\",\n", "\"[2014-01-01T00:00:00Z TO 2014-02-01T00:00:00Z].csv\",\n", "\"[2014-02-01T00:00:00Z TO 2014-03-01T00:00:00Z].csv\",\n", "\"[2014-03-01T00:00:00Z TO 2014-04-01T00:00:00Z].csv\",\n", "\"[2014-04-01T00:00:00Z TO 2014-05-01T00:00:00Z].csv\",\n", "\"[2014-05-01T00:00:00Z TO 2014-06-01T00:00:00Z].csv\",\n", "\"[2014-06-01T00:00:00Z TO 2014-07-01T00:00:00Z].csv\",\n", "\"[2014-07-01T00:00:00Z TO 2014-08-01T00:00:00Z].csv\"]\n", "\n", "top = 0\n", "for filename in files:\n", " #with open (os.path.join(folder,\"month_06_2014.csv\")) as f:\n", " with open (os.path.join(folder,filename)) as f:\n", " reader = csv.DictReader(f)\n", " for i, row in enumerate(reader):\n", " lines.append(row)\n", " lines.pop(top)\n", " top = len(lines)\n", "\n", "lines[0].keys()\n", "len(lines)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 17, "text": [ "430135" ] } ], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "import requests\n", "import json\n", "\n", "# reduces social media metrics to a single number\n", "# highly reductionist, as you might expect\n", "class SocialMedia:\n", " def facebook(self, url):\n", " #res = requests.get(\"http://graph.facebook.com/\" + url)\n", " res = requests.get(\"https://graph.facebook.com/fql?q=SELECT%20like_count,%20total_count,%20share_count,%20click_count,%20comment_count%20FROM%20link_stat%20WHERE%20url%20=%20%22{0}%22\".format(url.replace(\"http://\",\"\")))\n", " j = json.loads(res.text)\n", " if 'data' in j.keys() and len(j['data'])>0:\n", " return j['data'][0]['total_count']\n", " return None\n", "\n", " def twitter(self, url):\n", " res = requests.get(\"http://urls.api.twitter.com/1/urls/count.json?url=\" + url)\n", " j = json.loads(res.text)\n", " if 'count' in j.keys():\n", " return j['count']\n", " return None\n", "\n", " def reddit(self, url):\n", " reddit_url = \"http://buttons.reddit.com/button_info.json?url={0}\".format(url)\n", " res = requests.get(reddit_url)\n", " #import pdb; pdb.set_trace()\n", " j = json.loads(res.text)\n", " if not \"data\" in j:\n", " print \"REDDIT ERROR WITH {0}\".format(reddit_url)\n", " return None\n", " #return {\"ups\":\"0\", \"num_comments\":\"0\"}\n", " else:\n", " data = j['data']\n", " if \"children\" in data and len(data[\"children\"]) > 0 and \"data\" in data[\"children\"][0]:\n", " child = data[\"children\"][0]\n", " return child['data']['ups'] + child['data']['num_comments']\n", " #return {\"ups\":child[\"data\"][\"ups\"],\"num_comments\":child[\"data\"][\"num_comments\"]}\n", " #return {\"ups\":\"0\", \"num_comments\":\"0\"}\n", " return None" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 18 }, { "cell_type": "code", "collapsed": false, "input": [ "#SUMMARIZE SECTION IDENTIFICATION\n", "lines[0].keys()\n", "\n", "MEDIA= {\n", " '1': \"new york times\",\n", " '2': \"washington post\",\n", " '6':\"la times\",\n", " '7': \"new york post\",\n", " '1150': \"wall street journal\",\n", " '1757': \"salon\",\n", " '1707': \"daily beast\",\n", " '1750': \"telegraph\",\n", " '314' : \"huffington post\",\n", "\"27502\":\"huffington post\" #assuming these are the same for now\n", "}\n", "\n", "media = {}\n", "\n", "for line in lines:\n", " mediakey = MEDIA[line['media_id']]\n", " section = line['section']\n", " if(not mediakey in media):\n", " media[mediakey] = {}\n", " if(not section in media[mediakey]):\n", " media[mediakey][section] = 0\n", " media[mediakey][section] += 1\n", " \n", " \n", "for key in media.keys():\n", " articles = 0\n", " for section in media[key].keys():\n", " articles += media[key][section]\n", " print \"{0}: {1} sections, {2} articles\".format(key,len(media[key]),articles)\n", " \n", " #for section in media[key].keys():\n", " # if(not section is None):\n", " # if(section.lower().find(\"opinion\")>=0):\n", " # print \" {0}: {1}\".format(section,media[key][section])\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "salon: 1 sections, 4856 articles\n", "huffington post: 2 sections, 152765 articles\n", "washington post: 918 sections, 12135 articles\n", "la times: 67 sections, 51766 articles\n", "new york post: 18 sections, 46237 articles\n", "new york times: 129 sections, 89820 articles\n", "wall street journal: 41 sections, 72556 articles\n" ] } ], "prompt_number": 19 }, { "cell_type": "code", "collapsed": false, "input": [ "# GROUP BYLINES BY MEDIA ORGANISATION\n", "# AND SUMMARIZE\n", "media_people = {}\n", "\n", "from byline_gender import BylineGender\n", "b = BylineGender()\n", " \n", "#for key in media.keys():\n", "# articles = 0\n", "# for section in media[key].keys():\n", "# articles += media[key][section]\n", "# print \"{0}: {1} sections, {2} articles\".format(key,len(media[key]),articles)\n", "# for section in media[key].keys():\n", "# if(section.lower().find(\"opinion\")>=0):\n", "# print \" {0}: {1}\".format(section,media[key][section])\n", "\n", "sections = []\n", "for line in lines:\n", " mediakey = MEDIA[line['media_id']]\n", " byline_text = line['byline']\n", " if(not line['section'] is None and line['section'].lower().find(\"opinion\")>=0):\n", " section = line['section']\n", " if not section in sections:\n", " sections.append(section)\n", " for byline in b.get_full_names(byline_text):\n", " if(not mediakey in media_people):\n", " media_people[mediakey] = {}\n", " if(not byline in media_people[mediakey]):\n", " media_people[mediakey][byline] = 0\n", " media_people[mediakey][byline] += 1\n", " \n", "#print \"---\"\n", "#print sections\n", "#for key in media_people.keys():\n", "# print \"{0}: {1} bylines\".format(key,len(media_people[key]))" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 33 }, { "cell_type": "code", "collapsed": false, "input": [ "for key in sort(media_people.keys()):\n", " print \"{0}: {1} bylines\".format(key,len(media_people[key]))\n", " values = media_people[key].values()\n", " plt.hist(values, max(values))\n", " plt.xlabel(\"Articles Published in {0}\".format(key))\n", " plt.ylabel('Number of Authors', fontsize= 20)\n", " plt.show()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "la times: 918 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZMAAAEPCAYAAACHuClZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nJEmSJEmSJEnqBv8Ds92Wcl//8DAAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x8eb1a850>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "new york post: 116 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAY0AAAEPCAYAAAC+35gCAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAH+JJREFUeJzt3XmcW2W9+PFPaIuspVSglAodCiJ63XBDRSVF9IoLoOKC\nolUBe10RN0AdK70/Zbl6XX56vSqIFQVBURaVC5UhAgpUoSyKFeilCEILQqGAIjCd+8f3CcmkySQn\nk0yW+bxfr7ySc3Jy8pw57fnmWc73AUmSJEmSJEmSJEmSJEmSJEld7gjgeuAP6TXATGApcCNwITCj\nM0WTJHWTpxMBYxNgChEodgFOBD6ZtjkKOL4jpZMkdZWDgJPKlj9DBIsVwKy0bvu0LEma5HYH/kw0\nR20G/Bb4GrC2bJtcxbIkqUOmdvj7VwAnEP0WDwHXAMMV24ykhySpwzodNAC+mx4AnwduB9YQzVKr\ngdnAXdU+uMsuu4ysXLlyIsooSf1kJbBrMx/cqMUFacZ26Xkn4A3AacC5wIK0fgFwdrUPrly5kpGR\nkb59LFq0qONl8Ng8Po+v/x7EgKOmdENN4yfAE4FHgfcD9xOjpc4EDgVWAW/uVOEkSSXdEDReVmXd\nvcC+E10QSdLYuqF5SjXk8/lOF6Ft+vnYwOPrdf1+fOOR63QBxmkktc9JkhqUy+Wgyeu/NQ1JUsMM\nGpKkhhk0JEkNM2hIkhpm0JAkNcygIUlqmEFDktQwg4YkqWEGDUlSwwwakqSGGTQkSQ0zaEiSGpYl\naDyHmO9iRtm6zYHvA/cBdwIfaV3RJEndJkuWwx8BLwXmlK37GvBBYn7vJwBTgFcDF7SqgHWY5VaS\nMpqoLLfPAwply9OIqVh/B2wLDAD3AB/OWIZjgD8C1xNTvT4BmAksBW4ELmR07UaS1CFZgsZ2wG1l\ny88DtgS+BTwM3AGcAzwjwz4HgMOJpq9nEDWVtwJHE0FjN+CitFzVYYd9kGXLlmX4SklSs7IEjRFG\nTw/7kvT867J1dxPBpVHriLnBN0v73owIPvsDS9I2S4ADa+3g5JN/wAUXTFRrmCRNblmCxm3AC8uW\nDwBuB1aWrdsBWJthn/cCXwL+QgSL+4gaxixgTdpmTVquYYsMXydJGo+p9Td53BnAscBZRHPUi4Gv\nVGyzO6ODSD27ECOuBoD7gR8Dh1RsM5IeNaxjaGiI4eFh8vm8c/tKUoVCoUChUGjJvrL0nm8J/A/w\norR8DbAPUTsAmAfcDBwHfLrBfb4FeAVwWFp+B1Gb2QeYD6wGZgMXEwGp0gjMYfHihQwODmY4FEma\nvCZq9NQDwF7As9LjeZQCBsB64A3Af2XY5woiSGxKHMC+wA3AecTILNLz2Rn2KUlqkyzNU4uA/wVO\nrfH+qvTI4lri5sDfE0HnauDbRK3mTODQtM83Z9yvJKkNsgSNT7NhH0YrnJge5e4lah2SpC6SpXnq\nDmB6uwoiSep+WYLGT4lf/5u2qSySpC6XJWgsIjq+s971LUnqE1n6NK4DNiZSflxD3KtxF9XvoZg3\n/qJJkrpNlqCRAx4h7t4uX1c51te0s5LUp7IEjYF2FUKS1BucuU+S1LDxBI0tgR1xGK4kTRpZg8Y0\nYtKklcRIqlVEVtub0/oszV2SpB6T5SK/MTGN695Eyo/biXnBZwM7A58HXkUkIHyktcWUJHWDLDWN\njxIB4+fAU4G5RLLBucBTgHOJOcQ/1uIySpK6RJag8TZiLu/XAzdVvHcz8Mb0/ttaUzRJUrfJEjR2\nBX4JDNd4fxg4P20nSepDWYLGo9SfW3WztJ0kqQ9lCRrXAgcB29V4f5v0/rXjLZQkqTtlCRpfB7YF\nlhHTs84jMt7OA96T1m+XtpMk9aEsQ27PBJ4NHE3MrleeY6qYf+pE4IyMZXgK8KOy5XnAIPCDtK+5\nlGbvu6/yw5KkiZP15r5PEfOEn0xkur0lPZ+c1h/dRBn+DOyRHs8F/g78LO1rKbAbcFGT+5YktVAz\nd3Bfnh7tsC8xfPc2YH/ivhCAJUABA4ckdVS3JSx8K3B6ej0LWJNer0nLkqQOaqamMZVoMtoamFJj\nm0ua2O/GwOuAo6q8N0LNeTrWMTQ0xPDwMPl8nnw+38RXS1L/KhQKFAqFluyrcgKlej4LHAlsNcY2\nI9QOJmM5AHgfkb8KYAWQB1YT+a0uBnbf8LvmsHjxQgYHB5v4SkmafHK5HGS//gPZahqfBD4H3A+c\nSvQ7PFZlu2Zn7juYUtMURC6rBcAJ6fnsJvcrSWqRLEHjcOAOYpTT3S0ux+ZEJ/jhZeuOJ4b5Hkpp\nyK0kqYOyBI0dge/Q+oAB8BBxR3m5e4lAIknqEllGT92FkyxJ0qSWJWicAbwSeEKbyiJJ6nJZgsYi\nYqa+s4hUH5KkSWas5qZb2HAk1MbADsB+xCiqWrmgDCqS1IfGCho5NhzH+xjwl7LlajWVZofcSpK6\n3FhBY2CiCiFJ6g3dlntKktTFsgSNi4F31tnmEGCo+eJIkrpZlqCxN/WbrAaIfFGSpD7U6uapTame\nj0qS1AdadYd3jpiWdT8ikaEkqQ/Vq2msB4bTAyLL7XCVx2PA/xJziP9og71IkvpCvZpG+WRKLwNu\nTY9Kw8A9wK+Ak1pTNElSt6kXNPJlr9cD3wOObVdhJEndLUufxjxgbbsKIknqflmCxqp2FUKS1Buy\nBI1FNJ5XanHGcswg+kL+JX3Hu4GbiHTscynN3FcrQaIkaQJkDRqNyho0vgr8EjiIKNPmwKeBpcCJ\nwFHA0ekhSeqQLEFjnxrrZwDPA44AfgF8M2MZtgJeCixIy48Radf3J+5CB1gCFDBoSFJHZQkahTHe\nO5toSlpG9vs0dibmHT8FeBZwFfARYBawJm2zJi1LkjqolXN+Xw+cCxxDBJEsZXgO8EHgd8BX2LBG\nMULN/pR1DA0NMTw8TD6fJ5/PZyu1JPW5QqFAoVBoyb4qJ1kar/8APgBsluEz2wOXEzUOgJcQgWce\nMB9YDcwmsuzuXvHZEZjD4sULGRwcHE+5JWnSyOVy0OT1v9UJC18A/CPjZ1YT+ap2S8v7An8EzqPU\nz7GAbLUXSVIbZGme2mmMfewEHE50aJ/ZRDk+BPyQmIN8JTHkdkra16GUhtxKkjoo6819I4xdpbkJ\n+HgT5bgWeH6V9fs2sS9JUptkCRrfr7F+PZFe5ErgHOCf4y2UJKk7ZQka72pXISRJvaHVHeFTgANa\nvE9JUpdo1X0aA8BhRAf29kTwkCT1mfEEjalEreK9RId1sYN86XgLJUnqTs0EjV2I4bXvArZL6+4G\nvgWcTPWZ/SRJfaDRoDENeD1Rq5hP1CoeAX4KvIEYNfXZdhRQktQ96gWN3YhaxQJgm7RuOZFc8DTg\nXmLIrSRpEqgXNFak57uJRIKnEIkJJUmTUKNDbs8HfoIBQ5ImtXpBY5Do2H4ncBlR8zga2KHN5ZIk\ndaF6QePzRIry/YhO73nAF4hA8kvgLW0tnSSpqzTSPDUCXEDM370j8CkiaLwKOD1t82xiyldJUh/L\nmkZkDXA88GTgFcCPgUeJgHElcA0xA58kqQ81m3tqBLiIaJ56EvBJ4GbgmcBXW1M0SVK3aUXCwruB\nLwJPAfah1GSVxSrgOuIekGVp3UwiJcmNwIXAjPEWVJI0Pq3OclsADmnicyNAHtiDmDIWYpTWUuIG\nw4vSsiSpg1odNMajckbA/YEl6fUS4MCJLY4kqVK3BI0R4FfA74m0JQCziI530vOsDpRLklSmVfNp\njNdewJ3AtkST1IqK90fSQ5LUQd0SNO5Mz3cDPyP6NdYQEzqtBmYDd1X/6DqGhoYYHh4mn8+Tz+fb\nXlhJ6iWFQoFCodCSfVX2I3TCZsRMfw8AmxMjpY4lJna6BziB6ASfwYad4SMwh8WLFzI4ODhxJZak\nHpbL5aDJ6/9YfRprifsvihYBL2vmS+qYBVxK3Bh4JfBzInAcT9xAeCMxlPf4Nny3JCmDsZqntgI2\nKVteRPQrXNLiMtxCpCGpdC9R25AkdYmxahp3EXd7S5IEjF3TuJxIib6eUkd1vsH9Lh5HmSRJXWqs\noPFJ4m7s95aty9NY4DBoSFIfGito3EQkINyZmHSpQNyZvWSMz0iS+li9+zSGiey1N6flVUTwkCRN\nQllu7uuWlCOSpA5p9o7wHYlhsjOA+4GrgdtbVShJUnfKGjQGgG8RN92VKyYcXEg0YUmS+lCWoLE9\ncBnRKX4rcZPfnUReqJcSgeQ3wHOJfFGSpD6TJWgMEgHjaOBLRCd5+X4+ApyYtvtAqwooSeoeWTq3\nX0OkLT+R0QED4DFiytelaTtJUh/KEjS2JyZJGstVRHOVJKkPZQka64C5dbbZkRhNJUnqQ1mCxqXA\nQcQse9XsCbyJ6CyXJPWhLB3hXwBeS9wRfgYwRIye2h6YDxxMJDf8QmuLKEnqFlmCxlXAG4ncU29L\nj3L3Au+hfr+HJKlHZb257+dEv8YBwHOIiZqKd4SfDTzUZDmmEMHmduB1wEyiNjOXuFnwzcB9Te5b\nktQizaQReRD4YXq0yhHADcCWafloSsN7j0rLlfODS5ImWDckIXwS8GrgJEoTne9PKQX7EuDADpRL\nklShG4LGl4FPEJ3oRbOANen1mrQsSeqwTgeN1xJzkS+nVMuoNJIekqQOazY1equ8mGiKejWwCTAd\nOJWoXWxPJD6cTQSWGtYxNDTE8PAw+XyefD7f5iJLUm8pFAoUCoWW7KvWr/tO2Bv4ODF66kTgHuAE\nogN8BtU7wkdgDosXL2RwcHDCCipJvSyXy0GT1/9ON09VKjZDHU+kWr8R2CctS5I6LEvz1MVEipB2\n/aT/dXpA3Ci4b5u+R5LUpCw1jT2Jm/AkSZNUlqBxM5HFVpI0SWUJGt8hhsjWS48uSepTWfo0fk50\nTl9GjG5aRgyJrXYPxV/GXzRJUrfJEjRWlr3+6hjbjWDfhyT1pSxB4/sNbufd25LUp7IEjXe1qxCS\npN7QbTf3SZK6WLO5p56aHpsTuaIkSZNA1prGHsS0r38EfgJ8r+y9PPB3IgGhJKkPZQkauxGpRHYj\nRk+dz+iEV5cAa4l5xCVJfShL0FgEPAF4IXAk8LuK99cDlwPPb03RJEndJkvQeDnwU6JpqpbbgB3G\nVSJJUtfKEjS2JoLCWHJEbUSS1IeyBI27gF3rbPM06gcWSVKPyhI0LiJm1du9xvvPJ5qwLhhvoSRJ\n3SlL0DgeGCZGSb2PmLsb4OnA+4mEhg8CX8ywz02AK4FrgBuA49L6mcBSYua+C4npXiVJHZYlaKwA\n3gBMA74BHJ7WXwd8Pa1/PXBrhn0+DMwHng08M71+CTEf+FJieO9FVJ8fXJI0wbLeEf4/wDzgncCL\ngCcC9xNDbU8hpmnN6u/peWMiO+5a4gbBvdP6JUABA4ckdVwzaUTWEjf3jZUePYuNgKuBXYBvEkN6\nZwFr0vtr0rIkqcOazT3VSuuJ5qmtiE70+RXvjzBmuvV1DA0NMTw8TD6fJ5/Pt6mYktSbCoUChUKh\nJfvK1d9kA4cA7yEu9NOBdcByonnqB+MszyDwD+AwIpfVaqLD/WKqj9oagTksXryQwcHBcX61JE0O\nuVwOmrv+Z+oInwacS0zGlCcCxt/S8/y0/ty0XaO2oTQyalNiOtnlaT8L0voFwNkZ9ilJapMsQeMY\n4LXAFUSQ2ATYPj3vQwydfS3ZOqxnA0PEkNsrgfOI0VLHEwHkxrTv4zPsU5LUJln6NN5JzBM+H/hn\n2frHiNFN84E/EDWDf29wn9cDz6my/l5g3wxlkyRNgCw1jScRzUT/rPH+w8A5aTtJUh/KEjTupH5/\nxVTgjuaLI0nqZlmCxg+BNxFDY6uZARyUtpMk9aEsQWMx8Huiw/rtRDPUtPR8SFq/LG0nSepDY3WE\nr2fDm+qK43pPTc8jjB7r+2Sib2NKS0onSeoqYwWNS5rc5xh3b0uSetlYQSM/UYWQJPWGLH0akqRJ\nzqAhSWpY1iy3OWLK12dRGj1VzXvGUyhJUnfKEjTmElO6/ksD2xo0JKkPZQkaXyMCxneJjLZ3EHmn\nJEmTRJagsQ9wITHXhSRpEsrSEf4YcF27CiJJ6n5ZgsZvgae3qyCSpO6XJWgMEjf8HdyeokiSul2W\nPo2riYmRfgksBK4C7q+xbZakhTsSHevbESlIvk10us8EziBGba0C3gzcl2G/kqQWyxI0tgKOI+YE\nf1l61JIlaDwKHElM+boFEYyWAu9OzycCRxHTyGaZSlaS1GJZgsaXgZcCvyKy3N5Ja4bcrk4PgAeB\nPwFzgP2BvdP6JcSUsgYNSeqgLEHjdcDlwL/Svky2A8AexNwcs4A1af2atCxJ6qAsQWMT4De0L2Bs\nAZwFHAE8UPHeSO3vXcfQ0BDDw8Pk83ny+XybiidJvalQKFAoFFqyr1z9TR53KfGL/6CWfPNo04gU\nJecDX0nrVhCjtVYDs4GLgd0rPjcCc1i8eCGDg4NtKJYk9Z9cLgfZrv+Pyzrd6+uIfo1WygEnAzdQ\nChgA5wIL0usFwNkt/l5JUkZZmqd2IGoDFwGnE/OF1xpy+/0M+92LmGP8OmB5WncMcDxwJnAopSG3\nkqQOyhI0Til7/Y70qGaEbEHjMmrXePbNsB9JUptlCRqNpjt3jnBJ6lNZgsb32lUISVJvcLpXSVLD\nDBqSpIZlaZ66hfr9Fbm0zbymSyRJ6lpZgkaO6jeDzCCSGEJMAfvoeAslSepOWYLGwBjv7UqkM98c\neNV4CiRJ6l6t6tO4GXgjkZ12UYv2KUnqMq3sCP8HkTb9rS3cpySpi7R69NRjRHJBSVIfamXQ2BY4\nELithfuUJHWRLB3hi6g+5HYqsBNwADEl7DEtKJckqQtlDRpjWQf8O3BC88WRJHWzLEFjnxrr1wNr\nibm9WzFnuCSpS2UJGoV2FUKS1BvMPSVJali9oLFRk48svkvMPX592bqZwFLgRuBCIlWJJKnD6l3g\nHyNySTX6KG6fxSlsmHrkaCJo7EZML3t0xn1KktqgXp/GXzLsa3PgiU2U4VI2zGu1P7B3er2E6E8x\ncEhSh9ULGgMN7GMa8CHg02n51vEUKJlFNFmRnme1YJ+SpHGqluo8izcDxwE7A/cBXyCy3T6ScT8D\nwHnAM9LyWmDrsvfvJfo5Ko3Almy00cNMnTqFCy44n3w+n/GrJam/FQoFCoXC48vHHnssNHn9bzZo\n7AV8EdiT6MP4L2AxcbFvxgCjg8YKIA+sJnJZXQzsXuVzI5FY96+xMFJvjihJUi6Xgyav/1lHOu0K\nnEX0Q+wJ/AR4GnAkzQeMas4FFqTXC4CzW7hvSVKTGo00TyTSiCwk+jAuBz4GXNGCMpxOdHpvQ/Rf\nfBY4BziTyGm1imgGu6/KZ61pSFJG46lp1PvQE4CPECOXtgJWptdnNfNlbWDQkKSMxhM06o2e+jPx\na/9eognqG5hfSpImrXqRZn16Xgs8lGG/OzVXnMysaUhSRu2saRRtzeghsJKkSahe0DChoSTpcQYF\nSVLD+ihoTGX69Go3jUuSWqWPgsZjPPBAK+8vlCRV6qOgIUlqN4OGJKlhBg1JUsMMGpKkhhk0JEkN\nm3RBY/r0mY8PzZ0+fSa5XM6hupLUoPHO3Ndpo3JPQf38UynnCiMjI+n1CJAzb5WkSWMiJ2GSJE1i\n3R40XkVM/XoTcNR4dhRNURunJe8el6RmdHPQmAJ8nQgcTwMOBp7a7M7ibvFH01Jv3D1ePhF8v+nn\nYwOPr9f1+/GNRzcHjRcANxPTvT4K/Ag4oN6HijWKXC6XnjeuUatoLCt8ecf5ROvnf7j9fGzg8fW6\nWsfn4JnG59PohDnAbWXLtwN71vtQqQYRHdyj15VrbALCXqiRSJoYcT0Y4YEHen0MUfO6uabR0HCm\nXO5v7S6HJCnp5nD5QuBzRJ8GwDHE9LMnlG1zM7DLxBZLknreSmDXThei1aYSBzYAbAxcwzg6wiVJ\n/W8/4M9EjeKYDpdFkiRJUr9r2U1/XWQVcB2wHFiW1s0ElgI3AhcCMzpSsuZ8F1gDXF+2bqzjOYY4\nnyuAV05QGcej2vF9jhjltzw99it7r5eOb0fgYuCPwB+AD6f1/XL+ah3f5+iP87cJcCXRpH8DcFxa\n3y/nL7MpRHPVADCN/unruIU4qeVOBD6ZXh8FHD+hJRqflwJ7MPqiWut4nkacx2nEeb2Z7h7ZB9WP\nbxHw0Srb9trxbQ88O73egmgifir9c/5qHV+/nD+AzdLzVOAK4CW06Px1+4FX09RNfz2icjTb/sCS\n9HoJcODEFmdcLgUqb3KpdTwHAKcT53MVcX5f0P4ijku144PqIxJ77fhWExcRgAeBPxH3TfXL+at1\nfNAf5w/g7+l5Y+KH9lpadP56MWhUu+lvTo1te8kI8Cvg98Dhad0sogmE9DyrA+VqpVrHswNxHot6\n+Zx+CLgWOJlS9b+Xj2+AqFFdSX+evwHi+K5Iy/1y/jYiAuMaSk1xLTl/vRg0+jWH+V7EP979gA8Q\nzR/lRuivY693PL14rN8EdiaaPu4EvjTGtr1wfFsAZwFHAA9UvNcP528L4CfE8T1If52/9cRxPAl4\nGTC/4v2mz18vBo2/Eh1ZRTsyOkr2qjvT893Az4jq4Rqi/RVgNnBXB8rVSrWOp/KcPonySVJ6x12U\n/jOeRKmK34vHN40IGKcCZ6d1/XT+isf3A0rH10/nr+h+4BfAc+mv85dJP970txmwZXq9OfAbYgTD\niZRGhx1Nb3WEQ5yjyo7wasdT7IjbmPilt5LuzlZQNMDo45td9vpI4LT0uteOLwd8H/hyxfp+OX+1\njq9fzt82lJrWNgUuAV5O/5y/pvTbTX87EyftGmIIYPGYZhL9HL045PZ04A7gEaIP6t2MfTyfIs7n\nCuBfJ7Skzak8vvcQF6LriDbxsxndB9VLx/cSonnjGkrDT19F/5y/ase3H/1z/p4BXE0c33XAJ9L6\nfjl/kiRJkiRJkiRJkiRJkiRJktTtDiTGrT9ljG22At5XtrwD8OM6+y0Qd6KOx7uIu+SXE/lzDquz\nfR44r8Z7qyhlEv5Nnf082FDpaiv/rnK/AKaPc9/dZBXVj7PV9gZeNAHfozp6MY2IWu9g4OfpuZqp\nwNbA+8vW3QG8qc5+W5Eva4S4kW4PIiB8Adh2HPsq2ivDtuP9rnKvAdaNc9/dYgoTl4NpPvDiCfou\njcGgoS2APYEPAm8pW58n0n+fQ/zCPw7YhfjFfwIwl7h7HeLi8UUipca1RMLFSq8EfgtcBZxJpEuB\nSGXwx/S5/6hRxmJKg7sppZD5HvDGsm3KawbTiSC4gkhCVy0lQnH72USaheWp/OXB5P8Rd9VeDmyX\n1m1LJLlblh7FC9kTibts/wB8p8Z3QumX+QCRkvvb6TMXEJPnVPoe8FWiZrSS0cf8iVSGa4kJhIrr\nPpRefxm4KL3eh8izVG4fIs9Z0SuAn6bXBxN3E1/P6PQ1DxLn+hrghWXrNwXOBw6tcgwPAv9JHOev\niDQXEAn1rkjl/ymlO5Q/TOnfxGnEv7WFRGqP5cQd3ZI65O3Af6fXlwDPSa/zxH/2uWl5LqPzLA2U\nLb+PCATFHyFbp+eL0/62AX5NXFgg8t8MEhfPFWX7rNZsswD4/+n1PCLp2tbAKYy+gBazsOaBf6Ty\nbURcyIvblU90Vdz+Y0QKBdL2W6TX64laAUSQ/HR6fRqlwLITMTMawNeAz6TXr06fr9ZsUyzDADF/\nwTPT+jOIc1HplPQeRI61m9LrVwLfKiv3eURm5D2JcwER9K8gaoqLKKXcL/cnIuAVj+01RNPjrWn9\nFCLwFOesWQ8cVHE8c4kZ4Q6psv/iZ4q12EFK5/M6Stmcj6WUC+qvREJBKP2bqDVBkiaYNQ0dTKlv\n4seMbqJaRlw8YOwEZi8nLmDr03L55EQ54hfp04iaxnLgncQF937gYWLugtcTF/tKOaIGtJy4qL2X\n6pMflVtG/KJfTzRtjfXLdBmRF2sRkbOnWAN5hOh/gKgdDaTX+wJfT+U5h0g0uTlx8Sv+kv9lA2WE\nuOBeV+U7KhWzsP6JUj6kV6bH8vTZpwC7ptfPTeV6mKglPY/4G1xaZd+nAu8gfuW/kKgtPJ8I+PcA\nw8APifTapOWzyj6fI/4O32XDmkzRekqB7wepLNOJfrJimZaUfcd1xLl+e/q+8u9Sh03tdAHUUTOJ\ntuKnE23TxTbqYoKzhzLsq95/6KXA26qsfwERdA4imsheXvH+CDE744cr1j9G6UfPRkSGzvLPlJdr\nPbVdSlzwX0s0Bf0ncSF9tGyb9ZT+r+SIX/OPVNlX1ovaP8teD1OqiVUq/67y7ziOaN6qdAsxgOC3\nxAV4HyKgrKiy7SlELeVhooaynvj7lX9PjtLf9GFG/31HgMuIhH+n1yh/ufJ9Va4veg0RQF5H1PCe\n0cB+NUGsaUxuBxGZPQeITLs7ERecygmgIJpztqyyHiIgLCSCDpSapyAuEFcQTTq7pHWbA09OzzOI\nX7cfBZ5VZd85ql+MV1EambU/peYMiEA0QPz7fgtxUatlJ6Kv5CSixrPHGNtCNHeVB7BimS+hFBT3\nY/TfoB0uIDLrFvuG5lAaIHAp8HGiSfBS4N+IrKfV3EkMavgMEUAAfkeMVio2T7017auWzxI1q2/U\neH8jSoMm3pbKtC59plgLfAcx2i5HnJMCkb57K6LJcKx/f5pABo3J7a2M7giFaHo4mA1HPt1DdMZe\nT7Txl79/EvAX4lftNWw4CutvxC/f04nOzd8SzSlbEr9yryUuJEdWKWOtEVjfIS5sxQ7ZB8u2/x3R\nhHQD0XlceYzF7SBqWtcQF9U3EZ3O5e9XluHDRHPPtURn7cK0/lji1/EfiKa2W6mucr+13qv3maVE\nE87lxN/9TEr9MZcRk+1cTky08w+qN00VnUacvz+n5TuJC/bFxN/m95SGMdcq8xFETemEKvt/iAjk\n1xN9TovT+gXE4Idrib6dxUSN7tR0TFcT5+P+9P2vJ5rj6o18kyS10deJfp12qZwqVpLUo64imoKm\n1dluPPrlvhRJkiRJkiRJkiRJkiRJkiRJquf/ALIUoEruj9JIAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x71582e50>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "new york times: 1445 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZwAAAEPCAYAAAB2s3LUAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nf58bmkOn2skAAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x5c573050>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "wall street journal: 559 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZMAAAEPCAYAAACHuClZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niv4/WHZuy/CQU0QAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x1ecf0e50>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "washington post: 192 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZYAAAEPCAYAAABhkeIdAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x8f12f910>" ] } ], "prompt_number": 21 }, { "cell_type": "code", "collapsed": false, "input": [ "from byline_gender import BylineGender\n", "b = BylineGender()\n", "b.load_name_org_online()\n", "print b.org_name_gender(\"washington post\",\"editorial board\")\n", "unknown = []\n", "known = []\n", "\n", "for org in sort(media_people.keys()):\n", " print \"{0}: {1} bylines\".format(org,len(media_people[org]))\n", " vals = {\"female\":{},\"male\":{},\"unknown\":{}}\n", " for name in media_people[org].keys():\n", " #gender = b.single_name_gender(name)\n", " gender = b.org_name_gender(org,name)\n", " if(not gender == \"ignore\"):\n", " vals[gender][name]=media_people[org][name]\n", " if gender == \"unknown\":\n", " unknown.append(name)\n", " else:\n", " known.append(name) \n", " m = 0\n", " for v in vals.values():\n", " if(len(v) > 0 and max(v)>m):\n", " m = max(v)\n", " \n", " h = []\n", " labels = []\n", " for v in sort(vals.keys()):\n", " labels.append(v)\n", " h.append(vals[v].values())\n", " if(len(h[-1]) == 0):\n", " h[-1]=[0]\n", " plt.figure() \n", " n,bins,patches = plt.hist(h)\n", " plt.xlabel(\"Articles Published in {0}\".format(key))\n", " plt.ylabel('Number of Authors', fontsize= 20)\n", " legend(patches, labels)\n", " plt.show()\n", "\n", "print \"UNKNOWN BYLINES: {0}\".format(len(unknown))\n", "print \"GUESSED BYLINES: {0}\".format(len(known))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "ignore\n", "la times: 918 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZMAAAEPCAYAAACHuClZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x8c2281d0>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "new york post: 116 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAYoAAAEPCAYAAABcA4N7AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x8f12cd10>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "new york times: 1445 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZMAAAEPCAYAAACHuClZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nxdx3XwAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x35b5850>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "wall street journal: 559 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZMAAAEPCAYAAACHuClZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x8e32c550>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "washington post: 192 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZMAAAEPCAYAAACHuClZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x3926cc90>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "UNKNOWN BYLINES: 522\n", "GUESSED BYLINES: 2701\n" ] } ], "prompt_number": 22 }, { "cell_type": "code", "collapsed": false, "input": [ "#OUTPUT TO BYLINE FILE\n", "\n", "#f = open('org_people_upload.csv', 'w')\n", "#b.export_org_names(media_people,f)\n", "#f.close()\n" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 23 }, { "cell_type": "code", "collapsed": false, "input": [ "def pct(a,b):\n", " return 100*(float(a)/float(b))\n", "\n", "pct_table = []\n", "pct_table.append([\"org\",\"type\",\"female\",\"male\",\"unknown\"])\n", "\n", "for org in sort(media_people.keys()):\n", " print \"{0}: {1} bylines\".format(org,len(media_people[org]))\n", " article_count = {\"female\":0,\"male\":0,\"unknown\":0}\n", " people_count = {\"female\":0,\"male\":0,\"unknown\":0}\n", " \n", " total = 0\n", " nontotal = 0\n", " people_total = 0\n", " for name in media_people[org].keys():\n", " #gender = b.single_name_gender(name)\n", " gender = b.org_name_gender(org,name)\n", " if(not gender == \"ignore\"):\n", " article_count[gender]+= media_people[org][name]\n", " people_count[gender] += 1\n", " people_total += 1\n", " total += media_people[org][name] \n", " else:\n", " nontotal += media_people[org][name]\n", "\n", " #ARTICLE COUNT CHART\n", " colors= '#78E678','#E8CA53',\"#CCCCCC\"\n", " #print \"NONTOTAL: {0}\".format(nontotal)\n", " pct_table.append([\"people\",org,people_count['female'],people_count['male'],people_count['unknown']])\n", " pct_table.append([\"article\",org,article_count['female'],article_count['male'],article_count['unknown']])\n", " #print \"{0},{1},{2},{3},{4}\".format(\"people\",org,people_count['female'],people_count['male'],people_count['unknown'])\n", " #print \"{0},{1},{2},{3},{4}\".format(\"article\",org,article_count['female'],article_count['male'],article_count['unknown'])\n", " \n", " P.figure(1, figsize=(6,6))\n", " labels = 'female', 'male', 'unknown'\n", " fracs = [pct(article_count['female'],total), pct(article_count['male'],total), pct(article_count['unknown'],total)]\n", " explode=(0.06, 0, 0)\n", " P.pie(fracs, explode=explode, colors=colors, labels=labels,\n", " autopct='%1.1f%%')\n", " P.title('Author Gender per Article in {0} across {1} authors and {2} articles'.format(org,len(media_people[org]), total), bbox={'facecolor':'0.8', 'pad':5})\n", " P.show()\n", " \n", " #PEOPLE COUNT CHART\n", " P.figure(1, figsize=(6,6))\n", " labels = 'female', 'male', 'unknown'\n", " fracs = [pct(people_count['female'],people_total), pct(people_count['male'],people_total), pct(people_count['unknown'],people_total)]\n", " explode=(0.06, 0, 0)\n", " P.pie(fracs, explode=explode, colors=colors, labels=labels,\n", " autopct='%1.1f%%')\n", " P.title('Unique Author Gender in {0} across {1} authors and {2} articles'.format(org,len(media_people[org]), total), bbox={'facecolor':'0.8', 'pad':5})\n", " P.show()\n", " \n", "for l in pct_table:\n", " print l\n", " \n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "la times: 918 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAdAAAAFsCAYAAAB8VegOAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nEREREVHw+f845Q6JPsJ0pwAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x8b80d5d0>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAbwAAAFsCAYAAAC3jgzwAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n", "text": [ "<matplotlib.figure.Figure at 0x8eb00810>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "new york post: 116 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAe0AAAFsCAYAAADhWOGGAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nGEB9kvcAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x620837d0>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAdkAAAFsCAYAAACAQMPEAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRERERERERERERERERERERERERGHl/wG3AbG3oaVgaQAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x1ece8a10>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "new york times: 1445 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAgQAAAFsCAYAAACzTaE8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nERERERERERERkWX+HyfGSYoFMt+NAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x6209b290>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAfAAAAFsCAYAAAAzCOveAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ngg==\n", "text": [ "<matplotlib.figure.Figure at 0x8c3323d0>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "wall street journal: 559 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAgwAAAFsCAYAAACgmuHIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ndQAAAABJRU5ErkJggg==\n", "text": [ "<matplotlib.figure.Figure at 0x8eb34a90>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAfgAAAFsCAYAAAAg36sqAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nhZf/A6+L6tSZAwr7AAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x8c3355d0>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "washington post: 192 bylines\n" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAgMAAAFsCAYAAABRkbpFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text": [ "<matplotlib.figure.Figure at 0x8e31f190>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAe8AAAFsCAYAAADlrTG7AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\newAAABBJREFUIiIiIiIiIiIib/g/V7nK+xOiuNEAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x8eb311d0>" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "['org', 'type', 'female', 'male', 'unknown']\n", "['people', 'la times', 226, 564, 124]\n", "['article', 'la times', 808, 1931, 281]\n", "['people', 'new york post', 17, 83, 15]\n", "['article', 'new york post', 77, 224, 30]\n", "['people', 'new york times', 329, 841, 274]\n", "['article', 'new york times', 876, 2158, 468]\n", "['people', 'wall street journal', 73, 408, 78]\n", "['article', 'wall street journal', 200, 994, 136]\n", "['people', 'washington post', 46, 114, 31]\n", "['article', 'washington post', 587, 2022, 61]\n" ] } ], "prompt_number": 32 }, { "cell_type": "code", "collapsed": false, "input": [ "b.org_name_gender(\"washington post\",\"editorial board\")" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 25, "text": [ "'ignore'" ] } ], "prompt_number": 25 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 25 } ], "metadata": {} } ] }
mit
kriukov/interval-methods
ipynb/.ipynb_checkpoints/pi from area-checkpoint.ipynb
1
71642
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "$y = x^2$" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "using PyPlot" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "PyPlot.Figure(PyObject <matplotlib.figure.Figure object at 0x8dd1dfac>)" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "(0.0,1.0,0.0,1.0)" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "x = 0:0.01:1\n", "y = sqrt(1 - x.^2);\n", "\n", "plot(x, y)\n", "axis(\"image\")" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "PyObject <class 'matplotlib.patches.Rectangle'>" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "using PyCall\n", "@pyimport matplotlib.patches as patches\n", "rectangle = patches.Rectangle" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "draw_rectangle (generic function with 2 methods)" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "function draw_rectangle(x, y, xwidth, ywidth, color=\"grey\")\n", " ax = gca()\n", " ax[:add_patch](rectangle((x, y), xwidth, ywidth, facecolor=color, alpha=0.5))\n", "end\n", " " ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "PyPlot.Figure(PyObject <matplotlib.figure.Figure object at 0x8dc27cec>)" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "(0.1,0.2,0.1,0.2)" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "draw_rectangle(0.1, 0.1, 0.1, 0.1)\n", "axis(\"image\")" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "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", "text/plain": [ "PyPlot.Figure(PyObject <matplotlib.figure.Figure object at 0x8dbe0ecc>)" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "(0.0,1.1,0.0,1.1)" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "step = 0.1\n", "for i in 0.0:step:1.0\n", " for j in 0.0:step:1.0\n", " if i^2 + j^2 < 1.0\n", " color = \"red\"\n", " else\n", " color = \"grey\"\n", " end\n", " \n", " draw_rectangle(i, j, step, step, color)\n", " end\n", "end\n", "plot(x, y)\n", "axis(\"image\")\n", " \n", " " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "step = 0.01\n", "for i in 0.0:step:1.0\n", " for j in 0.0:step:1.0\n", " if i^2 + j^2 < 1.0\n", " color = \"red\"\n", " else\n", " color = \"grey\"\n", " end\n", " \n", " draw_rectangle(i, j, step, step, color)\n", " end\n", "end\n", "\n", "plot(x, y)\n", "axis(\"image\")\n", " \n", " \n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "step = 0.001\n", "area = 0.0\n", "for i in 0.0:step:1.0\n", " for j in 0.0:step:1.0\n", " \n", " if i^2 + j^2 < 1.0\n", " \n", " area += step^2\n", " \n", " end\n", " end\n", "end" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "3.1455200000071017" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "4*area" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Julia 0.4.0", "language": "julia", "name": "julia-0.4" }, "language_info": { "file_extension": ".jl", "mimetype": "application/julia", "name": "julia", "version": "0.4.0" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
tensorflow/docs
site/en/guide/dtensor_overview.ipynb
2
43204
{ "cells": [ { "cell_type": "markdown", "metadata": { "id": "1ljvLya59ep5" }, "source": [ "##### Copyright 2019 The TensorFlow Authors.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "cellView": "form", "id": "tuOe1ymfHZPu" }, "outputs": [], "source": [ "#@title Licensed under the Apache License, Version 2.0 (the \"License\");\n", "# you may not use this file except in compliance with the License.\n", "# You may obtain a copy of the License at\n", "#\n", "# https://www.apache.org/licenses/LICENSE-2.0\n", "#\n", "# Unless required by applicable law or agreed to in writing, software\n", "# distributed under the License is distributed on an \"AS IS\" BASIS,\n", "# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", "# See the License for the specific language governing permissions and\n", "# limitations under the License." ] }, { "cell_type": "markdown", "metadata": { "id": "VcQIa1uG86Wh" }, "source": [ "# DTensor Concepts" ] }, { "cell_type": "markdown", "metadata": { "id": "6dWNQEum9AfY" }, "source": [ "<table class=\"tfo-notebook-buttons\" align=\"left\">\n", " <td>\n", " <a target=\"_blank\" href=\"https://www.tensorflow.org/guide/dtensor_overview\"><img src=\"https://www.tensorflow.org/images/tf_logo_32px.png\" />View on TensorFlow.org</a>\n", " </td>\n", " <td>\n", " <a target=\"_blank\" href=\"https://colab.research.google.com/github/tensorflow/docs/blob/master/site/en/guide/dtensor_overview.ipynb\"><img src=\"https://www.tensorflow.org/images/colab_logo_32px.png\" />Run in Google Colab</a>\n", " </td>\n", " <td>\n", " <a target=\"_blank\" href=\"https://github.com/tensorflow/docs/blob/master/site/en/guide/dtensor_overview.ipynb\"><img src=\"https://www.tensorflow.org/images/GitHub-Mark-32px.png\" />View source on GitHub</a>\n", " </td>\n", " <td>\n", " <a href=\"https://storage.googleapis.com/tensorflow_docs/docs/site/en/guide/dtensor_overview.ipynb\"><img src=\"https://www.tensorflow.org/images/download_logo_32px.png\" />Download notebook</a>\n", " </td>\n", "</table>" ] }, { "cell_type": "markdown", "metadata": { "id": "MGZuakHVlVQf" }, "source": [ "## Overview\n", "\n", "This colab introduces DTensor, an extension to TensorFlow for synchronous distributed computing.\n", "\n", "DTensor provides a global programming model that allows developers to compose applications that operate on Tensors globally while managing the distribution across devices internally. DTensor distributes the program and tensors according to the sharding directives through a procedure called *[Single program, multiple data (SPMD)](https://en.wikipedia.org/wiki/SPMD) expansion*.\n", "\n", "By decoupling the application from sharding directives, DTensor enables running the same application on a single device, multiple devices, or even multiple clients, while preserving its global semantics.\n", "\n", "This guide introduces DTensor concepts for distributed computing, and how DTensor integrates with TensorFlow. To see a demo of using DTensor in model training, see [Distributed training with DTensor](https://www.tensorflow.org/tutorials/distribute/dtensor_ml_tutorial) tutorial." ] }, { "cell_type": "markdown", "metadata": { "id": "h7ZTDq7KngwA" }, "source": [ "## Setup\n", "\n", "DTensor is part of TensorFlow 2.9.0 release, and also included in the TensorFlow nightly builds since 04/09/2022." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "OKaPw8vwwZAC" }, "outputs": [], "source": [ "!pip install --quiet --upgrade --pre tensorflow" ] }, { "cell_type": "markdown", "metadata": { "id": "O3pG29uZIWYO" }, "source": [ "Once installed, import `tensorflow` and `tf.experimental.dtensor`. Then configure TensorFlow to use 6 virtual CPUs.\n", "\n", "Even though this example uses vCPUs, DTensor works the same way on CPU, GPU or TPU devices." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "Q92lo0zjwej8" }, "outputs": [], "source": [ "import tensorflow as tf\n", "from tensorflow.experimental import dtensor\n", "\n", "print('TensorFlow version:', tf.__version__)\n", "\n", "def configure_virtual_cpus(ncpu):\n", " phy_devices = tf.config.list_physical_devices('CPU')\n", " tf.config.set_logical_device_configuration(phy_devices[0], [\n", " tf.config.LogicalDeviceConfiguration(),\n", " ] * ncpu)\n", "\n", "configure_virtual_cpus(6)\n", "DEVICES = [f'CPU:{i}' for i in range(6)]\n", "\n", "tf.config.list_logical_devices('CPU')" ] }, { "cell_type": "markdown", "metadata": { "id": "O-lsrxUnlsCC" }, "source": [ "## DTensor's model of distributed tensors\n", "\n", "DTensor introduces two concepts: `dtensor.Mesh` and `dtensor.Layout`. They are abstractions to model the sharding of tensors across topologically related devices.\n", "\n", "- `Mesh` defines the device list for computation.\n", "- `Layout` defines how to shard the Tensor dimension on a `Mesh`." ] }, { "cell_type": "markdown", "metadata": { "id": "JjiHaH0ql9yo" }, "source": [ "### Mesh\n", "\n", "`Mesh` represents a logical Cartisian topology of a set of devices. Each dimension of the Cartisian grid is called a **Mesh dimension**, and referred to with a name. Names of mesh dimension within the same `Mesh` must be unique.\n", "\n", "Names of mesh dimensions are referenced by `Layout` to describe the sharding behavior of a `tf.Tensor` along each of its axes. This is described in more detail later in the section on `Layout`.\n", "\n", "`Mesh` can be thought of as a multi-dimensional array of devices." ] }, { "cell_type": "markdown", "metadata": { "id": "_J6cOieEbaUw" }, "source": [ "In a 1 dimensional `Mesh`, all devices form a list in a single mesh dimension. The following example uses `dtensor.create_mesh` to create a mesh from 6 CPU devices along a mesh dimension `'x'` with a size of 6 devices:\n", "\n", "<img src=\"https://www.tensorflow.org/images/dtensor/dtensor_mesh_1d.png\" alt=\"A 1 dimensional mesh with 6 CPUs\" class=\"no-filter\">\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "QLH5fgdBmA58" }, "outputs": [], "source": [ "mesh_1d = dtensor.create_mesh([('x', 6)], devices=DEVICES)\n", "print(mesh_1d)" ] }, { "cell_type": "markdown", "metadata": { "id": "hSZwaUwnEgXB" }, "source": [ "A `Mesh` can be multi dimensional as well. In the following example, 6 CPU devices form a `3x2` mesh, where the `'x'` mesh dimension has a size of 3 devices, and the `'y'` mesh dimension has a size of 2 devices:\n", "\n", "<img src=\"https://www.tensorflow.org/images/dtensor/dtensor_mesh_2d.png\" alt=\"A 2 dimensional mesh with 6 CPUs\"\n", " class=\"no-filter\">" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "op6TmKUQE-sZ" }, "outputs": [], "source": [ "mesh_2d = dtensor.create_mesh([('x', 3), ('y', 2)], devices=DEVICES)\n", "print(mesh_2d)" ] }, { "cell_type": "markdown", "metadata": { "id": "deAqdrDPFn2f" }, "source": [ "### Layout\n", "\n", "**`Layout`** specifies how a tensor is distributed, or sharded, on a `Mesh`.\n", "\n", "Note: In order to avoid confusions between `Mesh` and `Layout`, the term *dimension* is always associated with `Mesh`, and the term *axis* with `Tensor` and `Layout` in this guide.\n", "\n", "The rank of `Layout` should be the same as the rank of the `Tensor` where the `Layout` is applied. For each of the `Tensor`'s axes the `Layout` may specify a mesh dimension to shard the tensor across, or specify the axis as \"unsharded\".\n", "The tensor is replicated across any mesh dimensions that it is not sharded across.\n", "\n", "The rank of a `Layout` and the number of dimensions of a `Mesh` do not need to match. The `unsharded` axes of a `Layout` do not need to be associated to a mesh dimension, and `unsharded` mesh dimensions do not need to be associated with a `layout` axis.\n", "\n", "<img src=\"https://www.tensorflow.org/images/dtensor/dtensor_components_diag.png\" alt=\"Diagram of dtensor components.\"\n", " class=\"no-filter\">" ] }, { "cell_type": "markdown", "metadata": { "id": "Px_bF1c-bQ7e" }, "source": [ "Let's analyze a few examples of `Layout` for the `Mesh`'s created in the previous section." ] }, { "cell_type": "markdown", "metadata": { "id": "fqzCNlWAbm-c" }, "source": [ "On a 1-dimensional mesh such as `[(\"x\", 6)]` (`mesh_1d` in the previous section), `Layout([\"unsharded\", \"unsharded\"], mesh_1d)` is a layout for a rank-2 tensor replicated across 6 devices.\n", "<img src=\"https://www.tensorflow.org/images/dtensor/dtensor_layout_replicated.png\" alt=\"A tensor replicated across a rank-1 mesh\" class=\"no-filter\">" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "-a3EnmZag6x1" }, "outputs": [], "source": [ "layout = dtensor.Layout([dtensor.UNSHARDED, dtensor.UNSHARDED], mesh_1d)" ] }, { "cell_type": "markdown", "metadata": { "id": "ywRJwuLDt2Qq" }, "source": [ "Using the same tensor and mesh the layout `Layout(['unsharded', 'x'])` would shard the second axis of the tensor across the 6 devices.\n", "\n", "<img src=\"https://www.tensorflow.org/images/dtensor/dtensor_layout_rank1.png\" alt=\"A tensor sharded across a rank-1 mesh\" class=\"no-filter\">" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "7BgqL0jUvV5a" }, "outputs": [], "source": [ "layout = dtensor.Layout([dtensor.UNSHARDED, 'x'], mesh_1d)" ] }, { "cell_type": "markdown", "metadata": { "id": "DgciDNmK76l9" }, "source": [ "Given a 2-dimensional 3x2 mesh such as `[(\"x\", 3), (\"y\", 2)]`, (`mesh_2d` from the previous section), `Layout([\"y\", \"x\"], mesh_2d)` is a layout for a rank-2 `Tensor` whose first axis is sharded across across mesh dimension `\"y\"`, and whose second axis is sharded across mesh dimension `\"x\"`." ] }, { "cell_type": "markdown", "metadata": { "id": "Eyp_qOSyvieo" }, "source": [ "<img src=\"https://www.tensorflow.org/images/dtensor/dtensor_layout_rank2.png\" alt=\"A tensorr with it's first axis sharded across mesh dimension 'y' and it's second axis sharded across mesh dimension 'x'\" class=\"no-filter\">\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "p8OrehEuhPbS" }, "outputs": [], "source": [ "layout = dtensor.Layout(['y', 'x'], mesh_2d)" ] }, { "cell_type": "markdown", "metadata": { "id": "1Kyg0V3ehMNJ" }, "source": [ "For the same `mesh_2d`, the layout `Layout([\"x\", dtensor.UNSHARDED], mesh_2d)` is a layout for a rank-2 `Tensor` that is replicated across `\"y\"`, and whose first axis is sharded on mesh dimension `x`.\n", "\n", "<img src=\"https://www.tensorflow.org/images/dtensor/dtensor_layout_hybrid.png\" alt=\"A tensor replicated across mesh-dimension y, with it's first axis sharded across mesh dimension 'x'\" class=\"no-filter\">\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "IkWe6mVl7uRb" }, "outputs": [], "source": [ "layout = dtensor.Layout([\"x\", dtensor.UNSHARDED], mesh_2d)" ] }, { "cell_type": "markdown", "metadata": { "id": "TTalu6M-ISYb" }, "source": [ "### Single-Client and Multi-Client Applications\n", "\n", "DTensor supports both single-client and multi-client applications. The colab Python kernel is an example of a single client DTensor application, where there is a single Python process.\n", "\n", "In a multi-client DTensor application, multiple Python processes collectively perform as a coherent application. The Cartisian grid of a `Mesh` in a multi-client DTensor application can span across devices regardless of whether they are attached locally to the current client or attached remotely to another client. The set of all devices used by a `Mesh` are called the *global device list*.\n", "\n", "The creation of a `Mesh` in a multi-client DTensor application is a collective operation where the *global device list* is identicial for all of the participating clients, and the creation of the `Mesh` serves as a global barrier.\n", "\n", "During `Mesh` creation, each client provides its *local device list* together with the expected *global device list*. DTensor validates that both lists are consistent. Please refer to the API documentation for `dtensor.create_mesh` and `dtensor.create_distributed_mesh`\n", " for more information on multi-client mesh creation and the *global device list*.\n", "\n", "Single-client can be thought of as a special case of multi-client, with 1 client. In a single-client application, the *global device list* is identical to the *local device list*.\n" ] }, { "cell_type": "markdown", "metadata": { "id": "P_F7DWkXkB4w" }, "source": [ "## DTensor as a sharded tensor\n", "\n", "Now let's start coding with `DTensor`. The helper function, `dtensor_from_array`, demonstrates creating DTensors from something that looks like a `tf.Tensor`. The function performs 2 steps:\n", " - Replicates the tensor to every device on the mesh.\n", " - Shards the copy according to the layout requested in its arguments." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "s6aws-b8dN9L" }, "outputs": [], "source": [ "def dtensor_from_array(arr, layout, shape=None, dtype=None):\n", " \"\"\"Convert a DTensor from something that looks like an array or Tensor.\n", "\n", " This function is convenient for quick doodling DTensors from a known,\n", " unsharded data object in a single-client environment. This is not the\n", " most efficient way of creating a DTensor, but it will do for this\n", " tutorial.\n", " \"\"\"\n", " if shape is not None or dtype is not None:\n", " arr = tf.constant(arr, shape=shape, dtype=dtype)\n", "\n", " # replicate the input to the mesh\n", " a = dtensor.copy_to_mesh(arr,\n", " layout=dtensor.Layout.replicated(layout.mesh, rank=layout.rank))\n", " # shard the copy to the desirable layout\n", " return dtensor.relayout(a, layout=layout)" ] }, { "cell_type": "markdown", "metadata": { "id": "r3o6IysrlGMu" }, "source": [ "### Anatomy of a DTensor\n", "\n", "A DTensor is a `tf.Tensor` object, but augumented with the `Layout` annotation that defines its sharding behavior. A DTensor consists of the following:\n", "\n", " - Global tensor meta-data, including the global shape and dtype of the tensor.\n", " - A `Layout`, which defines the `Mesh` the `Tensor` belongs to, and how the `Tensor` is sharded onto the `Mesh`.\n", " - A list of **component tensors**, one item per local device in the `Mesh`.\n", "\n", "With `dtensor_from_array`, you can create your first DTensor, `my_first_dtensor`, and examine its contents." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "mQu_nScGUvYH" }, "outputs": [], "source": [ "mesh = dtensor.create_mesh([(\"x\", 6)], devices=DEVICES)\n", "layout = dtensor.Layout([dtensor.UNSHARDED], mesh)\n", "\n", "my_first_dtensor = dtensor_from_array([0, 1], layout)\n", "\n", "# Examine the dtensor content\n", "print(my_first_dtensor)\n", "print(\"global shape:\", my_first_dtensor.shape)\n", "print(\"dtype:\", my_first_dtensor.dtype)" ] }, { "cell_type": "markdown", "metadata": { "id": "r8LQy1nqmvFy" }, "source": [ "#### Layout and `fetch_layout`\n", "\n", "The layout of a DTensor is not a regular attribute of `tf.Tensor`. Instead, DTensor provides a function, `dtensor.fetch_layout` to access the layout of a DTensor." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "dCSFyaAjmzGu" }, "outputs": [], "source": [ "print(dtensor.fetch_layout(my_first_dtensor))\n", "assert layout == dtensor.fetch_layout(my_first_dtensor)" ] }, { "cell_type": "markdown", "metadata": { "id": "ed7i3l2lmatm" }, "source": [ "#### Component tensors, `pack` and `unpack`\n", "\n", "A DTensor consists of a list of **component tensors**. The component tensor for a device in the `Mesh` is the `Tensor` object representing the piece of the global DTensor that is stored on this device.\n", "\n", "A DTensor can be unpacked into component tensors through `dtensor.unpack`. You can make use of `dtensor.unpack` to inspect the components of the DTensor, and confirm they are on all devices of the `Mesh`.\n", "\n", "Note that the positions of component tensors in the global view may overlap each other. For example, in the case of a fully replicated layout, all components are identical replicas of the global tensor." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "BGbjqVAOnXMk" }, "outputs": [], "source": [ "for component_tensor in dtensor.unpack(my_first_dtensor):\n", " print(\"Device:\", component_tensor.device, \",\", component_tensor)" ] }, { "cell_type": "markdown", "metadata": { "id": "-tqIQM52k788" }, "source": [ "As shown, `my_first_dtensor` is a tensor of `[0, 1]` replicated to all 6 devices." ] }, { "cell_type": "markdown", "metadata": { "id": "6By3k-CGn3yv" }, "source": [ "The inverse operation of `dtensor.unpack` is `dtensor.pack`. Component tensors can be packed back into a DTensor.\n", "\n", "The components must have the same rank and dtype, which will be the rank and dtype of the returned DTensor. However there is no strict requirement on the device placement of component tensors as inputs of `dtensor.unpack`: the function will automatically copy the component tensors to their respective corresponding devices.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "9lT-6qQwxOgf" }, "outputs": [], "source": [ "packed_dtensor = dtensor.pack(\n", " [[0, 1], [0, 1], [0, 1],\n", " [0, 1], [0, 1], [0, 1]],\n", " layout=layout\n", ")\n", "print(packed_dtensor)" ] }, { "cell_type": "markdown", "metadata": { "id": "zvS3autrpK2U" }, "source": [ "### Sharding a DTensor to a Mesh\n", "\n", "So far you've worked with the `my_first_dtensor`, which is a rank-1 DTensor fully replicated across a dim-1 `Mesh`.\n", "\n", "Next create and inspect DTensors that are sharded across a dim-2 `Mesh`. The next example does this with a 3x2 `Mesh` on 6 CPU devices, where size of mesh dimension `'x'` is 3 devices, and size of mesh dimension`'y'` is 2 devices." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "KWb9Ae0VJ-Rc" }, "outputs": [], "source": [ "mesh = dtensor.create_mesh([(\"x\", 3), (\"y\", 2)], devices=DEVICES)" ] }, { "cell_type": "markdown", "metadata": { "id": "ndSeQSFWKQk9" }, "source": [ "#### Fully sharded rank-2 Tensor on a dim-2 Mesh\n", "\n", "Create a 3x2 rank-2 DTensor, sharding its first axis along the `'x'` mesh dimension, and its second axis along the `'y'` mesh dimension.\n", "\n", "- Because the tensor shape equals to the mesh dimension along all of the sharded axes, each device receives a single element of the DTensor.\n", "- The rank of the component tensor is always the same as the rank of the global shape. DTensor adopts this convention as a simple way to preserve information for locating the relation between a component tensor and the global DTensor." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "ax_ZHouJp1MX" }, "outputs": [], "source": [ "fully_sharded_dtensor = dtensor_from_array(\n", " tf.reshape(tf.range(6), (3, 2)),\n", " layout=dtensor.Layout([\"x\", \"y\"], mesh))\n", "\n", "for raw_component in dtensor.unpack(fully_sharded_dtensor):\n", " print(\"Device:\", raw_component.device, \",\", raw_component)" ] }, { "cell_type": "markdown", "metadata": { "id": "zhsLC-NgrC2p" }, "source": [ "#### Fully replicated rank-2 Tensor on a dim-2 Mesh\n", "\n", "For comparison, create a 3x2 rank-2 DTensor, fully replicated to the same dim-2 Mesh.\n", "\n", " - Because the DTensor is fully replicated, each device receives a full replica of the 3x2 DTensor.\n", " - The rank of the component tensors are the same as the rank of the global shape -- this fact is trivial, because in this case, the shape of the component tensors are the same as the global shape anyway." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "xmyC6H6Ec90P" }, "outputs": [], "source": [ "fully_replicated_dtensor = dtensor_from_array(\n", " tf.reshape(tf.range(6), (3, 2)),\n", " layout=dtensor.Layout([dtensor.UNSHARDED, dtensor.UNSHARDED], mesh))\n", "# Or, layout=tensor.Layout.fully_replicated(mesh, rank=2)\n", "\n", "for component_tensor in dtensor.unpack(fully_replicated_dtensor):\n", " print(\"Device:\", component_tensor.device, \",\", component_tensor)" ] }, { "cell_type": "markdown", "metadata": { "id": "KWoyv_oHMzk1" }, "source": [ "#### Hybrid rank-2 Tensor on a dim-2 Mesh\n", "\n", "What about somewhere between fully sharded and fully replicated?\n", "\n", "DTensor allows a `Layout` to be a hybrid, sharded along some axes, but replicated along others.\n", "\n", "For example, you can shard the same 3x2 rank-2 DTensor in the following way:\n", "\n", " - 1st axis sharded along the `'x'` mesh dimension.\n", " - 2nd axis replicated along the `'y'` mesh dimension.\n", "\n", "To achieve this sharding scheme, you just need to replace the sharding spec of the 2nd axis from `'y'` to `dtensor.UNSHARDED`, to indicate your intention of replicating along the 2nd axis. The layout object will look like `Layout(['x', dtensor.UNSHARDED], mesh)`." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "DygnbkQ1Lu42" }, "outputs": [], "source": [ "hybrid_sharded_dtensor = dtensor_from_array(\n", " tf.reshape(tf.range(6), (3, 2)),\n", " layout=dtensor.Layout(['x', dtensor.UNSHARDED], mesh))\n", "\n", "for component_tensor in dtensor.unpack(hybrid_sharded_dtensor):\n", " print(\"Device:\", component_tensor.device, \",\", component_tensor)" ] }, { "cell_type": "markdown", "metadata": { "id": "T7FtZ9kQRZgE" }, "source": [ "You can inspect the component tensors of the created DTensor and verify they are indeed sharded according to your scheme. It may be helpful to illustrate the situation with a chart:\n", "\n", " <img src=\"https://www.tensorflow.org/images/dtensor/dtensor_hybrid_mesh.png\" alt=\"A 3x2 hybrid mesh with 6 CPUs\"\n", " class=\"no-filter\" width=75%>\n" ] }, { "cell_type": "markdown", "metadata": { "id": "auAkA38XjL-q" }, "source": [ "#### Tensor.numpy() and sharded DTensor\n", "\n", "Be aware that calling the `.numpy()` method on a sharded DTensor raises an error. The rationale for erroring is to protect against unintended gathering of data from multiple computing devices to the host CPU device backing the returned numpy array." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "hNdwmnL0jAXS" }, "outputs": [], "source": [ "print(fully_replicated_dtensor.numpy())\n", "\n", "try:\n", " fully_sharded_dtensor.numpy()\n", "except tf.errors.UnimplementedError:\n", " print(\"got an error as expected for fully_sharded_dtensor\")\n", "\n", "try:\n", " hybrid_sharded_dtensor.numpy()\n", "except tf.errors.UnimplementedError:\n", " print(\"got an error as expected for hybrid_sharded_dtensor\")" ] }, { "cell_type": "markdown", "metadata": { "id": "8WcMkiagPF_6" }, "source": [ "## TensorFlow API on DTensor\n", "\n", "DTensor strives to be a drop-in replacement for tensor in your program. The TensorFlow Python API that consume `tf.Tensor`, such as the Ops library functions, `tf.function`, `tf.GradientTape`, also work with DTensor.\n", "\n", "To accomplish this, for each [TensorFlow Graph](https://www.tensorflow.org/guide/intro_to_graphs), DTensor produces and executes an equivalent [SPMD](https://en.wikipedia.org/wiki/SPMD) graph in a procedure called *SPMD expansion*. A few critical steps in DTensor SPMD expansion are:\n", "\n", " - Propagating the sharding `Layout` of DTensor in the TensorFlow graph\n", " - Rewriting TensorFlow Ops on the global DTensor with equivalent TensorFlow Ops on the component tensors, inserting collective and communication Ops when necessary\n", " - Lowering backend neutral TensorFlow Ops to backend specific TensorFlow Ops.\n", "\n", "The final result is that **DTensor is a drop-in replacement for Tensor**.\n", "\n", "Note: DTensor is still an experimental API which means you will be exploring and pushing the boundaries and limits of the DTensor programming model.\n", "\n", "There are 2 ways of triggering DTensor execution:\n", " - DTensor as operands of a Python function, e.g. `tf.matmul(a, b)` will run through DTensor if `a`, `b`, or both are DTensors.\n", " - Requesting the result of a Python function to be a DTensor, e.g. `dtensor.call_with_layout(tf.ones, layout, shape=(3, 2))` will run through DTensor because we requested the output of tf.ones to be sharded according to a `layout`." ] }, { "cell_type": "markdown", "metadata": { "id": "urKzmqAoPssT" }, "source": [ "### DTensor as Operands\n", "\n", "Many TensorFlow API functions take `tf.Tensor` as their operands, and returns `tf.Tensor` as their results. For these functions, you can express intention to run a function through DTensor by passing in DTensor as operands. This section uses `tf.matmul(a, b)` as an example." ] }, { "cell_type": "markdown", "metadata": { "id": "7LO8ZT7iWVga" }, "source": [ "#### Fully replicated input and output\n", "\n", "In this case, the DTensors are fully replicated. On each of the devices of the `Mesh`,\n", " - the component tensor for operand `a` is `[[1, 2, 3], [4, 5, 6]]` (2x3)\n", " - the component tensor for operand `b` is `[[6, 5], [4, 3], [2, 1]]` (3x2)\n", " - the computation consists of a single `MatMul` of `(2x3, 3x2) -> 2x2`,\n", " - the component tensor for result `c` is `[[20, 14], [56,41]]` (2x2)\n", "\n", "Total number of floating point mul operations is `6 device * 4 result * 3 mul = 72`." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "TiZf2J9JNd2D" }, "outputs": [], "source": [ "mesh = dtensor.create_mesh([(\"x\", 6)], devices=DEVICES)\n", "layout = dtensor.Layout([dtensor.UNSHARDED, dtensor.UNSHARDED], mesh)\n", "a = dtensor_from_array([[1, 2, 3], [4, 5, 6]], layout=layout)\n", "b = dtensor_from_array([[6, 5], [4, 3], [2, 1]], layout=layout)\n", "\n", "c = tf.matmul(a, b) # runs 6 identical matmuls in parallel on 6 devices\n", "\n", "# `c` is a DTensor replicated on all devices (same as `a` and `b`)\n", "print('Sharding spec:', dtensor.fetch_layout(c).sharding_specs)\n", "print(\"components:\")\n", "for component_tensor in dtensor.unpack(c):\n", " print(component_tensor.device, component_tensor.numpy())\n" ] }, { "cell_type": "markdown", "metadata": { "id": "QXtR9qgKWgWV" }, "source": [ "#### Sharding operands along the contracted axis\n", "\n", "You can reduce the amount of computation per device by sharding the operands `a` and `b`. A popular sharding scheme for `tf.matmul` is to shard the operands along the axis of the contraction, which means sharding `a` along the second axis, and `b` along the first axis.\n", "\n", "The global matrix product sharded under this scheme can be performed efficiently, by local matmuls that runs concurrently, followed by a collective reduction to aggregate the local results. This is also the [canonical way](https://github.com/open-mpi/ompi/blob/ee87ec391f48512d3718fc7c8b13596403a09056/docs/man-openmpi/man3/MPI_Reduce.3.rst?plain=1#L265) of implementing a distributed matrix dot product.\n", "\n", "Total number of floating point mul operations is `6 devices * 4 result * 1 = 24`, a factor of 3 reduction compared to the fully replicated case (72) above. The factor of 3 is due to the sharing along `x` mesh dimension with a size of `3` devices.\n", "\n", "The reduction of the number of operations run sequentially is the main mechansism with which synchronuous model parallelism accelerates training." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "EyVAUvMePbms" }, "outputs": [], "source": [ "mesh = dtensor.create_mesh([(\"x\", 3), (\"y\", 2)], devices=DEVICES)\n", "a_layout = dtensor.Layout([dtensor.UNSHARDED, 'x'], mesh)\n", "a = dtensor_from_array([[1, 2, 3], [4, 5, 6]], layout=a_layout)\n", "b_layout = dtensor.Layout(['x', dtensor.UNSHARDED], mesh)\n", "b = dtensor_from_array([[6, 5], [4, 3], [2, 1]], layout=b_layout)\n", "\n", "c = tf.matmul(a, b)\n", "# `c` is a DTensor replicated on all devices (same as `a` and `b`)\n", "print('Sharding spec:', dtensor.fetch_layout(c).sharding_specs)" ] }, { "cell_type": "markdown", "metadata": { "id": "IhD8yYgJiCEh" }, "source": [ "#### Additional Sharding\n", "\n", "You can perform additional sharding on the inputs, and they are appropriately carried over to the results. For example, you can apply additional sharding of operand `a` along its first axis to the `'y'` mesh dimension. The additional sharding will be carried over to the first axis of the result `c`.\n", "\n", "\n", "Total number of floating point mul operations is `6 devices * 2 result * 1 = 12`, an additional factor of 2 reduction compared to the case (24) above. The factor of 2 is due to the sharing along `y` mesh dimension with a size of `2` devices." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "0PYqe0neiOpR" }, "outputs": [], "source": [ "mesh = dtensor.create_mesh([(\"x\", 3), (\"y\", 2)], devices=DEVICES)\n", "\n", "a_layout = dtensor.Layout(['y', 'x'], mesh)\n", "a = dtensor_from_array([[1, 2, 3], [4, 5, 6]], layout=a_layout)\n", "b_layout = dtensor.Layout(['x', dtensor.UNSHARDED], mesh)\n", "b = dtensor_from_array([[6, 5], [4, 3], [2, 1]], layout=b_layout)\n", "\n", "c = tf.matmul(a, b)\n", "# The sharding of `a` on the first axis is carried to `c'\n", "print('Sharding spec:', dtensor.fetch_layout(c).sharding_specs)\n", "print(\"components:\")\n", "for component_tensor in dtensor.unpack(c):\n", " print(component_tensor.device, component_tensor.numpy())" ] }, { "cell_type": "markdown", "metadata": { "id": "c-1NazCVmLWZ" }, "source": [ "### DTensor as Output\n", "\n", "What about Python functions that do not take operands, but returns a Tensor result that can be sharded? Examples of such functions are\n", "\n", " - `tf.ones`, `tf.zeros`, `tf.random.stateless_normal`,\n", "\n", "For these Python functions, DTensor provides `dtensor.call_with_layout` which eagelry executes a Python function with DTensor, and ensures that the returned Tensor is a DTensor with the requested `Layout`." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "J0jo_8NPtJiO" }, "outputs": [], "source": [ "help(dtensor.call_with_layout)" ] }, { "cell_type": "markdown", "metadata": { "id": "V-YdLvfytM7g" }, "source": [ "The eagerly executed Python function usually only contain a single non-trivial TensorFlow Op.\n", "\n", "To use a Python function that emits multiple TensorFlow Ops with `dtensor.call_with_layout`, the function should be converted to a `tf.function`. Calling a `tf.function` is a single TensorFlow Op. When the `tf.function` is called, DTensor can perform layout propagation when it analyzes the computing graph of the `tf.function`, before any of the intermediate tensors are materialized." ] }, { "cell_type": "markdown", "metadata": { "id": "DLrksgFjqRLS" }, "source": [ "#### APIs that emit a single TensorFlow Op\n", "\n", "If a function emits a single TensorFlow Op, you can directly apply `dtensor.call_with_layout` to the function." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "G1CuKYSFtFeM" }, "outputs": [], "source": [ "help(tf.ones)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "2m_EAwy-ozOh" }, "outputs": [], "source": [ "mesh = dtensor.create_mesh([(\"x\", 3), (\"y\", 2)], devices=DEVICES)\n", "ones = dtensor.call_with_layout(tf.ones, dtensor.Layout(['x', 'y'], mesh), shape=(6, 4))\n", "print(ones)" ] }, { "cell_type": "markdown", "metadata": { "id": "bx-7Xo8Cpb8S" }, "source": [ "#### APIs that emit multiple TensorFlow Ops\n", "\n", "If the API emits multiple TensorFlow Ops, convert the function into a single Op through `tf.function`. For example `tf.random.stateleess_normal`" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "H8BQSTRFtCih" }, "outputs": [], "source": [ "help(tf.random.stateless_normal)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "TvP81eYopSPm" }, "outputs": [], "source": [ "ones = dtensor.call_with_layout(\n", " tf.function(tf.random.stateless_normal),\n", " dtensor.Layout(['x', 'y'], mesh),\n", " shape=(6, 4),\n", " seed=(1, 1))\n", "print(ones)" ] }, { "cell_type": "markdown", "metadata": { "id": "qKoojp9ZyWzW" }, "source": [ "Wrapping a Python function that emits a single TensorFlow Op with `tf.function` is allowed. The only caveat is paying the associated cost and complexity of creating a `tf.function` from a Python function." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "LbAtKrSkpOaq" }, "outputs": [], "source": [ "ones = dtensor.call_with_layout(\n", " tf.function(tf.ones),\n", " dtensor.Layout(['x', 'y'], mesh),\n", " shape=(6, 4))\n", "print(ones)" ] }, { "cell_type": "markdown", "metadata": { "id": "D-m1816JP3CE" }, "source": [ "### From `tf.Variable` to `dtensor.DVariable`\n", "\n", "In Tensorflow, `tf.Variable` is the holder for a mutable `Tensor` value.\n", "With DTensor, the corresponding variable semantics is provided by `dtensor.DVariable`.\n", "\n", "The reason a new type `DVariable` was introduced for DTensor variable is because DVariables have an additional requirement that the layout cannot change from its initial value." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "awRPuR26P0Sc" }, "outputs": [], "source": [ "mesh = dtensor.create_mesh([(\"x\", 6)], devices=DEVICES)\n", "layout = dtensor.Layout([dtensor.UNSHARDED, dtensor.UNSHARDED], mesh)\n", "\n", "v = dtensor.DVariable(\n", " initial_value=dtensor.call_with_layout(\n", " tf.function(tf.random.stateless_normal),\n", " layout=layout,\n", " shape=tf.TensorShape([64, 32]),\n", " seed=[1, 1],\n", " dtype=tf.float32))\n", "\n", "print(v.handle)\n", "assert layout == dtensor.fetch_layout(v)" ] }, { "cell_type": "markdown", "metadata": { "id": "Pb9jn473prC_" }, "source": [ "Other than the requirement on matching the `layout`, a `DVariable` behaves the same as a `tf.Variable`. For example, you can add a DVariable to a DTensor,\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "adxFw9wJpqQQ" }, "outputs": [], "source": [ "a = dtensor.call_with_layout(tf.ones, layout=layout, shape=(64, 32))\n", "b = v + a # add DVariable and DTensor\n", "print(b)" ] }, { "cell_type": "markdown", "metadata": { "id": "QxBdNHWSu-kV" }, "source": [ "You can also assign a DTensor to a DVariable.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "oYwfiyw5P94U" }, "outputs": [], "source": [ "v.assign(a) # assign a DTensor to a DVariable\n", "print(a)" ] }, { "cell_type": "markdown", "metadata": { "id": "4fvSk_VUvGnj" }, "source": [ "Attempting to mutate the layout of a `DVariable`, by assigning a DTensor with an incompatible layout produces an error." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "3pckUugYP_r-" }, "outputs": [], "source": [ "# variable's layout is immutable.\n", "another_mesh = dtensor.create_mesh([(\"x\", 3), (\"y\", 2)], devices=DEVICES)\n", "b = dtensor.call_with_layout(tf.ones,\n", " layout=dtensor.Layout([dtensor.UNSHARDED, dtensor.UNSHARDED], another_mesh),\n", " shape=(64, 32))\n", "try:\n", " v.assign(b)\n", "except:\n", " print(\"exception raised\")" ] }, { "cell_type": "markdown", "metadata": { "id": "3LadIcwRvR6f" }, "source": [ "## What's next?\n", "\n", "In this colab, you learned about DTensor, an extension to TensorFlow for distributed computing. To try out these concepts in a tutorial, see [Distributed training with DTensor](https://www.tensorflow.org/tutorials/distribute/dtensor_ml_tutorial)." ] } ], "metadata": { "colab": { "collapsed_sections": [], "name": "dtensor_overview.ipynb", "toc_visible": true }, "kernelspec": { "display_name": "Python 3", "name": "python3" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
Xilinx/BNN-PYNQ
notebooks/CNV-QNN_Cifar10.ipynb
1
2225893
null
bsd-3-clause
jbpoline/cnv_analysis
CNV_dangerosite.ipynb
1
36579
{ "cells": [ { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Populating the interactive namespace from numpy and matplotlib\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "WARNING: pylab import has clobbered these variables: ['datetime']\n", "`%matplotlib` prevents importing * from pylab and numpy\n" ] } ], "source": [ "%pylab inline" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<module 'cnv_util' from 'cnv_util.pyc'>" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import numpy as np\n", "import scipy.stats as sst\n", "import matplotlib.pyplot as plt\n", "import os\n", "import os.path as osp\n", "from __future__ import print_function\n", "from __future__ import division\n", "import six\n", "import cnv_util as util\n", "from datetime import datetime\n", "reload(util)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Reading TSV files " ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [], "source": [ "CWD = osp.join(osp.expanduser('~'), 'documents','grants_projects','roberto_projects', \\\n", " 'guillaume_huguet_CNV','File_OK')\n", "filename = 'Imagen_QC_CIA_MMAP_V2_Annotation.tsv'\n", "fullfname = osp.join(CWD, filename)" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [], "source": [ "arr = np.loadtxt(fullfname, dtype='str', comments=None, delimiter='\\Tab', \n", " converters=None, skiprows=0, usecols=None, unpack=False, ndmin=0)" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['IID_projet', 'IID_genotype', 'SampleID', 'CHR de Merge_CIA_610_660_QC', 'START', 'STOP', 'TYPE de Merge_CIA_610_660_QC', 'SCORE', 'ConcatSNP', 'Gene', 'Location', '#Genes', '#Exons', \"5'gene\", \"5'dist(kb)\", \"3'gene\", \"3'dist(kb)\", 'dups(DGV)', 'dels(DGV)', 'total_known_CNVs(DGV)', 'num_papers(DGV)', 'papers', 'hg18_DGV_1%_Mar2010.txt %overlap', 'hg18_Chromosome_band.txt', 'hg18_segdups.txt %overlap', 'hg18_genome_features.txt', 'hg18_genome_features.txt %overlap', 'Pvalue_MMAP_V2_sans_intron_and_Intergenic']\n", "27 7\n" ] } ], "source": [ "EXPECTED_LINES = 19542\n", "expected_nb_values = EXPECTED_LINES - 1 \n", "assert arr.shape[0] == EXPECTED_LINES\n", "line0 = arr[0].split('\\t')\n", "print(line0)\n", "\n", "danger = 'Pvalue_MMAP_V2_sans_intron_and_Intergenic'\n", "score = 'SCORE'\n", "i_danger = line0.index(danger)\n", "i_score = line0.index(score)\n", "print(i_danger, i_score)" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# check that all lines have the same number of tab separated elements \n", "larr = np.asarray([len(arr[i].split('\\t')) for i in range(arr.shape[0])])\n", "assert not (larr - larr[0]).any() # all element have the same value " ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [], "source": [ "dangers = np.asarray([line.split('\\t')[i_danger] for line in arr[1:]])\n", "scores = np.asarray([line.split('\\t')[i_score] for line in arr[1:]])\n", "# print(np.unique(scores))\n", "\n", "assert len(dangers) == expected_nb_values\n", "assert len(scores) == expected_nb_values\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## transforming the \"Pvalue_MMAP_V2_...\" into danger score" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Testing the function danger_score" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [], "source": [ "assert util._test_danger_score_1()\n", "assert util._test_danger_score()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "__ QUESTION pour Guillaume: __\n", "a quoi correspondent les '' dans la colonne \"Pvalue_MMAP_V2_sans_intron_and_Intergenic\" (danger)?\n", "\n", "Ansewer: cnv for which we have no dangerosity information" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [], "source": [ "\"\"\"\n", "danger_not_empty = dangers != ''\n", "danger_scores = dangers[danger_not_empty]\n", "danger_scores = np.asarray([util.danger_score(pstr, util.pH1_with_apriori) \n", " for pstr in danger_scores])\n", "\"\"\";" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## To be or not to be a CNV: p value from the 'SCORE' column " ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "14903\n" ] } ], "source": [ "reload(util)\n", "#get the scores\n", "scores = np.asarray([line.split('\\t')[i_score] for line in arr[1:]])\n", "assert len(scores) == expected_nb_values\n", "print(len(np.unique(scores)))\n", "#tmp_score = np.asarray([util.str2floats(s, comma2point=True, sep=' ')[0] for s in scores])\n", "assert scores.shape[0] == EXPECTED_LINES - 1 \n" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# h = plt.hist(tmp[tmp > sst.scoreatpercentile(tmp, 99)], bins=100)\n", "# h = plt.hist(tmp[tmp < 50], bins=100)\n", "\n", "\"\"\"\n", "print(\"# CNV with score == 0.: \", (tmp==0.).sum())\n", "print(\"# CNV with score >=15 < 17.5 : \", np.logical_and(tmp >= 15., tmp < 17.5).sum())\n", "tmp.max()\n", "\"\"\";" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Replace the zero score by the maximum score: cf Guillaume's procedure" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "3588.26 0.0 27\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYEAAAEACAYAAABVtcpZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\najFDQJJazBCQpBb7/3VSXGSFX7AhAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f3a8eefa490>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "scoresf = np.asarray([util.str2floats(s, comma2point=True, sep=' ')[0] \n", " for s in scores])\n", "print(scoresf.max(), scoresf.min(),(scoresf==0).sum())\n", "#clean_score = util.process_scores(scores)\n", "#h = plt.hist(clean_score[clean_score < 60], bins=100)\n", "#h = plt.hist(scoresf[scoresf < 60], bins=100)\n", "h = plt.hist(scoresf, bins=100, range=(0,150))\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Transforms the scores into P(cnv is real)" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Creating a function from score to proba from Guillaume's values\n", "p_cnv = util._build_dict_prob_cnv()\n", "#print(p_cnv.keys())\n", "#print(p_cnv.values())\n", "\n", "#### Definition with a piecewise linear function\n", "#score2prob = util.create_score2prob_lin_piecewise(p_cnv)\n", "#scores = np.arange(15,50,1)\n", "#probs = [score2prob(sc) for sc in scores]\n", "#plt.plot(scores, probs)\n", "\n", "#### Definition with a corrected regression line\n", "score2prob = util.create_score2prob_lin(p_cnv)\n", "#x = np.arange(0,50,1)\n", "#plt.plot(x, [score2prob(_) for _ in x], '-', p_cnv.keys(), p_cnv.values(), '+')" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [], "source": [ "p_scores = [score2prob(sc) for sc in clean_score]\n", "assert len(p_scores) == EXPECTED_LINES -1 " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Finally, putting things together " ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# re-loading \n", "reload(util)\n", "CWD = osp.join(osp.expanduser('~'), 'documents','grants_projects','roberto_projects', \\\n", " 'guillaume_huguet_CNV','File_OK')\n", "filename = 'Imagen_QC_CIA_MMAP_V2_Annotation.tsv'\n", "fullfname = osp.join(CWD, filename)\n", "\n", "# in numpy array\n", "arr = np.loadtxt(fullfname, dtype='str', comments=None, delimiter='\\Tab', \n", " converters=None, skiprows=0, usecols=None, unpack=False, ndmin=0)\n", "\n", "line0 = arr[0].split('\\t')\n", "\n", "i_DANGER = line0.index('Pvalue_MMAP_V2_sans_intron_and_Intergenic')\n", "i_SCORE = line0.index('SCORE')\n", "i_START = line0.index('START')\n", "i_STOP = line0.index('STOP')\n", "i_5pGENE = line0.index(\"5'gene\")\n", "i_3pGENE = line0.index(\"3'gene\")\n", "i_5pDIST = line0.index(\"5'dist(kb)\")\n", "i_3pDIST = line0.index(\"3'dist(kb)\")\n", "#i_LOC = line0.index('Location')\n", "\n", "scores = np.asarray([line.split('\\t')[i_SCORE] for line in arr[1:]])\n", "clean_score = util.process_scores(scores)\n", "max_score = clean_score.max()" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['IID_projet', 'IID_genotype', 'SampleID', 'CHR de Merge_CIA_610_660_QC', 'START', 'STOP', 'TYPE de Merge_CIA_610_660_QC', 'SCORE', 'ConcatSNP', 'Gene', 'Location', '#Genes', '#Exons', \"5'gene\", \"5'dist(kb)\", \"3'gene\", \"3'dist(kb)\", 'dups(DGV)', 'dels(DGV)', 'total_known_CNVs(DGV)', 'num_papers(DGV)', 'papers', 'hg18_DGV_1%_Mar2010.txt %overlap', 'hg18_Chromosome_band.txt', 'hg18_segdups.txt %overlap', 'hg18_genome_features.txt', 'hg18_genome_features.txt %overlap', 'Pvalue_MMAP_V2_sans_intron_and_Intergenic']\n" ] } ], "source": [ "print(line0)" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "with names from: ['IID_projet', 'IID_genotype', 'CHR de Merge_CIA_610_660_QC', 'START', 'STOP']\n", "we have 19541 unique elements out of 19541 rows in the tsv\n", "with names from: ['CHR de Merge_CIA_610_660_QC', 'START', 'STOP']\n", "we have 7337 unique elements out of 19541 rows in the tsv\n", "with names from: ['IID_projet']\n", "we have 1712 unique elements out of 19541 rows in the tsv\n" ] } ], "source": [ "#names_from = ['START', 'STOP', \"5'gene\", \"3'gene\", \"5'dist(kb)\", \"3'dist(kb)\"]\n", "\n", "#---------- ligne uniques:\n", "names_from = ['IID_projet', 'IID_genotype', \"CHR de Merge_CIA_610_660_QC\", 'START', 'STOP'] \n", "cnv_names = util.make_uiid(arr, names_from)\n", "print(\"with names from: \", names_from)\n", "print(\"we have {} unique elements out of {} rows in the tsv\".format(\n", " len(np.unique(cnv_names)), len(cnv_names)))\n", "\n", "#---------- CNV uniques ? \n", "names_from = [\"CHR de Merge_CIA_610_660_QC\", 'START', 'STOP'] \n", "cnv_names = util.make_uiid(arr, names_from)\n", "print(\"with names from: \", names_from)\n", "print(\"we have {} unique elements out of {} rows in the tsv\".format(\n", " len(np.unique(cnv_names)), len(cnv_names)))\n", "\n", "#---------- sujets uniques ? \n", "names_from = ['IID_projet'] # , 'IID_genotype'] \n", "cnv_names = util.make_uiid(arr, names_from)\n", "print(\"with names from: \", names_from)\n", "print(\"we have {} unique elements out of {} rows in the tsv\".format(\n", " len(np.unique(cnv_names)), len(cnv_names)))\n", "\n", "dangers = np.asarray([line.split('\\t')[i_DANGER] for line in arr[1:]])\n", "scores = np.asarray([line.split('\\t')[i_SCORE] for line in arr[1:]])\n", "\n", "#danger_not_empty = dangers != ''\n", "#print(danger_not_empty.sum())\n", "#print(len(np.unique(cnv_name)))\n", "#print(cnv_name[:10])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Create a dict of the cnv" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from collections import OrderedDict\n", "cnv = OrderedDict()\n", "names_from = [\"CHR de Merge_CIA_610_660_QC\", 'START', 'STOP'] \n", " #, \"5'gene\", \"3'gene\", \"5'dist(kb)\", \"3'dist(kb)\"]\n", "blank_dgr = 0\n", "\n", "for line in arr[1:]:\n", " lline = line.split('\\t')\n", " dgr = lline[i_DANGER]\n", " scr = lline[i_SCORE]\n", " cnv_iid = util.make_uiid(line, names_from, arr[0])\n", " \n", " if dgr != '':\n", " add_cnv = (util.danger_score(lline[i_DANGER], util.pH1_with_apriori),\n", " score2prob(util.process_one_score(lline[i_SCORE], max_score)))\n", " if cnv_iid in cnv.keys():\n", " cnv[cnv_iid].append(add_cnv)\n", " else:\n", " cnv[cnv_iid] = [add_cnv]\n", " else:\n", " blank_dgr += 1\n" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "3374 12294\n", "['10_134903403_134996704', '18_2578360_2611407', '17_17683629_17693534', '18_2576907_2611407', '17_17651995_17693534']\n", "[[(5.9939961064914957, 0.4658285714285714)], [(0.99999942000033648, 0.17050257142857136), (0.99999942000033648, 0.13648257142857131)], [(0.99999942000033648, 0.15716457142857138)], [(0.99999942000033648, 0.17914257142857137)], [(3.9999976800013459, 0.2850365714285713), (3.9999976800013459, 0.3167345714285713), (3.9999976800013459, 0.31824657142857143), (3.9999976800013459, 0.1896185714285713)]]\n" ] } ], "source": [ "print(len(cnv), (blank_dgr))\n", "print([k for k in cnv.keys()[:5]])\n", "print([k for k in cnv.values()[:5]])" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "21_14830513_14852044 : [(0.99999999999989209, 0.9614243714285715), (0.99999999999989209, 0.7371245714285714), (0.99999999999989209, 0.35037657142857137)]\n", "11_127888014_127946707 : [(0.99999999999999989, 0.2014445714285714)]\n", "7_73481452_73512817 : [(0.99999999999998568, 0.16234857142857137)]\n", "22_48960865_49003996 : [(2.9999999979009999, 0.1562465714285714)]\n", "22_48951780_48979320 : [(1.9999999996940001, 0.36306657142857135)]\n", "22_48951780_49000551 : [(3.9999999977480001, 0.16170057142857136), (3.9999999977480001, 0.14296257142857138)]\n", "22_48943190_49003996 : [(3.9999999977480001, 0.3968705714285714)]\n", "22_48943190_49000551 : [(3.9999999977480001, 0.19280457142857138)]\n", "16_29554843_30085308 : [(26.0, 1.0)]\n", "15_29143717_29172089 : [(1.9999999998241, 1.0)]\n" ] } ], "source": [ "for k in cnv.keys()[3340:3350]:\n", " print(k,': ',cnv[k])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Create a dictionary of the subjects - " ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": false }, "outputs": [], "source": [ "cnv = OrderedDict({})\n", "#names_from = ['START', 'STOP', \"5'gene\", \"3'gene\", \"5'dist(kb)\", \"3'dist(kb)\"]\n", "names_from = ['IID_projet']\n", "\n", "for line in arr[1:]:\n", " lline = line.split('\\t')\n", " dgr = lline[i_DANGER]\n", " scr = lline[i_SCORE]\n", " sub_iid = util.make_uiid(line, names_from, arr[0])\n", " \n", " if dgr != '':\n", " add_cnv = (util.danger_score(lline[i_DANGER], util.pH1_with_apriori),\n", " score2prob(util.process_one_score(lline[i_SCORE], max_score)))\n", " if sub_iid in cnv.keys():\n", " cnv[sub_iid].append(add_cnv)\n", " else:\n", " cnv[sub_iid] = [add_cnv]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Histogram of the number of cnv used to compute dangerosity" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1656\n", "59\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXgAAAEACAYAAAC57G0KAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\niwAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fd0d0d3b890>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "print(len(cnv))\n", "nbcnv = [len(cnv[sb]) for sb in cnv]\n", "hist = plt.hist(nbcnv, bins=50)\n", "print(np.max(np.asarray(nbcnv)))" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# definition of dangerosity from a list of cnv\n", "def dangerosity(listofcnvs):\n", " \"\"\"\n", " inputs: list tuples (danger_score, proba_cnv)\n", " returns: a dangerosity score \n", " \"\"\"\n", " last = -1 #slicing the last\n", " tmp = [np.asarray(t) for t in zip(*listofcnvs)]\n", " return tmp[0].dot(tmp[1])\n", "\n", "# or: return np.asarray([dgr*prob for (dgr,prob) in listofcnvs]).cumsum()[last]\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Testing dangerosity" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[(0.99999942000033648, 0.17050257142857136), (0.48377137638161954, 0.1629047714285713), (0.49999999999999989, 0.42222357142857136), (1.1666666666666665, 0.6306365714285714)] yields 1.19616559041\n" ] } ], "source": [ "for k in range(1,30, 30):\n", " print(cnv[cnv.keys()[k]], ' yields ', dangerosity(cnv[cnv.keys()[k]]))\n", " \n", "test_dangerosity_input = [[(1., .5), (1., .5), (1., .5), (1., .5)],\n", " [(2., 1.)],\n", " [(10000., 0.)]]\n", "test_dangerosity_output = [2., 2., 0]\n", "\n", "#print( [dangerosity(icnv) for icnv in test_dangerosity_input]) # == test_dangerosity_output\n", "assert( [dangerosity(icnv) for icnv in test_dangerosity_input] == test_dangerosity_output)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Printing out results" ] }, { "cell_type": "code", "execution_count": 39, "metadata": { "collapsed": false }, "outputs": [], "source": [ "dtime = datetime.now().strftime(\"%y-%m-%d_h%H-%M\")\n", "outfile = dtime+'dangerosity_cnv.txt'\n", "fulloutfile = osp.join(CWD, outfile)\n", "\n", "with open(fulloutfile, 'w') as outf:\n", " for sub in cnv:\n", " outf.write(\"\\t\".join([sub, str(dangerosity(cnv[sub]))]) + \"\\n\")" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.6" } }, "nbformat": 4, "nbformat_minor": 0 }
artistic-2.0