id
stringlengths
6
6
values
sequence
sparse_values
dict
metadata
dict
311120
[ [ -0.29540058970451355, -0.6107736825942993, -0.8313986659049988, -0.22221216559410095, 0.3817006051540375, 0.30020156502723694, -0.3778626322746277, 0.3213427662849426, 1.0387077331542969, -0.6000247597694397, 0.4862816035747528, 0.5000303387641907, 1.278977394104004, 0.3011757731437683, 0.49296966195106506, -0.0839347317814827, 0.8026416897773743, -0.19447730481624603, -1.0151327848434448, -0.525740385055542, 0.8101019859313965, -0.7494986057281494, -0.3564033806324005, -0.39196616411209106, -0.4030572474002838, 0.25037023425102234, 0.14837704598903656, -0.46050676703453064, 0.30475395917892456, 0.30609622597694397, -0.3226318955421448, -1.5674386024475098, 0.1770583987236023, 0.09061144292354584, 0.7127083539962769, 0.19600413739681244, -0.3685544729232788, -0.7059027552604675, -0.3216562569141388, 1.0728471279144287, -0.5105950236320496, 0.32058364152908325, -0.06440161913633347, 1.2923872470855713, -0.3268407881259918, 0.4432861804962158, -0.12497679144144058, 0.4760909676551819, 1.048636794090271, -1.0068020820617676, 1.101741075515747, -0.9600932002067566, 0.5707783699035645, 0.29635557532310486, -0.35993245244026184, 0.1351887583732605, 0.8511263132095337, 1.5596041679382324, 0.14453187584877014, -0.7250320315361023, -0.47688648104667664, -0.39462390542030334, 0.5810369849205017, -0.15686392784118652, -0.6812266111373901, -1.0201661586761475, 0.43991121649742126, -0.7509239315986633, 0.8491215705871582, 0.11606415361166, -1.0523301362991333, -0.21558132767677307, 0.23146453499794006, 0.23148557543754578, 0.9414953589439392, -0.8561305999755859, 0.601710319519043, -0.538653552532196, 0.4929199814796448, 1.2736016511917114, -1.1137980222702026, 0.09771723300218582, -1.374306321144104, 0.8672142624855042, 0.2813853323459625, -0.9230450391769409, 2.063170909881592, -0.12354563921689987, -0.2661510705947876, 0.7307451963424683, 0.08007641136646271, -0.2721569836139679, 1.1624022722244263, -0.2621208429336548, -0.4010620713233948, 0.33513668179512024, 0.6937264204025269, 0.6501867771148682, -0.44493016600608826, -0.8051174283027649, 0.4850531220436096, -0.8435329794883728, 0.23036222159862518, 1.0380548238754272, -0.03996880725026131, 0.2728760540485382, -0.42902547121047974, -0.270660400390625, -1.0852264165878296, 0.6839499473571777, -1.0953398942947388, 0.9565280675888062, -0.586269736289978, 0.9249461889266968, 0.28206127882003784, -0.3973836302757263, -0.7691127061843872, -0.27689075469970703, 0.6775744557380676, 0.579576313495636, 1.2891192436218262, 0.07161878049373627, 0.4131211042404175, -0.582602858543396, -1.5038541555404663, 0.8940309286117554, 0.12097571045160294, -0.20044641196727753, -0.5572192072868347, 0.3764491379261017, -0.01651005446910858, -0.0714874193072319, 0.07900263369083405, -0.10021442174911499, -0.13623958826065063, 0.4506302773952484, -1.6422488689422607, -1.5662193298339844, 0.6866700053215027, 0.6404837369918823, 0.12849530577659607, -1.4641329050064087, -0.21761982142925262, 0.7809861302375793, 0.8911157846450806, 0.2691822648048401, 0.6117424964904785, 0.858665406703949, -0.36065152287483215, 0.5247972011566162, -0.9656429886817932, -0.025908824056386948, -1.3325468301773071, -0.4673616290092468, -0.038473352789878845, -0.33037057518959045, -0.3399696350097656, 0.3672598600387573, -0.7640688419342041, -0.2749582529067993, 0.7387847900390625, -0.69637531042099, -0.03967762365937233, 0.12706494331359863, -0.6627046465873718, -0.969464123249054, 1.606166958808899, 0.25268518924713135, 0.5999157428741455, 0.24176208674907684, 0.09889713674783707, 0.18004898726940155, 0.018867788836359978, 0.7097510695457458, 1.594203233718872, -1.0986919403076172, 0.6346418261528015, -0.8363359570503235, -0.3881329298019409, -0.3411288261413574, -0.42284753918647766, -0.5117076635360718, 0.1553168147802353, 0.2225627899169922, -0.32040026783943176, 0.1828618198633194, -0.4481365978717804, 0.8276540637016296, 1.47395658493042, -1.3324495553970337, -0.04665639251470566, -0.46239516139030457, 0.580453634262085, -0.10866406559944153, -1.3903708457946777, -0.9290392994880676, -0.2940155863761902, 0.3695377707481384, 0.4220742881298065, -0.5548506379127502, -0.5229871869087219, 0.4077780246734619, 0.8969360589981079, -0.8029635548591614, 0.2554238438606262, 0.6074126362800598, 0.2016603797674179, -0.3321755826473236, 0.7208439111709595, -0.7361774444580078, -0.5141717195510864, 0.8755207657814026, -0.256214439868927, 0.09253977984189987, -0.13191400468349457, 0.4017329514026642, -0.7146639823913574, 1.0955712795257568, 0.4526813328266144, 0.6017159819602966, -1.5955233573913574, 1.2430516481399536, 2.299708366394043, 1.058619499206543, 0.7405238151550293, 0.5707515478134155, -0.01910017989575863, -0.5201196670532227, 0.2043389528989792, -0.39120954275131226, -0.5874465107917786, -0.950749933719635, 0.2998413145542145, 0.007661580573767424, -0.2789963185787201, -0.09797929972410202, 1.7363888025283813, 0.58403480052948, 0.9511585831642151, 0.08471450954675674, -1.2191343307495117, 0.3998101055622101, 0.49539387226104736, 0.929648756980896, 0.21096865832805634, 1.1697481870651245, 0.5617575645446777, 1.491018533706665, -0.3325841724872589, -0.25436678528785706, -0.3680088222026825, 0.8398831486701965, 0.4192628860473633, -0.35998430848121643, 0.18122708797454834, -1.4055079221725464, 0.8097361326217651, -0.7096951603889465, -0.25473740696907043, 0.7943154573440552, -0.5286206603050232, 0.24853520095348358, 0.27385178208351135, -0.2813550531864166, 0.08991463482379913, 0.27107688784599304, 0.08804160356521606, -0.965971052646637, 1.0051178932189941, -0.2757761478424072, 1.2919288873672485, 0.1249222680926323, -0.9009429216384888, -1.2715486288070679, 0.27059659361839294, 0.9447251558303833, -0.367100328207016, 1.5413939952850342, -1.5809797048568726, -0.6426023244857788, -0.07454568147659302, 0.21118102967739105, 0.174775630235672, 0.16218024492263794, -1.7860488891601562, 0.19950710237026215, 0.2956540584564209, -0.9298462271690369, 0.06401488184928894, 0.28039756417274475, -0.893170177936554, 0.2111574113368988, -0.5673481225967407, -0.920214056968689, -0.6802456378936768, -0.2234562188386917, 0.18788865208625793, -0.1779397577047348, -0.2488798350095749, 1.2997981309890747, 0.7243705987930298, -1.4270563125610352, -0.03704994544386864, -0.797636091709137, -0.03900030255317688, 0.23036697506904602, -0.5721656680107117, -0.09248721599578857, -0.2505982220172882, 0.9850439429283142, 0.12001568078994751, -0.39727523922920227, -0.8852336406707764, -0.6785000562667847, -1.530479907989502, 0.13732309639453888, 0.6051356196403503, 0.8942863941192627, -0.46864160895347595, -0.4797208905220032, 0.8176157474517822, 0.5200156569480896, -0.8015925884246826, -0.6091720461845398, 0.1618543565273285, -0.4913519024848938, -0.816947340965271, -0.6046561598777771, 1.3412550687789917, 0.5044140815734863, 0.891876220703125, -0.29357144236564636, 1.3221955299377441, 1.0544227361679077, -0.21941806375980377, -1.2108490467071533, 0.2804247736930847, 1.061259388923645, -0.8311583399772644, -0.40028053522109985, 0.6985164284706116, -0.12060300260782242, 0.9406519532203674, -0.2554771900177002, 0.6998811960220337, 0.5428807139396667, -0.6736031770706177, 0.18573345243930817, 0.038851793855428696, 0.7473412156105042, -0.1427324116230011, -0.38941502571105957, -0.10592459887266159, -1.121302604675293, -0.13300968706607819, 0.6927714943885803, 1.1928192377090454, -0.6644518971443176, -0.2801651358604431, 0.4007596969604492, 0.8616307973861694, -0.2954906225204468, -0.14793600142002106, -0.17540910840034485, -0.09045910090208054, 0.34540271759033203, 0.19559849798679352, 0.06955625861883163, 0.895295262336731, -0.14599552750587463, 0.9117289781570435, 0.8419785499572754, -0.767142117023468, -0.08691644668579102, -1.62827467918396, -0.33751699328422546, 0.8714699745178223, 0.6865832805633545, 0.3848938047885895, 0.9971548914909363, -0.143777534365654, -0.32396477460861206, -0.5189909338951111, 0.19745729863643646, -1.7825345993041992, -0.005778123624622822, 0.2803454101085663, 0.19471129775047302, 0.706869900226593, -0.3229326605796814, 0.6031598448753357, -0.7845684289932251, -0.7890977263450623, 0.10843642801046371, -0.5222105979919434, -0.6541285514831543, 0.4059339165687561, 0.08466345071792603, -0.56046062707901, 0.2923744320869446, 0.7464383840560913, 1.7681809663772583, 0.6224172115325928, -0.12446264177560806, 1.166131615638733, 0.153100848197937, -0.6638352870941162, -0.7386167645454407, 0.774441659450531, -0.055228423327207565, 1.4526556730270386, 0.10589966177940369, 0.7812449336051941, -0.8997329473495483, -0.3879467844963074, 0.3950483500957489, 0.3670298457145691, 0.25470271706581116, 0.27913254499435425, 0.7228977680206299, -0.672863781452179, -0.7891581058502197, -0.05742886662483215, 0.5852116346359253, 0.01095051784068346, 1.5984941720962524, 0.9122315645217896, 0.34078797698020935, -0.13144738972187042, 0.9228276610374451, 0.9674042463302612, 0.7495682239532471, 0.1733504980802536, -0.7275944948196411, -0.027281535789370537, 0.8928171992301941, -0.24149571359157562, 0.8325813412666321, 0.8458336591720581, -0.06066865846514702, -0.29558640718460083, 0.18431469798088074, -0.23350998759269714, -0.5765085816383362, 0.42804622650146484, -0.6541829109191895, -0.21348978579044342, 0.3171843886375427, 0.8073248863220215, 0.9934686422348022, 0.37007108330726624, -0.3970741927623749, 0.27339407801628113, -1.0176770687103271, -2.8788414001464844, -0.021829886361956596, 0.3174818754196167, 0.5978062748908997, -0.6985844969749451, -0.2234947681427002, -0.6813692450523376, -1.0075905323028564, 0.07425623387098312, -0.671099841594696, 0.8972336649894714, -0.29823949933052063, 0.8386587500572205, -0.4695466458797455, -0.9279119968414307, -0.9907591342926025, 1.5744757652282715, -0.3144923746585846, -0.5250959396362305, -0.5131476521492004, 0.22703735530376434, 0.23851417005062103, 0.35019201040267944, -0.3362247943878174, 0.3093093931674957, -0.9373959302902222, -0.17412438988685608, 0.020840350538492203, 1.275622844696045, -1.3924903869628906, -0.8898618817329407, 0.09433674067258835, -0.03908094763755798, -0.17453007400035858, 1.1186244487762451, -0.4996204972267151, -0.5313942432403564, 0.20530042052268982, -0.436535120010376, 0.22787658870220184, -1.0349655151367188, -1.394002914428711, 1.1649445295333862, 0.07579413056373596, 0.5759109258651733, 0.8354464769363403, 0.7323092818260193, -0.7133798599243164, -0.4367792308330536, -0.2139974683523178, 0.2561989426612854, -1.2199279069900513, 0.332062691450119, -0.579333484172821, -0.1881805658340454, -0.45530062913894653, 1.1329480409622192, 0.16207309067249298, -0.08158470690250397, -0.26665589213371277, 0.16863052546977997, -0.3480067551136017, 0.8666821718215942, -1.254548192024231, 0.16034069657325745, 0.026260802522301674, -0.11745750904083252, -1.3891688585281372, -0.3444524109363556, 0.4508873522281647, -2.149202346801758, 0.46537870168685913, 0.45077747106552124, 0.18014462292194366, 0.27986040711402893, -1.399235725402832, 0.6332784295082092, -0.03359447047114372, -1.3145078420639038, 0.904453694820404, 0.10883389413356781, 0.31733939051628113, 0.013246114365756512, -0.8028220534324646, 0.17527985572814941, 0.44768834114074707, -0.47677671909332275, 0.13011492788791656, 0.06569724529981613, 0.803646445274353, -0.5744181275367737, -0.5249786972999573, -1.337530493736267, -1.5314942598342896, -0.11631044000387192, 0.17824295163154602, 0.5685020089149475, -0.3861164152622223, 0.14362986385822296, 0.7855438590049744, -0.4111798107624054, 0.17500075697898865, 1.2451952695846558, -0.7091823816299438, 0.765094518661499, 0.18212831020355225, 0.22265596687793732, 0.41496121883392334, 0.4157146215438843, 0.5735245943069458, 0.23165000975131989, 0.2588736116886139, 0.7194811105728149, -0.03894243761897087, -0.8058575391769409, -0.24210357666015625, 0.5026654601097107, -1.0295976400375366, -0.5564532279968262, 1.20218026638031, 0.20392213761806488, 0.292020320892334, -0.24630384147167206, -0.8277120590209961, -0.31711724400520325, 0.17481982707977295, -0.4049428105354309, -0.3899633288383484, 0.6113682389259338, -0.2491227388381958, 0.47418710589408875, 0.09583765268325806, -0.15395337343215942, -1.0638236999511719, 0.6499893665313721, 0.202975332736969, 0.9424097537994385, 1.964142918586731, -0.06562010198831558, 0.5048035979270935, 0.4608665108680725, 0.5849698781967163, 0.6173720955848694, -0.8981140851974487, -0.05328398942947388, 0.8047176599502563, 0.35655730962753296, -0.35924065113067627, -0.13809143006801605, -1.1437265872955322, 0.04827846959233284, 1.496437907218933, -1.3806906938552856, 0.6858592629432678, -0.1746198982000351, 0.13187991082668304, -0.33148327469825745, -0.06491825729608536, -1.0936349630355835, 0.6714558601379395, -1.1819640398025513, 2.4473090171813965, -0.987856388092041, -1.4114797115325928, 0.8416762948036194, 1.4992609024047852, 0.3764834702014923, 0.005456637591123581, 0.8206195831298828, -0.7781040072441101, 0.41589388251304626, -0.46349647641181946, -0.6353856325149536, 0.9358716011047363, -1.017952561378479, 0.9402475357055664, -0.03682941198348999, -0.38049882650375366, 0.9628427028656006, -0.03200191631913185, -0.14234988391399384, -1.4518344402313232, -0.6096863150596619, -1.7264057397842407, 1.101073980331421, 0.39547067880630493, -0.9718407392501831, 0.4382930397987366, 0.4330591857433319, 0.9257464408874512, -0.1370173543691635, 0.5172489881515503, -0.5518675446510315, -2.0075151920318604, 0.1888117790222168, 0.21785424649715424, -1.3684197664260864, 1.7752271890640259, 0.6790104508399963, -0.0037753393407911062, 0.5923019647598267, -1.1611098051071167, 1.4291694164276123, -0.04216798394918442, 0.6028599143028259, 0.5830079913139343, 1.7641829252243042, -0.20218132436275482, -0.2134360373020172, -0.4017491638660431, -0.4076785147190094, 4.4702348709106445, 1.5527336597442627, -0.19939488172531128, -1.7923250198364258, -0.27314436435699463, -0.5694056153297424, 0.2780914008617401, 0.00572845246642828, 0.29573166370391846, 1.2341712713241577, 0.18560640513896942, 1.0755773782730103, 0.3785947263240814, -0.5466182827949524, 0.25790420174598694, 0.1291702836751938, -0.6167070269584656, -0.7907429337501526, 1.0063689947128296, 0.23632457852363586, 0.5145710706710815, -0.2258041948080063, -1.4876855611801147, -1.3223174810409546, 0.8056803345680237, -0.8061531782150269, 0.453855037689209, -0.6596893072128296, 1.4567396640777588, 0.433022141456604, -0.6177562475204468, 0.20364508032798767, 0.44563689827919006, 0.13552871346473694, 0.5221542716026306, 0.21082933247089386, -0.5797701478004456, 0.14251717925071716, -0.3206680417060852, 0.22865131497383118, -0.06462806463241577, -0.15213751792907715, -0.8944956660270691, 0.05148779973387718, -0.5311028361320496, -0.09017462283372879, -0.5298993587493896, -0.8449997901916504, -0.08107785135507584, 0.09880971163511276, -0.07697039097547531, 0.5068001747131348, -0.6411231160163879, -0.8907712697982788, -1.4529187679290771, 0.26851600408554077, 0.4042399227619171, -0.13604755699634552, -0.7003853917121887, -0.7332488298416138, 0.010220127180218697, -0.4277750551700592, 1.3903249502182007, 0.7481493353843689, 0.8114191889762878, 0.40622422099113464, -1.179417610168457, 1.983810305595398, -0.012776852585375309, -0.49778586626052856, -0.5750798583030701, 0.39809566736221313, -0.16036160290241241, -0.3430660367012024, 0.2791904807090759, 0.9760606288909912, -1.064902901649475, 0.5322577953338623, -0.7540309429168701, -0.49103713035583496, -1.1511367559432983, -0.20654037594795227, -0.26100867986679077, -0.49888837337493896, -0.6097317337989807, -0.16815803945064545, -0.4147748053073883, 0.46034926176071167, 0.5074475407600403, 0.18850074708461761, -0.4186524748802185, 1.6470470428466797, 0.2890836000442505, -1.4788916110992432, -0.44556400179862976, 0.7084544897079468, -0.8138329386711121, -0.07811707258224487, -1.112842082977295, 1.7850515842437744, -0.6879523992538452, -0.402337908744812, -0.025578174740076065, 1.2232197523117065, -0.8615520000457764, 0.0037100911140441895, 0.8851202726364136, -0.06089698150753975, -0.05137059465050697, 0.22820746898651123, 0.7428888082504272, -0.7570447325706482, -0.6983541250228882, -0.4820135235786438, -0.8030433058738708, -0.018163874745368958, -1.1260062456130981, -0.4711624085903168, 0.30585378408432007, -0.6376417875289917, 0.23277662694454193, 0.4591533839702606, -0.02129209041595459, -0.17108143866062164, 0.037462037056684494, 0.32983896136283875, -0.21896988153457642, -0.32568755745887756, -0.1843322217464447, 0.32337307929992676, 0.08380495756864548, -0.11183174699544907, -0.08411762863397598, 0.7029022574424744, -0.8329584002494812, -0.2649482488632202, -0.20561373233795166, 0.8893502354621887, -0.45834994316101074, 0.2747175395488739, 0.8684649467468262, -1.1822853088378906, -0.28219977021217346, 1.6896119117736816, -0.5744767189025879, 0.057827360928058624, 0.1585833728313446, -0.1232261061668396, 0.9452763795852661, 0.5070505142211914, -0.7241417169570923, -0.4359455406665802, 0.6825622320175171, 0.6790463328361511, -0.012672645039856434, 1.064435362815857, 0.3512783944606781, -0.20806239545345306, -0.22167737782001495, 0.737969160079956, 0.18924827873706818, 0.2621241509914398, 0.5317743420600891, -0.9175578355789185, 0.532878041267395, 0.07660888880491257, -0.47750356793403625, -0.017433587461709976, -0.43387356400489807, 0.08588475733995438, 0.05191800743341446, -0.06334229558706284, 0.8303714394569397, 0.5774167776107788, -1.094545841217041, -0.44087451696395874, -0.14781634509563446, -0.49151816964149475, 0.17467188835144043, -0.8009256720542908, 0.5451779961585999, 0.7469614148139954, -1.2891337871551514, -0.13640117645263672, -0.5104319453239441, -0.05987515673041344, -1.399415135383606, 0.2617989182472229, -0.1477198749780655, 0.45236891508102417, 0.13020816445350647, 0.5107472538948059, 0.9473778605461121, -0.08236188441514969, -0.4170147776603699, -0.12134067714214325, 0.49924755096435547, 0.6727479100227356, -0.0949152410030365, -0.7476454973220825, 0.1552351862192154, -0.7581388354301453, -0.8445504903793335, 0.14108088612556458, -0.9657540917396545, -0.15697486698627472, -0.37523314356803894, 0.8151747584342957, 0.38559436798095703, 0.37758108973503113, 0.3707261383533478, -0.4035007059574127, 0.5543263554573059, -0.8465774655342102, -0.12223023921251297, 0.5217462182044983, -0.973648726940155, 0.08108984678983688, 0.221854567527771, 0.41512584686279297, -0.3942711055278778, 0.9048947095870972, -1.0431456565856934, -0.24982601404190063, -0.0029902001842856407, -0.11152822524309158, 1.0687041282653809, -0.9475663900375366, -0.24540650844573975, -1.273240566253662, 1.1558462381362915, -0.0539044551551342, 0.4798545837402344, 0.8905144333839417, 0.14961518347263336, -0.9933415651321411, -0.3615480959415436, -0.11466766893863678, 0.39105722308158875, -0.5991182923316956, -0.35311535000801086, -0.21938246488571167, -0.19249732792377472, 1.1295548677444458, -0.1759949028491974, 0.14675751328468323, -0.2042742222547531, 1.1595096588134766, -0.44677916169166565, -0.6222440004348755, -0.6878716349601746, -0.680508553981781, 1.2469593286514282, -0.6378930807113647, 1.393900752067566, 2.2857110500335693, 1.0026333332061768, -1.260115623474121, -1.2992342710494995, 0.1503930687904358, 0.7861794829368591, 0.7394713163375854, -0.055037084966897964, 0.2068474292755127, -1.4154361486434937, 0.2506767213344574, -0.49011003971099854, 0.916577160358429, 0.10521648824214935, -0.4848956763744354, 0.21994167566299438, 0.399822860956192, 0.9672254323959351, -0.2045431286096573, -0.2605568468570709, 0.3716757893562317, 0.13836684823036194, 0.46989843249320984, -0.5107057094573975, 0.024513134732842445, -0.06636969745159149, 0.4623042345046997, -0.6472207307815552, 0.9618675112724304, 0.13214552402496338, -0.09841099381446838, 0.6220036149024963, -0.1512707620859146, -1.2096951007843018, 1.0692328214645386, 0.3169797360897064, 0.7557629942893982, -0.3142576515674591, -0.601117730140686, 0.969794511795044, -1.0637620687484741, 0.3636527359485626, 0.35552453994750977, -0.36105334758758545, 0.2202039510011673, 0.6812237501144409, 0.09836500883102417, 1.3315095901489258, 0.02327844686806202, 0.5791124701499939, -0.7669081091880798, -0.5384685397148132, 0.5599876642227173, 0.5572975873947144, 0.5280388593673706, -0.5989333987236023, -0.2985207140445709, 0.45602357387542725, -0.6236130595207214, 1.3679802417755127, 0.10338003933429718, 1.167582392692566, 0.4883856177330017, -0.06485817581415176, -0.0541747584939003, -0.8777681589126587, -1.088223934173584, 0.3307381272315979, -0.2210172563791275, 1.510897159576416, -0.5790703892707825, 0.00901632197201252, -0.9938667416572571, 0.6674405932426453, -0.1383177489042282, -0.10882438719272614, 0.11646418273448944, 0.10487337410449982, 0.18007060885429382, -0.6135926842689514, -0.7126283049583435, 0.3568623960018158, 1.733244776725769, -0.8801936507225037, 0.2598589062690735, -0.04500509053468704, 1.0643247365951538, -0.2642856538295746, -0.4692580997943878, -1.9071420431137085, -0.9891678094863892, 0.441225528717041, -0.10001006722450256, 0.4637220799922943, -0.3675937354564667, -1.8158429861068726, -0.5092540383338928, 0.7813541889190674, -0.32480868697166443, -0.5872181057929993, -1.2338411808013916, 0.1336018592119217, 0.8704984188079834, 1.0403026342391968 ] ]
{ "indices": [ 3172858508, 3676260520, 437367475, 997012898, 1612531086, 1960040400, 639386442, 1830628714, 2044745418, 1911071232, 3530670207, 3396792551, 2476437665, 3533571357, 2484513939, 1767380095, 3741174264, 640124220, 3076736765, 2067848296, 214084523, 273066799, 4150915742, 1063320047, 4186256544, 1793137844, 3743430521, 2689951346, 3021954015, 1041011349, 1971389377 ], "values": [ 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.8308904049947184, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.45021338715555703 ] }
{ "context": "But if we are writing to the register file to get the high bits of IR, the high bits after the opcode, I should say, then we put in 0, 0. If we want R7 or R6, we can put in 0, 1 or 1, 0, respectively. These are actually used for things that we didn't really cover in our class. So the only things that we're going to talk about in our class would use the 0, 0 input for DR MUX. But that MUX is there, so just to explain it." }
202121
[ [ -0.3024291694164276, -0.6727471351623535, -0.341484010219574, -0.367441862821579, 0.04278092831373215, 0.4407802224159241, -0.35600796341896057, 0.5933769941329956, 1.1195329427719116, -1.0654802322387695, 0.5210426449775696, 0.6596249938011169, 0.981429398059845, 0.41005250811576843, 0.5217058658599854, -0.01972191035747528, 0.9041447043418884, -0.21035940945148468, -0.898177981376648, -0.2805473804473877, 0.934855580329895, -0.5232495665550232, -0.10296814143657684, -0.19696183502674103, -0.35348713397979736, 0.40180453658103943, 0.22548669576644897, -0.5923308730125427, 0.47249555587768555, 0.2142283320426941, -0.4427112638950348, -1.3931268453598022, 0.10640942305326462, -0.2687510550022125, 0.5003969669342041, -0.03804904222488403, -0.3174310028553009, -0.442022442817688, -0.16360153257846832, 1.0784530639648438, -0.5973791480064392, 0.35626059770584106, -0.0801234021782875, 1.2537890672683716, -0.3533896207809448, 0.31747034192085266, -0.18150155246257782, 0.27932992577552795, 1.1421195268630981, -0.9190036654472351, 1.185666561126709, -0.8610829710960388, 0.6868038773536682, 0.2962534725666046, -0.021624460816383362, 0.12183400243520737, 1.0183275938034058, 1.6324406862258911, 0.019444087520241737, -0.874074399471283, -0.48213791847229004, -0.2964212894439697, 0.5244734883308411, -0.2576885223388672, -0.5095776915550232, -1.1109800338745117, 0.5100060105323792, -0.6464123129844666, 0.6138182282447815, 0.022109026089310646, -0.7455042004585266, -0.21263650059700012, 0.2749404311180115, 0.11842277646064758, 1.0769155025482178, -1.080245018005371, 0.6877973675727844, -0.35037508606910706, 0.46262937784194946, 0.8451988101005554, -1.1861450672149658, 0.022658785805106163, -1.2811154127120972, 0.40384042263031006, 0.028004035353660583, -0.8403526544570923, 2.0035529136657715, -0.20005692541599274, -0.09348493069410324, 0.36369797587394714, 0.5597124099731445, -0.23246656358242035, 1.3689113855361938, -0.33996355533599854, -0.20107372105121613, 0.06792037934064865, 0.7071830630302429, 0.8022007942199707, -0.2719557583332062, -0.6179248094558716, 0.7595353126525879, -1.0079433917999268, 0.026011737063527107, 0.9853050708770752, 0.2374567985534668, 0.1559898853302002, -0.43462976813316345, -0.23024438321590424, -0.9197210073471069, 0.6057261824607849, -1.5920683145523071, 0.9865427613258362, -0.4714757800102234, 0.6559237837791443, 0.19121819734573364, -0.12905895709991455, -1.0823771953582764, -0.4518473446369171, 1.0263359546661377, 0.43624845147132874, 1.1974761486053467, -0.15130063891410828, 0.43336573243141174, -0.6387817859649658, -1.6439491510391235, 1.150598406791687, 0.4229832589626312, -0.0671788901090622, -0.6293712854385376, 0.4353821277618408, 0.05590960383415222, -0.12972961366176605, -0.16803845763206482, -0.10022596269845963, 0.033627066761255264, 0.6254805326461792, -1.531615138053894, -1.699742317199707, 0.8084215521812439, 0.4003191590309143, -0.14900414645671844, -1.2750777006149292, -0.122371606528759, 0.7479111552238464, 0.9535704255104065, 0.21280913054943085, 0.8403668403625488, 0.7353330254554749, -0.1814277023077011, 0.6270645260810852, -0.8423830270767212, -0.20621415972709656, -1.3581805229187012, -0.4433852732181549, -0.12430353462696075, -0.19893376529216766, -0.36614659428596497, 0.06468259543180466, -0.9937431216239929, -0.34975042939186096, 0.86469966173172, -0.5692929625511169, 0.1118292585015297, 0.33415934443473816, -0.9772801995277405, -1.170677900314331, 1.7336429357528687, 0.12533660233020782, 0.6757162809371948, 0.5826098918914795, 0.29551801085472107, 0.6575477719306946, 0.015010328032076359, 0.6452819108963013, 1.7103744745254517, -0.8895336389541626, 0.3682044744491577, -0.9973251819610596, -0.5486932992935181, -0.3494589030742645, -0.6537909507751465, -0.9283660650253296, 0.30977749824523926, 0.4024410843849182, -0.1732967346906662, 0.2577579617500305, -0.32689327001571655, 0.6861293315887451, 1.4468753337860107, -1.2896844148635864, -0.2629338502883911, -0.32089129090309143, 0.9651851654052734, 0.04091452434659004, -1.5452206134796143, -1.3371258974075317, -0.43154779076576233, 0.3193401098251343, 0.22892913222312927, -0.549002468585968, -0.4438236653804779, 0.29732996225357056, 0.48702722787857056, -0.676270067691803, 0.21572107076644897, 0.5272248387336731, 0.7315033674240112, -0.7232739329338074, 0.8244579434394836, -0.8422591090202332, -0.3947339653968811, 0.8332363963127136, -0.2653336822986603, 0.23997890949249268, -0.09365871548652649, 0.49029940366744995, -0.6860964298248291, 1.1702948808670044, 0.525141716003418, 0.3019524812698364, -1.6300404071807861, 1.0858579874038696, 2.0898303985595703, 0.9977658987045288, 0.7731099128723145, 0.7193715572357178, 0.09928691387176514, -0.5387981534004211, 0.13217316567897797, -0.469889760017395, -0.6024114489555359, -0.9888471961021423, 0.12797556817531586, 0.10900305956602097, -0.6532388925552368, -0.03468601405620575, 1.8448532819747925, 0.6386488676071167, 0.823356568813324, -0.20787930488586426, -1.0687166452407837, 0.8616913557052612, 0.663834810256958, 0.7411420345306396, 0.16041752696037292, 1.438062071800232, 0.4957839548587799, 1.539023518562317, -0.3115342855453491, -0.06748759001493454, -0.7634034156799316, 1.096351146697998, 0.04382075369358063, -0.3727477788925171, 0.003596870694309473, -1.2884715795516968, 0.9563651084899902, -0.6395895481109619, 0.1011042445898056, 0.8122308254241943, -0.48279327154159546, 0.2991548776626587, -0.17110353708267212, -0.3067259192466736, 0.021886184811592102, 0.20579883456230164, 0.026633569970726967, -1.2079161405563354, 1.0314511060714722, -0.2663177251815796, 1.5415467023849487, 0.26249492168426514, -0.973710834980011, -1.2528437376022339, 0.19767221808433533, 0.9155179262161255, -0.5812892317771912, 1.2581813335418701, -1.4204494953155518, -0.4881066679954529, -0.1965557336807251, 0.32057130336761475, 0.28520065546035767, -0.03379986435174942, -2.0310685634613037, 0.1856619417667389, 0.13808542490005493, -0.8753103613853455, 0.04309212043881416, 0.22393475472927094, -0.8547759056091309, 0.09989812970161438, -0.7217110991477966, -1.1166373491287231, -0.4811273217201233, -0.09366118162870407, -0.035842034965753555, -0.1665109395980835, -0.09449972957372665, 1.4045263528823853, 0.6248093247413635, -1.25748610496521, -0.017627349123358727, -1.0489518642425537, -0.021134229376912117, 0.19238096475601196, -0.9769619703292847, -0.13067787885665894, -0.34841036796569824, 0.7375640273094177, -0.010334913618862629, -0.4467222988605499, -0.3482900559902191, -0.7456179261207581, -1.236127257347107, 0.28156134486198425, 0.8014310002326965, 0.849666953086853, -0.432753324508667, -0.20682471990585327, 0.6573297381401062, 0.47637471556663513, -1.0023709535598755, -0.7350059747695923, 0.30734631419181824, -0.5385816097259521, -0.9314712285995483, -0.5669648051261902, 1.4918328523635864, 0.3424241840839386, 0.8260250687599182, -0.338545024394989, 1.1424998044967651, 1.1487210988998413, -0.42333611845970154, -1.4363806247711182, -0.024891173467040062, 0.7059758901596069, -0.7613974213600159, -0.11918503046035767, 0.7995638847351074, -0.15828000009059906, 0.618777334690094, -0.3919847905635834, 0.8772379159927368, 0.38845372200012207, -0.620509684085846, 0.39988231658935547, -0.13282354176044464, 0.8337224125862122, -0.28282538056373596, -0.6812657713890076, 0.37039434909820557, -1.1742029190063477, -0.43881407380104065, 0.7266073226928711, 1.2390563488006592, -0.4546913504600525, -0.2996895909309387, 0.5647225975990295, 0.6950724720954895, -0.3884539306163788, -0.17838947474956512, -0.23519477248191833, -0.31915149092674255, 0.3286615312099457, -0.3733278512954712, 0.12560372054576874, 0.4365617036819458, -0.2182437777519226, 0.7454107999801636, 0.7129003405570984, -0.7493971586227417, 0.23634378612041473, -1.5733305215835571, -0.19964642822742462, 1.0368125438690186, 0.841389536857605, 0.15567050874233246, 0.9664840698242188, -0.12648841738700867, -0.25007063150405884, -0.19817766547203064, 0.149285227060318, -2.006256341934204, -0.009950757957994938, 0.5073452591896057, -0.1075933426618576, 0.8343820571899414, -0.3233838975429535, 0.4911273121833801, -0.6363299489021301, -0.9225212931632996, 0.23108956217765808, -0.2750929892063141, -0.6253839135169983, 0.5375452637672424, 0.2809593081474304, -0.6394981145858765, 0.4622361958026886, 0.6348471641540527, 1.7605706453323364, 0.4953986704349518, -0.12350696325302124, 1.043971300125122, 0.06000276282429695, -0.5466795563697815, -0.7133858799934387, 0.4003792405128479, -0.010913573205471039, 1.303734540939331, 0.646111786365509, 0.6778055429458618, -0.7078272104263306, -0.31652992963790894, 0.448029488325119, 0.5648864507675171, 0.2443651705980301, -0.1941191852092743, 0.44401049613952637, -0.4160763919353485, -0.4785330593585968, -0.047470446676015854, 0.529640793800354, 0.006208168342709541, 1.49769127368927, 0.7184031009674072, 0.5203211903572083, -0.3093567192554474, 1.3898080587387085, 1.3335195779800415, 0.29587748646736145, -0.18953463435173035, -0.7668486833572388, -0.20483003556728363, 1.0488609075546265, -0.4059814512729645, 0.8336986303329468, 0.9992286562919617, 0.23389294743537903, -0.24351808428764343, 0.0816054567694664, -0.3324590027332306, -1.0531419515609741, 0.2993353009223938, -0.7597362399101257, -0.3398591876029968, 0.01416127197444439, 0.8920150399208069, 0.9297093749046326, 0.6160990595817566, -0.04891088232398033, 0.48664891719818115, -1.0428556203842163, -2.8916709423065186, -0.05909591540694237, 0.508029580116272, 0.72188401222229, -0.7335163354873657, -0.018919529393315315, -0.8000302910804749, -1.502642035484314, 0.5978183746337891, -0.7154564261436462, 0.8238639831542969, -0.41459110379219055, 0.7655757069587708, -0.49117621779441833, -1.1264246702194214, -0.928921639919281, 1.3321276903152466, -0.13094016909599304, -0.3726303279399872, -0.33257409930229187, -0.09361366182565689, 0.4408915936946869, 0.3410758674144745, -0.022347334772348404, 0.4115217626094818, -0.6599908471107483, -0.2849258780479431, -0.24055247008800507, 1.2313297986984253, -1.1095352172851562, -0.5601771473884583, -0.04215430095791817, -0.21067103743553162, -0.10475891083478928, 0.8858351707458496, -0.31710779666900635, -0.6232426166534424, 0.27831360697746277, -0.27919885516166687, -0.0925418809056282, -1.2019333839416504, -1.304365634918213, 1.2351120710372925, 0.0016244476428255439, 0.6335265040397644, 0.8388094902038574, 0.49254661798477173, -0.6502161026000977, -0.4457355737686157, -0.5603475570678711, 0.1550043672323227, -1.314314603805542, 0.5290281772613525, -0.941838800907135, -0.18311060965061188, -0.5378406643867493, 1.1145881414413452, 0.10171039402484894, -0.21988222002983093, -0.1380213052034378, -0.011286099441349506, 0.023531870916485786, 0.6022434234619141, -1.1921215057373047, 0.22875045239925385, -0.01494803000241518, 0.23426175117492676, -1.4385409355163574, -0.34959524869918823, 0.3365592658519745, -1.8478533029556274, 0.2796461880207062, 0.503355860710144, 0.1540427953004837, 0.6478159427642822, -1.3024377822875977, 0.4431374669075012, 0.15462364256381989, -1.2289094924926758, 1.236195683479309, 0.33405229449272156, 0.28824666142463684, 0.2210092693567276, -0.7712000608444214, 0.6214702129364014, 0.6913279294967651, -0.4020176827907562, -0.1740458607673645, 0.06234710291028023, 0.5395631194114685, -0.03081696480512619, -0.19647346436977386, -1.521362066268921, -1.5186998844146729, 0.034618575125932693, 0.04007672518491745, 0.3531225025653839, -0.8279932737350464, 0.13048318028450012, 0.7326161861419678, -0.5929358005523682, 0.4501173496246338, 1.1428320407867432, -0.27968093752861023, 0.8271294236183167, 0.3942694067955017, 0.5096662044525146, 0.4756677448749542, 0.5482732653617859, 0.2607273459434509, 0.3673355281352997, 0.22984302043914795, 0.636610209941864, -0.5462310314178467, -0.8373040556907654, -0.41390931606292725, 0.4898824095726013, -1.2394076585769653, -0.6905844211578369, 1.2344576120376587, 0.05298250541090965, 0.07089371234178543, -0.10643724352121353, -1.0467958450317383, -0.3803757131099701, 0.11502715200185776, -0.3714117705821991, -0.6109277009963989, 0.5519635081291199, -0.15232202410697937, 0.38438111543655396, 0.21552123129367828, -0.08526623994112015, -1.0669995546340942, 0.7850996255874634, 0.4723960757255554, 1.1038283109664917, 1.8039653301239014, 0.032216887921094894, 0.5175629258155823, 0.36000120639801025, 0.3855150043964386, 0.8268313407897949, -0.8972147107124329, -0.015089612454175949, 0.870965838432312, 0.35922226309776306, -0.2527792155742645, -0.13404366374015808, -0.8024325370788574, -0.20981468260288239, 1.404218077659607, -0.9983504414558411, 0.5588955283164978, 0.15686480700969696, 0.15578214824199677, -0.5690481662750244, -0.4135986566543579, -1.04994535446167, 0.2822502553462982, -1.4627742767333984, 2.401064157485962, -0.9887886047363281, -1.6669025421142578, 0.8783507347106934, 1.3791077136993408, 0.6025897860527039, 0.018355267122387886, 0.9087168574333191, -0.8248552083969116, 0.4168480634689331, -0.4447179138660431, -0.8574435114860535, 0.9106355309486389, -1.1677026748657227, 0.6384913921356201, 0.13151375949382782, -0.2564953565597534, 1.3738187551498413, 0.12123863399028778, 0.1804218739271164, -1.2188581228256226, -0.5645017027854919, -1.8745321035385132, 1.0649491548538208, 0.34967041015625, -1.0863033533096313, 0.41578516364097595, 0.21046359837055206, 0.9913603067398071, -0.4446254372596741, 0.6571085453033447, -0.7156909108161926, -2.007905960083008, 0.044894423335790634, 0.3223302960395813, -1.2668519020080566, 2.056999683380127, 0.8162426352500916, -0.18870072066783905, 0.6448373794555664, -1.0328401327133179, 1.3251677751541138, 0.20088312029838562, 0.5064294934272766, 0.8542078137397766, 1.6397721767425537, 0.259206086397171, 0.1340528279542923, -0.23401065170764923, -0.6675623655319214, 4.176807880401611, 1.3770577907562256, -0.014992832206189632, -1.9890071153640747, -0.0695340558886528, -0.8212714195251465, 0.08878027647733688, -0.30963581800460815, 0.2846655547618866, 1.0004005432128906, 0.22090531885623932, 1.0874532461166382, 0.2906549274921417, -0.43742015957832336, 0.10999459028244019, 0.09784038364887238, -0.4436808228492737, -0.5574506521224976, 1.1795685291290283, 0.2132425755262375, 0.33318009972572327, -0.2865802049636841, -1.1899923086166382, -1.6640510559082031, 0.9381948709487915, -0.9132001996040344, 0.375298410654068, -0.7185743451118469, 1.5111072063446045, 0.4785388112068176, -0.5066637396812439, 0.28620484471321106, 0.41551756858825684, 0.002047836547717452, 0.6495867967605591, 0.324758917093277, -0.8132119178771973, 0.2633940577507019, -0.5656353235244751, -0.04917275905609131, -0.02571992389857769, -0.012269285507500172, -0.7913811206817627, 0.27053025364875793, -0.4392819404602051, -0.12189621478319168, -0.24962900578975677, -1.0374196767807007, 0.01198831107467413, 0.2024088352918625, -0.12740425765514374, 0.6680285930633545, -0.7202296257019043, -1.0429795980453491, -1.5760539770126343, 0.16848988831043243, 0.41964226961135864, -0.09872550517320633, -0.7942421436309814, -0.5968856811523438, 0.046165648847818375, -0.3857629895210266, 1.2968268394470215, 0.4956628680229187, 0.9198446273803711, 0.29553207755088806, -0.9997016787528992, 1.9367809295654297, -0.04106133431196213, -0.6218258142471313, -0.698859691619873, 0.3336575925350189, -0.4153784215450287, 0.09867151826620102, 0.2691631615161896, 0.7285786271095276, -0.800121545791626, 0.5718073844909668, -0.9073573350906372, -0.7329503297805786, -1.3042405843734741, 0.04958290234208107, 0.04064588621258736, -0.3654307723045349, -0.8273294568061829, -0.13953198492527008, -0.36440807580947876, 0.20685061812400818, 0.6121566891670227, 0.1690395325422287, -0.4960925281047821, 1.9370476007461548, 0.23922644555568695, -1.307229995727539, -0.3960551917552948, 1.0973058938980103, -0.9946632385253906, -0.2830858528614044, -1.1670950651168823, 1.6758887767791748, -0.259684681892395, -0.09136226028203964, -0.1900148242712021, 1.2348006963729858, -0.9565907716751099, 0.22470678389072418, 0.9619982838630676, 0.11650563776493073, -0.432894229888916, 0.4007493853569031, 0.8604538440704346, -0.6291162371635437, -0.6495345830917358, -0.5962705612182617, -0.6139347553253174, 0.26018843054771423, -1.1933766603469849, -0.415731817483902, 0.1770903617143631, -0.7986014485359192, 0.26835790276527405, 0.5378267168998718, 0.2329142987728119, -0.35750049352645874, -0.06975437700748444, 0.24461780488491058, -0.3786163628101349, -0.17058277130126953, -0.22674748301506042, -0.04635188728570938, 0.042285360395908356, 0.13343629240989685, -0.06450886279344559, 0.40862011909484863, -0.8951808214187622, -0.41737043857574463, -0.32830336689949036, 1.0029584169387817, -0.443094938993454, 0.0634828582406044, 0.908437192440033, -1.074506163597107, -0.4332709014415741, 1.8067482709884644, -0.5018488168716431, -0.15614211559295654, 0.0023203257005661726, -0.20145155489444733, 1.2635058164596558, 0.701815128326416, -0.6971806883811951, -0.21453653275966644, 0.4869726896286011, 0.763857901096344, 0.09675545990467072, 0.8298810720443726, 0.42284274101257324, -0.5347836017608643, -0.46545085310935974, 0.8230592608451843, -0.13993124663829803, 0.19357390701770782, 0.7119154334068298, -0.9829713702201843, 0.27020129561424255, -0.00972085352987051, -0.8310704231262207, 0.2618216276168823, -0.16818231344223022, 0.2163391262292862, 0.299855500459671, -0.004962348844856024, 1.2217400074005127, 0.5424855351448059, -1.4009572267532349, -0.35647860169410706, -0.3096452057361603, -0.6164623498916626, 0.4332815706729889, -0.6702764630317688, 0.43736788630485535, 0.9485712051391602, -1.4643826484680176, 0.13128121197223663, -0.44003409147262573, -0.008286296389997005, -1.5051798820495605, 0.7650665640830994, 0.018520835787057877, 0.2503664791584015, 0.16112872958183289, 0.5063705444335938, 0.8291530013084412, 0.029453063383698463, -0.3942297399044037, -0.05922355875372887, 0.640515148639679, 0.7981201410293579, -0.3446568548679352, -0.7428609728813171, 0.12987422943115234, -0.9495213627815247, -1.0883128643035889, 0.08330512791872025, -1.2861570119857788, -0.06943140178918839, -0.0897800624370575, 1.1216709613800049, 0.52570641040802, 0.42943018674850464, 0.27236324548721313, -0.5278908610343933, 0.3821589946746826, -0.6805409789085388, -0.03938458487391472, 0.39072999358177185, -0.960142195224762, -0.044429004192352295, 0.06344708800315857, 0.5883550047874451, -0.060446593910455704, 0.881083607673645, -1.121975064277649, -0.11459755897521973, -0.19245268404483795, 0.03901519998908043, 0.8648799061775208, -1.059323787689209, -0.4554292559623718, -1.3245347738265991, 1.2669694423675537, 0.22800672054290771, 0.3114645183086395, 1.104843258857727, 0.3155762553215027, -0.9169673323631287, -0.3550017178058624, -0.3215813934803009, 0.3308994174003601, -0.7310313582420349, -0.1169532760977745, -0.08782514929771423, -0.07318782806396484, 0.929451048374176, 0.07491472363471985, 0.0006769783794879913, -0.25102823972702026, 1.3041929006576538, -0.3876885771751404, -0.5005049109458923, -0.4878902733325958, -0.3736417591571808, 1.3468716144561768, -0.5724359750747681, 1.3741427659988403, 2.107522964477539, 0.9716917872428894, -1.2113189697265625, -1.23360276222229, 0.04542912542819977, 0.8497942090034485, 0.5686833262443542, 0.16406695544719696, 0.3573246896266937, -1.5207856893539429, 0.495522677898407, -0.10157063603401184, 0.7021163702011108, 0.13056816160678864, -0.2777180075645447, -0.0885663777589798, 0.1688603311777115, 0.9899489879608154, -0.04082941636443138, -0.2809830904006958, 0.4602992832660675, 0.3441668152809143, 0.28985992074012756, -0.4586465358734131, 0.19135986268520355, -0.4113938808441162, 0.5687419772148132, -0.6329379081726074, 1.3004099130630493, -0.1182020902633667, -0.28969013690948486, 0.42605310678482056, 0.07204800844192505, -1.4763952493667603, 1.0547212362289429, 0.4073319137096405, 0.3771117329597473, -0.3520648777484894, -0.5588012337684631, 1.153977394104004, -0.9429569840431213, 0.3169104754924774, 0.40996870398521423, -0.6218975186347961, 0.13431024551391602, 0.6903079748153687, 0.03678906336426735, 1.0078215599060059, 0.25272685289382935, 0.4753224849700928, -0.7081372141838074, -0.7634415030479431, 0.35168197751045227, 0.5467037558555603, 0.5581733584403992, -0.5179494619369507, -0.1778833419084549, 0.3000226616859436, -0.6552315950393677, 1.134701132774353, -0.17459768056869507, 1.053948998451233, 0.7008148431777954, -0.5421244502067566, 0.04782719537615776, -0.532178521156311, -1.1736128330230713, 0.31741243600845337, -0.20048606395721436, 1.7217180728912354, -0.43687060475349426, -0.08930888026952744, -0.9362146258354187, 0.8901483416557312, 0.07163936644792557, 0.11208877712488174, -0.3156033754348755, 0.2832358777523041, 0.1954067051410675, -0.6229859590530396, -0.8337060809135437, 0.056429024785757065, 1.554420828819275, -0.6633124351501465, 0.22195817530155182, 0.16808240115642548, 0.9239530563354492, -0.36835145950317383, -0.22541996836662292, -1.8784257173538208, -1.0402791500091553, 0.7542241811752319, 0.19472713768482208, 0.4704951345920563, -0.19810181856155396, -1.8596090078353882, -0.6293619275093079, 0.976616382598877, -0.08025465160608292, -0.723988950252533, -1.3001559972763062, 0.4201951324939728, 0.9295545220375061, 1.2630459070205688 ] ]
{ "indices": [ 3076736765, 1063320047, 4186256544, 1793137844, 4150915742, 3743430521, 640124220, 3530670207, 2689951346, 3021954015, 1041011349, 1971389377, 2257684172, 1706587157, 2207927533, 3967169986, 3005552705, 286434387, 3676260520, 2484513939, 4094582072, 358389376, 3449948193, 437367475, 930883039, 1296157733, 2840212248, 2405637697, 1284918442, 3062174764, 2132027491 ], "values": [ 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.7107036060130572, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.7107036060130572, 0.8037074758461079, 0.7661119630911921, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703 ] }
{ "context": "So the only things that we're going to talk about in our class would use the 0, 0 input for DR MUX. But that MUX is there, so just to explain it. One can also pick different values for source register 1. So source register 1 is the, remember there are two registers you can read from the register file in any given cycle. So this is the left side in the diagram. So source register 1 is then used by both the ALU and the address 1 MUX." }
840260
[ [ -0.6986023187637329, -0.329662024974823, -0.0664270669221878, 0.06308948248624802, 0.06629975140094757, -0.04698634147644043, 0.07604897767305374, 0.6212055683135986, 0.7248703241348267, -0.365325003862381, 0.3979334831237793, 0.9147484302520752, 1.1473852396011353, -0.16804096102714539, 0.5706272125244141, 0.17800787091255188, 0.886175274848938, 0.22848422825336456, -1.397849678993225, -0.2833382785320282, 0.2774474620819092, -0.958866536617279, 0.2594343423843384, -0.5967012047767639, -0.08105537295341492, 0.20532453060150146, 0.29060855507850647, -0.988684356212616, 0.1570243388414383, 0.42201799154281616, 0.11588159203529358, -1.6345659494400024, 0.7780819535255432, -0.45109570026397705, 0.9057142734527588, 0.22932925820350647, 0.04494773969054222, -0.6620696187019348, -0.31297242641448975, 0.3154340386390686, -0.41881993412971497, 0.6084285378456116, -0.09687608480453491, 0.7893381118774414, -0.04189474880695343, 0.300278902053833, -0.3594200015068054, 0.7451232075691223, 0.726946234703064, -1.0989046096801758, 1.487383484840393, -1.0582603216171265, 0.8790808916091919, 0.6396369934082031, -0.2202267050743103, 0.4058365821838379, 1.1787699460983276, 1.5675243139266968, 0.06741724163293839, -0.6376069784164429, -0.6737397909164429, -0.3900919556617737, 0.5210366249084473, -0.2343410700559616, -0.7992947697639465, -0.6011084318161011, 0.8212099671363831, -0.3256729245185852, 0.184589222073555, 0.07169938087463379, -0.9678217172622681, -0.20029105246067047, 0.09600541740655899, 0.7788180708885193, 1.0742509365081787, -1.0081285238265991, 0.8405439853668213, -0.34112831950187683, 0.3626295030117035, 0.9924913048744202, -0.8186101913452148, 0.27386629581451416, -1.6364655494689941, 1.076144814491272, 0.19250355660915375, -0.6807506680488586, 2.2340707778930664, -0.19353920221328735, -0.03681341931223869, 0.4103369414806366, 0.10488049685955048, -0.3261755108833313, 1.0729411840438843, -0.5247029066085815, -0.41018810868263245, 0.7714290618896484, 0.412872314453125, 0.22224700450897217, -0.48317059874534607, -0.4787175953388214, 0.3865954875946045, -0.7805455327033997, 0.6619712710380554, 1.3291304111480713, -0.2463494837284088, 0.12839803099632263, -0.0020557045936584473, -0.16568467020988464, -0.7292667031288147, 0.7514787912368774, -0.42076799273490906, 0.5053348541259766, -0.9566966891288757, 1.2706892490386963, 0.11199118942022324, -0.4126429855823517, -0.8727497458457947, -0.05445006862282753, 0.8829641938209534, 0.9168521165847778, 1.6725091934204102, -0.328629732131958, 0.41213494539260864, -0.8377987146377563, -1.5138570070266724, 1.2029579877853394, -0.21771550178527832, 0.0825154036283493, -0.558573842048645, 0.5848574638366699, -0.17554160952568054, 0.0869884043931961, 0.1465875208377838, -0.44214293360710144, -0.3716834485530853, 0.7207973003387451, -1.6978394985198975, -1.68613862991333, 0.30606338381767273, 0.5452576875686646, 0.052514418959617615, -1.7808171510696411, -0.5423415303230286, 0.8617038726806641, 0.8887395858764648, 0.37963756918907166, 0.6162558197975159, 0.7411788702011108, -0.17609195411205292, 0.3721224069595337, -1.0826160907745361, -0.08129797130823135, -1.6041926145553589, -0.19797232747077942, -0.1063365787267685, -0.21164388954639435, -0.13710059225559235, 0.8997265100479126, -0.4072628319263458, -0.4878525137901306, 1.0917879343032837, -0.5560413002967834, -0.07199271023273468, -0.010462728329002857, -0.2820673882961273, -0.5971832275390625, 1.8469969034194946, -0.48859959840774536, 0.8703244924545288, -0.20520101487636566, -0.09800279885530472, 0.15171578526496887, -0.27804747223854065, 0.2925073504447937, 1.7137163877487183, -0.45988425612449646, 0.3238357603549957, -1.3301539421081543, -0.47197285294532776, -0.5855428576469421, -0.5989934206008911, -0.38914746046066284, 0.5350853204727173, 0.2553982138633728, -0.4769928753376007, 0.572247326374054, -0.6217479109764099, 0.7314067482948303, 1.6117913722991943, -1.1644984483718872, -0.4013434648513794, -0.6951865553855896, 0.6765366792678833, -0.4834549129009247, -1.0512113571166992, -0.44707489013671875, -0.18153977394104004, -0.07665877044200897, 0.6384610533714294, -0.15948563814163208, -0.5648545026779175, 0.419895201921463, 0.902702808380127, -0.5170745253562927, 0.16491390764713287, 0.5436761975288391, 0.5614283084869385, -0.7392223477363586, 0.7949177622795105, -1.1090967655181885, -0.03951205313205719, 0.5834571123123169, 0.025164466351270676, 0.13641822338104248, -0.17581500113010406, -0.15945295989513397, -0.4437834620475769, 0.6816332936286926, 0.033974841237068176, 0.2766300439834595, -1.1823515892028809, 1.116074800491333, 2.2043209075927734, 0.5901740789413452, 1.0108860731124878, 1.2797242403030396, 0.15589216351509094, -0.4887406826019287, 0.31843727827072144, -0.2703603506088257, -0.9036344289779663, -1.6677637100219727, 0.0014857891947031021, 0.004308535251766443, -0.23084697127342224, 0.02605263516306877, 1.0912423133850098, 0.5561032295227051, 1.0357511043548584, -0.013790545985102654, -1.2713919878005981, 0.7463287711143494, 0.5603617429733276, 1.1550260782241821, -0.004288058262318373, 0.6881389021873474, 0.7989215850830078, 1.1291756629943848, -0.055163249373435974, 0.19702765345573425, -0.42047932744026184, 0.7194899320602417, 0.544795572757721, -0.024895058944821358, 0.2875507175922394, -1.0907390117645264, 0.7251248359680176, -0.7196755409240723, 0.10676219314336777, 0.9203786849975586, -0.06935584545135498, -0.2956652343273163, -0.28367847204208374, -0.3307206332683563, 0.09755916148424149, 0.5719282627105713, -0.09806356579065323, -0.7527933120727539, 0.8620601296424866, -0.36564913392066956, 1.3592345714569092, 0.04831959307193756, -0.5468325018882751, -1.092308759689331, -0.16746418178081512, 0.4826508164405823, -0.2516251504421234, 1.8587757349014282, -1.4808416366577148, -0.4008777439594269, -0.2787401080131531, 0.15254443883895874, 0.5605224370956421, 0.1867447942495346, -1.5809943675994873, 0.16667792201042175, 0.10813929885625839, -1.326053500175476, 0.9080775380134583, -0.12005099654197693, -0.6955865025520325, 0.6690166592597961, 0.06097833812236786, -0.7863307595252991, -0.7359760999679565, -0.18395869433879852, 0.2289324849843979, 0.08709836006164551, -0.40508073568344116, 0.8904865980148315, 0.4629404842853546, -1.7673306465148926, 0.359829843044281, -0.4182412028312683, -0.17269295454025269, 0.5196034908294678, -0.2530216872692108, -0.18115542829036713, -0.31384798884391785, 0.6445760130882263, 0.24220725893974304, -0.2163814753293991, -0.4266490638256073, -0.9309638738632202, -1.4690008163452148, 0.043988220393657684, 0.08742599189281464, 0.7717790603637695, -0.5218703746795654, 0.21726426482200623, 1.2375785112380981, 0.8229723572731018, -0.8469127416610718, -0.46733808517456055, 0.09119514375925064, -0.6548097729682922, -0.8113506436347961, -0.3661481738090515, 1.1828142404556274, 0.04475520923733711, 0.5360552668571472, 0.2654978334903717, 0.8031860589981079, 1.4035125970840454, -0.1425725668668747, -0.7773410677909851, -0.014360867440700531, 0.749846875667572, -0.8363007307052612, -0.28714826703071594, 0.5470464825630188, 0.1820923537015915, 0.6582323312759399, -0.3715381920337677, 0.40834981203079224, 0.6064708828926086, -0.5690029263496399, 0.14003676176071167, 0.20617417991161346, 0.9821161031723022, -0.16165472567081451, -0.07768963277339935, -0.09265412390232086, -1.4099023342132568, 0.004596501123160124, 0.6807805299758911, 1.439407467842102, -1.089290738105774, -0.1756552755832672, 0.9866964817047119, 0.41924384236335754, -0.6254921555519104, 0.016036154702305794, 0.3746386170387268, -0.7661652565002441, 0.34547480940818787, -0.06169513985514641, 0.003914847504347563, 0.6726630330085754, -0.4943535625934601, 0.7458545565605164, 0.35445791482925415, -0.35890159010887146, 0.16380110383033752, -1.1706843376159668, -0.556529700756073, 0.7938736081123352, 0.6746593713760376, 0.18777456879615784, 1.239160180091858, -0.11918562650680542, -0.5699872970581055, -0.5967634320259094, -0.13234028220176697, -1.7741613388061523, 0.3456261456012726, -0.009995521046221256, 0.5206713676452637, 0.4711648225784302, -0.10833888500928879, 0.6890949606895447, -0.9157512187957764, -1.5228723287582397, -0.04376135766506195, -0.3899235427379608, -0.9747645258903503, 0.31450197100639343, -0.030085330829024315, -0.45607802271842957, -0.2905207872390747, 1.1330106258392334, 1.8141372203826904, 0.2847875952720642, 0.1538943499326706, 1.3915351629257202, 0.31862521171569824, -0.5209842324256897, -0.5369356870651245, 0.3158256411552429, -0.38562536239624023, 1.7215224504470825, 0.0398072749376297, 0.8661748766899109, -0.7074793577194214, -0.35653138160705566, 0.8236322999000549, 0.32836994528770447, 0.48333269357681274, 0.06701809167861938, 0.25585442781448364, -0.5927051901817322, -0.8437026143074036, -0.052702248096466064, 1.0616849660873413, 0.6589933037757874, 1.2066712379455566, 1.1137595176696777, 0.13295510411262512, -0.40049412846565247, 0.8467134237289429, 0.5871663093566895, 0.2577412724494934, -0.19835877418518066, -1.1549016237258911, -0.23458686470985413, 0.6214790344238281, -0.06276088207960129, 0.7785563468933105, 0.5690445899963379, -0.15329626202583313, -0.2511390745639801, -0.011527723632752895, -0.2594209313392639, -0.6200966238975525, 0.6731218099594116, -0.316243976354599, 0.2656933069229126, 0.45010262727737427, 1.0315064191818237, 1.3503655195236206, 0.2945457696914673, -0.7982823252677917, -0.3537892699241638, -0.9195405840873718, -2.6715469360351562, -0.03089415654540062, 0.49912041425704956, 0.7577875256538391, -0.5967564582824707, -0.07994353771209717, -0.8733051419258118, -0.973140299320221, -0.30942076444625854, -0.6296014189720154, 1.103674054145813, -0.22243402898311615, 0.9171516299247742, -0.04755496606230736, -1.0523037910461426, -1.4356054067611694, 1.3114300966262817, -0.6421896815299988, -0.4222744107246399, -0.6199524402618408, 1.1208868026733398, 0.12903030216693878, 0.3764372766017914, -0.22777079045772552, 0.3203960061073303, -0.8810285329818726, 0.13864514231681824, 0.16690021753311157, 1.5164053440093994, -0.9911797642707825, -0.878746747970581, 0.08123551309108734, 0.35094600915908813, 0.15324881672859192, 0.8837043046951294, -0.21151569485664368, -0.822603166103363, 0.5790570974349976, -0.17890693247318268, 0.2335509955883026, -0.824009895324707, -1.409837007522583, 1.5210500955581665, 0.45701077580451965, 0.25748205184936523, 0.923788845539093, 0.7318919897079468, -0.785179078578949, -0.2959248423576355, -0.3031576871871948, 0.8543859124183655, -0.9479029774665833, 0.45486193895339966, 0.1538565754890442, 0.03803854063153267, -0.36604225635528564, 1.2789747714996338, -0.4273553788661957, 0.20537559688091278, 0.007931689731776714, -0.05276792123913765, -0.7336732745170593, 0.8878523111343384, -0.808329701423645, -0.42230361700057983, -0.0684746578335762, -0.36118894815444946, -1.267401933670044, -0.38269561529159546, 0.3216525912284851, -2.1630210876464844, 0.5277038812637329, 0.7295161485671997, 0.17802050709724426, 0.39695701003074646, -1.9190491437911987, 0.42869287729263306, -0.04623842239379883, -1.2178089618682861, 0.7438175678253174, 0.18024678528308868, -0.08565664291381836, -0.19668462872505188, -0.6860442161560059, 0.059866100549697876, 0.6051872968673706, -0.03779371455311775, 0.08740634471178055, 0.8389869928359985, 0.7101656198501587, -0.21485011279582977, -0.45797616243362427, -1.7121760845184326, -0.5240076780319214, -0.2842601239681244, 0.2868232727050781, 0.2961137890815735, -0.1164626032114029, 0.012888895347714424, 0.5816847681999207, -0.93343585729599, -0.2752539813518524, 0.6297436356544495, -0.5857422947883606, 0.5080285668373108, 0.49849727749824524, -0.0655067190527916, 0.18593382835388184, 0.8850511312484741, 0.827713131904602, 0.08219727873802185, -0.08486612141132355, 1.018064260482788, -0.838377058506012, -1.4789015054702759, -0.3810591399669647, -0.20803186297416687, -0.830634593963623, -0.32076671719551086, 1.5084202289581299, 0.06544265896081924, 0.3580017685890198, -0.005017655901610851, -0.47926580905914307, -0.49318161606788635, 0.300427109003067, -1.0809742212295532, -0.3366238474845886, 0.678791880607605, -0.051871538162231445, 0.2001771181821823, -0.025831809267401695, -0.26623839139938354, -1.2356990575790405, 0.7122265100479126, 0.034700214862823486, 0.7450046539306641, 1.6728155612945557, 0.31492146849632263, -0.25810152292251587, 0.3501661419868469, 0.3019096255302429, 0.6572709679603577, -0.7299849987030029, 0.4571972191333771, 0.9187039732933044, 0.08244851231575012, -0.1387683004140854, 0.13408535718917847, -1.1681329011917114, 0.5728612542152405, 1.379372477531433, -1.1150035858154297, 0.34427034854888916, 0.16169026494026184, -0.12059417366981506, -0.5714109539985657, -0.09463950991630554, -1.09969162940979, 0.011385280638933182, -1.2342636585235596, 2.267728805541992, -0.8050251603126526, -1.5406343936920166, 0.24058115482330322, 1.604278326034546, 0.26180267333984375, -0.04406232014298439, 1.080402135848999, -0.4702518582344055, 0.6391624808311462, -0.7675853371620178, -0.5175563097000122, 0.8995176553726196, -1.6766964197158813, 0.7514018416404724, 0.04146023839712143, -0.0008003012626431882, 0.4409315586090088, 0.17804095149040222, -0.23849420249462128, -1.7843937873840332, -1.0273998975753784, -1.243818759918213, 1.344184160232544, 0.24515828490257263, -0.8134644031524658, 0.15418970584869385, 0.014292907901108265, 0.9550223350524902, -0.45352688431739807, 0.5993788838386536, -0.7243990302085876, -1.8878052234649658, -0.18327277898788452, -0.4893740117549896, -1.214658498764038, 1.8970823287963867, 0.40896105766296387, -0.02887679822742939, 0.48185908794403076, -0.7270585894584656, 1.3438304662704468, -0.14722397923469543, 0.5533123016357422, 0.7320222854614258, 1.7318475246429443, -0.32913729548454285, 0.4040781557559967, -0.15741810202598572, -0.041129402816295624, 4.272809982299805, 1.561129093170166, -0.25347137451171875, -1.9617928266525269, -0.9756237864494324, -0.5818946361541748, 0.033143382519483566, -0.19354692101478577, 0.4407685697078705, 0.5487983822822571, 0.23592445254325867, 0.9069642424583435, 0.316376656293869, -0.10279390215873718, 0.5336928963661194, 0.033256951719522476, -0.6429545879364014, -0.6236616373062134, 0.7264626622200012, 0.5536712408065796, 0.3318038880825043, -0.2736726999282837, -1.2556374073028564, -1.2098249197006226, 0.8539038300514221, -1.0021740198135376, 0.35871946811676025, -0.4384579062461853, 0.9448248147964478, 0.3300299346446991, -0.6922854781150818, 0.312765896320343, 0.18207840621471405, 0.06996506452560425, 0.645838737487793, 0.8004250526428223, -0.1083342656493187, 0.13209591805934906, -0.5678848028182983, 0.33288297057151794, 0.17163868248462677, -0.5546725988388062, -0.5077877640724182, 0.4578685760498047, -0.5980018973350525, -0.2607267498970032, -0.4504525065422058, -0.6048762798309326, 0.10086202621459961, 0.4360302686691284, 0.39428743720054626, 0.5989807844161987, 0.0014019098598510027, -1.213657259941101, -1.3483091592788696, 0.5397983193397522, 0.5971125960350037, 0.0026554774958640337, -0.8618969917297363, -1.129117488861084, 0.36449089646339417, -0.5293794870376587, 0.7497054934501648, 0.5038129687309265, 0.6130626201629639, 0.3996102213859558, -1.555777668952942, 1.5715956687927246, -0.2743813395500183, -0.49529871344566345, -0.03525649756193161, 0.24112385511398315, -0.20118512213230133, -0.2873552441596985, 0.3274066150188446, 0.70772385597229, -1.7659881114959717, 0.33371275663375854, -0.17091460525989532, -0.5002009868621826, -0.7044559717178345, -0.4206877052783966, 0.13842003047466278, 0.019653474912047386, -0.6199008226394653, -0.3092253506183624, -0.15150989592075348, 0.31699883937835693, 0.03388639912009239, 0.22958868741989136, -0.6257263422012329, 1.5817242860794067, 0.36287030577659607, -1.721091389656067, 0.17765703797340393, 0.8023571372032166, -0.4574959874153137, 0.2481747567653656, -0.767245352268219, 2.0506701469421387, -0.6304454803466797, -0.7498555183410645, -0.038277268409729004, 0.9299405217170715, -0.4775314927101135, 0.19496066868305206, 1.1197917461395264, -0.3731790781021118, 0.10875137150287628, 0.1550416499376297, 0.005486688576638699, -0.1731714904308319, -0.5155665278434753, -0.5854109525680542, -0.35240575671195984, -0.12870202958583832, -0.6085227131843567, -0.3806036412715912, 0.6316825151443481, -0.3691430389881134, -0.015640737488865852, 0.1983899623155594, -0.26680296659469604, -0.00753870839253068, -0.21306703984737396, 0.4834071695804596, -0.11710911989212036, 0.06641685962677002, -0.6077304482460022, 0.4252423048019409, -0.1927112340927124, 0.21625354886054993, -0.10774008929729462, 1.1290174722671509, -0.8625219464302063, -0.4704199731349945, 0.07652509212493896, 0.5242583155632019, -1.0541051626205444, 0.1185590997338295, 1.0405651330947876, -1.1551209688186646, -0.14504367113113403, 2.1986711025238037, -0.7467585802078247, 0.41539451479911804, 0.44103574752807617, -0.26087379455566406, 0.6953462362289429, 0.713438868522644, -1.180486798286438, -0.16243331134319305, 0.8930764198303223, 0.42648589611053467, -0.4297997057437897, 0.44601336121559143, 0.16470468044281006, 0.10565581172704697, -0.055201224982738495, 0.8998228311538696, 0.127755269408226, 0.5932349562644958, 0.4156874418258667, -1.273963212966919, 0.7759533524513245, 0.27246785163879395, -0.3920131027698517, 0.27917325496673584, -0.20961059629917145, 0.10392226278781891, -0.3320370018482208, 0.1660388559103012, 0.44065409898757935, 0.5086401700973511, -1.0721402168273926, -0.7222681045532227, -0.1440403312444687, -0.2606109082698822, 0.21195930242538452, -0.9338202476501465, -0.020125601440668106, 0.6806160807609558, -0.9274994134902954, -0.21960902214050293, -0.6988116502761841, 0.7039644122123718, -1.7652912139892578, 0.318843811750412, -0.268843412399292, 0.5502504110336304, 0.02322324551641941, 0.04859146475791931, 0.880415678024292, 0.055449120700359344, -0.45304208993911743, -0.9927160739898682, 0.902483344078064, 0.7651987075805664, 0.18149736523628235, -0.7618058919906616, 0.6871817708015442, -0.7703067660331726, -0.9734107851982117, 0.023959102109074593, -1.0404999256134033, -0.014803260564804077, 0.04196767508983612, 0.713087260723114, 0.27398693561553955, 0.43032190203666687, 0.44369733333587646, -0.29545867443084717, 0.2665727138519287, -0.8303974270820618, 0.10423624515533447, 0.3905271887779236, -0.6649160385131836, -0.1767050325870514, 0.22341278195381165, 0.41171520948410034, -0.19828151166439056, 1.1126093864440918, -0.9859511852264404, -0.4051862359046936, 0.1009005606174469, -0.007961809635162354, 0.9363234043121338, -0.769417941570282, -0.582340657711029, -1.703986644744873, 0.8801832795143127, 0.16690397262573242, -0.19980478286743164, 0.2726176977157593, 0.2779988646507263, -1.398838996887207, 0.06463490426540375, 0.37668126821517944, 0.4076351821422577, -0.7934553027153015, -0.5143390893936157, -0.2317221462726593, 0.0881974920630455, 0.6950505375862122, -0.6088706254959106, 0.3440425395965576, -0.6939465999603271, 0.8150105476379395, -0.24177323281764984, -0.4747600853443146, -0.45589104294776917, -0.9763056635856628, 0.9413947463035583, -1.3798909187316895, 1.3506766557693481, 1.9416958093643188, 0.5615701675415039, -1.0684356689453125, -1.070961356163025, -0.49127793312072754, 0.9202473163604736, 1.2420910596847534, -0.15380533039569855, 0.22585102915763855, -1.6081682443618774, 0.6202656626701355, -0.5113933682441711, 0.16171999275684357, 0.011215214617550373, -0.16462178528308868, 0.18148647248744965, 0.29609963297843933, 0.6233474612236023, -0.1325751543045044, -0.2122831493616104, 0.853485643863678, -0.055324066430330276, 0.5940884947776794, -0.3658809959888458, 0.3137842118740082, 0.12559661269187927, 0.22516687214374542, -0.14096447825431824, 1.345716118812561, 0.17639505863189697, 0.12562735378742218, 0.32672423124313354, -0.4890860915184021, -1.5001994371414185, 0.8975289463996887, -0.2585705518722534, 0.5135758519172668, -0.21683280169963837, -0.4251492917537689, 0.9944669008255005, -0.9348517656326294, 0.4738242030143738, 0.5197511911392212, -0.3425658643245697, 0.349008172750473, 0.8554813265800476, 0.4083489179611206, 1.4949898719787598, 0.18193954229354858, 0.11301738023757935, -0.7724810242652893, -0.7904402017593384, 0.605394721031189, 0.07551486045122147, 0.13646753132343292, -0.5190114974975586, -0.13390330970287323, 0.6771554946899414, -0.37893247604370117, 1.3695684671401978, -0.20307862758636475, 1.287285327911377, 0.2557685673236847, 0.0006012313533574343, 0.018118510022759438, -0.5195737481117249, -0.8738349676132202, 0.30976590514183044, -0.25462546944618225, 1.2857646942138672, -0.9364848136901855, -0.3897673487663269, -1.5260248184204102, 0.3640327453613281, -0.18718242645263672, -0.3647069036960602, 0.15424348413944244, 0.1253703534603119, -0.4144507050514221, -0.5283073782920837, -0.49893003702163696, 0.37229499220848083, 1.5711534023284912, -1.1682325601577759, 0.6000751256942749, 0.7795644998550415, 1.2583547830581665, 0.15310482680797577, -0.6399064064025879, -1.5151914358139038, -0.505338728427887, 0.09672903269529343, -0.029009656980633736, 0.8149101734161377, -0.5828568339347839, -2.011518955230713, 0.050216469913721085, 0.7323843240737915, -0.09857621788978577, -0.9909002780914307, -1.294810175895691, 0.5032216906547546, 0.409763902425766, 0.973995566368103 ] ]
{ "indices": [ 2840212248, 2405637697, 1284918442, 286434387, 3676260520, 2484513939, 640124220, 3062174764, 2132027491, 1041011349, 3371021539, 1786548735, 3172858508, 3541586099, 3009698197, 1491351846, 3377905009, 1236542976, 3895703848, 1590456296, 1430088939, 4051235863, 2689951346, 691409538, 3655990660, 2194093370, 2067848296, 1551089265 ], "values": [ 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.6504870267441352, 0.6504870267441352, 0.6504870267441352, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.6504870267441352, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456 ] }
{ "context": "So this is the left side in the diagram. So source register 1 is then used by both the ALU and the address 1 MUX. So if you are doing an ALU op, right, and then writing that onto the bus, then you need to make sure that this SR MUX setting is valid. Or if you are doing, using the address generation with this as the input, then you need to make sure it's valid. Otherwise, it could be don't cares." }
995417
[ [ -0.6510915160179138, -0.4806055724620819, -0.2933500111103058, -0.17820943892002106, 0.3146260380744934, 0.008394844830036163, -0.19937387108802795, 0.4806431233882904, 0.8589946031570435, -0.32517942786216736, 0.4666663110256195, 0.7005308270454407, 1.3146623373031616, -0.014797483570873737, 0.6012129783630371, 0.12304094433784485, 0.9259120225906372, 0.03696068003773689, -1.119361162185669, -0.37517160177230835, 0.5367518067359924, -0.8914490342140198, -0.004288609139621258, -0.5979459881782532, -0.3966427147388458, 0.30980005860328674, 0.03378971293568611, -0.8585667014122009, 0.28320181369781494, 0.23785436153411865, 0.0327405221760273, -1.5357003211975098, 0.5811261534690857, -0.14791899919509888, 0.9913344979286194, 0.18096625804901123, 0.0949365571141243, -0.8005247116088867, -0.1093834936618805, 0.7090028524398804, -0.6114888191223145, 0.4249327778816223, 0.01569964736700058, 0.8535724878311157, 0.07381950318813324, 0.29688000679016113, -0.2408590465784073, 0.5035449862480164, 1.0394022464752197, -1.3384051322937012, 1.3340117931365967, -0.6352878212928772, 0.7393289804458618, 0.7780585885047913, -0.5379028916358948, 0.4334304630756378, 1.117650032043457, 1.447713851928711, 0.07388048619031906, -0.4685235917568207, -0.6385288834571838, -0.2299245297908783, 0.4073491096496582, -0.2968185544013977, -0.6138601303100586, -0.6060069799423218, 0.7996667623519897, -0.37225639820098877, 0.46927782893180847, -0.06819397956132889, -1.2709800004959106, -0.2152787297964096, 0.04729840159416199, 0.6844342350959778, 1.0971014499664307, -0.9727798104286194, 0.7188661098480225, -0.35605308413505554, 0.5907655954360962, 0.9955593347549438, -0.8374559879302979, 0.13104847073554993, -1.6651065349578857, 0.9002134799957275, 0.26150408387184143, -0.5951451063156128, 1.975442886352539, -0.31410932540893555, -0.22036276757717133, 0.29509344696998596, 0.12630124390125275, -0.17666782438755035, 1.085912823677063, -0.537071168422699, -0.2733779847621918, 0.7331328392028809, 0.4821113348007202, 0.16597841680049896, -0.3541673421859741, -0.5178892612457275, 0.26978304982185364, -0.7455745339393616, 0.5637973546981812, 1.5661144256591797, -0.3586995005607605, 0.013241487555205822, -0.033511292189359665, -0.3466087877750397, -0.5233785510063171, 0.7612325549125671, -0.6292330622673035, 0.6365228891372681, -0.7606772780418396, 1.380074381828308, 0.3649677336215973, -0.35804831981658936, -0.8450922966003418, -0.15507212281227112, 0.8047177195549011, 1.1393088102340698, 1.456573724746704, -0.31059691309928894, 0.3262341618537903, -0.7817376255989075, -1.4539844989776611, 1.2825959920883179, -0.11028765141963959, 0.10171560198068619, -0.5627636313438416, 0.7117467522621155, 0.08202573657035828, -0.0869685634970665, 0.2347053438425064, -0.5213969349861145, -0.3249410390853882, 0.614372968673706, -1.5772016048431396, -1.5881162881851196, 0.42155444622039795, 0.3429946303367615, -0.00269097788259387, -1.7074859142303467, -0.36067792773246765, 0.9856589436531067, 0.8338004946708679, 0.4575746953487396, 0.21229389309883118, 0.6585602164268494, -0.13656961917877197, 0.23368290066719055, -0.8730811476707458, -0.04907672479748726, -1.7138210535049438, -0.27990660071372986, -0.09503129869699478, -0.38697898387908936, -0.0884891152381897, 0.7835415005683899, -0.4204680919647217, -0.3484534025192261, 0.9611432552337646, -0.48833417892456055, 0.05085323750972748, 0.059724047780036926, -0.5085372924804688, -0.6936596035957336, 1.5138472318649292, -0.24768990278244019, 0.6330744028091431, 0.07679678499698639, 0.06873075664043427, 0.3831403851509094, -0.31304311752319336, 0.6819737553596497, 1.3251287937164307, -0.6249845027923584, 0.5000404715538025, -1.2988080978393555, -0.7011064291000366, -0.3671512007713318, -0.5326477885246277, -0.290901243686676, 0.5014092922210693, 0.0018447519978508353, -0.40505582094192505, 0.757809042930603, -0.5729790925979614, 0.6797661781311035, 1.5664794445037842, -1.2844915390014648, -0.11658526211977005, -0.7317441701889038, 0.5846207141876221, -0.4327879846096039, -1.1899628639221191, -0.4983174204826355, -0.11730627715587616, 0.1556568294763565, 0.6622936129570007, -0.15990234911441803, -0.6192912459373474, 0.579179584980011, 0.7904370427131653, -0.5690902471542358, 0.042640361934900284, 0.5411263704299927, 0.3503195643424988, -0.8377699255943298, 0.7149590253829956, -1.0520025491714478, -0.08048513531684875, 0.758045494556427, 0.10680253803730011, 0.1483725905418396, -0.24951881170272827, -0.09240303188562393, -0.5306705236434937, 0.9342262744903564, 0.11258082836866379, 0.3371375501155853, -1.3467233180999756, 0.8815220594406128, 2.323099136352539, 0.7380402684211731, 0.7730525135993958, 1.201897144317627, 0.029156507924199104, -0.44370102882385254, 0.25750771164894104, -0.4333688020706177, -0.4896184802055359, -1.3284721374511719, 0.17989139258861542, 0.16127711534500122, -0.08635915070772171, 0.07796230167150497, 1.0313888788223267, 0.4521220326423645, 1.206644892692566, 0.13004863262176514, -1.2918065786361694, 0.4669053554534912, 0.5491178035736084, 0.9187778234481812, 0.1417669653892517, 0.7390334606170654, 0.6627638936042786, 1.146012306213379, -0.11784832179546356, -0.04009260982275009, -0.3338988721370697, 0.8365449905395508, 0.5075322389602661, 0.15943744778633118, 0.10967028886079788, -1.1528781652450562, 0.7699324488639832, -1.01383638381958, -0.10144638270139694, 1.0757441520690918, -0.011472578160464764, -0.12776656448841095, -0.1616450995206833, -0.39723965525627136, 0.05056965723633766, 0.5466001033782959, -0.21245241165161133, -0.6796643733978271, 0.8993332386016846, -0.40643441677093506, 1.1584928035736084, 0.14677640795707703, -0.7344030141830444, -1.2256301641464233, -0.12739527225494385, 0.17770691215991974, -0.27858904004096985, 1.5546528100967407, -1.429712176322937, -0.5635548233985901, -0.13568376004695892, 0.1134747862815857, 0.7243591547012329, 0.4557117521762848, -1.465830683708191, 0.4559520483016968, 0.28262847661972046, -1.3234761953353882, 0.8194915652275085, -0.048533845692873, -0.6156706809997559, 0.6087405681610107, -0.037918806076049805, -1.0757293701171875, -0.6788156628608704, -0.16765788197517395, 0.20996393263339996, 0.24455693364143372, -0.2997434139251709, 0.9069826602935791, 0.7727146744728088, -1.6170196533203125, 0.2910356819629669, -0.5615833401679993, -0.11343111097812653, 0.10635775327682495, -0.5199307799339294, -0.2971250116825104, -0.5695419907569885, 0.6539868712425232, 0.2228367030620575, -0.35816270112991333, -0.5908032655715942, -0.8446136713027954, -1.5024309158325195, 0.36639896035194397, 0.3697982728481293, 0.9178686738014221, -0.34511223435401917, -0.0892842710018158, 1.228320837020874, 0.722141444683075, -0.8002000451087952, -0.5189985632896423, 0.2716679275035858, -0.6059030294418335, -0.834321141242981, -0.5456587672233582, 1.1706962585449219, 0.47350621223449707, 0.5488268136978149, 0.03291917219758034, 0.8528326153755188, 1.4998356103897095, -0.03434941545128822, -0.7473376989364624, -0.13868574798107147, 0.6575697064399719, -0.5070094466209412, -0.2524583041667938, 0.4149203896522522, 0.03963039070367813, 0.814074695110321, -0.15051192045211792, 0.48685866594314575, 0.6045423150062561, -0.43468204140663147, 0.26676201820373535, 0.2493683397769928, 1.074140191078186, -0.07751139253377914, -0.09522087872028351, -0.017003795132040977, -1.2186260223388672, -0.055532362312078476, 0.7290892601013184, 1.6088649034500122, -1.1073145866394043, -0.13639947772026062, 1.1487159729003906, 0.46627146005630493, -0.6411468982696533, -0.2063029259443283, 0.2302980273962021, -0.5840573310852051, 0.4016795754432678, 0.10784367471933365, -0.15388990938663483, 0.5838566422462463, -0.14823395013809204, 0.6153766512870789, 0.4283770024776459, -0.32466378808021545, -0.12814292311668396, -1.3054237365722656, -0.5289749503135681, 0.6087274551391602, 0.620109498500824, 0.0723172128200531, 1.2454278469085693, -0.07633700221776962, -0.25654128193855286, -0.5285743474960327, 0.05361706763505936, -1.570951223373413, 0.24686084687709808, -0.002555775921791792, 0.5823614597320557, 0.5846549272537231, -0.1087038666009903, 0.5512088537216187, -0.9001579284667969, -1.3293277025222778, -0.13726525008678436, -0.6927428841590881, -0.6370285153388977, 0.21604761481285095, 0.10025011003017426, -0.44506388902664185, -0.32388895750045776, 0.9696319103240967, 1.722117304801941, 0.4926261007785797, 0.04840097948908806, 1.3944826126098633, 0.6531627774238586, -0.6565019488334656, -0.7979795932769775, 0.5681502819061279, -0.18504266440868378, 1.4925096035003662, 0.03669044375419617, 0.6411857008934021, -0.6663786172866821, -0.38915038108825684, 0.6091710925102234, 0.4433392882347107, 0.3389056622982025, 0.3244220018386841, 0.48614466190338135, -0.7528859972953796, -0.5798084139823914, 0.07931823283433914, 0.8836773037910461, 0.5721808671951294, 1.3364678621292114, 1.2231805324554443, -0.059907183051109314, -0.2788534164428711, 0.865026593208313, 0.5394394397735596, 0.40503552556037903, -0.3432753086090088, -1.1630175113677979, -0.11816979199647903, 0.6956097483634949, -0.3113991916179657, 0.7610794901847839, 0.3990689516067505, -0.41449400782585144, -0.5359114408493042, -0.2138291746377945, -0.3320061266422272, -0.567936360836029, 0.8552194833755493, -0.25501957535743713, 0.025668593123555183, 0.5388481616973877, 0.9172192811965942, 1.1768587827682495, 0.34283891320228577, -0.6735038757324219, -0.1342119425535202, -1.163991093635559, -2.5869767665863037, 0.0717850923538208, 0.47348499298095703, 0.6492839455604553, -0.7583237290382385, -0.16247950494289398, -0.7110587358474731, -0.8632938265800476, -0.1812753528356552, -0.6023033857345581, 0.8443711400032043, -0.19586646556854248, 0.6224104762077332, -0.3463997542858124, -0.9553326368331909, -1.2948156595230103, 1.2318055629730225, -0.633907675743103, -0.281063973903656, -0.5943409204483032, 0.7809016108512878, 0.3553939759731293, 0.49871477484703064, -0.2948273718357086, 0.33725637197494507, -0.9812225699424744, 0.026334848254919052, 0.018559085205197334, 1.2599055767059326, -1.1264106035232544, -0.8506734371185303, 0.11148220300674438, 0.2660948932170868, 0.2679252326488495, 0.7482619285583496, -0.32850420475006104, -0.5164938569068909, 0.43939244747161865, -0.10959946364164352, 0.35415756702423096, -0.6923360824584961, -1.3623079061508179, 1.1531568765640259, 0.460502028465271, 0.21647854149341583, 1.1203030347824097, 0.7151596546173096, -0.7946316003799438, -0.3218042254447937, -0.20554864406585693, 0.3851482570171356, -0.9531025886535645, 0.2659326493740082, -0.013973957858979702, -0.06241929158568382, -0.5659072399139404, 1.0388283729553223, -0.25978314876556396, 0.42733508348464966, -0.26783257722854614, -0.20828168094158173, -0.697027862071991, 0.6780475378036499, -1.1748096942901611, -0.22059671580791473, -0.0530952550470829, -0.46901604533195496, -1.2025107145309448, -0.1477484256029129, 0.3857736587524414, -2.0712738037109375, 0.5171178579330444, 0.6805785894393921, 0.06029519438743591, 0.43484261631965637, -1.8225672245025635, 0.4916248619556427, 0.038857631385326385, -1.1738754510879517, 0.6840789914131165, 0.18312908709049225, 0.03386477753520012, -0.23906071484088898, -0.6013430953025818, -0.06989623606204987, 0.4428126811981201, -0.15012530982494354, 0.1287403702735901, 0.40491071343421936, 0.6736007332801819, -0.10461046546697617, -0.2876756489276886, -1.5473005771636963, -0.9429939389228821, -0.14804187417030334, 0.16284622251987457, 0.2436816394329071, -0.030202317982912064, 0.17704932391643524, 0.5002393126487732, -0.6823034286499023, -0.19317960739135742, 0.7283139824867249, -0.7590537667274475, 0.49729421734809875, 0.3183562159538269, -0.09315043687820435, 0.34301692247390747, 0.5759395360946655, 1.0910955667495728, -0.08601754158735275, 0.14389683306217194, 0.6504194736480713, -0.6936994194984436, -1.2988923788070679, -0.017629368230700493, -0.16039729118347168, -0.8141758441925049, -0.3601773977279663, 1.414984941482544, -0.03952720761299133, 0.39645224809646606, -0.055344197899103165, -0.541718602180481, -0.40927615761756897, 0.20842492580413818, -0.8231837749481201, 0.05443916842341423, 0.6968631148338318, -0.04124635457992554, 0.1476193070411682, -0.053013868629932404, -0.20007483661174774, -1.0568822622299194, 0.59929358959198, 0.10186493396759033, 0.8848394751548767, 1.6821757555007935, 0.2398892641067505, -0.025981316342949867, 0.42706289887428284, 0.3920731544494629, 0.6812726259231567, -0.5785514116287231, 0.2204751819372177, 0.8688600063323975, 0.14861975610256195, -0.21719929575920105, -0.11702971905469894, -1.3923569917678833, 0.36964505910873413, 1.2189375162124634, -1.2238218784332275, 0.42057564854621887, 0.3894011974334717, -0.05608227849006653, -0.3797094523906708, -0.14273039996623993, -1.0225468873977661, 0.19924543797969818, -1.122266173362732, 2.1886534690856934, -0.6962034702301025, -1.1303884983062744, 0.13421687483787537, 1.5079258680343628, 0.5046146512031555, 0.057221829891204834, 1.0382126569747925, -0.3744443655014038, 0.5161640644073486, -0.6932870745658875, -0.6786525845527649, 0.8081893920898438, -1.2167387008666992, 0.7481921315193176, -0.07092013210058212, -0.24140283465385437, 0.470612108707428, 0.17870168387889862, -0.3686160147190094, -1.5260655879974365, -0.6957944631576538, -1.2085167169570923, 1.1253843307495117, 0.3333541750907898, -0.8079521656036377, 0.10887540876865387, 0.14080305397510529, 0.907106339931488, -0.1798691302537918, 0.5323365330696106, -0.5294448137283325, -1.7579801082611084, -0.022155890241265297, -0.3599238991737366, -1.1562970876693726, 1.9912006855010986, 0.5191270112991333, 0.16577713191509247, 0.47772398591041565, -0.7640349864959717, 1.3261266946792603, 0.05329057574272156, 0.5706837177276611, 0.6082800626754761, 1.7094343900680542, -0.4229346215724945, 0.02701442316174507, -0.106208436191082, -0.039290014654397964, 4.805355072021484, 1.573870062828064, -0.3023247718811035, -1.9868040084838867, -0.7575039267539978, -0.5870535969734192, 0.24579539895057678, -0.19392719864845276, 0.2850761413574219, 0.6647257208824158, -0.041153810918331146, 0.8661327362060547, 0.38175439834594727, -0.2636076509952545, 0.44579118490219116, -0.027167867869138718, -0.2817857563495636, -0.7505468130111694, 0.8674309253692627, 0.36323776841163635, 0.4311758875846863, -0.7524651288986206, -1.1901187896728516, -1.4956457614898682, 0.6145548820495605, -0.8072437644004822, 0.4178566336631775, -0.40129750967025757, 0.7511575222015381, 0.412520170211792, -0.5486122965812683, 0.26644834876060486, 0.0025574606843292713, 0.1563970446586609, 0.5519179105758667, 0.5326401591300964, -0.04235396161675453, 0.06911707669496536, -0.4918076992034912, 0.4808587431907654, 0.14848199486732483, -0.530192494392395, -0.6047970652580261, 0.36348286271095276, -0.5665421485900879, -0.4321095049381256, -0.5811421275138855, -0.831733763217926, -0.1966724395751953, 0.2899504005908966, 0.10359343886375427, 0.5458496809005737, -0.14847080409526825, -1.2028512954711914, -1.2706902027130127, 0.5562378168106079, 0.5109871625900269, -0.06044475734233856, -0.6928278207778931, -1.0206871032714844, 0.21254010498523712, -0.5657609701156616, 0.9254909753799438, 0.6348183751106262, 0.6494544744491577, 0.19275347888469696, -1.3527390956878662, 1.73814857006073, -0.16971516609191895, -0.572348415851593, -0.20641674101352692, 0.3027455806732178, -0.20617735385894775, -0.4138636291027069, 0.5354269742965698, 0.6181811094284058, -1.555617094039917, 0.1296176165342331, -0.09658952057361603, -0.37472930550575256, -0.8664853572845459, -0.46743667125701904, 0.11302635818719864, -0.18359726667404175, -0.5679670572280884, -0.25091367959976196, -0.28234195709228516, 0.39031532406806946, 0.3727502226829529, 0.07300849258899689, -0.7814056277275085, 1.336437463760376, 0.22493426501750946, -1.7728214263916016, -0.026610493659973145, 0.7653135061264038, -0.5732617974281311, 0.28576338291168213, -0.5934977531433105, 1.9592180252075195, -0.5625903606414795, -0.6424435973167419, 0.12805068492889404, 0.8980539441108704, -0.3548089265823364, 0.1069871336221695, 1.1334309577941895, -0.39540794491767883, 0.1795067936182022, 0.2366890013217926, 0.06989951431751251, -0.2410353124141693, -0.8089002966880798, -0.7086503505706787, -0.4551703929901123, -0.26355087757110596, -0.9290903210639954, -0.2861310541629791, 0.2608024775981903, -0.48920950293540955, 0.13877864181995392, 0.30129432678222656, -0.1797247678041458, 0.08292298018932343, -0.3443279564380646, 0.6475836634635925, 0.03935575112700462, -0.23971451818943024, -0.4143032431602478, 0.4227224290370941, -0.0008575068204663694, 0.22198761999607086, -0.11179050803184509, 1.1298514604568481, -0.8958760499954224, -0.5409305095672607, -0.1080101728439331, 0.6113571524620056, -1.2034856081008911, 0.2870568037033081, 1.0087934732437134, -1.0172325372695923, 0.041324302554130554, 1.5595096349716187, -0.5384182929992676, 0.23053985834121704, 0.4782743453979492, -0.3806231915950775, 0.8386657238006592, 0.38724902272224426, -1.1259900331497192, -0.17347915470600128, 0.8106685876846313, 0.7267560362815857, -0.37527039647102356, 0.7306181192398071, 0.21541783213615417, 0.05224432051181793, -0.24494658410549164, 0.7103936672210693, 0.23003627359867096, 0.2939414083957672, 0.6790559887886047, -0.9793923497200012, 0.5409268736839294, -0.0006522079347632825, -0.3151693046092987, 0.21466724574565887, -0.49911993741989136, 0.31555962562561035, -0.17621564865112305, 0.20695964992046356, 0.6833963990211487, 0.23819005489349365, -1.2754192352294922, -0.5623417496681213, -0.37900522351264954, -0.31652501225471497, -0.1849602609872818, -0.9312795400619507, 0.1925826519727707, 0.5776495933532715, -0.919212818145752, 0.22625011205673218, -0.6911903619766235, 0.5396736860275269, -1.5854058265686035, 0.11162359267473221, -0.10006124526262283, 0.5692986249923706, -0.021432382985949516, 0.2667688727378845, 1.0457912683486938, 0.19389601051807404, -0.43186819553375244, -0.6619402766227722, 0.8914522528648376, 0.9851238131523132, 0.1676042675971985, -0.5281474590301514, 0.36919862031936646, -0.500270664691925, -0.813264787197113, -0.07443025708198547, -1.2302690744400024, -0.2554300129413605, -0.08871407806873322, 0.9849127531051636, 0.3126208186149597, 0.4299202859401703, 0.3920820951461792, -0.6183816194534302, 0.5553279519081116, -0.6042596697807312, -0.0445837676525116, 0.24464675784111023, -0.8260279893875122, -0.0023614168167114258, 0.24458153545856476, 0.29295623302459717, 0.013313807547092438, 0.8629660606384277, -1.1010053157806396, -0.44291481375694275, -0.040817320346832275, -0.03561491519212723, 0.9842827916145325, -0.8422462344169617, -0.5177792906761169, -1.580649495124817, 0.9446485042572021, -0.16576825082302094, 0.12222839891910553, 0.553228497505188, 0.4064769148826599, -1.1838754415512085, -0.10038293898105621, 0.2829219698905945, 0.24309329688549042, -0.8560348153114319, -0.49802735447883606, -0.3369697332382202, 0.003989257849752903, 0.7442253232002258, -0.8003606200218201, 0.11208012700080872, -0.8391067385673523, 1.0178784132003784, -0.42553529143333435, -0.38550159335136414, -0.2588529586791992, -1.0235530138015747, 0.8062296509742737, -1.2461392879486084, 1.2940553426742554, 2.0086395740509033, 0.6627214550971985, -1.2808003425598145, -1.2003257274627686, -0.4748173654079437, 0.8288304209709167, 1.1504229307174683, -0.22722004354000092, 0.1120634451508522, -1.4656034708023071, 0.3291435241699219, -0.4438050389289856, 0.416655033826828, 0.2067306786775589, -0.036179061979055405, 0.2874545156955719, 0.25041869282722473, 0.8638377785682678, 0.23222282528877258, -0.22052152454853058, 0.5355382561683655, -0.1598845273256302, 0.4386749267578125, -0.2539491057395935, 0.17969471216201782, 0.1155863106250763, 0.45303648710250854, 0.00010864267824217677, 1.1943562030792236, 0.3208245635032654, 0.20552116632461548, 0.5148864388465881, -0.49229761958122253, -1.5278515815734863, 0.6644117832183838, -0.12430837750434875, 0.6251199841499329, -0.252595990896225, -0.3726484477519989, 0.9569620490074158, -1.1494882106781006, 0.4806671440601349, 0.4004615247249603, -0.6358957290649414, 0.5150659084320068, 0.7490440607070923, 0.29409393668174744, 1.6479482650756836, -0.04552751034498215, 0.33226892352104187, -0.8080957531929016, -0.5964855551719666, 0.6116649508476257, 0.21918155252933502, 0.08408308774232864, -0.32232239842414856, -0.2632289528846741, 0.5838621258735657, -0.4975077211856842, 1.202873706817627, -0.0022620244417339563, 1.4154024124145508, 0.4531001150608063, 0.17746534943580627, -0.17938391864299774, -0.500984251499176, -1.0993043184280396, 0.30698809027671814, -0.20331169664859772, 1.2611876726150513, -0.8871141076087952, -0.2981152832508087, -1.3933188915252686, 0.444757342338562, 0.04755619168281555, -0.2565259039402008, 0.16847515106201172, -0.15099969506263733, -0.2780199944972992, -0.4470328688621521, -0.5340396165847778, 0.2543163299560547, 1.705191969871521, -1.064013123512268, 0.5792958736419678, 0.3265949785709381, 1.1275030374526978, 0.25358137488365173, -0.5342414975166321, -1.6124433279037476, -0.6339688301086426, -0.08026747405529022, 0.00325722130946815, 0.636914849281311, -0.49785295128822327, -1.8941956758499146, -0.08390150964260101, 0.6874342560768127, -0.32924193143844604, -0.8393011093139648, -1.082303762435913, 0.5076760649681091, 0.633050262928009, 1.081734538078308 ] ]
{ "indices": [ 640124220, 2132027491, 4051235863, 2689951346, 1491351846, 3377905009, 1236542976, 691409538, 1430088939, 3655990660, 2194093370, 2067848296, 1551089265, 1830401145, 3471378517, 2456014439, 1063320047, 1079027559, 3396792551, 2351523834, 2912884399, 3979495055, 3530670207, 3270687257, 639386442, 2560416690, 613148321 ], "values": [ 0.7287760965559752, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.7817858489589629, 0.7287760965559752, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.7287760965559752, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836 ] }
{ "context": "Or if you are doing, using the address generation with this as the input, then you need to make sure it's valid. Otherwise, it could be don't cares. So you need to kind of back propagate. If you're using it in any way, then you don't want to leave it as don't care. If you know you're not using it, you can leave it as a don't care. So the choices here, 0, 0, 0 will give you IR 11 through 9." }
132409
[ [ -0.5114675760269165, -0.9006229639053345, -0.01203338336199522, -0.6741086840629578, 0.26193922758102417, -0.008701970800757408, -0.6744575500488281, 0.33665522933006287, 0.8852554559707642, -0.9055689573287964, 0.6381102204322815, 0.47344550490379333, 1.2079423666000366, 0.2864055633544922, 0.4494505226612091, 0.08002501726150513, 0.7705094218254089, -0.5399325489997864, -0.9754247069358826, -0.03478851541876793, 0.435612291097641, -0.8748914003372192, 0.035446133464574814, -0.43117570877075195, -0.5305776000022888, 0.19834201037883759, 0.626566469669342, -0.2747913599014282, 0.7374913096427917, 0.6839233636856079, -0.4431360960006714, -0.7185872197151184, 0.3042970597743988, -0.3048338294029236, 0.6009218692779541, 0.18546810746192932, -0.1843232959508896, -0.33624953031539917, -0.5402418375015259, 1.298311471939087, -0.7004777193069458, 0.3207748234272003, 0.1453426480293274, 0.9010401368141174, -0.5670440196990967, 0.7354673743247986, 0.0914972648024559, 0.5359628200531006, 1.0684103965759277, -0.9530868530273438, 1.0661753416061401, -1.0484548807144165, 0.4526229500770569, 0.5520419478416443, 0.3223172724246979, 0.352644681930542, 0.6623136401176453, 1.8616044521331787, 0.16977855563163757, -0.8574027419090271, -0.8106904625892639, -0.5718427896499634, 0.0639878585934639, -0.2116325944662094, -0.2697647511959076, -1.119844675064087, 0.6758072376251221, -0.2876143455505371, 0.6562310457229614, 0.036900803446769714, -0.4197359085083008, -0.2528401017189026, 0.38332247734069824, 0.29103708267211914, 0.7955915331840515, -0.6375269889831543, 0.3776283264160156, 0.18420445919036865, 0.8471235036849976, 0.923919141292572, -0.8551636338233948, -0.03912772238254547, -1.31939697265625, -0.07252144068479538, 0.07688242942094803, -1.0573867559432983, 1.8951647281646729, -0.406611829996109, 0.5758169293403625, 0.3775888979434967, 0.49044930934906006, 0.004475490190088749, 0.9756100177764893, -0.7139630913734436, -0.3374786376953125, 0.013263585977256298, 0.9981235861778259, 0.8495748043060303, -0.3062262237071991, -0.44093456864356995, 0.8536587357521057, -0.9844947457313538, 0.08770138025283813, 1.2545465230941772, 0.04931822791695595, -0.18208655714988708, -0.5387387275695801, -0.489038348197937, -0.8871513605117798, 0.6968432068824768, -1.2772127389907837, 0.908443033695221, -0.7359707355499268, 0.9584944844245911, 0.22217528522014618, -0.3440745770931244, -1.0892564058303833, -0.13590584695339203, 0.5217543840408325, 0.6889796257019043, 0.7870007157325745, -0.2977176308631897, 0.40794047713279724, -0.47348731756210327, -1.6892364025115967, 1.0309720039367676, 0.3285304605960846, 0.06948217749595642, -0.6376402974128723, 0.5703470706939697, 0.06543171405792236, 0.08503977954387665, -0.15653768181800842, 0.1306481808423996, 0.06203114241361618, 0.8780965805053711, -1.6158004999160767, -1.912217378616333, 0.8693046569824219, 0.2439052313566208, -0.22236816585063934, -1.2059330940246582, -0.13364984095096588, 1.0026013851165771, 1.0992913246154785, 0.477896511554718, 0.7852756977081299, 0.5749586224555969, -0.7737354040145874, 0.4546186625957489, -0.8615272045135498, -0.11637216806411743, -1.2216955423355103, -0.4209323823451996, -0.19147883355617523, -0.13667206466197968, -0.4398488700389862, 0.057699061930179596, -0.6981209516525269, -0.703284502029419, 0.8259021639823914, -0.2729651629924774, -0.13823045790195465, 0.2687941789627075, -1.0436440706253052, -1.3113309144973755, 1.4484161138534546, -0.1354430615901947, 1.1621272563934326, 0.6417361497879028, -0.22005131840705872, 0.746701180934906, -0.23103541135787964, 0.7428639531135559, 1.6358239650726318, -0.889843761920929, 0.31594595313072205, -1.8168038129806519, -0.31660550832748413, -0.2083331197500229, -0.37958866357803345, -0.902431845664978, -0.04372640699148178, -0.09345973283052444, -0.16532428562641144, 0.48528221249580383, -0.20899291336536407, 0.443981409072876, 1.5866056680679321, -1.971130609512329, -0.5511097311973572, -0.242618128657341, 0.993274450302124, -0.17293861508369446, -1.3381907939910889, -0.829140305519104, -0.14280742406845093, -0.016189128160476685, 0.3069218695163727, -0.5908316373825073, -0.07107176631689072, 0.43253839015960693, 0.37486058473587036, -0.5468457937240601, 0.47007256746292114, 0.23370780050754547, 0.6228500604629517, -0.39755499362945557, 0.6249696612358093, -0.3667789697647095, -0.3643042743206024, 0.9737175703048706, -0.44189751148223877, 0.6646385192871094, 0.03324185311794281, 0.5165430903434753, -0.6364716291427612, 1.1346995830535889, 0.2686692476272583, 0.09873125702142715, -1.5418380498886108, 1.3235528469085693, 2.169965982437134, 0.670905351638794, 0.5968029499053955, 1.009016990661621, -0.31649050116539, -0.7112712264060974, 0.26223692297935486, -0.3121873438358307, -0.4950699806213379, -0.6869267225265503, 0.4451046288013458, 0.6131489276885986, -0.6473566889762878, -0.28151991963386536, 1.8355940580368042, 0.633691132068634, 0.7595773935317993, 0.6570780873298645, -1.2934497594833374, 0.6667465567588806, 0.3475971221923828, 1.255420207977295, -0.06858014315366745, 1.08567214012146, 0.7219536304473877, 1.3481783866882324, -0.17069333791732788, -0.1558687686920166, -0.6492526531219482, 0.9994039535522461, 0.24263666570186615, -0.4397463798522949, -0.07623425871133804, -1.0246104001998901, 1.3336881399154663, -0.6101552844047546, -0.04800556227564812, 0.9719071388244629, -0.28725147247314453, -0.004301533102989197, -0.08467285335063934, -0.419512540102005, 0.20524348318576813, 0.22791999578475952, -0.609792947769165, -1.179840087890625, 0.30403321981430054, -0.6502606868743896, 1.2002527713775635, 0.5350794792175293, -0.8853809833526611, -1.520025372505188, 0.16679811477661133, 0.5846245288848877, -0.46414339542388916, 1.2099241018295288, -1.470049262046814, -0.6027227640151978, -0.13020676374435425, 0.5003321766853333, 0.8220326900482178, -0.047186557203531265, -2.042125701904297, 0.2934942841529846, 0.34843817353248596, -1.1314159631729126, 0.23777727782726288, 0.30066627264022827, -0.7933490872383118, 0.10418639332056046, 0.17964878678321838, -0.8511546850204468, -0.9286602139472961, 0.05299268662929535, -0.059036608785390854, -0.31197044253349304, 0.09971638023853302, 1.3179877996444702, 0.408657044172287, -1.2370597124099731, -0.21101275086402893, -0.973143994808197, 0.12366299331188202, 0.15880917012691498, -0.6874212026596069, 0.028894994407892227, -0.479715496301651, 0.620887041091919, -0.4607159197330475, -0.4475634694099426, -0.6915975213050842, -0.9817729592323303, -1.122485876083374, 0.4971921741962433, 0.8515627384185791, 1.2752188444137573, -0.08692975342273712, -0.27879422903060913, 1.0253936052322388, 0.9281525611877441, -0.8563181757926941, -0.7991141080856323, 0.42444103956222534, -0.43323707580566406, -0.8083967566490173, -0.3265652358531952, 1.4918550252914429, 0.21799452602863312, 0.9498493075370789, 0.06880977749824524, 0.7318091988563538, 0.9214239120483398, -0.5041006207466125, -0.7461414337158203, 0.25403815507888794, 0.6064167618751526, -1.0099728107452393, -0.15793511271476746, 1.0902069807052612, -0.45413994789123535, 0.4798330068588257, -0.20389045774936676, 0.8056644797325134, 0.33954769372940063, -0.9419119954109192, 0.7217925190925598, -0.14369475841522217, 0.9492563009262085, 0.14704805612564087, -0.6266879439353943, 0.4612518846988678, -1.1609641313552856, -0.18155933916568756, 0.4258214831352234, 0.9805073738098145, -0.6342816352844238, -0.498786985874176, 0.9308387041091919, 0.6971381902694702, -0.4853217899799347, -0.06837517768144608, -0.014545195735991001, -0.35096240043640137, 0.557893693447113, -0.09315408021211624, -0.23182936012744904, 0.4060140550136566, -0.13087600469589233, 0.7373830080032349, 0.42415833473205566, -0.3915558457374573, -0.056458618491888046, -1.409397006034851, -0.14378595352172852, 1.250135064125061, 0.7020884156227112, -0.0015867957845330238, 0.9065476059913635, 0.25223472714424133, 0.08042405545711517, -0.06535685807466507, -0.3720173239707947, -1.9078441858291626, 0.12514454126358032, 0.3740878105163574, 0.5278118252754211, 0.5649926066398621, -0.16903944313526154, 0.49780118465423584, -0.855103611946106, -0.7313662767410278, -0.0916183665394783, -0.3153133988380432, -0.4979722499847412, 0.5057750344276428, 0.02791626751422882, -0.4138772487640381, 0.5417443513870239, 0.526310384273529, 1.7514435052871704, 0.2840482294559479, 0.18369658291339874, 0.9464932084083557, 0.05941327288746834, -0.2944202125072479, -0.5491470098495483, 0.38650885224342346, 0.005927594844251871, 1.160148024559021, 0.7619640827178955, 0.28755295276641846, -0.6371395587921143, -0.1493993103504181, 0.5352450013160706, 0.41442540287971497, 0.1429951936006546, -0.21954765915870667, 0.520483136177063, -0.723471999168396, -0.7640337944030762, -0.1413644552230835, 0.4143005609512329, 0.10190899670124054, 1.600188136100769, 0.7520670890808105, 0.19386225938796997, -0.06612490117549896, 1.1904995441436768, 1.0511624813079834, 0.053348150104284286, -0.27681323885917664, -1.068946361541748, 0.1214211955666542, 0.7790696024894714, -0.13934126496315002, 0.4024055600166321, 0.96744304895401, 0.9527721405029297, -0.4521190822124481, 0.09883461892604828, -0.279742956161499, -1.1297547817230225, 0.7093670964241028, -0.5081002116203308, -0.1038333997130394, 0.2763390839099884, 1.0170543193817139, 1.0513355731964111, 0.47709888219833374, 0.109419085085392, 0.5030973553657532, -0.9427833557128906, -2.960294008255005, -0.011815360747277737, 0.24937409162521362, 0.8039525151252747, -0.8200244903564453, -0.05525220185518265, -0.7339649796485901, -1.1571893692016602, 0.6606065034866333, -0.47867411375045776, 0.576857328414917, -0.4293397068977356, 0.6440500617027283, -0.7709132432937622, -1.2586331367492676, -0.8160780072212219, 0.9357582926750183, -0.40252724289894104, -0.26654449105262756, -0.214946448802948, 0.1189054399728775, 0.2518225908279419, 0.8427128195762634, 0.24971774220466614, 0.43393048644065857, -0.9893803596496582, -0.47038495540618896, -0.16675007343292236, 0.850883960723877, -1.0611916780471802, -0.45130008459091187, -0.10902927070856094, -0.3806367814540863, -0.3123350143432617, 1.0745075941085815, -0.08581230789422989, -0.4981110990047455, 0.328005850315094, -0.1297319382429123, -0.1092885285615921, -0.7509244680404663, -1.0640121698379517, 1.3202083110809326, 0.12608835101127625, 0.38262292742729187, 0.7805717587471008, 0.633922278881073, -0.6871339678764343, -0.21507826447486877, -0.26999431848526, 0.17445945739746094, -0.9897040128707886, 0.8146066665649414, -0.3988009989261627, -0.07408503443002701, -0.4774356186389923, 1.3999121189117432, -0.19553031027317047, -0.2627655267715454, 0.17323654890060425, -0.11368110775947571, -0.11129765957593918, 0.8980641961097717, -1.556706428527832, 0.08880946040153503, 0.10534396022558212, 0.0556301549077034, -0.8592135906219482, 0.0922575518488884, 0.2489178478717804, -1.749153733253479, 0.46753349900245667, 0.454232782125473, -0.4582359194755554, 0.13650578260421753, -1.1602060794830322, 0.448165625333786, -0.04683464393019676, -0.9399551153182983, 1.3480653762817383, 0.476922869682312, 0.4038090705871582, 0.14536401629447937, -0.8966960310935974, 0.09427662938833237, 0.44489216804504395, -0.7780008912086487, 0.0005818914505653083, 0.3277129828929901, 0.4526257812976837, -0.312967985868454, -0.30156204104423523, -1.5710794925689697, -0.9474853873252869, -0.2944246232509613, 0.0913459062576294, -0.19910381734371185, -0.5381873250007629, -0.13479681313037872, 0.7383764982223511, -0.7343884110450745, 0.17914476990699768, 1.1066992282867432, -0.6076777577400208, 0.7421423196792603, 0.3865528404712677, 0.33809512853622437, 0.7516312599182129, 0.39341413974761963, 0.1204276755452156, 0.098823182284832, 0.26091259717941284, 0.38514870405197144, -0.7938984036445618, -0.7919179201126099, -0.4690369665622711, 0.004235863219946623, -1.327734112739563, -0.5641435980796814, 1.0623973608016968, -0.004297045525163412, -0.07871925830841064, 0.252144992351532, -1.0350823402404785, 0.07945642620325089, 0.5626876354217529, -0.5004269480705261, -0.30213358998298645, 0.5517749786376953, 0.1255321353673935, 0.5524511337280273, 0.607694685459137, -0.15126311779022217, -0.5382428765296936, 0.7354223132133484, 0.6082804799079895, 1.0119709968566895, 1.946599006652832, 0.2205655872821808, 0.09090736508369446, 0.36288830637931824, 0.3725559711456299, 0.9352476000785828, -0.7806717753410339, 0.17833857238292694, 0.5039517283439636, 0.10638250410556793, -0.4364216923713684, -0.2966949939727783, -0.6892952919006348, 0.06207811459898949, 1.0672051906585693, -0.7384267449378967, 0.4248550534248352, 0.7182441353797913, 0.2651679217815399, -0.16569066047668457, -0.2708764970302582, -0.7897618412971497, 0.5877885222434998, -1.0705130100250244, 2.467963933944702, -0.9514918327331543, -1.7384668588638306, 0.7229172587394714, 1.325226902961731, 0.889015793800354, 0.05104324594140053, 1.0941197872161865, -0.5386191606521606, 0.3343898355960846, -0.7753171324729919, -0.7283942699432373, 0.8479321002960205, -1.277431607246399, 0.7403985857963562, 0.11769487708806992, -0.1192355677485466, 1.2312265634536743, 0.29958540201187134, 0.059040896594524384, -1.3739007711410522, -0.7673625946044922, -1.870315670967102, 1.4523696899414062, 0.6111928820610046, -0.6146132349967957, 0.3460664451122284, -0.2642010748386383, 0.6996433138847351, -0.4281061291694641, 0.9440179467201233, -0.5014316439628601, -1.6176872253417969, -0.259777694940567, -0.02896970324218273, -1.3279646635055542, 1.7477611303329468, 0.6829574704170227, -0.1703953891992569, 0.17785409092903137, -0.8143924474716187, 1.2779849767684937, 0.04017399623990059, -0.002422838704660535, 0.7163306474685669, 1.552517294883728, 0.0664142370223999, -0.2764669358730316, -0.503516435623169, -0.7955626249313354, 4.531161785125732, 1.558510422706604, 0.056780193001031876, -1.9647884368896484, -0.15072108805179596, -0.576354444026947, -0.2505654990673065, -0.36649611592292786, 0.3808114528656006, 1.029624342918396, 0.19507430493831635, 0.6864484548568726, 0.62360680103302, -0.19287993013858795, -0.221648707985878, 0.14220499992370605, -0.4929965138435364, -0.7521612048149109, 0.7561540007591248, 0.01890912838280201, 0.1216936931014061, -0.13673926889896393, -1.2944294214248657, -1.6011098623275757, 1.0885916948318481, -0.5180637240409851, 0.3021199405193329, -0.6312559247016907, 1.2892282009124756, 0.5234730839729309, -0.5439512729644775, 0.28443706035614014, 0.09413480013608932, 0.3836725950241089, 0.8243285417556763, 0.6170458793640137, -0.26772674918174744, 0.15155571699142456, -0.37323975563049316, 0.1081019937992096, 0.24643492698669434, -0.13462935388088226, -1.0305184125900269, 0.4123336672782898, -0.5673139095306396, -0.4924214482307434, -0.39651861786842346, -1.1088206768035889, -0.1567753106355667, 0.14630810916423798, -0.3338523805141449, 0.5651821494102478, -0.7732822299003601, -1.1312509775161743, -1.6646498441696167, 0.49797314405441284, 0.14493530988693237, 0.13059699535369873, -0.8304958343505859, -0.4130081534385681, 0.45947349071502686, -0.4654703736305237, 1.102055311203003, 0.6316581964492798, 1.0611745119094849, -0.12402272969484329, -0.7983692288398743, 2.124302864074707, 0.09085608273744583, -0.5913349390029907, -0.9076219201087952, 0.3166161775588989, -0.383404403924942, 0.13143222033977509, 0.3232664167881012, 0.7474327087402344, -0.692791759967804, 0.23278214037418365, -0.8298662900924683, -0.7964029908180237, -1.147258996963501, -0.4099195599555969, 0.13670355081558228, -0.3693709075450897, -0.9080155491828918, -0.3545609712600708, -0.17639978229999542, 0.2351280152797699, 0.7646539211273193, 0.04161161929368973, -0.1489812284708023, 1.260599136352539, 0.14181159436702728, -0.9905480146408081, -0.0772378146648407, 0.8038791418075562, -1.1414507627487183, -0.3355414867401123, -1.2199654579162598, 1.3236072063446045, -0.3089785873889923, -0.15584905445575714, 0.04086122289299965, 1.076490879058838, -0.7029209136962891, 0.21413986384868622, 1.0311170816421509, 0.3942570090293884, -0.13320668041706085, 0.0987582728266716, 0.72679203748703, -0.8479465246200562, -0.55996173620224, -0.3339037597179413, -0.5943711996078491, 0.050077103078365326, -0.9105285406112671, -0.041404951363801956, -0.4319416284561157, -0.4973928928375244, 0.009367150254547596, 0.5729509592056274, 0.0828523188829422, -0.588306188583374, 0.10901904106140137, 0.10049431771039963, -0.3510991632938385, -0.4197809100151062, -0.04682403430342674, -0.07287003844976425, -0.3724427819252014, 0.07149052619934082, -0.26089176535606384, 0.3272554278373718, -0.9723878502845764, -0.6044697165489197, -0.12630227208137512, 0.8670827746391296, -0.5971770882606506, -0.06853129714727402, 1.276497721672058, -0.8896881341934204, -0.2101288139820099, 1.6210464239120483, -0.4502352476119995, 0.05290589854121208, 0.22066928446292877, -0.17826756834983826, 1.1734834909439087, 0.5420989990234375, -0.9809684753417969, 0.3018416166305542, 0.38809970021247864, 0.496711790561676, 0.05625716596841812, 0.7644537091255188, 0.30778050422668457, -0.5271214842796326, -0.5944812297821045, 0.8438697457313538, -0.30789074301719666, 0.13470084965229034, 0.17596566677093506, -0.8635653853416443, 0.24116259813308716, -0.07673947513103485, -0.7800463438034058, 0.10634385794401169, 0.21417908370494843, 0.6556170582771301, -0.19718852639198303, 0.3436284363269806, 1.0476069450378418, 0.4646693468093872, -1.7943247556686401, -0.3742711842060089, -0.422152042388916, -0.48087984323501587, 0.3909710943698883, -0.7222889065742493, 0.4023333787918091, 0.8327264189720154, -1.1391911506652832, -0.034191157668828964, -0.16068525612354279, 0.12967106699943542, -1.3572204113006592, 0.751242458820343, -0.0758926197886467, 0.28319093585014343, 0.2910737097263336, 0.20744097232818604, 0.6794748306274414, 0.1991613507270813, -0.3606119155883789, 0.02556254342198372, 0.7936010360717773, 0.7849471569061279, -0.24594378471374512, -0.8944223523139954, 0.2568803131580353, -1.1174712181091309, -0.5498074889183044, 0.29964515566825867, -1.2927058935165405, -0.04823698475956917, 0.38895195722579956, 1.371492862701416, 0.6392853260040283, 0.7175278663635254, 0.37441307306289673, -0.7033638954162598, -0.19415174424648285, -0.6808028221130371, 0.13984178006649017, 0.15774531662464142, -0.8479333519935608, -0.1137077584862709, -0.141826793551445, 0.1507558375597, -0.029010215774178505, 0.6201247572898865, -1.3530588150024414, -0.12955932319164276, 0.308875173330307, -0.20763042569160461, 0.8237920999526978, -1.1325865983963013, -0.48443594574928284, -1.2068463563919067, 1.0235527753829956, 0.4119637906551361, 0.3890184462070465, 0.8146400451660156, 0.3387080132961273, -1.3228228092193604, -0.5026111602783203, -0.08641204982995987, -0.051507674157619476, -1.2650772333145142, 0.10192971676588058, 0.04854484274983406, -0.0532359704375267, 0.7747640013694763, 0.08175782114267349, 0.2951015830039978, -0.2942841053009033, 1.1107431650161743, -0.41549545526504517, -0.1997806876897812, -0.2941681444644928, -0.4540504217147827, 0.8166115283966064, -0.71287602186203, 1.439772129058838, 1.745059609413147, 0.6332145929336548, -0.8822908997535706, -1.2394628524780273, -0.43330326676368713, 0.7107833623886108, 0.8950476050376892, 0.12663938105106354, 0.15841034054756165, -1.340848445892334, 0.3663722574710846, -0.011755543760955334, 0.5738817453384399, 0.3230576515197754, 0.2241247445344925, -0.44197797775268555, 0.47263479232788086, 1.3965766429901123, 0.24294890463352203, -0.3667762577533722, 0.39358556270599365, -0.10911925137042999, -0.11542098224163055, -0.3353133797645569, -0.16725392639636993, -0.1511286348104477, 0.7839581370353699, -0.38868072628974915, 1.3962501287460327, -0.08886614441871643, -0.44596627354621887, 0.3440653681755066, 0.18942104279994965, -1.442488670349121, 1.1497968435287476, 0.26087021827697754, 0.557724118232727, -0.35015711188316345, -0.7067437767982483, 1.0158758163452148, -0.9220820069313049, 0.3438878059387207, 0.6202009916305542, -0.6636135578155518, 0.05692192539572716, 0.6739794611930847, 0.10720497369766235, 1.3015365600585938, 0.1513412594795227, 0.47421136498451233, -0.6375662088394165, -0.5530969500541687, 0.2063164860010147, 0.1821204423904419, 0.38571807742118835, -0.06481681019067764, -0.23679684102535248, 0.5955546498298645, -0.46576181054115295, 1.2624807357788086, -0.2647707164287567, 1.4140231609344482, 0.42975014448165894, -0.32428136467933655, 0.1977175772190094, -0.7847827076911926, -1.1953080892562866, 0.07583581656217575, -0.5035757422447205, 1.8181345462799072, -0.6615182161331177, -0.007830374874174595, -1.1389563083648682, 0.4659813344478607, -0.3971026539802551, -0.08614159375429153, -0.6247736811637878, -0.025791091844439507, 0.027973530814051628, -0.7732194066047668, -0.7090076804161072, 0.24924348294734955, 1.316841959953308, -0.8140184879302979, 0.5705561637878418, 0.3281926214694977, 0.7012425661087036, -0.2675544023513794, -0.2733805775642395, -1.8599486351013184, -1.2862882614135742, 1.0579239130020142, 0.06600432842969894, 0.1353641003370285, -0.18187682330608368, -2.2470126152038574, -0.4692997634410858, 0.5778091549873352, -0.3245132863521576, -0.7367091178894043, -1.0269691944122314, 0.6535136103630066, 1.0165048837661743, 1.0010875463485718 ] ]
{ "indices": [ 2912884399, 1063320047, 640124220, 2351523834, 2067848296, 1551089265, 3979495055, 3530670207, 3270687257, 639386442, 2560416690, 613148321, 1404934148, 629871972, 2598428456, 286434387, 3676260520, 1960040400, 3748190670, 3743430521, 1590456296, 2678223555, 3172858508, 2391722386, 3062174764, 3371021539, 2381777354, 3686012684, 3392060237, 443994268, 691409538, 3284862352, 3180462103, 670727360, 2484513939, 3688822001 ], "values": [ 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.7451955521970769, 0.5938738530149964, 0.5938738530149964, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.5938738530149964, 0.7852105819453987, 0.5938738530149964, 0.5938738530149964, 0.42234749299582586, 0.5938738530149964, 0.42234749299582586, 0.5938738530149964, 0.5938738530149964, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586 ] }
{ "context": "If you know you're not using it, you can leave it as a don't care. So the choices here, 0, 0, 0 will give you IR 11 through 9. So if you look at the instruction encoding, those are the source register bits for stores. And so this is how you would set up for a store is to pull those bits out as your source register to write those to memory, write that register to memory. For ALU ops, jump, load, LDR and STR's base register, IR 8 to 6, you set 0, 1, and then I'll give you that register." }
269206
[ [ -0.6342470645904541, -0.6010624170303345, -0.34101030230522156, -0.37487876415252686, -0.03849022462964058, 0.10887746512889862, -0.13119855523109436, 0.6607711315155029, 0.7088180780410767, -0.7833005785942078, 0.18036553263664246, 0.7066084742546082, 0.9597058296203613, 0.1008557677268982, 0.531768798828125, -0.06439944356679916, 0.8144603967666626, -0.09580840915441513, -1.2221006155014038, -0.01514238677918911, 0.6444959044456482, -0.5103508830070496, 0.05277970805764198, -0.647986888885498, -0.3369641900062561, 0.7377364635467529, 0.37694182991981506, -1.023667573928833, 0.529859185218811, -0.16244998574256897, -0.2351609319448471, -1.7653688192367554, 0.334785521030426, -0.18069440126419067, 0.8819051384925842, 0.2688153386116028, -0.23334556818008423, -0.386442631483078, -0.2189277708530426, 0.85053551197052, -0.4521748721599579, 0.4018798768520355, -0.3487825095653534, 0.8203237056732178, -0.16664277017116547, 0.38280463218688965, -0.19867132604122162, 0.19756829738616943, 1.1490812301635742, -1.1023492813110352, 1.2436916828155518, -0.870857298374176, 0.6481303572654724, 0.5186942219734192, -0.2575587034225464, 0.44211357831954956, 1.0803353786468506, 1.7623223066329956, 0.06383604556322098, -0.5739421844482422, -0.6999178528785706, -0.32017022371292114, 0.601758599281311, -0.22707295417785645, -0.4156787395477295, -0.9996218681335449, 0.7340812087059021, -0.15488676726818085, 0.22478628158569336, 0.021303514018654823, -0.7146667838096619, -0.35799381136894226, 0.30585700273513794, 0.44455522298812866, 1.1324512958526611, -1.1229424476623535, 0.9212352633476257, -0.63588947057724, 0.42176395654678345, 0.5971056818962097, -1.3993854522705078, 0.07750590145587921, -1.5050060749053955, 0.826529860496521, 0.0756383165717125, -0.4687575399875641, 2.3042545318603516, -0.32747846841812134, -0.067122682929039, 0.3994729518890381, 0.2962973415851593, 0.12888042628765106, 1.181688666343689, -0.7650036811828613, -0.27068445086479187, 0.8017852306365967, 0.37288418412208557, 0.07300037890672684, -0.08510344475507736, -0.4005119204521179, 0.5208214521408081, -1.043617844581604, 0.24857735633850098, 1.4056447744369507, -0.4254932999610901, 0.19830262660980225, -0.10556304454803467, -0.3188382089138031, -0.5459604263305664, 0.7716490626335144, -1.071224570274353, 0.3806993067264557, -0.501530110836029, 1.2676559686660767, 0.053661931306123734, 0.0009424012387171388, -0.9166605472564697, -0.25251346826553345, 1.0629923343658447, 0.9551450610160828, 1.467582106590271, -0.7020138502120972, 0.7047670483589172, -0.8214335441589355, -1.7303493022918701, 1.2076425552368164, 0.1625252664089203, 0.05956175923347473, -0.8104264736175537, 0.692253828048706, 0.6736487150192261, -0.024425027891993523, -0.057189591228961945, -0.1730189174413681, -0.26737943291664124, 0.6995546817779541, -1.5475168228149414, -1.7954157590866089, 0.5713956952095032, 0.49656346440315247, -0.16896818578243256, -1.604976773262024, -0.2559402585029602, 0.928337812423706, 1.212374210357666, 0.02765556052327156, 0.5954626798629761, 0.7605542540550232, -0.23744694888591766, 0.610817015171051, -1.0399706363677979, -0.2923223674297333, -1.4779775142669678, -0.3236389458179474, -0.016856713220477104, 0.25274258852005005, 0.12136265635490417, 0.22050176560878754, -0.7059837579727173, -0.6398035883903503, 0.9119696617126465, -0.31988999247550964, 0.10485120862722397, 0.24214042723178864, -1.1354044675827026, -0.7380231022834778, 1.7078711986541748, 0.0648590698838234, 0.5704832673072815, 0.18602462112903595, 0.30593958497047424, 0.47411593794822693, -0.5431852340698242, 0.6201816201210022, 1.3637877702713013, -0.9696557521820068, -0.05994276702404022, -1.0859284400939941, -0.7019320130348206, -0.6733394265174866, -0.2971029579639435, -0.6588237285614014, 0.5446168780326843, 0.5032138824462891, -0.29341500997543335, 0.39369040727615356, -0.6133354902267456, 1.0565687417984009, 1.4398949146270752, -0.7287381291389465, -0.47072404623031616, -0.7923581600189209, 0.5707688331604004, -0.5391616821289062, -1.286857008934021, -0.7407755255699158, -0.5048713088035583, 0.306469589471817, 0.34232234954833984, -0.29464343190193176, -0.5865495800971985, 0.5184113383293152, 0.9414695501327515, -0.6490560173988342, 0.0190645232796669, 0.5542911291122437, 0.5696795582771301, -1.1148232221603394, 0.6975062489509583, -0.766453742980957, 0.25801676511764526, 0.8627776503562927, 0.1831563264131546, 0.2660386562347412, -0.20420995354652405, -0.07354667037725449, -0.5622373223304749, 0.7333222031593323, 0.19387497007846832, 0.15023228526115417, -1.3168426752090454, 1.2370269298553467, 2.0609912872314453, 1.0271228551864624, 0.643452525138855, 1.335740566253662, 0.12755997478961945, -0.39408165216445923, 0.24417369067668915, -0.2228306233882904, -0.805855393409729, -1.598883032798767, -0.25635984539985657, 0.110478974878788, -0.534603476524353, 0.18314455449581146, 1.3939440250396729, 0.3298633396625519, 0.7793302536010742, -0.2606959342956543, -1.1785480976104736, 0.9523263573646545, 0.7236867547035217, 1.0269485712051392, 0.17716069519519806, 0.7579585313796997, 0.6325719356536865, 1.6491475105285645, -0.4038603901863098, -0.010806353762745857, -0.3346908688545227, 1.0459445714950562, 0.5692855715751648, 0.1394789218902588, 0.12046143412590027, -1.2392674684524536, 0.6949266195297241, -0.8350699543952942, -0.12664909660816193, 0.8410285711288452, -0.09597626328468323, -0.14040368795394897, -0.20462390780448914, -0.3836281895637512, -0.17945489287376404, 0.8095542788505554, -0.06868063658475876, -1.089697003364563, 0.6247153282165527, -0.41439008712768555, 1.4491372108459473, 0.24798457324504852, -0.9910137057304382, -1.2758467197418213, 0.0034809375647455454, 0.42940840125083923, -0.4266427159309387, 1.2505748271942139, -1.8037981986999512, -0.45794400572776794, -0.22721491754055023, -0.24011923372745514, 0.5651254653930664, 0.13482357561588287, -1.810120701789856, 0.19686727225780487, 0.2647296190261841, -0.9664819836616516, 0.48363494873046875, -0.3884851634502411, -0.8263865113258362, 0.6818956136703491, -0.07345877587795258, -1.1746090650558472, -0.7270470857620239, -0.06193050369620323, -0.06977001577615738, -0.06421223282814026, -0.001530529116280377, 1.366678237915039, 0.43382689356803894, -1.5755242109298706, 0.09611272811889648, -0.8051882982254028, 0.01691790111362934, 0.25961562991142273, -0.48221272230148315, -0.42333146929740906, -0.4828922748565674, 0.5270069241523743, 0.043726880103349686, -0.16733607649803162, -0.13376516103744507, -0.7538594603538513, -1.5613304376602173, 0.2330418825149536, 0.4905780553817749, 1.0058939456939697, -0.29329752922058105, 0.12818364799022675, 1.0066163539886475, 0.7142505645751953, -0.8675349354743958, -0.567866861820221, 0.4476448893547058, -0.6050624847412109, -0.8725828528404236, -0.7513886094093323, 1.4173756837844849, -0.13453589379787445, 0.6408080458641052, -0.10774853080511093, 0.9114652276039124, 1.6246392726898193, -0.43234872817993164, -0.9580389261245728, 0.2928718626499176, 0.48492613434791565, -0.5972923040390015, -0.11657688021659851, 0.520566463470459, 0.3003310561180115, 0.5309761762619019, -0.3697652816772461, 0.3073643743991852, 0.7166643738746643, -0.5867521166801453, 0.07524812966585159, 0.1127823144197464, 0.9923543334007263, -0.33824023604393005, -0.484853595495224, 0.47070956230163574, -1.2721006870269775, -0.051944438368082047, 0.6285991668701172, 1.5412074327468872, -0.841818630695343, 0.049585964530706406, 0.9006837010383606, 0.6250298619270325, -0.314284086227417, -0.4442421495914459, -0.14490148425102234, -0.7845271825790405, 0.4516123831272125, -0.028371596708893776, 0.2706700563430786, 0.4129088521003723, -0.29250338673591614, 0.5908467769622803, 0.5112956762313843, -0.45518529415130615, -0.008032314479351044, -1.6411798000335693, -0.5290382504463196, 1.035062551498413, 0.9592024683952332, 0.1063493937253952, 0.9366444945335388, -0.05202345922589302, -0.2425760179758072, -0.42999622225761414, -0.06982147693634033, -1.8528845310211182, 0.08635915815830231, 0.3316536247730255, 0.055594708770513535, 0.740829348564148, -0.48437070846557617, 0.4440198838710785, -0.7529301643371582, -1.3627588748931885, 0.022548384964466095, -0.3768308460712433, -0.7160559296607971, 0.37900519371032715, 0.1126374751329422, -0.5269941687583923, 0.07420838624238968, 0.9515460133552551, 1.7952632904052734, 0.3863339424133301, 0.028612198308110237, 1.1310302019119263, -0.06591697782278061, -0.6185367703437805, -0.5678338408470154, 0.439708411693573, -0.42939504981040955, 1.2016537189483643, 0.5345546007156372, 0.6282864809036255, -0.237949937582016, -0.24199029803276062, 0.6104153990745544, 0.7586851716041565, 0.5281006097793579, -0.30757373571395874, 0.4637795388698578, -0.5350197553634644, -0.28265807032585144, 0.07743025571107864, 0.7034356594085693, 0.3998469114303589, 1.391520619392395, 1.1126450300216675, 0.29699617624282837, -0.3416915535926819, 1.2235500812530518, 0.6085755228996277, 0.4778120815753937, -0.3375771939754486, -1.1714198589324951, -0.17861992120742798, 0.8144213557243347, -0.3716857135295868, 0.7668006420135498, 0.7921305298805237, -0.05714815482497215, -0.08763305842876434, -0.10801299661397934, -0.07375801354646683, -0.9492934346199036, 0.3536471724510193, -0.6911603212356567, 0.33422160148620605, 0.3074776828289032, 0.945589542388916, 1.1503418684005737, 0.23522180318832397, -0.510846734046936, -0.0010128638241440058, -0.9566998481750488, -2.899331569671631, 0.19699005782604218, 0.7639729976654053, 0.7009970545768738, -0.6596080660820007, -0.053736358880996704, -1.0669373273849487, -0.9634485840797424, -0.1278599351644516, -0.5233636498451233, 0.9957853555679321, -0.5556013584136963, 0.8679221868515015, -0.23323173820972443, -1.0116503238677979, -1.0773682594299316, 0.6325464844703674, -0.46888041496276855, -0.5661026239395142, -0.5305346846580505, 0.57286137342453, 0.10368821024894714, 0.540861964225769, -0.17658625543117523, 0.6177328824996948, -0.7906078100204468, -0.35786256194114685, -0.17997173964977264, 1.2159221172332764, -1.017889380455017, -0.699617862701416, -0.30050593614578247, 0.22891166806221008, -0.015832358971238136, 1.1578093767166138, -0.11427432298660278, -0.543192446231842, 0.37746289372444153, -0.3908221125602722, 0.24735084176063538, -0.881156325340271, -1.2437658309936523, 1.4682446718215942, 0.539716362953186, 0.28666383028030396, 1.0000065565109253, 0.7331445217132568, -0.46503275632858276, -0.4794912040233612, -0.6772724390029907, 0.3136383593082428, -1.4074190855026245, 0.1802969127893448, -0.639986515045166, 0.2088295817375183, -0.14014722406864166, 1.0038105249404907, 0.044784657657146454, 0.14676915109157562, -0.2798444926738739, -0.45173439383506775, -0.7901726365089417, 0.27750691771507263, -1.2557528018951416, 0.1330624222755432, 0.3133060336112976, -0.34773609042167664, -1.2740534543991089, -0.7153600454330444, 0.45968690514564514, -2.0910027027130127, 0.15678663551807404, 0.7740119099617004, 0.09857392311096191, 0.7730364799499512, -1.7581685781478882, 0.4674703776836395, 0.08216895908117294, -0.8951115012168884, 1.0501831769943237, 0.5827353596687317, -0.2530042231082916, 0.055686019361019135, -0.8864586353302002, 0.4811198115348816, 0.719912588596344, 0.14868150651454926, -0.11334583163261414, 0.4183487296104431, 0.6087982058525085, -0.22931019961833954, -0.05126812309026718, -2.045464277267456, -1.3470854759216309, 0.0003095111169386655, -0.2169676572084427, 0.48435503244400024, -0.27411404252052307, 0.3423618674278259, 1.0279823541641235, -0.6924006342887878, 0.3795848488807678, 0.9294914603233337, -0.33025091886520386, 0.6774841547012329, 0.271556556224823, 0.3988330066204071, 0.0700063481926918, 0.8259881138801575, 0.2719408869743347, 0.049118947237730026, 0.1505335122346878, 0.8098344206809998, -0.621721088886261, -1.3131624460220337, -0.031164297834038734, 0.2890949547290802, -1.2101759910583496, -0.25373268127441406, 1.653265118598938, -0.02468414045870304, 0.1475701928138733, 0.24955476820468903, -0.3101654052734375, -0.6211402416229248, 0.3695168197154999, -0.7218886017799377, -0.0520733967423439, 0.8817232847213745, 0.03448444977402687, 0.2685791850090027, -0.02365238405764103, 0.015050209127366543, -1.3456363677978516, 0.759411096572876, 0.30266815423965454, 0.7312299609184265, 1.819522738456726, 0.25087881088256836, 0.19849678874015808, 0.47247976064682007, 0.49630188941955566, 0.7490002512931824, -0.6879992485046387, 0.4731144607067108, 1.110926866531372, 0.37290123105049133, -0.09291032701730728, 0.14791114628314972, -0.8470923900604248, 0.5427723526954651, 1.322422981262207, -1.258841633796692, 0.5758355259895325, 0.599822461605072, -0.09623929858207703, -0.4141118824481964, -0.493440717458725, -1.0081124305725098, 0.10009287297725677, -1.4918214082717896, 2.1848645210266113, -0.9932399988174438, -2.104618787765503, 0.43986669182777405, 1.739310383796692, 0.22477416694164276, -0.1321302354335785, 1.1596475839614868, -0.5964511632919312, 0.18515250086784363, -0.4753245413303375, -0.45768558979034424, 1.0506757497787476, -1.4384896755218506, 0.7236059904098511, 0.192365825176239, -0.31670787930488586, 0.7849518060684204, 0.3592338562011719, 0.2174408882856369, -1.3109570741653442, -0.9969316720962524, -1.247942566871643, 1.042435884475708, 0.18551293015480042, -0.9853715300559998, 0.47768789529800415, -0.008499070070683956, 1.1250838041305542, -0.43499329686164856, 0.4650558829307556, -0.6296992301940918, -1.865855097770691, -0.4617832601070404, -0.12252642959356308, -1.3379714488983154, 2.0904669761657715, 0.4933023154735565, -0.04733170196413994, 0.625805675983429, -1.0523793697357178, 1.5106621980667114, -0.16180294752120972, 0.5616329908370972, 0.6732398271560669, 1.7155287265777588, 0.15094859898090363, 0.11281397938728333, -0.041862670332193375, -0.3007638156414032, 4.070910930633545, 1.8378769159317017, 0.18532389402389526, -1.7923994064331055, -0.47923699021339417, -0.5011709928512573, 0.1714877486228943, -0.5601632595062256, 0.36557233333587646, 0.8402259349822998, 0.19047172367572784, 0.9080747365951538, 0.6012815833091736, -0.37056395411491394, 0.06048288196325302, 0.17245745658874512, -0.031156478449702263, -0.5760992765426636, 0.6421321034431458, 0.40967074036598206, 0.30704620480537415, -0.280354380607605, -1.0034984350204468, -1.2474887371063232, 1.2005513906478882, -0.8771974444389343, 0.36959242820739746, -0.5710382461547852, 1.0995874404907227, 0.17529529333114624, -0.4739445447921753, 0.0024018706753849983, 0.1238049566745758, 0.1754499226808548, 0.649132490158081, 0.37888574600219727, -0.36096641421318054, 0.2526710629463196, -0.37085241079330444, 0.2612825334072113, 0.2455102652311325, -0.4212297201156616, -0.8728574514389038, 0.5250663757324219, -0.5511513948440552, -0.26413047313690186, -0.43034815788269043, -0.8280122876167297, -0.18121054768562317, 0.35691210627555847, -0.2800813913345337, 0.5173235535621643, -0.41537752747535706, -1.55912446975708, -1.4617502689361572, 0.4805891811847687, 0.6493815779685974, 0.14858517050743103, -0.8030476570129395, -0.9012399911880493, 0.20522259175777435, -0.172809436917305, 0.6220036745071411, 0.804256021976471, 0.7115479707717896, 0.23800033330917358, -1.3121875524520874, 1.7551507949829102, -0.23556891083717346, -0.72748863697052, -0.15581244230270386, 0.6302937865257263, -0.22742395102977753, -0.14870266616344452, 0.3330680727958679, 0.2983993887901306, -1.1546093225479126, 0.18452198803424835, -0.17574217915534973, -0.5848357081413269, -0.8694232702255249, -0.08409664034843445, 0.16877257823944092, -0.3463505208492279, -0.5655623078346252, -0.37648653984069824, -0.6558536291122437, 0.16762253642082214, 0.045806266367435455, 0.46310684084892273, -0.5388367772102356, 1.2726653814315796, 0.38774895668029785, -1.6073071956634521, 0.04406489431858063, 1.3086482286453247, -0.7803547978401184, 0.12290836870670319, -0.4869525730609894, 1.909260630607605, -0.46268853545188904, -0.6334262490272522, -0.3066045641899109, 0.8467966318130493, -0.6129012107849121, 0.46700653433799744, 1.3918746709823608, 0.10206114500761032, 0.02305998094379902, 0.25350692868232727, 0.43911609053611755, -0.3329283893108368, -0.4982201159000397, -0.5029668807983398, -0.4115612804889679, 0.01592421904206276, -1.0186113119125366, -0.31262150406837463, 0.34796014428138733, -0.8009404540061951, 0.3303552269935608, 0.6118317246437073, -0.11243848502635956, 0.10205720365047455, -0.017761826515197754, 0.38765525817871094, -0.08624371141195297, -0.2614535093307495, -0.4314963221549988, 0.2268064022064209, -0.08259793370962143, 0.014985544607043266, 0.2820640504360199, 0.8128100633621216, -0.8528146147727966, -0.42757242918014526, -0.26865729689598083, 0.7754502296447754, -1.1233124732971191, 0.03132007271051407, 1.0480355024337769, -1.2054197788238525, -0.6093717217445374, 2.1975860595703125, -0.7148483991622925, 0.05777754634618759, 0.06349498778581619, -0.49439558386802673, 0.8442556858062744, 0.7300025224685669, -1.3354451656341553, -0.02240789495408535, 0.669384241104126, 0.7323923707008362, -0.18979042768478394, 0.7904374003410339, 0.19365744292736053, -0.1761699914932251, -0.2991824448108673, 0.9421383738517761, 0.1066487580537796, 0.18629255890846252, 0.6922861933708191, -1.1401081085205078, 0.4515603482723236, 0.0744614452123642, -0.3428923189640045, 0.17051663994789124, -0.036367904394865036, 0.20852617919445038, 0.4924966096878052, 0.0012935248669236898, 0.9279838800430298, 0.3190576434135437, -1.1003221273422241, -0.7020933628082275, -0.32138288021087646, -0.2928755283355713, 0.18053370714187622, -0.5875736474990845, 0.17402130365371704, 0.9900702238082886, -0.9732639193534851, 0.6368839740753174, -0.3990882337093353, 0.42022955417633057, -1.7117327451705933, 0.4316377341747284, 0.012662418186664581, 0.48528197407722473, 0.09869913011789322, 0.2724076211452484, 0.8296529650688171, 0.4249211251735687, -0.4467518627643585, -0.6351303458213806, 0.6715009808540344, 0.9274019002914429, 0.02474226988852024, -0.45985764265060425, 0.6492451429367065, -1.1574872732162476, -0.9725533127784729, -0.09523100405931473, -1.151702642440796, -0.21747435629367828, 0.1282406598329544, 0.7574886679649353, 0.3259459435939789, 0.24732665717601776, 0.6819921135902405, -0.7556252479553223, 0.37399664521217346, -0.7466818690299988, -0.03093649074435234, 0.054150428622961044, -0.729405403137207, -0.060741595923900604, 0.31447768211364746, 0.638114869594574, 0.015008575282990932, 0.7203652262687683, -0.8217920660972595, -0.38078004121780396, -0.3316832482814789, -0.05453164130449295, 0.509061336517334, -0.9067885279655457, -0.06294586509466171, -1.747989296913147, 1.5063111782073975, 0.28825852274894714, -0.025142619386315346, 0.46378815174102783, 0.7151277661323547, -1.17237389087677, 0.037478331476449966, 0.015260943211615086, 0.14759725332260132, -0.8387190699577332, -0.2267930656671524, -0.26535260677337646, -0.05659034475684166, 0.617486834526062, -0.5895330905914307, 0.10458449274301529, -0.3005506992340088, 1.3469613790512085, -0.3180147409439087, -0.4124344289302826, -0.4940280318260193, -0.8546373844146729, 1.0838507413864136, -1.0477261543273926, 1.1729787588119507, 2.0591933727264404, 0.6910472512245178, -1.067704200744629, -0.9466007351875305, -0.35781335830688477, 0.8215847015380859, 1.0052493810653687, -0.08046743273735046, 0.08920571953058243, -1.7316054105758667, 0.5088544487953186, -0.43634435534477234, 0.2547607123851776, 0.12516090273857117, -0.6752363443374634, 0.25272336602211, 0.14565154910087585, 0.6360338926315308, 0.03711262345314026, -0.5505822896957397, 0.8222589492797852, 0.01179321389645338, 0.5305919051170349, -0.563622236251831, 0.2605072855949402, -0.09944473952054977, 0.6505311131477356, -0.4872994124889374, 1.4965293407440186, -0.1765369027853012, -0.20424102246761322, 0.7231177687644958, -0.2637348473072052, -1.2249771356582642, 0.7810537815093994, 0.43699347972869873, 0.4234269857406616, -0.4509209096431732, -0.3704577684402466, 1.0500283241271973, -1.135280728340149, 0.8016791343688965, 0.4272565543651581, -0.5918570160865784, 0.37151899933815, 0.7994310259819031, -0.40085139870643616, 1.1419570446014404, -0.08283860236406326, 0.37382772564888, -1.238283395767212, -1.0120798349380493, 0.7978521585464478, 0.1373898833990097, 0.4124818742275238, -0.3244352340698242, 0.13683506846427917, 0.44141605496406555, -0.7235451340675354, 0.9515791535377502, -0.2871536612510681, 1.2292176485061646, 0.1426660716533661, -0.09773478657007217, -0.23518125712871552, -0.4285224378108978, -1.1878420114517212, 0.1773698776960373, -0.23324383795261383, 1.4006654024124146, -0.5797168612480164, -0.529216468334198, -1.5891673564910889, 0.7833273410797119, 0.46781855821609497, 0.06661010533571243, -0.14009644091129303, 0.16355489194393158, -0.4086286425590515, -0.40753886103630066, -0.8125162124633789, 0.16333851218223572, 1.828864574432373, -0.7407747507095337, 0.2654999792575836, 0.2334299236536026, 1.2503471374511719, 0.35214880108833313, -0.4162951707839966, -1.6390204429626465, -0.6581797003746033, 0.49360042810440063, -0.044485170394182205, 0.472503125667572, -0.1939442753791809, -1.9814313650131226, -0.17093788087368011, 0.8187519311904907, -0.24707096815109253, -0.8401926755905151, -1.3891507387161255, 0.500504732131958, 0.6619586944580078, 1.197051763534546 ] ]
{ "indices": [ 3743430521, 1590456296, 3748190670, 2678223555, 1960040400, 286434387, 3676260520, 3172858508, 2391722386, 3062174764, 3371021539, 2381777354, 3686012684, 3392060237, 443994268, 691409538, 3284862352, 639386442, 3180462103, 670727360, 3530670207, 2484513939, 3688822001, 3270687257, 2836570682, 3533571357, 695926169, 1432087569, 620220149, 3741174264, 3677720983, 3750022758, 2895605406, 1260586891 ], "values": [ 0.4460095183914834, 0.7071961376506675, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.763052303025794, 0.616883240004695, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.616883240004695, 0.616883240004695, 0.4460095183914834, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834 ] }
{ "context": "And so this is how you would set up for a store is to pull those bits out as your source register to write those to memory, write that register to memory. For ALU ops, jump, load, LDR and STR's base register, IR 8 to 6, you set 0, 1, and then I'll give you that register. And then finally, the 1, 0 setting will give you R6, which is again, nothing that we've seen. It's actually the changing privilege implementation on the LC3." }
997319
[ [ -0.6648818850517273, -0.4267584979534149, -0.26929810643196106, 0.11460811644792557, 0.2832081913948059, -0.06885675340890884, -0.4210455119609833, 0.42318570613861084, 0.6821757555007935, -0.19302797317504883, 0.4459410309791565, 0.6167114973068237, 1.3002147674560547, 0.06160152703523636, 0.37645405530929565, -0.010832889005541801, 0.8888277411460876, -0.1602630615234375, -1.2203539609909058, -0.31371772289276123, 0.4234863519668579, -0.9369721412658691, -0.20030993223190308, -0.4205992817878723, -0.4915255308151245, 0.33932286500930786, 0.027536122128367424, -0.5531668066978455, 0.22937369346618652, 0.40971842408180237, -0.1997571885585785, -1.5177425146102905, 0.5320454239845276, -0.19504395127296448, 1.0949809551239014, -0.005668013356626034, -0.028912780806422234, -0.7799167037010193, -0.522566556930542, 0.7670437693595886, -0.5595885515213013, 0.4041807949542999, 0.22868181765079498, 0.6222483515739441, -0.09914693236351013, 0.35818901658058167, 0.31437745690345764, 0.6274364590644836, 1.0670710802078247, -1.440041184425354, 1.4259982109069824, -0.4809141159057617, 0.6876350045204163, 0.9192754626274109, -0.5660532712936401, 0.3090856075286865, 0.8622146248817444, 1.390633225440979, -0.12170054763555527, -0.609844446182251, -0.5362683534622192, -0.37263381481170654, 0.5294670462608337, -0.17440864443778992, -0.6336458921432495, -0.644960343837738, 0.736028790473938, -0.3988833725452423, 0.24582894146442413, 0.0906747505068779, -1.2200865745544434, 0.030123397707939148, 0.1496826708316803, 0.5643076300621033, 1.1020128726959229, -0.9330350160598755, 0.6906948685646057, -0.23797336220741272, 0.634136974811554, 0.9056546092033386, -0.6824951171875, 0.13727779686450958, -1.6342874765396118, 1.1144520044326782, 0.22541281580924988, -0.7506651878356934, 2.112285614013672, -0.29208260774612427, -0.12510044872760773, 0.3652683198451996, -0.07678831368684769, -0.3860236406326294, 1.1829975843429565, -0.43915536999702454, -0.19036950170993805, 0.7969087362289429, 0.4410199522972107, 0.3302224576473236, -0.38409554958343506, -0.6050518155097961, 0.35662397742271423, -0.8536713123321533, 0.561248779296875, 1.460991621017456, -0.2765980362892151, -0.12140034884214401, 0.08010929822921753, -0.20805132389068604, -0.6851261854171753, 0.7413341403007507, -0.9532053470611572, 0.8450875878334045, -0.851119339466095, 1.2319748401641846, 0.5675604343414307, -0.3240225911140442, -0.8074909448623657, -0.2429180145263672, 0.6569961905479431, 1.2947508096694946, 1.4600629806518555, -0.00656902464106679, 0.4231875240802765, -0.7157403826713562, -1.3347975015640259, 1.2053762674331665, -0.13819070160388947, 0.22467520833015442, -0.6296119093894958, 0.5607099533081055, -0.056494541466236115, -0.15058021247386932, 0.24369041621685028, -0.36193013191223145, -0.28870677947998047, 0.5908285975456238, -1.5017414093017578, -1.6433812379837036, 0.34141796827316284, 0.33280038833618164, -0.05410310626029968, -1.549832820892334, -0.5683477520942688, 0.9348013401031494, 0.8236661553382874, 0.5536587238311768, 0.4408680200576782, 0.7722036838531494, -0.33773910999298096, 0.050993360579013824, -0.985215961933136, 0.17801326513290405, -1.6260432004928589, -0.3090723752975464, -0.05650566890835762, -0.7156215906143188, -0.21228940784931183, 0.8360151648521423, -0.5412717461585999, -0.24904479086399078, 0.8236613869667053, -0.39328667521476746, -0.06646161526441574, 0.009981703944504261, -0.41135600209236145, -0.7674492001533508, 1.5054253339767456, -0.01690124161541462, 0.523840069770813, 0.1320824772119522, 0.18092486262321472, 0.23706313967704773, -0.3398377597332001, 0.7802174687385559, 1.3454644680023193, -0.517964780330658, 0.3685242831707001, -1.4158893823623657, -0.5412982106208801, -0.430696576833725, 0.02129075676202774, -0.26771706342697144, 0.48697641491889954, -0.11942384392023087, -0.47976696491241455, 0.6972468495368958, -0.8089304566383362, 0.7417184710502625, 1.5976216793060303, -1.460159182548523, -0.21242079138755798, -0.4587060809135437, 0.4394097924232483, -0.13115689158439636, -1.0656423568725586, -0.14032047986984253, -0.13459992408752441, 0.1136026382446289, 0.6971418857574463, -0.25301939249038696, -0.8339182734489441, 0.4594654440879822, 0.6838528513908386, -0.5494247078895569, 0.20305004715919495, 0.6609344482421875, 0.21065020561218262, -0.6722837090492249, 0.5405599474906921, -1.1810263395309448, -0.30656906962394714, 0.7887470126152039, -0.031435027718544006, 0.20856443047523499, -0.16942256689071655, -0.02703777514398098, -0.6770328283309937, 1.2384721040725708, 0.3059369623661041, 0.4401499330997467, -1.489314317703247, 0.7579410076141357, 2.4123873710632324, 0.6464985609054565, 0.6037247180938721, 0.8003780841827393, -0.1788383275270462, -0.6550122499465942, 0.1387377232313156, -0.5008620023727417, -0.6586458683013916, -1.0950089693069458, 0.20967382192611694, 0.14593324065208435, 0.08253162354230881, -0.13079819083213806, 1.068710446357727, 0.47418564558029175, 1.2627195119857788, 0.1618192195892334, -1.3954123258590698, 0.5388074517250061, 0.4196121394634247, 0.9525195360183716, 0.2890182435512543, 0.8477380275726318, 0.6069477796554565, 1.0793036222457886, 0.06677467375993729, -0.006062437780201435, -0.25881168246269226, 0.9371486902236938, 0.28028547763824463, 0.0036304474342614412, 0.040160149335861206, -1.121014952659607, 0.8536165356636047, -1.0248502492904663, -0.0438782274723053, 1.0231760740280151, 0.14259304106235504, 0.14563986659049988, -0.05203760042786598, -0.4023118317127228, 0.17709854245185852, 0.5216289162635803, -0.3564282953739166, -0.5144698619842529, 0.9104853272438049, -0.309356689453125, 1.0212687253952026, 0.20390480756759644, -0.7895456552505493, -1.3843787908554077, -0.20064802467823029, 0.3255036473274231, -0.10137227922677994, 1.7110416889190674, -1.4708009958267212, -0.6943396329879761, -0.046594224870204926, -0.027571868151426315, 0.638666570186615, 0.4162273108959198, -1.6210920810699463, 0.40504127740859985, 0.17315495014190674, -1.2376798391342163, 0.6403712630271912, 0.07012340426445007, -0.6096684336662292, 0.4043911397457123, -0.1755816489458084, -0.9574088454246521, -0.3670724034309387, -0.296191543340683, 0.060456782579422, 0.3307177424430847, -0.24794383347034454, 0.8461381793022156, 0.7064144015312195, -1.24269437789917, 0.08708445727825165, -0.7804124355316162, -0.0070268940180540085, 0.1865820586681366, -0.528765082359314, -0.21211248636245728, -0.5101431012153625, 0.7792751789093018, 0.08659736067056656, -0.46151188015937805, -0.7235071063041687, -0.9325249791145325, -1.4453353881835938, 0.20453089475631714, 0.4003799855709076, 0.819653332233429, -0.2961294651031494, -0.2532058358192444, 1.0733946561813354, 0.7057695984840393, -0.8588192462921143, -0.4048739969730377, 0.1229764074087143, -0.6470975279808044, -0.7802011966705322, -0.5408982038497925, 0.9817367196083069, 0.4447515606880188, 0.7530667185783386, 0.09080231934785843, 0.9327429533004761, 1.1683809757232666, -0.3935253322124481, -0.6792491674423218, 0.11258271336555481, 0.8560826182365417, -0.7769121527671814, -0.28177711367607117, 0.41082563996315, -0.006419969256967306, 0.8802753686904907, -0.3992632329463959, 0.6110008358955383, 0.4763554632663727, -0.519101083278656, 0.26165643334388733, 0.3762540817260742, 0.7423179745674133, -0.17733830213546753, -0.19465860724449158, -0.06139373034238815, -0.9841386079788208, -0.19439132511615753, 0.5177937150001526, 1.4751646518707275, -1.132997989654541, -0.051021184772253036, 0.981631875038147, 0.745780348777771, -0.7218618988990784, -0.07684196531772614, 0.26875290274620056, -0.2711861729621887, 0.47867581248283386, 0.08526206016540527, -0.1108786091208458, 0.7414577007293701, -0.3330501616001129, 0.7444318532943726, 0.4767780303955078, -0.22766539454460144, -0.03200143203139305, -1.4991968870162964, -0.4547775983810425, 0.7238843441009521, 0.7703890204429626, 0.2481551170349121, 1.190953016281128, 0.1291714906692505, -0.15059389173984528, -0.588974118232727, 0.2996498942375183, -1.8526948690414429, 0.2752399444580078, -0.271801233291626, 0.4700918197631836, 0.5754638910293579, -0.027891671285033226, 0.31852561235427856, -0.7143192887306213, -1.1490439176559448, -0.21605835855007172, -0.5672184228897095, -0.696379542350769, 0.186454176902771, -0.0015089912340044975, -0.15223601460456848, -0.38382992148399353, 0.8791900277137756, 1.507509469985962, 0.6276181936264038, 0.06015893816947937, 1.4039306640625, 0.48388397693634033, -0.7162022590637207, -0.7660512328147888, 0.619713306427002, 0.045338016003370285, 1.4157099723815918, 0.2819305658340454, 0.6202200651168823, -0.9128397703170776, -0.2092776894569397, 0.5580836534500122, 0.37572675943374634, 0.29833170771598816, 0.747667670249939, 0.47155964374542236, -0.6890032887458801, -0.5421897768974304, 0.0239398255944252, 0.6874843835830688, 0.3412246108055115, 1.449126958847046, 1.2018074989318848, -0.2709367871284485, -0.17323265969753265, 0.9123187065124512, 0.7097947597503662, 0.4157126247882843, -0.06945851445198059, -0.9604196548461914, -0.23871290683746338, 0.7372669577598572, -0.30790838599205017, 0.8714752793312073, 0.4795672595500946, -0.1968468427658081, -0.32173997163772583, -0.2231653779745102, -0.4936760663986206, -0.3471297323703766, 0.8419643044471741, -0.34516116976737976, 0.03646113723516464, 0.5224176049232483, 0.869613766670227, 1.1015329360961914, 0.4905027151107788, -0.5267289280891418, -0.16153687238693237, -1.0431287288665771, -2.5364561080932617, -0.008977488614618778, 0.4842459261417389, 0.6924641728401184, -1.0773224830627441, -0.0780881941318512, -0.7639127969741821, -1.0018951892852783, -0.1416139006614685, -0.6243983507156372, 0.8153508305549622, -0.15246376395225525, 0.49906304478645325, -0.539770245552063, -1.0627728700637817, -1.1984999179840088, 1.2490549087524414, -0.5268357992172241, -0.15763643383979797, -0.6536727547645569, 0.7543503642082214, 0.3976699709892273, 0.49728924036026, -0.19703301787376404, 0.31980210542678833, -0.9810833930969238, -0.050571952015161514, 0.10065675526857376, 1.2624350786209106, -1.2684367895126343, -1.0773335695266724, 0.19118823111057281, 0.3207738697528839, 0.1899193823337555, 0.6544166803359985, -0.4195209741592407, -0.3436434268951416, 0.4741817116737366, -0.1352870613336563, 0.1627953052520752, -0.6835469007492065, -1.4437992572784424, 1.1958763599395752, 0.3282521665096283, 0.6407416462898254, 1.1252074241638184, 0.7950937747955322, -0.8948418498039246, -0.29313305020332336, 0.13914348185062408, 0.5060998201370239, -0.9070827960968018, 0.10183204710483551, 0.062465790659189224, -0.10429900884628296, -0.9260938763618469, 1.1831374168395996, -0.10513930022716522, 0.3567909002304077, -0.2747616767883301, -0.010197866708040237, -0.7077624797821045, 0.886533796787262, -1.3530433177947998, -0.060188934206962585, -0.05179541930556297, -0.41985878348350525, -1.4390133619308472, -0.09744632244110107, 0.4916746914386749, -1.9185326099395752, 0.4857576787471771, 0.6619738936424255, -0.09633182734251022, 0.355541855096817, -1.9180433750152588, 0.5441864728927612, -0.08867627382278442, -1.3745324611663818, 0.7139620184898376, 0.05987265706062317, 0.2141055315732956, -0.10579212754964828, -0.7689759731292725, -0.2547447979450226, 0.40061965584754944, -0.14083139598369598, 0.4496598243713379, 0.3135731518268585, 0.7854434847831726, -0.09925668686628342, -0.49490904808044434, -1.435551643371582, -1.0084742307662964, -0.018109504133462906, 0.41179367899894714, 0.3981921672821045, -0.2478654384613037, 0.1721789538860321, 0.41103383898735046, -0.6263059973716736, -0.2548469603061676, 0.894008219242096, -0.5489503145217896, 0.8951907157897949, 0.16472959518432617, -0.27536723017692566, 0.5272684097290039, 0.5216917395591736, 1.1662664413452148, 0.030637305229902267, 0.23109741508960724, 0.660793662071228, -0.490733802318573, -1.3061776161193848, -0.05024711415171623, -0.15461362898349762, -0.9265062212944031, -0.615237295627594, 1.2806321382522583, 0.0993162989616394, 0.6826456189155579, -0.26779425144195557, -0.7388076186180115, -0.6870900392532349, 0.2566836178302765, -0.8413533568382263, -0.14205294847488403, 0.6825597882270813, 0.011899460107088089, 0.17649829387664795, 0.004982289858162403, -0.18861538171768188, -0.89348965883255, 0.5087040662765503, 0.19114533066749573, 0.7542820572853088, 1.8956023454666138, 0.13950908184051514, 0.07027817517518997, 0.5442593693733215, 0.225364089012146, 0.7388589978218079, -0.7865847945213318, 0.14630192518234253, 0.9506144523620605, 0.20760297775268555, -0.3221486806869507, -0.39825236797332764, -1.1928536891937256, 0.03704984486103058, 1.3295791149139404, -1.2646408081054688, 0.7315786480903625, 0.29605621099472046, 0.11908354610204697, -0.3759544789791107, -0.14657877385616302, -0.9207004904747009, 0.3539462685585022, -1.0282903909683228, 2.148203134536743, -0.6557698249816895, -0.9844584465026855, -0.013326705433428288, 1.4014467000961304, 0.46204909682273865, -0.11003801971673965, 0.9261340498924255, -0.4577428102493286, 0.6084685921669006, -0.9499983787536621, -0.7837318778038025, 0.8792193531990051, -1.396484136581421, 1.0088566541671753, -0.126722052693367, -0.23761773109436035, 0.44036394357681274, 0.2861214578151703, -0.27487578988075256, -1.594078779220581, -0.6016648411750793, -1.2454239130020142, 1.26520836353302, 0.3560585379600525, -0.8431516289710999, 0.17633067071437836, 0.048753656446933746, 0.9966109991073608, -0.03456975147128105, 0.42377787828445435, -0.5497708916664124, -1.7755213975906372, 0.17458392679691315, -0.22574186325073242, -1.1455832719802856, 1.991650104522705, 0.5151719450950623, 0.32717522978782654, 0.7049370408058167, -0.9025029540061951, 1.4369076490402222, 0.2824728190898895, 0.48051097989082336, 0.38779085874557495, 1.567284107208252, -0.6983559727668762, 0.05998974293470383, -0.17269669473171234, 0.04741195961833, 4.785147666931152, 1.5809270143508911, -0.36038994789123535, -1.8425183296203613, -0.6045445203781128, -0.4442790150642395, 0.03684517368674278, -0.058398980647325516, 0.3014734089374542, 0.9501537680625916, -0.15057998895645142, 0.8635683059692383, 0.5418123602867126, -0.437968373298645, 0.5843410491943359, 0.1647884100675583, -0.3833678960800171, -0.6819426417350769, 0.8671923279762268, 0.45353755354881287, 0.26896896958351135, -0.6338435411453247, -1.3522576093673706, -1.3347755670547485, 0.8278817534446716, -0.9735250473022461, 0.18102514743804932, -0.5251321196556091, 0.9518982768058777, 0.3339531421661377, -0.7013013958930969, 0.3761262595653534, 0.11025919020175934, 0.3894098997116089, 0.6050156354904175, 0.5075688362121582, -0.15459562838077545, 0.030074425041675568, -0.4747250974178314, 0.56976318359375, 0.16426299512386322, -0.3619009852409363, -0.5540832877159119, 0.18053266406059265, -0.6447522640228271, -0.28220251202583313, -0.5197864174842834, -0.9082177877426147, -0.012700831517577171, 0.17121082544326782, -0.024083128198981285, 0.5898874402046204, -0.32731151580810547, -1.1146674156188965, -1.4182815551757812, 0.49254217743873596, 0.6434987783432007, -0.02504957653582096, -0.6080049872398376, -0.8319106101989746, 0.19704411923885345, -0.47790277004241943, 1.1073346138000488, 0.6546462774276733, 0.5621979236602783, 0.23343677818775177, -1.310746669769287, 1.8003274202346802, 0.003454464953392744, -0.4222172498703003, -0.30455076694488525, 0.36524367332458496, -0.11499908566474915, -0.35658347606658936, 0.35300254821777344, 0.7780619263648987, -1.2192784547805786, 0.19843721389770508, -0.22920377552509308, -0.48508405685424805, -0.38372567296028137, -0.5860771536827087, 0.05496009439229965, -0.2888506054878235, -0.5039357542991638, -0.28023993968963623, -0.34682419896125793, 0.2880840301513672, 0.49293527007102966, 0.24068421125411987, -0.8034567832946777, 1.2029813528060913, 0.3410950005054474, -1.8113267421722412, 0.016302837058901787, 1.0626733303070068, -0.8425546288490295, 0.2050674557685852, -0.7841252684593201, 1.9121325016021729, -0.8772425055503845, -0.5026592016220093, -0.04375651478767395, 0.9695382714271545, -0.4687364399433136, 0.21756598353385925, 1.0993595123291016, -0.4389973282814026, 0.002089829184114933, 0.22045235335826874, 0.16049200296401978, -0.35453471541404724, -0.7145188450813293, -0.5965778827667236, -0.6440909504890442, -0.13847413659095764, -1.0525319576263428, -0.1492622196674347, 0.16775071620941162, -0.35630467534065247, 0.1722557693719864, 0.3591184914112091, -0.11914532631635666, -0.07907859236001968, -0.3210054934024811, 0.6595926880836487, -0.036605603992938995, -0.37040215730667114, -0.3660680949687958, 0.5543727874755859, -0.09114734083414078, 0.041946180164813995, -0.2092248946428299, 1.0463365316390991, -0.9353660345077515, -0.6224411129951477, -0.022792058065533638, 0.682285487651825, -0.939740777015686, 0.4189871549606323, 0.9442204833030701, -1.0517858266830444, -0.23870304226875305, 1.387495756149292, -0.4874880313873291, 0.03677276149392128, 0.3555491268634796, -0.3246544301509857, 0.9775203466415405, 0.6065129041671753, -0.7770005464553833, -0.25905781984329224, 0.8801518082618713, 0.9915522933006287, -0.38178741931915283, 0.7381757497787476, 0.3371514081954956, 0.049242064356803894, -0.22460833191871643, 0.6334509253501892, 0.13134756684303284, 0.25251543521881104, 0.42541399598121643, -0.9676114916801453, 0.530734121799469, 0.1344817876815796, -0.28064748644828796, 0.18094298243522644, -0.39953717589378357, 0.48846736550331116, -0.18528859317302704, 0.24438096582889557, 0.8871486783027649, 0.23498673737049103, -1.3352258205413818, -0.5582776665687561, -0.47317779064178467, -0.5690808892250061, 0.05623048171401024, -0.9043548703193665, 0.6812044382095337, 0.5341589450836182, -1.1283092498779297, 0.0698866918683052, -0.5450363755226135, 0.4162738621234894, -1.360033392906189, 0.0679720938205719, -0.12340638786554337, 0.6263684630393982, -0.02417800948023796, 0.11914142221212387, 1.0787025690078735, 0.13609950244426727, -0.33074817061424255, -0.49538180232048035, 0.8208518624305725, 0.8418595194816589, -0.03627968579530716, -0.8047873973846436, 0.25355714559555054, -0.7467371821403503, -0.8365783095359802, 0.005111122038215399, -1.2792288064956665, -0.16818000376224518, -0.3243357539176941, 0.9820935726165771, 0.5056073665618896, 0.2599339485168457, 0.13692040741443634, -0.48819929361343384, 0.487072229385376, -0.6243543028831482, -0.060631200671195984, 0.22663764655590057, -0.8353123068809509, -0.05544544383883476, 0.17389778792858124, 0.11353842914104462, -0.10838763415813446, 0.8018369078636169, -1.184643268585205, -0.5800149440765381, 0.054751183837652206, -0.17122581601142883, 0.9705435037612915, -0.8137486577033997, -0.40559425950050354, -1.3719208240509033, 0.8637113571166992, -0.2963580787181854, 0.35510292649269104, 0.6792275309562683, 0.23478670418262482, -1.2248948812484741, -0.4500797986984253, 0.34406957030296326, 0.4179154932498932, -0.7293970584869385, -0.4758841097354889, 0.0015899849822744727, 0.062111746519804, 0.5390809774398804, -0.6515339016914368, -0.07834828644990921, -0.7027620077133179, 0.8679409027099609, -0.5494616031646729, -0.5951931476593018, -0.2926022410392761, -1.1024407148361206, 0.8329692482948303, -1.0744414329528809, 1.2880480289459229, 2.043776035308838, 0.5242358446121216, -1.0663379430770874, -1.2309550046920776, -0.6242145895957947, 0.6421337723731995, 1.188673973083496, -0.11461793631315231, 0.13961321115493774, -1.1682723760604858, 0.13852320611476898, -0.4576737582683563, 0.592714786529541, -0.07826104015111923, -0.009185661561787128, 0.35889455676078796, 0.41978272795677185, 0.9933544993400574, 0.19998610019683838, -0.21758607029914856, 0.24627800285816193, 0.05560800060629845, 0.39921146631240845, -0.673354983329773, 0.16373677551746368, 0.08657218515872955, 0.49159491062164307, -0.05988447740674019, 1.0776035785675049, -0.010532482527196407, 0.3226296305656433, 0.6278808116912842, -0.2733416259288788, -1.2193526029586792, 0.9173569083213806, -0.09580600261688232, 0.6935706734657288, -0.3494003117084503, -0.460565984249115, 0.8137325048446655, -1.216957688331604, 0.49633124470710754, 0.23134468495845795, -0.4774182438850403, 0.7191229462623596, 0.6393065452575684, 0.32401445508003235, 1.6184061765670776, -0.0651216059923172, 0.3732452094554901, -0.9452155232429504, -0.61741703748703, 0.5869342684745789, 0.3501475751399994, 0.2762347161769867, -0.29350754618644714, -0.3104417324066162, 0.5306291580200195, -0.3674694299697876, 1.0627667903900146, 0.16506165266036987, 1.462648868560791, 0.6420176029205322, 0.12730209529399872, -0.17848815023899078, -0.7523016333580017, -0.9272783398628235, 0.28744858503341675, -0.16637535393238068, 1.0765399932861328, -0.7384377717971802, -0.15733221173286438, -1.0151591300964355, 0.3567272424697876, -0.05717375501990318, -0.08747919648885727, -0.03702159225940704, -0.06247172877192497, -0.0960906520485878, -0.4736144542694092, -0.8047545552253723, 0.6610628366470337, 1.6887556314468384, -1.0688397884368896, 0.3669613301753998, 0.1408843696117401, 0.9935811758041382, 0.31125426292419434, -0.7494728565216064, -1.6538093090057373, -0.8414112329483032, -0.03549753129482269, 0.06239338219165802, 0.4915415346622467, -0.43331047892570496, -2.079923152923584, -0.16675898432731628, 0.6328023672103882, -0.16333767771720886, -0.7189964652061462, -1.0796207189559937, 0.3708391785621643, 0.6501604318618774, 1.0058506727218628 ] ]
{ "indices": [ 2836570682, 2484513939, 3530670207, 1590456296, 3270687257, 3533571357, 695926169, 1432087569, 620220149, 691409538, 3741174264, 3677720983, 3750022758, 2895605406, 1260586891, 2067848296, 1491351846, 4119782854, 2874966370, 5957873, 832098838, 3561151932, 989116115, 448220673, 1691351615, 4192846608, 3928038441, 1598346136, 4150915742, 2142141949, 1420703559, 722829366, 3066577729, 2257684172, 3162296784 ], "values": [ 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.7071961376506675, 0.763052303025794, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.616883240004695, 0.616883240004695, 0.4460095183914834, 0.4460095183914834 ] }
{ "context": "And then finally, the 1, 0 setting will give you R6, which is again, nothing that we've seen. It's actually the changing privilege implementation on the LC3. So you don't need to worry about it. But it's there. Yeah, so these are all clocked. I mean, the entire LC3 data path is on a single common clock, just like all of the other designs in our class. Yeah, yeah, this is still clock synchronous state machine with a common clock, one finite state machine." }
608748
[ [ -0.5749287009239197, -0.7158056497573853, -0.332597941160202, 0.01883212849497795, 0.5302556753158569, 0.21720445156097412, -0.47804051637649536, 0.39813852310180664, 0.9136071801185608, -0.3208944797515869, 0.5712052583694458, 0.8039636611938477, 1.2838382720947266, 0.3370692729949951, 0.27493175864219666, 0.07715214788913727, 0.9196925759315491, -0.250324547290802, -1.142469048500061, -0.2983800768852234, 0.678665816783905, -0.8428016901016235, 0.07314939796924591, -0.4134713411331177, -0.6303784251213074, 0.25389888882637024, 0.07796088606119156, -0.47528210282325745, 0.2247694730758667, 0.44056811928749084, -0.16420555114746094, -1.3658195734024048, 0.2853580117225647, 0.016278084367513657, 0.8390033841133118, 0.12585021555423737, 0.07099755853414536, -0.7516746520996094, -0.622572660446167, 1.0385226011276245, -0.7517446875572205, 0.36148321628570557, 0.06247914582490921, 0.880246639251709, -0.07249920070171356, 0.17485810816287994, -0.08169909566640854, 0.6218034029006958, 0.8969976305961609, -1.2344247102737427, 1.1533145904541016, -0.650860071182251, 0.7549998164176941, 0.6894270777702332, -0.22097885608673096, 0.32969096302986145, 1.045681357383728, 1.4757319688796997, -0.07035330682992935, -0.9521134495735168, -0.3293343186378479, -0.27388516068458557, 0.4066277742385864, -0.3079412579536438, -0.5967574119567871, -0.7552767395973206, 0.49835872650146484, -0.549283504486084, 0.7857604622840881, 0.04539107158780098, -1.021819829940796, 0.00789706688374281, 0.20969699323177338, 0.20460458099842072, 0.8596646785736084, -1.0654284954071045, 0.8822751641273499, -0.195689857006073, 0.667147696018219, 1.171190619468689, -0.804553210735321, -0.026225367560982704, -1.5307645797729492, 0.7847088575363159, 0.3562794327735901, -0.8816200494766235, 2.1921629905700684, -0.568597674369812, -0.3207184076309204, 0.30411839485168457, 0.08453412353992462, -0.47272634506225586, 1.165276288986206, -0.45947515964508057, -0.5167726278305054, 0.5814772844314575, 0.7515792846679688, 0.568940281867981, -0.3165256977081299, -0.4890332818031311, 0.7037957310676575, -0.7630770206451416, 0.3247806429862976, 1.2239402532577515, -0.03754279762506485, 0.05443248525261879, -0.23496106266975403, -0.24522800743579865, -1.0513132810592651, 0.587112307548523, -1.0785373449325562, 1.2081674337387085, -0.9192324280738831, 1.038311243057251, 0.5279847383499146, -0.5441848635673523, -0.9003044366836548, -0.24539567530155182, 0.8271675705909729, 1.2758915424346924, 1.1057904958724976, 0.2619051933288574, 0.41870877146720886, -0.24441160261631012, -1.4487663507461548, 0.9297568798065186, -0.13374760746955872, 0.1906358003616333, -0.46713122725486755, 0.5181866884231567, -0.10727735608816147, 0.072110116481781, -0.008324154652655125, -0.24378763139247894, 0.002340012928470969, 0.37690114974975586, -1.6180076599121094, -1.6034603118896484, 0.7150518298149109, 0.6120914816856384, 0.10673891752958298, -1.540132999420166, -0.35877373814582825, 1.108895182609558, 0.9509201049804688, 0.5041700601577759, 0.5608274936676025, 0.827785313129425, -0.5320481061935425, 0.3113648593425751, -1.0651386976242065, 0.2592085003852844, -1.588077425956726, -0.2989881634712219, -0.3009134531021118, -0.4882885217666626, -0.27010366320610046, 0.4625002145767212, -0.7460796236991882, -0.3139238953590393, 0.8458565473556519, -0.5858895182609558, -0.00127703498583287, -0.2779144048690796, -0.6367703080177307, -0.922343909740448, 1.622580647468567, 0.08485269546508789, 0.6258505582809448, 0.21384525299072266, 0.12267706543207169, 0.4910416603088379, 0.06706707924604416, 0.858593761920929, 1.4216099977493286, -0.5434446334838867, 0.4325980246067047, -1.5560046434402466, -0.5117042064666748, -0.5202308297157288, -0.032418761402368546, -0.37150588631629944, 0.3525705337524414, 0.22545510530471802, -0.39833864569664, 0.47962525486946106, -0.7691401839256287, 0.5002075433731079, 1.7806205749511719, -1.622165322303772, -0.3586549758911133, -0.4011370539665222, 0.6941050291061401, -0.025871824473142624, -1.2966569662094116, -0.5693696141242981, 0.022978972643613815, 0.16519740223884583, 0.8060932755470276, -0.4335663318634033, -0.338180810213089, 0.5550010800361633, 0.45458677411079407, -0.6417222619056702, 0.3227456510066986, 0.6508864760398865, 0.05081327259540558, -0.557828962802887, 0.6153340339660645, -1.116542935371399, -0.26294034719467163, 0.9453062415122986, -0.3062775433063507, 0.38607358932495117, 0.042555876076221466, 0.1600770205259323, -0.8277405500411987, 1.1822348833084106, 0.35171476006507874, 0.3099769353866577, -1.4858365058898926, 1.0205096006393433, 2.2504541873931885, 0.810255765914917, 0.6223313212394714, 0.85457444190979, -0.26134341955184937, -0.728674054145813, 0.030678659677505493, -0.4551074802875519, -0.4483988881111145, -0.902882993221283, 0.29429852962493896, 0.020541410893201828, -0.013481337577104568, -0.152352437376976, 1.4059098958969116, 0.5702279210090637, 0.8636166453361511, 0.04606713354587555, -1.3261886835098267, 0.40986156463623047, 0.34735575318336487, 0.7015169858932495, 0.16575071215629578, 1.0480998754501343, 0.727382242679596, 1.2656046152114868, 0.07047520577907562, -0.36445075273513794, -0.3323913812637329, 0.8824377059936523, 0.30399733781814575, -0.04442847520112991, 0.20181617140769958, -1.302655816078186, 0.880111813545227, -0.7834697961807251, -0.03961201012134552, 1.3947374820709229, -0.05959383770823479, 0.23063677549362183, -0.16242662072181702, -0.5239615440368652, 0.15755446255207062, 0.5852610468864441, -0.1114768460392952, -0.6732693910598755, 1.1896748542785645, -0.27784743905067444, 1.409051775932312, 0.6405662298202515, -0.7686382532119751, -1.293257713317871, -0.20657014846801758, 0.6372585296630859, -0.25694847106933594, 1.740451455116272, -1.3928409814834595, -0.6091697812080383, -0.0005127492477186024, 0.051612645387649536, 0.53229159116745, -0.007868766784667969, -1.8853014707565308, 0.30191877484321594, 0.24243475496768951, -1.2427555322647095, 0.47698256373405457, 0.15399980545043945, -0.9770908355712891, 0.6851691603660583, -0.36452823877334595, -0.8188127279281616, -0.5845880508422852, -0.36165452003479004, 0.1682736724615097, 0.2498561292886734, -0.3621169924736023, 1.0680354833602905, 0.6587539315223694, -1.1865036487579346, 0.03456374630331993, -1.0069773197174072, -0.14295388758182526, 0.32533329725265503, -0.5430283546447754, -0.13031001389026642, -0.4028730094432831, 0.807060182094574, -0.06376625597476959, -0.4871576428413391, -0.6486502885818481, -0.9334744215011597, -1.4734395742416382, 0.24922360479831696, 0.6912484169006348, 0.9602975845336914, -0.473619669675827, -0.22043222188949585, 0.9971628189086914, 0.7345831394195557, -0.7802847623825073, -0.780171275138855, 0.010617293417453766, -0.3354177474975586, -0.7231373190879822, -0.6861169934272766, 0.9276174902915955, 0.4873962700366974, 0.7427655458450317, -0.08414936810731888, 0.9775193929672241, 0.9466843605041504, -0.159647598862648, -0.9214093685150146, 0.10471397638320923, 0.9914146065711975, -0.8159688711166382, -0.34990453720092773, 0.7702261209487915, -0.19975437223911285, 0.8258913159370422, -0.22091858088970184, 0.9049407839775085, 0.5559636950492859, -0.7565405368804932, 0.23125581443309784, 0.17760518193244934, 0.7617128491401672, -0.24950271844863892, -0.026466304436326027, -0.3312077820301056, -1.1724642515182495, -0.28630927205085754, 0.6008864641189575, 1.2508268356323242, -0.8856314420700073, -0.47969743609428406, 0.7345354557037354, 0.7831069231033325, -0.6988421082496643, 0.04013308882713318, 0.13023459911346436, -0.29725906252861023, 0.42470842599868774, -0.09822942316532135, -0.3874788284301758, 0.8529582619667053, -0.08325944095849991, 1.0029938220977783, 0.6975834965705872, -0.338550865650177, 0.06960652768611908, -1.10051429271698, -0.38827428221702576, 0.715215265750885, 0.8472448587417603, 0.14392846822738647, 1.1588109731674194, -0.06126430258154869, -0.3286375403404236, -0.5039189457893372, 0.36240464448928833, -1.7956870794296265, 0.4857074022293091, -0.0027285621035844088, 0.520479142665863, 0.531394362449646, 0.07941776514053345, 0.4712253212928772, -1.0294495820999146, -0.9072793126106262, -0.13924071192741394, -0.5127609968185425, -0.7235800623893738, 0.30914685130119324, -0.07356884330511093, -0.42879393696784973, -0.3179607391357422, 0.8991731405258179, 1.528789758682251, 0.6002411246299744, 0.30209052562713623, 1.2903016805648804, 0.11950147897005081, -0.5982651710510254, -0.7706065773963928, 0.6957101225852966, -0.11955422908067703, 1.4093897342681885, 0.30629658699035645, 0.8264322280883789, -0.8530868887901306, -0.3932019770145416, 0.5880258679389954, 0.19552543759346008, 0.28245556354522705, 0.529224157333374, 0.4986339807510376, -0.5383932590484619, -0.7646432518959045, -0.2551559805870056, 0.9312607645988464, 0.2675318419933319, 1.5377421379089355, 1.1230388879776, 0.05410269647836685, -0.10581017285585403, 0.8371714949607849, 0.927287757396698, 0.3951018452644348, -0.1488526165485382, -0.9173105955123901, -0.08939594030380249, 0.8333754539489746, 0.11219564080238342, 0.5545276403427124, 0.5897718071937561, -0.22335655987262726, -0.4422229826450348, -0.1202918067574501, -0.3550798296928406, -0.5381321907043457, 1.0764464139938354, -0.5841785073280334, -0.10809806734323502, 0.492676317691803, 0.7639778256416321, 1.2571498155593872, 0.44687145948410034, -0.379610151052475, 0.11971810460090637, -0.9777235388755798, -2.9277162551879883, -0.1242736354470253, 0.5647178888320923, 0.8005464673042297, -0.9972844123840332, -0.052784375846385956, -0.3312174677848816, -1.0902668237686157, 0.3561411201953888, -0.632733166217804, 0.607380747795105, -0.017087766900658607, 0.6823152899742126, -0.5471633076667786, -1.064583659172058, -1.1638827323913574, 1.5205644369125366, -0.43762263655662537, -0.32791563868522644, -0.6152514219284058, 0.7980234026908875, 0.15492737293243408, 0.60983806848526, -0.18017761409282684, 0.20493167638778687, -1.1439882516860962, -0.19673116505146027, 0.24310052394866943, 1.0045170783996582, -1.1887632608413696, -1.0142483711242676, 0.2513400912284851, -0.037205055356025696, 0.031641051173210144, 0.8050252199172974, -0.1790720373392105, -0.6468802094459534, 0.27801868319511414, -0.0912671685218811, 0.1495264321565628, -0.8384935855865479, -1.5033725500106812, 1.1413695812225342, 0.3005659878253937, 0.7615609765052795, 1.1249459981918335, 0.719352126121521, -0.8510796427726746, -0.47142720222473145, 0.03964417427778244, 0.4146861732006073, -1.0179483890533447, 0.4123435914516449, -0.29927363991737366, -0.1593010425567627, -0.7432307004928589, 1.5583139657974243, -0.26219066977500916, -0.07872853428125381, -0.07912296801805496, 0.04983474314212799, -0.5745424032211304, 1.0764552354812622, -1.4864054918289185, -0.16924822330474854, 0.0009420059504918754, -0.27576613426208496, -1.5171278715133667, -0.07289275527000427, 0.3846936523914337, -2.001199722290039, 0.5913121104240417, 0.45312032103538513, -0.023118052631616592, 0.3470112979412079, -1.4181898832321167, 0.7787377238273621, -0.17369650304317474, -1.5241204500198364, 1.0172247886657715, 0.06520289927721024, 0.44372323155403137, -0.15126515924930573, -1.0075315237045288, -0.38821378350257874, 0.4760298430919647, -0.3097672760486603, 0.6059704422950745, 0.6318297982215881, 0.7440103888511658, -0.13373567163944244, -0.5485793352127075, -1.3518513441085815, -1.0225906372070312, -0.16949012875556946, 0.5176737308502197, 0.1975933015346527, -0.5007067322731018, -0.02710137888789177, 0.4530544877052307, -0.7074648141860962, -0.21332789957523346, 1.1276023387908936, -0.6731436252593994, 0.9372367262840271, 0.2384931743144989, -0.07985401898622513, 0.9272594451904297, 0.4754011929035187, 1.1858346462249756, 0.028775399550795555, 0.19998453557491302, 0.42530831694602966, -0.6451728940010071, -1.2677990198135376, -0.3645652234554291, -0.05895188823342323, -0.9455336928367615, -0.48815229535102844, 1.3468997478485107, -0.10992678999900818, 0.3927699625492096, -0.1807287186384201, -0.7878851890563965, -0.4109874963760376, 0.10626072436571121, -0.6946682333946228, -0.23066438734531403, 0.7541496753692627, -0.020467206835746765, 0.1651245355606079, 0.10198157280683517, -0.2826569676399231, -0.8541203141212463, 0.6705921292304993, 0.1750677078962326, 0.9028460383415222, 1.7704412937164307, 0.009845312684774399, 0.12686443328857422, 0.3681993782520294, 0.5743001699447632, 0.6649869680404663, -0.9732261896133423, 0.18901760876178741, 0.645392119884491, 0.4444127678871155, -0.3068102300167084, -0.42917200922966003, -1.3596855401992798, 0.21796216070652008, 1.499299168586731, -1.336275339126587, 0.4628627896308899, 0.04242796078324318, 0.30429115891456604, -0.34313270449638367, -0.04613228514790535, -0.8231037855148315, 0.23228777945041656, -0.821630597114563, 2.2175889015197754, -0.8241298198699951, -1.1476459503173828, 0.1993313431739807, 1.3377574682235718, 0.8132851123809814, 0.11734598875045776, 0.9340947866439819, -0.5200883746147156, 0.5072053074836731, -0.8905067443847656, -0.8674063086509705, 0.5963307619094849, -1.3940705060958862, 1.0080666542053223, 0.06620319932699203, -0.2047479897737503, 0.6517953276634216, 0.3736615478992462, -0.35645055770874023, -1.7329418659210205, -0.6439324021339417, -1.4737019538879395, 1.298614501953125, 0.4125399589538574, -0.7363066673278809, 0.34217962622642517, 0.23549534380435944, 0.8796425461769104, -0.018547287210822105, 0.43735256791114807, -0.5277114510536194, -1.9081670045852661, 0.05563300848007202, -0.15377888083457947, -1.1879109144210815, 1.8341143131256104, 0.6684374213218689, 0.0032855754252523184, 0.6473039388656616, -0.6503567695617676, 1.4248785972595215, 0.30266448855400085, 0.5428884625434875, 0.3745545744895935, 1.6607760190963745, -0.5940864086151123, 0.0902588739991188, -0.5049053430557251, -0.045869264751672745, 4.439399242401123, 1.6399086713790894, -0.2071865350008011, -1.9236063957214355, -0.4612344205379486, -0.7129271626472473, -0.09603020548820496, -0.08993732184171677, 0.26208850741386414, 0.9902588725090027, 0.1328967958688736, 0.8759744167327881, 0.5732691884040833, -0.3355158567428589, 0.29882940649986267, 0.3538026213645935, -0.5777198672294617, -0.7457312941551208, 0.775038480758667, 0.2545611560344696, 0.2044968158006668, -0.4653438925743103, -1.7142975330352783, -1.364440679550171, 0.900042712688446, -0.816684901714325, 0.3286932110786438, -0.4569641947746277, 1.0365254878997803, 0.521795928478241, -0.7617647647857666, 0.3819624185562134, 0.19546955823898315, 0.3226833641529083, 0.6150663495063782, 0.5999758243560791, -0.42663297057151794, 0.008789864368736744, -0.5646204948425293, 0.3275728225708008, 0.042561426758766174, -0.15395332872867584, -0.45664212107658386, 0.1972799450159073, -0.675696074962616, -0.1647482067346573, -0.3095724284648895, -1.1324939727783203, 0.13200066983699799, 0.13623319566249847, 0.09289585053920746, 0.5035866498947144, -0.31325095891952515, -0.8772132992744446, -1.5913976430892944, 0.22048678994178772, 0.48345398902893066, 0.05919552966952324, -0.6594966650009155, -0.6122428774833679, 0.33440908789634705, -0.32979002594947815, 1.1318645477294922, 0.5623473525047302, 0.7165263295173645, 0.2770158052444458, -0.9894896745681763, 1.7990474700927734, 0.02422112226486206, -0.43942660093307495, -0.6309496164321899, 0.12477593123912811, -0.05948401987552643, -0.2502683103084564, 0.24082297086715698, 0.9033380746841431, -1.3384379148483276, 0.4129016101360321, -0.4041304886341095, -0.5676634311676025, -0.5864861011505127, -0.6989952921867371, -0.18346033990383148, -0.36146095395088196, -0.7072123289108276, -0.026868728920817375, -0.19411158561706543, 0.36577939987182617, 0.5788780450820923, 0.34044384956359863, -0.4490845799446106, 1.3661288022994995, 0.4215078353881836, -1.843104600906372, -0.24592451751232147, 0.7362798452377319, -1.0700360536575317, -0.07565642148256302, -0.9405365586280823, 1.9595835208892822, -0.8956291675567627, -0.25581666827201843, 0.16069336235523224, 0.9937955737113953, -0.48217302560806274, -0.03341059014201164, 0.8563709855079651, -0.2634102404117584, 0.16577720642089844, 0.13559849560260773, 0.4798431992530823, -0.5417353510856628, -0.9517515301704407, -0.4786873161792755, -0.44620567560195923, -0.29171499609947205, -0.8936165571212769, -0.3318095803260803, -0.13401201367378235, -0.27528515458106995, 0.3270016610622406, 0.5547492504119873, -0.09001016616821289, -0.15168896317481995, -0.30027323961257935, 0.4435141384601593, -0.0832396000623703, -0.3885401785373688, -0.16448576748371124, 0.45365825295448303, -0.06930255889892578, -0.15021999180316925, -0.10838842391967773, 0.9749354124069214, -1.1193677186965942, -0.6656736731529236, -0.28235799074172974, 0.9104912877082825, -0.720641016960144, 0.49455997347831726, 1.063889503479004, -1.1989295482635498, 0.004328712821006775, 1.5594375133514404, -0.6906962990760803, 0.16416694223880768, 0.368662029504776, -0.2916048765182495, 1.187178134918213, 0.2156047821044922, -0.8286901116371155, -0.27813854813575745, 0.6100854277610779, 0.8618333339691162, -0.11191745102405548, 0.2531281113624573, 0.22857503592967987, -0.2541382610797882, -0.3522370457649231, 0.718653678894043, 0.29346174001693726, 0.3556893765926361, 0.0258183591067791, -0.934150218963623, 0.35761502385139465, 0.020687326788902283, -0.4160224497318268, 0.32266315817832947, -0.3392961323261261, 0.37103110551834106, -0.28380119800567627, 0.21158817410469055, 0.9536013007164001, 0.485863596200943, -1.4844815731048584, -0.33891889452934265, -0.23558735847473145, -0.6391684412956238, 0.16742900013923645, -0.6668318510055542, 0.46855589747428894, 0.38530439138412476, -1.3441417217254639, 0.17842717468738556, -0.4597465395927429, 0.07694032043218613, -1.5131856203079224, 0.3471912741661072, -0.37620601058006287, 0.5394280552864075, 0.3115982115268707, 0.22673222422599792, 1.0767704248428345, -0.10203564912080765, -0.09846768528223038, -0.27259960770606995, 0.7698670625686646, 0.8725309371948242, 0.06950710713863373, -0.984072208404541, 0.23822033405303955, -0.8130574226379395, -0.963333249092102, 0.1332416534423828, -1.3184159994125366, -0.007503061089664698, -0.30454620718955994, 0.9229461550712585, 0.31199586391448975, 0.3439253270626068, 0.1727464348077774, -0.26452741026878357, 0.45301711559295654, -0.6053400039672852, -0.22698071599006653, 0.4357547163963318, -0.8687137365341187, -0.2764746844768524, 0.19331124424934387, 0.07320386171340942, 0.004202942829579115, 0.5649483799934387, -1.329637050628662, -0.3429664969444275, 0.334632933139801, -0.01857154071331024, 0.7780296802520752, -0.9097241163253784, -0.6642022132873535, -1.3619370460510254, 0.6241936087608337, -0.07824976742267609, 0.5220367908477783, 0.7397255301475525, 0.01646915078163147, -1.3242741823196411, -0.5561935305595398, 0.24847561120986938, 0.24161770939826965, -0.7651621103286743, -0.31499168276786804, -0.22955144941806793, 0.2624514400959015, 0.7928374409675598, -0.19649866223335266, 0.0984678640961647, -0.7064522504806519, 0.8693482875823975, -0.5296627879142761, -0.4723638892173767, -0.40885481238365173, -0.852340817451477, 1.146401286125183, -1.0415306091308594, 1.2678521871566772, 1.7518316507339478, 0.7566770315170288, -1.352423071861267, -1.3031085729599, -0.7779739499092102, 0.8506024479866028, 1.050360083580017, -0.12436839938163757, 0.2886146306991577, -1.2296009063720703, 0.16612370312213898, -0.12606820464134216, 0.6771463751792908, -0.06777437776327133, -0.1003304198384285, 0.23519307374954224, 0.3580136001110077, 1.269182562828064, -0.0059712897054851055, -0.29423245787620544, 0.2276853770017624, 0.1470935344696045, 0.3947943449020386, -0.7269584536552429, -0.14033293724060059, 0.2630322575569153, 0.4825138449668884, -0.29935887455940247, 1.1146982908248901, 0.14548848569393158, 0.12977445125579834, 0.4706290662288666, -0.18627548217773438, -1.2819528579711914, 1.0907148122787476, -0.15476587414741516, 0.5516679286956787, -0.10354526340961456, -0.5760939121246338, 0.7912856340408325, -1.0322998762130737, 0.300829142332077, 0.6523846387863159, -0.25541016459465027, 0.21880479156970978, 0.6645527482032776, 0.35030993819236755, 1.4642205238342285, -0.09978319704532623, 0.4795141816139221, -0.6786710619926453, -0.5402737855911255, 0.3072923719882965, 0.32646769285202026, 0.4616694450378418, -0.5164462327957153, -0.10481913387775421, 0.7015423774719238, -0.27789896726608276, 1.2767008543014526, 0.10925205051898956, 1.5533303022384644, 0.5494975447654724, 0.08268959820270538, -0.15490329265594482, -0.7187283635139465, -1.3716284036636353, 0.24516265094280243, -0.2835639417171478, 1.378935694694519, -0.8243101239204407, 0.040248967707157135, -0.9779629111289978, 0.30659371614456177, -0.27182069420814514, -0.09732955694198608, 0.06157117336988449, 0.1561044454574585, 0.12611185014247894, -0.4715961217880249, -0.6680336594581604, 0.5482782125473022, 1.4733295440673828, -1.2212893962860107, 0.47991201281547546, 0.05266408622264862, 0.7480661273002625, 0.03130258247256279, -0.5140358805656433, -1.7130894660949707, -0.8024490475654602, 0.4783046543598175, -0.12289831787347794, 0.5904656648635864, -0.4478060305118561, -2.1227009296417236, -0.27566176652908325, 0.5492871999740601, -0.35329189896583557, -0.8803180456161499, -1.0401476621627808, 0.604479968547821, 0.9268407225608826, 0.9218446016311646 ] ]
{ "indices": [ 832098838, 3561151932, 1260586891, 989116115, 448220673, 1691351615, 4192846608, 5957873, 3928038441, 1598346136, 4150915742, 2874966370, 2142141949, 1420703559, 722829366, 3066577729, 2257684172, 3162296784, 1786548735, 3076736765, 4094582072, 1793137844, 2035475614, 3944559304, 1853176582, 1376864891, 2032101475, 4040153055, 1128198904, 3741174264, 1975257448, 937671724, 3796074982 ], "values": [ 0.6090178616413705, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.6090178616413705, 0.7570057190292556, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.6090178616413705, 0.437832984944017, 0.437832984944017, 0.7570057190292556, 0.7002840462635568, 0.437832984944017, 0.6090178616413705, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.7002840462635568, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017 ] }
{ "context": "I mean, the entire LC3 data path is on a single common clock, just like all of the other designs in our class. Yeah, yeah, this is still clock synchronous state machine with a common clock, one finite state machine. All right. I mean, the thing to remember, when we talked about the, when we did the example of mapping the code into a finite state machine, I introduced the idea of RTL is that we define the RTL for the state, but the RTL actually happens on the rising clock edge." }
749605
[ [ -0.486754447221756, -0.855342447757721, -0.1652299165725708, -0.6931571960449219, 0.22408998012542725, 0.03807727247476578, -0.4718190133571625, 0.4325900971889496, 0.6907935738563538, -0.8907185792922974, 0.637842059135437, 0.6081990599632263, 1.0952786207199097, 0.28565165400505066, 0.5492092370986938, 0.048865947872400284, 0.8636942505836487, -0.5711988806724548, -0.9304544925689697, 0.007110878825187683, 0.47809991240501404, -0.8296576142311096, -0.09222412109375, -0.49097707867622375, -0.572014331817627, 0.15792183578014374, 0.7088184952735901, -0.36511027812957764, 0.7861575484275818, 0.7761847376823425, -0.4631473124027252, -0.8434268832206726, 0.3412935435771942, -0.27364081144332886, 0.49633556604385376, 0.18725396692752838, -0.08451411128044128, -0.3600497543811798, -0.493293821811676, 1.2543989419937134, -0.8502392172813416, 0.36698541045188904, 0.10183519870042801, 0.8699580430984497, -0.520356297492981, 0.5538957715034485, 0.13312490284442902, 0.761787474155426, 0.9389254450798035, -0.920957088470459, 1.1740047931671143, -0.9882538318634033, 0.3734181225299835, 0.40200871229171753, 0.4250033497810364, 0.369182288646698, 0.6233006119728088, 1.8055087327957153, 0.17942704260349274, -0.6614071130752563, -0.749977171421051, -0.512015700340271, 0.07545779645442963, -0.36334773898124695, -0.29866090416908264, -0.9229977130889893, 0.570248544216156, -0.1321791410446167, 0.7692112326622009, 0.07005327194929123, -0.2972589135169983, -0.13789190351963043, 0.3398122489452362, 0.24336782097816467, 0.9160565733909607, -0.772206723690033, 0.39475321769714355, 0.19788964092731476, 0.6844505667686462, 0.8919995427131653, -0.8716238737106323, 0.055904313921928406, -1.2582380771636963, -0.08778166770935059, 0.25341466069221497, -1.1392964124679565, 1.7926214933395386, -0.32118070125579834, 0.45140838623046875, 0.36518698930740356, 0.4857218265533447, -0.1383422464132309, 0.8334159255027771, -0.7432611584663391, -0.27226722240448, 0.15905117988586426, 1.0694152116775513, 0.8113709092140198, -0.37309834361076355, -0.47513526678085327, 0.9305384159088135, -0.8759098649024963, 0.02275139093399048, 1.0895198583602905, -0.048103801906108856, -0.18359726667404175, -0.4377042055130005, -0.5237446427345276, -0.8626686334609985, 0.5930987000465393, -1.3018348217010498, 0.9037450551986694, -0.7906776070594788, 0.994189977645874, 0.3472525179386139, -0.19658294320106506, -0.9392536878585815, -0.31774479150772095, 0.6038966178894043, 0.7659950256347656, 0.820521891117096, -0.2983033359050751, 0.48025888204574585, -0.46441078186035156, -1.7085719108581543, 0.9604336023330688, 0.30819064378738403, 0.238872691988945, -0.7930376529693604, 0.5672886371612549, 0.01910138688981533, 0.13245618343353271, -0.15143392980098724, 0.14920493960380554, 0.1015646681189537, 0.934282660484314, -1.4655261039733887, -2.0372605323791504, 0.8093675971031189, 0.31474289298057556, -0.17743194103240967, -1.318576693534851, -0.31363871693611145, 1.0454132556915283, 1.0333753824234009, 0.44781821966171265, 0.8132731318473816, 0.5359069108963013, -0.7166816592216492, 0.4614656865596771, -0.77098548412323, -0.07453610748052597, -1.19953191280365, -0.31259679794311523, -0.16250114142894745, -0.27537423372268677, -0.381678968667984, 0.13128219544887543, -0.838873028755188, -0.805727481842041, 0.9173203110694885, -0.4293496906757355, -0.28208085894584656, 0.22195252776145935, -0.9233271479606628, -1.2437851428985596, 1.5097061395645142, -0.23434734344482422, 1.0198053121566772, 0.5972430109977722, -0.21744509041309357, 0.8283321857452393, -0.31373751163482666, 0.6304425001144409, 1.7170573472976685, -0.763753354549408, 0.32565513253211975, -1.824960470199585, -0.44634053111076355, -0.303128719329834, -0.24605578184127808, -0.9577583074569702, -0.14540016651153564, -0.08145107328891754, -0.266455739736557, 0.4853551685810089, -0.21509039402008057, 0.3633255958557129, 1.6364669799804688, -1.8938621282577515, -0.6676205992698669, -0.2716279625892639, 1.0580766201019287, -0.14414770901203156, -1.1659889221191406, -0.7839872241020203, -0.1885881870985031, -0.1517915278673172, 0.3100135326385498, -0.5253543257713318, -0.06234312802553177, 0.5388756394386292, 0.3800724744796753, -0.5285477042198181, 0.499953031539917, 0.26355648040771484, 0.5250361561775208, -0.5182424187660217, 0.6189818382263184, -0.40123504400253296, -0.33780795335769653, 0.9293128848075867, -0.3649009168148041, 0.5631846785545349, 0.06182478740811348, 0.4689198136329651, -0.602344810962677, 1.1134320497512817, 0.4381556510925293, 0.08835280686616898, -1.497782826423645, 1.2297312021255493, 2.1443166732788086, 0.6215783953666687, 0.5921322703361511, 0.9628971219062805, -0.32992005348205566, -0.641700267791748, 0.19671417772769928, -0.352567583322525, -0.44314470887184143, -0.6747949719429016, 0.3325728476047516, 0.42889028787612915, -0.6848556399345398, -0.2541887164115906, 1.9254670143127441, 0.6806421279907227, 0.7107228636741638, 0.4507359266281128, -1.1838929653167725, 0.6418260335922241, 0.332987904548645, 1.206722617149353, 0.029982466250658035, 1.099132776260376, 0.7589499354362488, 1.3361921310424805, -0.017319992184638977, -0.2302316576242447, -0.6975851655006409, 1.0011128187179565, 0.3204156458377838, -0.41263508796691895, -0.07188792526721954, -0.9195577502250671, 1.1824781894683838, -0.48919954895973206, -0.03405759111046791, 0.9358898997306824, -0.3380594849586487, -0.024368511512875557, -0.047169264405965805, -0.45830702781677246, 0.18825072050094604, 0.2718800902366638, -0.6514220833778381, -1.053644061088562, 0.3183179795742035, -0.4359413683414459, 1.243183970451355, 0.40495336055755615, -0.8955916166305542, -1.348928451538086, 0.12086178362369537, 0.5613458156585693, -0.46186399459838867, 1.1177269220352173, -1.4204027652740479, -0.5680687427520752, 0.02473427727818489, 0.30111294984817505, 0.8041464686393738, 0.11279750615358353, -1.8525532484054565, 0.2049378901720047, 0.15353992581367493, -1.1387776136398315, 0.2787206172943115, 0.30709904432296753, -0.7842991948127747, 0.224210724234581, 0.15809747576713562, -0.926166832447052, -0.7748062610626221, 0.09503773599863052, -0.10439907759428024, -0.16071239113807678, -0.0587804801762104, 1.3314576148986816, 0.30290088057518005, -1.2234712839126587, -0.08809350430965424, -1.017043113708496, 0.2472725808620453, 0.17207488417625427, -0.9201284646987915, -0.08016588538885117, -0.4768156409263611, 0.3932420611381531, -0.4704286754131317, -0.2893233597278595, -0.5726125240325928, -0.9462639689445496, -1.15366530418396, 0.343982994556427, 0.5534828901290894, 1.1376683712005615, -0.12381827086210251, -0.4019092917442322, 1.0023319721221924, 0.8657268285751343, -0.9520860314369202, -0.8555895090103149, 0.4120013117790222, -0.3849603533744812, -0.8239651918411255, -0.16433930397033691, 1.3547700643539429, 0.11490951478481293, 0.7909054756164551, 0.06554890424013138, 0.6530969142913818, 0.8511873483657837, -0.5692499876022339, -0.9156274199485779, 0.1951090693473816, 0.4609125554561615, -0.8041937351226807, -0.09358116239309311, 0.975757896900177, -0.44372257590293884, 0.5151655673980713, -0.30128344893455505, 0.5423032641410828, 0.3862941265106201, -0.8795914053916931, 0.6434338092803955, -0.09622855484485626, 0.9262820482254028, 0.23403532803058624, -0.3938989043235779, 0.54868483543396, -1.2388594150543213, -0.33070939779281616, 0.3347661793231964, 1.0941510200500488, -0.5558710694313049, -0.41806891560554504, 0.8778720498085022, 0.6447913646697998, -0.6571216583251953, -0.11984790116548538, 0.18946069478988647, -0.2822840213775635, 0.4114436209201813, -0.13002194464206696, -0.3363957703113556, 0.2959538698196411, -0.18509037792682648, 0.8747907280921936, 0.34384214878082275, -0.4112030863761902, 0.09368980675935745, -1.2858754396438599, -0.08947054296731949, 1.34334397315979, 0.8265140652656555, -0.0058568804524838924, 0.940418004989624, 0.3148552179336548, -0.026523876935243607, -0.024030178785324097, -0.24664919078350067, -1.8431183099746704, 0.08362473547458649, 0.29022541642189026, 0.42330336570739746, 0.38971853256225586, -0.09289785474538803, 0.4490160644054413, -0.8512558341026306, -0.7073754668235779, -0.1333082914352417, -0.19685502350330353, -0.5595095753669739, 0.26020893454551697, -0.12546594440937042, -0.36185914278030396, 0.4177667200565338, 0.5840568542480469, 1.7725803852081299, 0.18083393573760986, 0.0643228143453598, 0.9152671694755554, -0.10011220723390579, -0.22951798141002655, -0.501053512096405, 0.4505327343940735, -0.018833061680197716, 1.3129264116287231, 0.7241697907447815, 0.4217071831226349, -0.6533450484275818, -0.14166666567325592, 0.5051229596138, 0.2604803740978241, 0.2419867366552353, -0.26713570952415466, 0.5634301900863647, -0.6994539499282837, -0.8716931939125061, -0.10265908390283585, 0.34314268827438354, 0.11785054951906204, 1.7162994146347046, 0.6822226047515869, 0.23778724670410156, -0.07167989760637283, 1.129425287246704, 0.9205030798912048, 0.005074554588645697, -0.20686355233192444, -1.0182534456253052, 0.10287218540906906, 0.6771342158317566, -0.21717716753482819, 0.36287590861320496, 0.9938085079193115, 0.9564158916473389, -0.5389820337295532, 0.04375983029603958, -0.25715914368629456, -1.1077375411987305, 0.8248932361602783, -0.49652767181396484, -0.0006968714878894389, 0.2697800397872925, 0.9038763046264648, 0.9958447813987732, 0.375855952501297, 0.19837479293346405, 0.46647173166275024, -1.0124293565750122, -2.8769209384918213, 0.09334643930196762, 0.24449685215950012, 0.7852884531021118, -1.006020426750183, -0.1311320662498474, -0.6874492764472961, -1.2230536937713623, 0.7775986194610596, -0.5697249174118042, 0.5536032915115356, -0.3509778380393982, 0.5954628586769104, -0.7972938418388367, -1.2361176013946533, -0.7928659319877625, 0.9311460256576538, -0.553637683391571, -0.2213713526725769, -0.18759576976299286, 0.1979796439409256, 0.40063387155532837, 0.83270263671875, 0.2344820648431778, 0.5304421186447144, -0.9354755282402039, -0.5839504599571228, -0.12463713437318802, 0.9091392755508423, -0.999025285243988, -0.5200390219688416, 0.08231799304485321, -0.5019460320472717, -0.37782081961631775, 1.0740063190460205, -0.03603503108024597, -0.6082407832145691, 0.2577640414237976, -0.08506162464618683, -0.30679863691329956, -0.6345992088317871, -0.9493982195854187, 1.2421033382415771, 0.11697535961866379, 0.3989306688308716, 0.6322023868560791, 0.5624035596847534, -0.7154629826545715, -0.21662002801895142, -0.16918213665485382, -0.07667431235313416, -0.9529871940612793, 0.8040401339530945, -0.4652683138847351, 0.033671922981739044, -0.5063272714614868, 1.445860505104065, -0.1703874170780182, -0.2668536603450775, 0.30479395389556885, -0.0180787593126297, 0.01689138635993004, 0.9190882444381714, -1.5419319868087769, 0.22925953567028046, 0.18681958317756653, 0.1382448375225067, -0.8875421285629272, -0.010633555240929127, 0.1367468535900116, -1.6793707609176636, 0.4816346764564514, 0.47016867995262146, -0.38625481724739075, 0.08972639590501785, -1.1563947200775146, 0.5108949542045593, -0.014412211254239082, -0.8837047815322876, 1.2764853239059448, 0.28668978810310364, 0.33873191475868225, 0.18298721313476562, -0.9351134300231934, 0.09942018240690231, 0.444777250289917, -0.6194173097610474, -0.06524793803691864, 0.23201005160808563, 0.5624366402626038, -0.18952696025371552, -0.1015712171792984, -1.5497761964797974, -1.1081174612045288, -0.14443127810955048, 0.07080992311239243, -0.06485176086425781, -0.43414124846458435, -0.0896226167678833, 0.7760669589042664, -0.7119228839874268, 0.182549849152565, 1.2440030574798584, -0.5254661440849304, 0.797976553440094, 0.33541885018348694, 0.20041459798812866, 0.7573591470718384, 0.4332772195339203, 0.08126150816679001, 0.1796846091747284, 0.1486392319202423, 0.29872429370880127, -0.8896052837371826, -0.8030830025672913, -0.4506584405899048, -0.04017478600144386, -1.3280279636383057, -0.5602948665618896, 1.11225163936615, -0.030439669266343117, -0.06683283299207687, 0.19857697188854218, -0.9534580707550049, 0.06390351802110672, 0.5397139191627502, -0.6875662207603455, -0.3350491523742676, 0.4840799868106842, 0.05424686521291733, 0.39992716908454895, 0.5614808201789856, -0.10022685676813126, -0.6361246705055237, 0.8166125416755676, 0.6805238723754883, 0.9477924108505249, 1.838876485824585, 0.27331623435020447, 0.013490092009305954, 0.35262322425842285, 0.3104230463504791, 0.7958705425262451, -0.9163758158683777, 0.21442995965480804, 0.49363136291503906, 0.01602073386311531, -0.5513118505477905, -0.279388427734375, -0.6966086626052856, 0.1350155770778656, 1.1190263032913208, -0.7988659143447876, 0.4802805483341217, 0.4607389271259308, 0.39724236726760864, -0.028002262115478516, -0.2116549015045166, -0.6257973909378052, 0.508486270904541, -1.1437759399414062, 2.2837843894958496, -0.9892454147338867, -1.692615032196045, 0.8234935402870178, 1.1630465984344482, 0.6970528364181519, 0.0037872076500207186, 1.1265300512313843, -0.5967617034912109, 0.14721831679344177, -0.59755539894104, -0.5359439849853516, 0.8042552471160889, -1.3436009883880615, 0.6778523325920105, 0.15047559142112732, -0.21564805507659912, 1.2354600429534912, 0.29829084873199463, 0.11710791289806366, -1.5280382633209229, -0.8380630612373352, -1.999181866645813, 1.3315509557724, 0.5301569700241089, -0.5215670466423035, 0.3416889011859894, -0.31962427496910095, 0.6201446056365967, -0.3893727660179138, 0.6761668920516968, -0.4443008005619049, -1.5723247528076172, -0.2513526380062103, 0.041831787675619125, -1.379288673400879, 1.5916597843170166, 0.5541329979896545, -0.12432505935430527, 0.4137733578681946, -0.6702767610549927, 1.1560863256454468, 0.21196267008781433, -0.06328096985816956, 0.7277315855026245, 1.4837898015975952, 0.04981885850429535, -0.1636422574520111, -0.2961372137069702, -0.7174049615859985, 4.7594122886657715, 1.5472931861877441, 0.017394181340932846, -1.871059775352478, 0.014194229617714882, -0.5987103581428528, -0.21380053460597992, -0.3858695924282074, 0.4484863579273224, 1.0936273336410522, 0.15127868950366974, 0.7411481738090515, 0.7077440619468689, -0.15639035403728485, -0.1062181293964386, 0.2814396619796753, -0.5736216902732849, -0.7668552994728088, 0.8134372234344482, 0.05332475155591965, 0.06704499572515488, -0.18555711209774017, -1.2228916883468628, -1.4962950944900513, 1.2052556276321411, -0.4525219798088074, 0.33625471591949463, -0.7890936136245728, 1.5865248441696167, 0.5174641609191895, -0.41430577635765076, 0.3706152141094208, 0.2428748905658722, 0.4675455093383789, 0.9259076714515686, 0.5934333205223083, -0.2618136405944824, 0.16657158732414246, -0.5116925239562988, 0.11248580366373062, 0.1711709201335907, -0.2198590636253357, -0.91410231590271, 0.3381010890007019, -0.5707416534423828, -0.503296434879303, -0.5229035019874573, -0.9014953374862671, -0.07282394170761108, 0.2526382803916931, -0.4147754907608032, 0.5689809322357178, -0.7913302779197693, -1.1883918046951294, -1.6226316690444946, 0.5438925623893738, -0.0013144789263606071, 0.22289320826530457, -0.7630791664123535, -0.2921857237815857, 0.4022533893585205, -0.4179542064666748, 1.176685094833374, 0.48642322421073914, 0.9433932900428772, -0.1302432119846344, -0.7613636255264282, 1.9313228130340576, 0.032045554369688034, -0.5209770202636719, -0.83616042137146, 0.3754783868789673, -0.43374133110046387, 0.1835797131061554, 0.1871880739927292, 0.706899881362915, -0.5079001784324646, 0.12303168326616287, -0.735281765460968, -0.8817343711853027, -1.24403715133667, -0.47655144333839417, 0.08468298614025116, -0.2861296534538269, -0.9671764969825745, -0.2408672571182251, -0.1365223526954651, 0.3336888551712036, 0.9134032130241394, -0.10897032171487808, -0.23167945444583893, 1.1397664546966553, 0.10164817422628403, -1.1354762315750122, -0.23724599182605743, 0.7088567018508911, -1.179929494857788, -0.36398839950561523, -1.1760177612304688, 1.5067397356033325, -0.3565298020839691, -0.19985347986221313, -0.1066085547208786, 1.0944634675979614, -0.49889034032821655, 0.251928448677063, 0.9786025881767273, 0.43283113837242126, -0.31744495034217834, 0.2571795880794525, 0.6848511099815369, -0.9717745780944824, -0.6372781991958618, -0.4241470694541931, -0.4614603519439697, -0.03084343485534191, -0.9451708197593689, 0.020380735397338867, -0.388705849647522, -0.46320584416389465, 0.0852954313158989, 0.6672292351722717, 0.11360544711351395, -0.4416171610355377, -0.09308671206235886, 0.1125560998916626, -0.2853676974773407, -0.3696371018886566, 0.033627450466156006, -0.05657627061009407, -0.4634977877140045, 0.06581230461597443, -0.22464267909526825, 0.41156595945358276, -1.0468405485153198, -0.5963588356971741, -0.14857889711856842, 0.9590588808059692, -0.5869501233100891, -0.12080640345811844, 1.3489923477172852, -0.8933954238891602, -0.34407052397727966, 1.5702744722366333, -0.3781060576438904, -0.06476985663175583, 0.30610421299934387, -0.07837370783090591, 1.2697190046310425, 0.5267921090126038, -1.0111033916473389, 0.3601672947406769, 0.48380815982818604, 0.4886462092399597, 0.20004276931285858, 0.7990812063217163, 0.3497556746006012, -0.36191612482070923, -0.6217253804206848, 0.8727738261222839, -0.25501537322998047, 0.22498328983783722, 0.14781905710697174, -0.9686678051948547, 0.2076023668050766, 0.033464912325143814, -0.7406097650527954, 0.13714171946048737, 0.32980307936668396, 0.789726972579956, -0.13294027745723724, 0.5084809064865112, 1.0300434827804565, 0.5052205324172974, -1.8417015075683594, -0.37163612246513367, -0.39467671513557434, -0.5896806120872498, 0.6160344481468201, -0.8360633850097656, 0.4157547950744629, 0.7112391591072083, -1.2575006484985352, -0.11678601056337357, -0.3463281989097595, 0.05161897838115692, -1.2639795541763306, 0.8143870830535889, -0.093938909471035, 0.22597233951091766, 0.3193622827529907, 0.3039528429508209, 0.7367169260978699, 0.3225679397583008, -0.3440953195095062, 0.05348151549696922, 0.7822584509849548, 0.834537148475647, -0.18468669056892395, -0.9486525058746338, 0.10774355381727219, -1.00515878200531, -0.5411919355392456, 0.2978994846343994, -1.11191987991333, 0.06742774695158005, 0.28279292583465576, 1.2359764575958252, 0.6343055367469788, 0.7020354866981506, 0.3469971716403961, -0.6450108289718628, -0.23528511822223663, -0.5013108849525452, 0.14307056367397308, 0.2856076657772064, -0.806581974029541, -0.12618857622146606, -0.22419138252735138, 0.19507817924022675, -0.0372450165450573, 0.6079836487770081, -1.3642386198043823, -0.16225601732730865, 0.32696273922920227, -0.1258908361196518, 0.7448567748069763, -1.069177269935608, -0.49463778734207153, -1.0904239416122437, 1.0417708158493042, 0.316765159368515, 0.35155683755874634, 0.7744604349136353, 0.34452688694000244, -1.217795729637146, -0.4741644561290741, -0.024059919640421867, -0.05415874719619751, -1.2715266942977905, 0.10597392171621323, -0.008236386813223362, -0.03550158813595772, 0.7624090909957886, 0.06529811024665833, 0.3379364013671875, -0.36058881878852844, 1.0628461837768555, -0.5635328888893127, -0.39559873938560486, -0.1375884860754013, -0.4180353283882141, 0.8436444401741028, -0.7649323344230652, 1.4346535205841064, 1.7074145078659058, 0.5708580613136292, -1.1607580184936523, -1.1954421997070312, -0.39471355080604553, 0.7746278047561646, 0.9359405636787415, 0.07104980945587158, 0.11040163040161133, -1.3908909559249878, 0.6952027082443237, 0.011644771322607994, 0.5679643750190735, 0.14022944867610931, 0.23694612085819244, -0.3885377049446106, 0.24986383318901062, 1.3798577785491943, 0.2726125717163086, -0.3047415316104889, 0.2892204523086548, -0.030578767880797386, 0.0043927873484790325, -0.28827399015426636, -0.3489014506340027, -0.2664685845375061, 0.8987796306610107, -0.45138728618621826, 1.477066159248352, -0.014327512122690678, -0.3556588888168335, 0.2896101474761963, 0.24788127839565277, -1.3733214139938354, 1.1197214126586914, 0.354215145111084, 0.5590778589248657, -0.3787384033203125, -0.6451259851455688, 0.8237507343292236, -0.6788012385368347, 0.32492291927337646, 0.612600564956665, -0.713007926940918, 0.11252915114164352, 0.6436284780502319, 0.061675768345594406, 1.241239309310913, -0.017853055149316788, 0.5347722768783569, -0.7200870513916016, -0.559343695640564, 0.2826174795627594, 0.20702916383743286, 0.41446998715400696, -0.10324109345674515, -0.23403812944889069, 0.6182128190994263, -0.5971666574478149, 1.3278801441192627, -0.3033020794391632, 1.2980117797851562, 0.398710697889328, -0.22912554442882538, 0.39234012365341187, -0.8123487830162048, -1.2988191843032837, 0.0998302549123764, -0.4059913754463196, 1.7451430559158325, -0.5206109285354614, -0.0716915875673294, -1.1028668880462646, 0.524298369884491, -0.42238783836364746, -0.13512037694454193, -0.42349955439567566, 0.05937643349170685, 0.04656040295958519, -0.8906676769256592, -0.7063037157058716, 0.24687203764915466, 1.2227543592453003, -0.7842701077461243, 0.4588111937046051, 0.2072971761226654, 0.7144610285758972, -0.28336408734321594, -0.25456568598747253, -1.6656323671340942, -1.3296736478805542, 1.0206449031829834, 0.10613593459129333, 0.2279685139656067, -0.11963365972042084, -2.096770763397217, -0.5981956720352173, 0.6751964092254639, -0.2865279018878937, -0.7493388056755066, -1.00887930393219, 0.4791416525840759, 1.1319429874420166, 0.9750998616218567 ] ]
{ "indices": [ 1786548735, 832098838, 3076736765, 4094582072, 1793137844, 2035475614, 3944559304, 1853176582, 3162296784, 722829366, 3066577729, 1376864891, 2032101475, 4040153055, 1128198904, 3741174264, 1975257448, 937671724, 5957873, 3796074982, 3174900812, 1510964117, 836607167, 1904070401, 3677720983, 3688822001, 888000370, 2120095081, 1296157733 ], "values": [ 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.7362650941286006, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.6504870267441352, 0.6504870267441352, 0.6504870267441352, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456 ] }
{ "context": "All right. I mean, the thing to remember, when we talked about the, when we did the example of mapping the code into a finite state machine, I introduced the idea of RTL is that we define the RTL for the state, but the RTL actually happens on the rising clock edge. So the control unit exerts all of these control signals, and then the changes happen on the rising clock edge. So they'll be true in the next cycle." }
707320
[ [ -0.5419648885726929, -0.6477107405662537, -0.2549391984939575, -0.1433185189962387, 0.7345094084739685, 0.11813995242118835, -0.35221442580223083, 0.4101859927177429, 1.0132222175598145, -0.48062676191329956, 0.613825798034668, 1.0060749053955078, 1.2918663024902344, 0.1981557011604309, 0.4375384449958801, -0.09486591070890427, 0.9331580400466919, -0.30153998732566833, -1.2779412269592285, -0.3957359790802002, 0.6856601238250732, -0.7371675372123718, 0.07299772650003433, -0.2454334944486618, -0.5743423700332642, 0.024029960855841637, 0.242418110370636, -0.5450577735900879, 0.17801809310913086, 0.636857807636261, 0.04416004195809364, -1.0814363956451416, 0.27632981538772583, 0.12127263844013214, 0.7507658004760742, 0.23981299996376038, 0.09451448917388916, -0.6447675228118896, -0.6330485939979553, 1.078278660774231, -0.9805212616920471, 0.4806547462940216, 0.1422920972108841, 0.7295575141906738, 0.00999368168413639, 0.19131241738796234, 0.005303004290908575, 0.5481476783752441, 1.010046124458313, -1.081027865409851, 0.9806614518165588, -0.657898485660553, 0.6797653436660767, 0.571743369102478, -0.2142113447189331, 0.20661751925945282, 1.150163173675537, 1.5371555089950562, -0.01744021847844124, -1.0857934951782227, -0.31687140464782715, -0.6350927948951721, 0.405170202255249, -0.2922418415546417, -0.49687257409095764, -0.9514893293380737, 0.5552377700805664, -0.5185723304748535, 1.0205963850021362, -0.09906946867704391, -1.177410364151001, -0.1131848394870758, 0.12985973060131073, 0.3348499834537506, 0.6696963906288147, -0.8690506815910339, 0.7283375859260559, -0.26368099451065063, 0.617590069770813, 1.1287658214569092, -0.7267356514930725, 0.004719433840364218, -1.4744012355804443, 0.5756140351295471, 0.25494635105133057, -1.1617692708969116, 1.8653842210769653, -0.49595189094543457, 0.12034572660923004, 0.321533203125, 0.4247700572013855, -0.4964050352573395, 1.1971173286437988, -0.42994940280914307, -0.5719882845878601, 0.2693140208721161, 0.7927879095077515, 0.7687110304832458, -0.4068661332130432, -0.547049880027771, 0.8025316596031189, -0.8565546870231628, 0.34901541471481323, 1.1537178754806519, 0.012138580903410912, -0.27307966351509094, -0.3264952003955841, -0.3410795331001282, -1.1143947839736938, 0.8094021081924438, -1.1291996240615845, 1.3027952909469604, -0.6871210336685181, 0.7738680243492126, 0.3832554817199707, -0.6170856952667236, -0.8311811685562134, -0.3721451461315155, 0.7641516923904419, 1.1381269693374634, 0.9329612255096436, 0.2670401632785797, 0.42328277230262756, -0.4945316016674042, -1.7620283365249634, 0.875528872013092, 0.031078176572918892, 0.0677415058016777, -0.7276337146759033, 0.5656121373176575, -0.25261810421943665, 0.15078037977218628, 0.2619592547416687, 0.057812951505184174, 0.026499643921852112, 0.6395095586776733, -1.6307533979415894, -1.6925183534622192, 0.8053023219108582, 0.37846454977989197, 0.15202951431274414, -1.3409889936447144, -0.43409278988838196, 0.824409008026123, 0.8567838668823242, 0.6861371994018555, 0.6924054622650146, 0.7634692788124084, -0.5984712839126587, 0.41516029834747314, -0.762772262096405, 0.3636256158351898, -1.5371689796447754, -0.49599194526672363, -0.3686637282371521, -0.3404175639152527, -0.4606894552707672, 0.3968188464641571, -0.8559573888778687, -0.3170638382434845, 0.8297580480575562, -0.5380495190620422, -0.13939619064331055, -0.10856102406978607, -0.7063546776771545, -1.1314663887023926, 1.624077320098877, -0.15764378011226654, 0.6842082142829895, 0.31202083826065063, -0.04617089778184891, 0.7815285921096802, -0.051633890718221664, 0.9289350509643555, 1.5720081329345703, -0.6431894898414612, 0.5114057064056396, -1.8599168062210083, -0.5627738833427429, -0.4142817556858063, -0.2001853734254837, -0.508787214756012, 0.2606333792209625, 0.12545989453792572, -0.45567208528518677, 0.4588582217693329, -0.5620419979095459, 0.442766934633255, 1.8706626892089844, -1.6322978734970093, -0.28387781977653503, -0.38880571722984314, 0.9070901274681091, -0.05197835713624954, -1.3323743343353271, -0.7733973264694214, 0.08770935237407684, 0.1075725182890892, 0.5132854580879211, -0.47867217659950256, -0.27050158381462097, 0.41608142852783203, 0.33197081089019775, -0.6301714777946472, 0.23955951631069183, 0.3855576813220978, 0.2238483428955078, -0.41280680894851685, 0.5054865479469299, -1.1146577596664429, -0.2806485593318939, 0.8962274193763733, -0.31874170899391174, 0.3248319923877716, 0.06558408588171005, 0.25310277938842773, -0.5585972666740417, 1.4635916948318481, 0.30408769845962524, 0.2212265580892563, -1.55622136592865, 1.059327244758606, 2.2991042137145996, 0.9653335809707642, 0.6503538489341736, 0.9300501346588135, -0.25260987877845764, -0.8204901814460754, -0.12286796420812607, -0.5800468921661377, -0.48086848855018616, -0.7534270286560059, 0.18533045053482056, 0.24178016185760498, -0.08551514893770218, -0.23184990882873535, 1.617691993713379, 0.7531591653823853, 0.9960157871246338, 0.3326115608215332, -1.2076799869537354, 0.4027572572231293, 0.5329110026359558, 0.9156099557876587, 0.32529425621032715, 1.1062887907028198, 0.7792752385139465, 1.3387082815170288, 0.08556393533945084, -0.20827141404151917, -0.7774181365966797, 0.8338915705680847, 0.3066152036190033, -0.41430220007896423, -0.029286688193678856, -1.0999557971954346, 0.934924840927124, -0.7015247941017151, 0.02927418053150177, 1.1970856189727783, -0.14327703416347504, 0.19041451811790466, 0.04023590311408043, -0.4598454236984253, 0.03437546268105507, 0.6007636189460754, -0.2967541813850403, -0.8134078979492188, 1.2706669569015503, -0.358435720205307, 1.2801508903503418, 0.6577891111373901, -0.8270184993743896, -1.4753655195236206, -0.25989535450935364, 0.5801659822463989, -0.4360724687576294, 1.7109711170196533, -1.4492865800857544, -0.7062110900878906, 0.08010935038328171, 0.44675707817077637, 0.4953191876411438, 0.26612693071365356, -1.8900984525680542, 0.5356520414352417, 0.15734606981277466, -1.2926832437515259, 0.6346999406814575, 0.37046515941619873, -0.8085796236991882, 0.6550642848014832, -0.4237305521965027, -0.6371921300888062, -0.6632055640220642, -0.40687212347984314, 0.1570046991109848, 0.371509850025177, -0.38181647658348083, 1.1582708358764648, 0.5722023248672485, -1.186821699142456, -0.0446145124733448, -0.8425289988517761, -0.18583548069000244, 0.20548155903816223, -0.609765887260437, 0.05777116119861603, -0.33840423822402954, 0.6797131896018982, -0.2650113105773926, -0.556450605392456, -0.48012229800224304, -0.9198648929595947, -1.3152016401290894, 0.23868390917778015, 0.8312971591949463, 0.8817123770713806, -0.5588842630386353, -0.17044702172279358, 0.7474350929260254, 0.7918410897254944, -0.8123875260353088, -1.0111558437347412, 0.12929017841815948, -0.4542029798030853, -0.9561030268669128, -0.6585513353347778, 1.0074468851089478, 0.5012943744659424, 1.0269620418548584, -0.13332971930503845, 1.083370327949524, 0.8363031148910522, -0.3226959705352783, -0.9846454858779907, 0.1022895872592926, 1.0756715536117554, -0.7669050097465515, -0.26934969425201416, 1.0014508962631226, 0.001470362301915884, 0.9775431156158447, -0.19197259843349457, 0.8336334228515625, 0.39634209871292114, -0.6175400018692017, 0.18874695897102356, 0.1804688721895218, 1.054519534111023, -0.1375652700662613, -0.15032415091991425, -0.1771591454744339, -1.2818002700805664, -0.2862658202648163, 0.665976881980896, 1.2383965253829956, -0.8013682961463928, -0.613109827041626, 0.8802282214164734, 0.7456826567649841, -0.6620045900344849, 0.10654594004154205, 0.2604261636734009, -0.08526160567998886, 0.31999674439430237, -0.13698679208755493, -0.5377398133277893, 0.6401192545890808, -0.11224254965782166, 0.9655013084411621, 0.6219882369041443, -0.35950443148612976, 0.0006573055288754404, -1.0624438524246216, -0.20962588489055634, 0.640792191028595, 0.8841832876205444, 0.17307184636592865, 1.0924116373062134, -0.08326767385005951, -0.03643765300512314, -0.34318387508392334, 0.3291824162006378, -1.8415138721466064, 0.5689715147018433, -0.008455649949610233, 0.577507495880127, 0.5215936899185181, 0.21943578124046326, 0.36969494819641113, -0.819817066192627, -0.7235816121101379, 0.03406301140785217, -0.4648294746875763, -0.8889974355697632, 0.29202601313591003, -0.03638739511370659, -0.40937384963035583, -0.19822300970554352, 0.8745138049125671, 1.9190930128097534, 0.5601483583450317, 0.06968377530574799, 1.3778890371322632, 0.3469579517841339, -0.6157155632972717, -0.6913139820098877, 0.267699271440506, -0.05863136053085327, 1.5372881889343262, 0.22925707697868347, 0.592761218547821, -0.8984028697013855, -0.5358015894889832, 0.5677515268325806, 0.23718495666980743, 0.23387598991394043, 0.6163007020950317, 0.6487557291984558, -0.6590782403945923, -0.795650064945221, -0.0322539284825325, 0.6899604797363281, 0.12271907925605774, 1.5860365629196167, 0.93069988489151, 0.12923014163970947, -0.09054023027420044, 0.801182210445404, 1.0171196460723877, 0.4388805329799652, -0.2295387089252472, -0.9123579263687134, -0.19242708384990692, 0.6369894742965698, -0.021517859771847725, 0.5644321441650391, 0.5916334390640259, -0.009944385848939419, -0.4476529061794281, -0.04333486780524254, -0.4346091151237488, -0.6525412797927856, 0.9140597581863403, -0.4497981667518616, -0.15326452255249023, 0.5745770931243896, 0.8966835737228394, 1.1683294773101807, 0.48174169659614563, -0.2704795002937317, 0.14793120324611664, -1.0008825063705444, -3.049250364303589, -0.18993160128593445, 0.37889882922172546, 0.9665237069129944, -0.573789119720459, -0.10275418311357498, -0.6143443584442139, -1.239712119102478, 0.5585739612579346, -0.4460826814174652, 0.6816750764846802, -0.027377065271139145, 0.4130687415599823, -0.6293185949325562, -0.9729636907577515, -1.152177095413208, 1.4589775800704956, -0.5014899969100952, -0.12977689504623413, -0.38226446509361267, 0.5022642612457275, 0.31049883365631104, 0.44413328170776367, -0.04493499547243118, 0.362446129322052, -1.1438120603561401, -0.1551344245672226, 0.30008167028427124, 0.8719935417175293, -1.409364938735962, -0.7621484994888306, 0.21527092158794403, 0.061799388378858566, 0.030619466677308083, 0.7793335318565369, -0.329621285200119, -0.678299605846405, 0.3285735845565796, -0.06765277683734894, 0.17089992761611938, -0.9332327246665955, -1.5120007991790771, 1.0156623125076294, 0.2329048216342926, 0.5809049606323242, 1.0934616327285767, 0.6546491980552673, -0.8543209433555603, -0.1552313268184662, -0.12836860120296478, 0.5105339288711548, -0.9006422162055969, 0.5640718340873718, -0.28952547907829285, 0.06102164089679718, -0.8915340304374695, 1.652941346168518, -0.09650090336799622, -0.19493089616298676, -0.1585184931755066, -0.0017298305174335837, -0.11204410344362259, 1.2518972158432007, -1.4292323589324951, -0.1789042055606842, -0.07319357246160507, -0.325147420167923, -1.531059980392456, 0.006731379311531782, 0.31101784110069275, -1.9963805675506592, 0.5128264427185059, 0.42769646644592285, -0.13437874615192413, 0.20928552746772766, -1.445641040802002, 0.6299142837524414, -0.2414008527994156, -1.4600383043289185, 1.0572271347045898, 0.0922834575176239, 0.35243770480155945, 0.007917266339063644, -0.8760488629341125, -0.30415207147598267, 0.5605610013008118, -0.44210684299468994, 0.4987565279006958, 0.43274787068367004, 0.9757943153381348, -0.1365949511528015, -0.40296322107315063, -1.3306742906570435, -0.896721363067627, -0.2079736441373825, 0.457937091588974, 0.0981937125325203, -0.6444236040115356, 0.114401675760746, 0.41755229234695435, -0.7562057375907898, -0.2513573467731476, 1.026245355606079, -0.67726731300354, 0.8556315898895264, 0.34099289774894714, 0.168983593583107, 0.9505171179771423, 0.5069003701210022, 0.862280547618866, 0.09734576940536499, 0.10518219321966171, 0.4526720643043518, -0.2333856076002121, -1.178868293762207, -0.44547563791275024, 0.09437374770641327, -1.0883257389068604, -0.7610687613487244, 1.4006420373916626, 0.14450359344482422, 0.44803744554519653, -0.14477412402629852, -0.8647391200065613, -0.2728221118450165, 0.10520552098751068, -0.5563133358955383, -0.2741319239139557, 0.6822586059570312, 0.10613195598125458, 0.3775055706501007, 0.25555315613746643, -0.43505483865737915, -0.6276346445083618, 0.8151654601097107, 0.15044082701206207, 1.0158761739730835, 1.6953670978546143, 0.13190247118473053, 0.1492070108652115, 0.28234750032424927, 0.48775914311408997, 1.1058369874954224, -0.9954029321670532, 0.09102411568164825, 0.4471624195575714, 0.3012745678424835, -0.2890664339065552, -0.4644354283809662, -1.1976227760314941, 0.19194862246513367, 1.3692954778671265, -1.1555535793304443, 0.30796584486961365, 0.12337671220302582, 0.4024916887283325, -0.35820910334587097, -0.19722308218479156, -0.8859511017799377, 0.38969457149505615, -0.7376339435577393, 2.070838451385498, -0.7529816627502441, -1.2417645454406738, 0.6833337545394897, 1.2851639986038208, 0.8602645397186279, 0.1502937376499176, 0.9280564188957214, -0.7130285501480103, 0.6690000295639038, -0.8694540858268738, -0.9272601008415222, 0.6013365983963013, -1.3672778606414795, 1.0942531824111938, 0.10357483476400375, -0.44479963183403015, 0.8692514300346375, 0.09469608217477798, -0.28456470370292664, -1.8751894235610962, -0.5269920229911804, -1.6624689102172852, 1.3021904230117798, 0.295931875705719, -0.798876941204071, 0.2969229519367218, 0.2191164642572403, 1.0264620780944824, -0.13121314346790314, 0.5843377113342285, -0.4939250349998474, -2.0418100357055664, -0.06689079850912094, -0.2083393633365631, -0.9875827431678772, 1.8021893501281738, 0.750779926776886, 0.05349027365446091, 0.6983916163444519, -0.5970485210418701, 1.1494536399841309, 0.4453750252723694, 0.6612061262130737, 0.5859564542770386, 1.6175568103790283, -0.33539366722106934, 0.04647066071629524, -0.6716040372848511, -0.19890548288822174, 4.226693630218506, 1.572966456413269, -0.30873891711235046, -1.964759349822998, -0.3078566789627075, -0.6504637598991394, -0.26847559213638306, -0.22435972094535828, 0.3300486207008362, 1.0291787385940552, 0.38325342535972595, 0.8678196668624878, 0.5642604827880859, -0.5362836718559265, 0.14271114766597748, 0.5112959742546082, -0.6868100166320801, -0.6152365803718567, 0.6686376333236694, 0.07489887624979019, 0.20824286341667175, -0.347708135843277, -1.6833497285842896, -1.8671767711639404, 0.8190354108810425, -0.6084845066070557, 0.3460063338279724, -0.47725972533226013, 0.9536209106445312, 0.5915893316268921, -0.6944398880004883, 0.4357217252254486, 0.38932153582572937, 0.31116873025894165, 0.381123423576355, 0.7140001058578491, -0.3712148368358612, 0.055790409445762634, -0.5872307419776917, 0.06642349809408188, 0.1719704121351242, -0.29025477170944214, -0.4773251712322235, 0.14280757308006287, -0.8357387781143188, -0.3972522020339966, -0.45875731110572815, -1.1855095624923706, 0.031132224947214127, 0.0425017848610878, 0.12382354587316513, 0.6368393301963806, -0.3977782726287842, -0.7017513513565063, -1.6101505756378174, 0.14708909392356873, 0.3518458902835846, 0.10319225490093231, -0.7146143913269043, -0.48792991042137146, 0.41747525334358215, -0.37291115522384644, 1.2505956888198853, 0.5289731621742249, 0.8736801147460938, 0.15104986727237701, -1.0417026281356812, 1.7873371839523315, 0.3158295452594757, -0.45473429560661316, -0.9019631147384644, 0.13695001602172852, -0.32352349162101746, 0.0004670193011406809, 0.42150241136550903, 0.9256723523139954, -1.0993932485580444, 0.33448541164398193, -0.6092051863670349, -0.6446360945701599, -0.827771782875061, -0.5525432229042053, -0.1554683893918991, -0.3191806375980377, -0.5903683304786682, -0.09689085930585861, -0.059539154171943665, 0.3590414822101593, 0.7598194479942322, 0.0612025149166584, -0.5631625652313232, 1.4609153270721436, 0.22645890712738037, -1.6394654512405396, -0.5972474217414856, 0.5868406295776367, -0.8834479451179504, -0.23109956085681915, -0.8957377672195435, 1.8397408723831177, -0.6596389412879944, -0.19825828075408936, 0.45921188592910767, 0.9872936606407166, -0.5667884349822998, -0.022872354835271835, 0.8603598475456238, -0.12601514160633087, 0.06940273195505142, 0.2550216019153595, 0.53173828125, -0.7146363258361816, -0.9857974648475647, -0.4658331573009491, -0.4722702205181122, -0.07059067487716675, -0.9031667113304138, -0.25345754623413086, -0.01570083759725094, -0.22470761835575104, 0.23322182893753052, 0.5149691104888916, -0.14680123329162598, -0.30091845989227295, -0.5565841197967529, 0.33896705508232117, -0.02021026611328125, -0.496700257062912, 0.03473477438092232, 0.45760777592658997, -0.1686006784439087, -0.10866057127714157, -0.29406461119651794, 1.0051484107971191, -1.140364170074463, -0.8288586139678955, -0.2597806453704834, 0.929630696773529, -0.7621135711669922, 0.3260737657546997, 0.856448769569397, -1.17396080493927, 0.07410851120948792, 1.4859589338302612, -0.7659815549850464, 0.15109126269817352, 0.3693429231643677, -0.14779618382453918, 1.271151065826416, 0.27760550379753113, -0.9122310280799866, -0.09482450783252716, 0.5324988961219788, 0.6971504092216492, -0.2719753682613373, 0.3511543273925781, 0.6132926940917969, -0.2494071125984192, -0.4244350790977478, 0.5657773017883301, 0.08195273578166962, 0.30080169439315796, 0.0331941656768322, -1.0745400190353394, 0.30706092715263367, -0.08191480487585068, -0.4747893214225769, 0.24641215801239014, -0.29215264320373535, 0.6151427030563354, -0.159762904047966, 0.3284320831298828, 0.8814021944999695, 0.5024084448814392, -1.432987928390503, -0.37984731793403625, -0.058121491223573685, -0.7591518759727478, 0.2402038872241974, -0.6811435222625732, 0.44262391328811646, 0.6454620361328125, -1.1339137554168701, 0.014796159230172634, -0.7773860692977905, 0.11518312245607376, -1.4972285032272339, 0.35200226306915283, -0.2862245440483093, 0.35246506333351135, 0.14720956981182098, 0.20809271931648254, 1.1941648721694946, 0.02955622412264347, -0.28452104330062866, -0.23667210340499878, 0.7426697611808777, 0.8449772596359253, -0.07691074907779694, -1.0051753520965576, -0.12953920662403107, -0.8079426884651184, -0.8708244562149048, 0.37503793835639954, -1.394864559173584, -0.13064660131931305, -0.40524789690971375, 1.2844845056533813, 0.34579381346702576, 0.5229991674423218, 0.2780115604400635, -0.4806855022907257, 0.608607292175293, -0.592298150062561, -0.2301507145166397, 0.5330559611320496, -1.1958856582641602, -0.056071676313877106, -0.0920208990573883, -0.0975201204419136, 0.051098182797431946, 0.47619393467903137, -1.4915354251861572, -0.21430020034313202, 0.18822252750396729, -0.028552599251270294, 0.8339821696281433, -1.0649449825286865, -0.9204474091529846, -1.2764972448349, 0.7764592170715332, -0.21296365559101105, 0.5846642255783081, 0.8828685879707336, 0.10530522465705872, -1.114894986152649, -0.5738693475723267, -0.1393585205078125, 0.11018265783786774, -1.1415159702301025, -0.30452609062194824, -0.09212028980255127, 0.09929724782705307, 0.8557300567626953, 0.08447729796171188, 0.2959407567977905, -0.6860911846160889, 0.9620878100395203, -0.6836668848991394, -0.5843440890312195, -0.6440398097038269, -0.8904815316200256, 1.0024791955947876, -0.8858300447463989, 1.2789958715438843, 1.9325605630874634, 0.814822793006897, -1.3371869325637817, -1.3384912014007568, -0.7051381468772888, 0.9249656200408936, 1.0067676305770874, -0.08298254758119583, 0.3055693507194519, -1.2080048322677612, 0.3723986744880676, -0.33307185769081116, 0.83051997423172, 0.24165110290050507, 0.04391975328326225, 0.047557443380355835, 0.27798765897750854, 1.4819799661636353, -0.08346927911043167, -0.4757358729839325, 0.45111382007598877, 0.08505113422870636, 0.42031329870224, -0.6015814542770386, -0.12808410823345184, 0.10407610982656479, 0.486398309469223, -0.23365549743175507, 1.0545659065246582, 0.1475941687822342, 0.028306536376476288, 0.331058144569397, -0.1959119290113449, -1.3943148851394653, 1.078124761581421, -0.12955059111118317, 0.5587856769561768, -0.28827086091041565, -0.7528011202812195, 0.901511013507843, -0.8757292032241821, 0.4057556688785553, 0.8297905325889587, -0.24523091316223145, 0.2255486249923706, 0.6400200128555298, 0.4682846665382385, 1.4600178003311157, 0.161020427942276, 0.5033119320869446, -0.6257200837135315, -0.36389052867889404, 0.2544712722301483, 0.29536929726600647, 0.4843294620513916, -0.4160459637641907, -0.3482472002506256, 0.7755969166755676, -0.24468037486076355, 1.2312476634979248, 0.1075756847858429, 1.7629245519638062, 0.680924117565155, -0.06695498526096344, -0.05122099071741104, -0.5295984745025635, -1.3096553087234497, 0.19428923726081848, -0.26486971974372864, 1.3674798011779785, -0.7918164730072021, 0.15745754539966583, -0.8513709902763367, 0.2978459596633911, -0.43887728452682495, -0.11528543382883072, -0.0315198116004467, 0.014631048776209354, 0.18945886194705963, -0.6926578283309937, -0.45777627825737, 0.4807378947734833, 1.2236047983169556, -0.9456167817115784, 0.46630746126174927, 0.16332200169563293, 0.868777871131897, -0.1867879182100296, -0.5299823880195618, -1.8034638166427612, -1.018845796585083, 0.5049967169761658, -0.0694514811038971, 0.5602260828018188, -0.5575658679008484, -2.0840227603912354, -0.3146030008792877, 0.709313690662384, -0.25473183393478394, -0.9811455607414246, -0.9142405986785889, 0.6616631746292114, 0.9728161692619324, 0.9600857496261597 ] ]
{ "indices": [ 3174900812, 1510964117, 836607167, 1904070401, 3677720983, 1975257448, 937671724, 5957873, 3796074982, 3688822001, 888000370, 2120095081, 1296157733, 691409538, 2035475614, 639386442, 2242149888 ], "values": [ 0.7356421513820104, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.7356421513820104, 0.5818306519678005, 0.7356421513820104, 0.5818306519678005 ] }
{ "context": " So the control unit exerts all of these control signals, and then the changes happen on the rising clock edge. So they'll be true in the next cycle. So that's why, for example, this IR somewhere, IR's here" }
540787
[ [ -0.42472898960113525, -0.7430445551872253, -0.42623934149742126, -0.17106229066848755, -0.10063166171312332, 0.3294747471809387, -0.16040153801441193, 0.8717883229255676, 0.9774742126464844, -0.6343392133712769, 0.34372246265411377, 0.7923321723937988, 0.7830657362937927, -0.23711766302585602, 0.5741790533065796, -0.12780876457691193, 0.9212153553962708, 0.05300624668598175, -1.0016030073165894, -0.10195449739694595, 0.8828510046005249, -0.6283667683601379, 0.4622725546360016, -0.5817279815673828, -0.14897020161151886, 0.573300302028656, 0.5349897146224976, -1.0423078536987305, 0.3059406280517578, -0.2675949037075043, -0.13925185799598694, -1.8246703147888184, 0.14185364544391632, -0.11601082235574722, 0.8774502277374268, 0.22214849293231964, -0.32847386598587036, -0.4603500962257385, -0.10590413957834244, 0.6971912980079651, -0.5179975628852844, 0.34928667545318604, -0.76563560962677, 0.7274985909461975, 0.0018786918371915817, 0.3413555324077606, -0.14993272721767426, 0.2990811765193939, 1.0708496570587158, -1.2199658155441284, 1.195874571800232, -0.9391640424728394, 0.8838956952095032, 0.3120138943195343, -0.441968709230423, 0.4879502058029175, 1.4052485227584839, 1.8489928245544434, -0.01125015877187252, -0.610666036605835, -0.4764949083328247, -0.3407454788684845, 0.7381851077079773, 0.021469296887516975, -0.6129723787307739, -0.8344157934188843, 0.602406919002533, -0.2277461290359497, 0.27587443590164185, 0.1383543163537979, -0.7802205085754395, -0.5537551641464233, 0.42410674691200256, 0.5133693814277649, 1.305661678314209, -1.1291602849960327, 0.6505889296531677, -0.6356399655342102, -0.10437407344579697, 0.7630195617675781, -1.591253399848938, -0.026087181642651558, -1.487243890762329, 1.0198427438735962, 0.029489712789654732, -0.4970587491989136, 2.062424659729004, -0.4982548952102661, -0.31672462821006775, 0.35749709606170654, 0.2517335116863251, 0.0905131921172142, 1.3499644994735718, -0.7993820905685425, -0.433138370513916, 0.5772477984428406, 0.3203609585762024, 0.16198362410068512, -0.232600599527359, -0.555122971534729, 0.1460033506155014, -0.8390939831733704, 0.20497708022594452, 1.5041817426681519, -0.44853824377059937, 0.171005979180336, -0.0008094288059510291, -0.37641388177871704, -0.3949950933456421, 0.8321279883384705, -0.9689148664474487, 0.6165192723274231, -0.5189422369003296, 1.2364615201950073, -0.10037235915660858, -0.0921151414513588, -1.0685659646987915, -0.2670973539352417, 1.113446831703186, 0.7457709908485413, 1.3897144794464111, -0.519354522228241, 0.6132940649986267, -0.6657695770263672, -1.6258811950683594, 1.1851398944854736, 0.05496460199356079, -0.18317058682441711, -0.5900841355323792, 0.5528050661087036, 0.35090866684913635, -0.16203351318836212, 0.20122703909873962, -0.07401939481496811, -0.24454887211322784, 0.633014440536499, -1.450818657875061, -1.6647683382034302, 0.6561680436134338, 0.46753841638565063, 0.13001151382923126, -1.7795664072036743, -0.34236761927604675, 0.8430353999137878, 1.0841842889785767, -0.12879660725593567, 0.3265320360660553, 0.8537216186523438, 0.22167356312274933, 0.6674021482467651, -0.7560659050941467, -0.13267841935157776, -1.4726777076721191, -0.33069953322410583, -0.004762135911732912, 0.2921876013278961, 0.3935557007789612, 0.5810596942901611, -0.6730250120162964, -0.3957102298736572, 1.0754661560058594, -0.5774226188659668, -0.01655092090368271, 0.4224483370780945, -1.1197714805603027, -0.8306481242179871, 2.006279706954956, 0.08539420366287231, 0.4774419367313385, 0.0583825446665287, 0.3500945568084717, 0.2180679589509964, -0.26690635085105896, 0.429976224899292, 1.395081639289856, -0.9646663069725037, 0.14095188677310944, -1.10061514377594, -0.6797683238983154, -0.6730751395225525, -0.30175209045410156, -0.6337223052978516, 0.4665367603302002, 0.6993549466133118, -0.43806159496307373, -0.02135520428419113, -0.5317600965499878, 0.8907502889633179, 1.456443428993225, -0.7110521197319031, -0.5189425349235535, -0.7537491917610168, 0.9211810231208801, -0.43123435974121094, -1.4979920387268066, -0.7171759009361267, -0.47646364569664, 0.30727651715278625, 0.5414273738861084, -0.47970980405807495, -0.5124960541725159, 0.38707235455513, 1.0768638849258423, -0.8437073826789856, -0.02407705970108509, 0.6947157979011536, 0.5194185376167297, -0.9599360227584839, 0.9372460246086121, -0.7282060980796814, 0.13680627942085266, 0.7974354028701782, 0.4936446249485016, -0.009236378595232964, -0.11562835425138474, -0.1524697244167328, -0.5339238047599792, 0.5904447436332703, 0.30625683069229126, 0.14618617296218872, -1.3085663318634033, 1.3632539510726929, 1.9450024366378784, 1.139923334121704, 0.5664554238319397, 1.0424482822418213, 0.30816859006881714, -0.48285195231437683, 0.4405684173107147, -0.1361205130815506, -0.9659714102745056, -1.7633178234100342, -0.289642333984375, -0.18272314965724945, -0.4591260552406311, 0.3923841118812561, 1.411784052848816, 0.35387250781059265, 0.7056949734687805, -0.5315863490104675, -1.193114995956421, 0.7999464869499207, 0.7442753314971924, 1.182183861732483, 0.26430371403694153, 0.9923079609870911, 0.5145351886749268, 1.6447832584381104, -0.2519064247608185, -0.06982319802045822, -0.44489362835884094, 0.753561794757843, 0.7594981789588928, 0.05532898008823395, 0.35912811756134033, -1.2764085531234741, 0.6473902463912964, -0.4268423914909363, 0.21766935288906097, 0.8165756464004517, -0.17778053879737854, -0.12862710654735565, -0.04459569603204727, -0.06063034385442734, -0.1694725602865219, 0.9989084005355835, -0.11321414262056351, -0.8702216148376465, 0.9676837921142578, -0.5534767508506775, 1.309822678565979, 0.062352124601602554, -0.9654071927070618, -1.2992310523986816, -0.05433352291584015, 0.6044875383377075, -0.3435506820678711, 1.3841692209243774, -1.7589948177337646, -0.4394519329071045, -0.36451736092567444, -0.15167155861854553, 0.2587198317050934, 0.2821100652217865, -1.7379271984100342, 0.22692865133285522, 0.4499638080596924, -0.8005282878875732, 0.40468016266822815, -0.29272255301475525, -0.9637653231620789, 0.7984499335289001, -0.3132813274860382, -1.125598669052124, -0.589150607585907, 0.1359362155199051, 0.12682916224002838, -0.029134193435311317, -0.34423571825027466, 1.418619155883789, 0.11555648595094681, -1.6864356994628906, -0.17492716014385223, -0.5003780722618103, -0.05055981129407883, 0.3274206221103668, -0.4718306064605713, -0.3656497001647949, -0.20192532241344452, 0.8226711750030518, 0.10786153376102448, -0.3947386145591736, -0.057847876101732254, -0.6738759279251099, -1.772459864616394, 0.21567781269550323, 0.6125292778015137, 0.9038073420524597, -0.5495637059211731, -0.042578913271427155, 0.9254003167152405, 0.39986974000930786, -0.7444103956222534, -0.2328079789876938, 0.37050196528434753, -0.6058077812194824, -1.187864065170288, -0.882628321647644, 1.2670660018920898, -0.1629638820886612, 0.5234828591346741, -0.19457131624221802, 1.1786490678787231, 1.6698271036148071, -0.568597137928009, -1.1113218069076538, 0.28539854288101196, 0.6726350784301758, -0.8140508532524109, -0.17173342406749725, 0.6544117331504822, 0.34462523460388184, 0.5709101557731628, -0.39324477314949036, 0.28716450929641724, 0.6843715310096741, -0.551163911819458, 0.08160223066806793, 0.020666275173425674, 0.8937440514564514, -0.14997002482414246, -0.44804561138153076, 0.6237654089927673, -1.1073671579360962, -0.022683287039399147, 1.056104302406311, 1.6542463302612305, -0.788962721824646, 0.18286024034023285, 0.4894258379936218, 0.6492346525192261, -0.37378308176994324, -0.21650168299674988, -0.023689068853855133, -0.8333603143692017, 0.6008949279785156, -0.17492419481277466, 0.1672244668006897, 0.5234947204589844, -0.33212271332740784, 0.5525608062744141, 0.7618916034698486, -0.9529513716697693, 0.32424530386924744, -1.5848510265350342, -0.566891074180603, 0.886010468006134, 0.747067928314209, 0.34273263812065125, 0.8116564750671387, -0.14530178904533386, -0.34804368019104004, -0.7364099025726318, -0.1921423375606537, -1.899011492729187, 0.30493271350860596, 0.5648055076599121, -0.34213054180145264, 0.7337052226066589, -0.6464971303939819, 0.8136594295501709, -0.6705476641654968, -1.2978320121765137, -0.03686987981200218, -0.17294824123382568, -0.758439302444458, 0.4782906770706177, 0.10826647281646729, -0.7735524773597717, -0.09899314492940903, 1.0468727350234985, 2.0584604740142822, 0.2999531030654907, -0.037220411002635956, 1.095981240272522, 0.045232951641082764, -0.3489047884941101, -0.6250191926956177, 0.5315300822257996, -0.4083437919616699, 1.4274708032608032, 0.26056522130966187, 0.7073608636856079, -0.146970734000206, -0.4724554419517517, 0.771320641040802, 0.5670205354690552, 0.7104761600494385, -0.1756858378648758, 0.32826852798461914, -0.17312327027320862, -0.4752051532268524, 0.2696835398674011, 0.7047480344772339, 0.48069798946380615, 1.4539679288864136, 1.2057257890701294, 0.46550440788269043, -0.5325260758399963, 0.9761574864387512, 0.5484458208084106, 0.303962767124176, -0.3085290789604187, -1.1414062976837158, -0.209344744682312, 0.7748806476593018, -0.37034714221954346, 0.7878250479698181, 0.8374050259590149, -0.5103124380111694, -0.15315766632556915, 0.058838896453380585, 0.22605903446674347, -0.6034665703773499, 0.21902833878993988, -0.7418824434280396, 0.14334170520305634, 0.2937471270561218, 0.9893392324447632, 1.3313201665878296, 0.37447506189346313, -0.6286993622779846, -0.23746955394744873, -0.8547263145446777, -2.721604585647583, 0.4646521508693695, 0.5733299255371094, 0.891351044178009, -0.592636227607727, 0.03732087090611458, -1.3066521883010864, -0.9791994690895081, 0.09310279041528702, -0.9659598469734192, 1.0628670454025269, -0.5433089733123779, 0.9957525730133057, 0.09712488204240799, -0.8393527865409851, -1.4752718210220337, 0.9669812917709351, -0.42984098196029663, -0.8691171407699585, -0.5861793160438538, 0.5224509835243225, -0.0071699898689985275, 0.2755434215068817, -0.07747860997915268, 0.5598376989364624, -0.8875401616096497, -0.1392015516757965, 0.20504046976566315, 1.2155958414077759, -0.986243724822998, -0.4276089668273926, -0.25461485981941223, 0.04717737063765526, 0.07155404984951019, 1.1858466863632202, -0.2626398503780365, -0.5979470610618591, 0.48320305347442627, -0.4256487786769867, 0.32600465416908264, -0.6794556975364685, -1.3301098346710205, 1.584446907043457, 0.1870976835489273, 0.22260653972625732, 0.8970158100128174, 0.520099401473999, -0.4431777000427246, -0.6534147262573242, -0.9514428973197937, 0.5002166628837585, -1.7754758596420288, 0.11969878524541855, -0.419906347990036, 0.14793716371059418, -0.1003536656498909, 1.0121486186981201, -0.022588524967432022, 0.18059471249580383, -0.15053002536296844, -0.25879594683647156, -0.8359103798866272, 0.23217642307281494, -0.9863862991333008, 0.02474258281290531, 0.10615157335996628, -0.33790823817253113, -1.2544866800308228, -0.9382661581039429, 0.5045308470726013, -2.2306320667266846, 0.15640050172805786, 0.7834701538085938, 0.1459973156452179, 0.8148742318153381, -1.6796376705169678, 0.2807958722114563, -0.06541883200407028, -0.841097354888916, 1.00287663936615, 0.4036862850189209, -0.589521586894989, -0.20447106659412384, -0.7771450281143188, 0.7543851137161255, 0.8392381072044373, 0.07655160129070282, -0.05764029547572136, 0.5071192979812622, 0.9925783276557922, -0.42933645844459534, 0.08216210454702377, -1.7565968036651611, -1.235750675201416, -0.19911938905715942, -0.025942889973521233, 0.861605703830719, -0.36288124322891235, 0.4038550853729248, 1.0643484592437744, -0.6572280526161194, 0.3523920774459839, 1.2566759586334229, -0.43117472529411316, 0.55616694688797, 0.42685291171073914, 0.664005696773529, 0.25844502449035645, 0.5254464149475098, 0.12484017759561539, -0.09109668433666229, 0.0771951749920845, 0.954473078250885, -0.3611985146999359, -1.296669840812683, -0.17850257456302643, 0.5123847126960754, -0.9541199803352356, 0.014325998723506927, 1.658540964126587, -0.06859095394611359, 0.10426382720470428, 0.03983408212661743, -0.6574897170066833, -0.7651662826538086, 0.2487124800682068, -0.8165969848632812, -0.23828735947608948, 0.8238822221755981, 0.03284056484699249, 0.24430087208747864, -0.2244464010000229, -0.07931088656187057, -1.603028655052185, 0.904649555683136, 0.08037400245666504, 0.48909053206443787, 1.9424521923065186, 0.3805333375930786, 0.34669673442840576, 0.12201773375272751, 0.5195134878158569, 0.7940556406974792, -0.829427182674408, 0.4850367605686188, 1.0927677154541016, 0.28908756375312805, -0.2600773870944977, 0.32690349221229553, -0.9414704442024231, 0.5833577513694763, 1.650913953781128, -1.3910861015319824, 0.6999746561050415, 0.3062398135662079, -0.11806638538837433, -0.6867808699607849, -0.41402706503868103, -0.9147012233734131, 0.0023112627677619457, -1.5973868370056152, 2.136240005493164, -0.9573002457618713, -1.9890587329864502, 0.483183890581131, 1.8669164180755615, 0.1408659964799881, -0.09129273146390915, 1.053299903869629, -0.7667843699455261, 0.30680322647094727, -0.4501619040966034, -0.355255126953125, 1.1359593868255615, -1.3765205144882202, 0.7735688090324402, 0.18308907747268677, -0.5069643259048462, 0.7965396642684937, 0.22214275598526, 0.2035691887140274, -1.264887809753418, -0.8858447670936584, -1.3209720849990845, 0.7576739192008972, 0.16741128265857697, -1.1540504693984985, 0.7764402627944946, 0.06662753224372864, 1.0625262260437012, -0.5151171684265137, 0.17006270587444305, -0.6110702753067017, -2.0959458351135254, -0.5297147631645203, -0.04628538340330124, -1.2920212745666504, 1.8715946674346924, 0.6990436315536499, -0.04260486364364624, 0.5424755811691284, -0.8838824033737183, 1.3089706897735596, 0.017761895433068275, 1.0631824731826782, 0.8112584352493286, 1.5476043224334717, 0.380285382270813, 0.43309059739112854, -0.13057966530323029, -0.16216321289539337, 3.6619133949279785, 1.6425502300262451, 0.21374475955963135, -1.6316968202590942, -0.5872118473052979, -0.7463744282722473, 0.24725985527038574, -0.517432689666748, 0.18565860390663147, 0.9970433712005615, 0.23956599831581116, 0.9271363019943237, 0.46957650780677795, -0.3185841143131256, 0.022070039063692093, 0.13450534641742706, -0.3451444208621979, -0.4901268184185028, 0.7775759100914001, 0.5271175503730774, 0.16651766002178192, -0.3733580708503723, -0.9533776640892029, -1.3598634004592896, 0.8953945636749268, -0.8920634984970093, 0.5163012146949768, -0.633169949054718, 1.3450212478637695, 0.4302747845649719, -0.49094265699386597, -0.3695236146450043, 0.3882863521575928, 0.032582636922597885, 0.48456844687461853, 0.29769909381866455, -0.7441983819007874, 0.32399049401283264, -0.5521767139434814, 0.2947327196598053, 0.18079717457294464, -0.14604036509990692, -0.6217817068099976, 0.0850067064166069, -0.6180149912834167, -0.08187709748744965, -0.6276965737342834, -0.9403721690177917, -0.26175355911254883, 0.4333992898464203, -0.0916423574090004, 0.35813114047050476, -0.34835392236709595, -1.6283750534057617, -1.3194383382797241, 0.49638861417770386, 0.5034754872322083, -0.15939103066921234, -0.7789126038551331, -0.8503584861755371, 0.1761081963777542, -0.3150933086872101, 0.7627760767936707, 0.7978082895278931, 0.8859331011772156, 0.6660140752792358, -0.9708669185638428, 1.7836620807647705, -0.14175066351890564, -0.8926597237586975, -0.10738319903612137, 0.3643490970134735, 0.004823801573365927, -0.30082547664642334, 0.4607933461666107, 0.3059198558330536, -1.174126386642456, 0.3475758135318756, -0.41773197054862976, -0.6730723977088928, -0.9960760474205017, 0.16283240914344788, 0.37091878056526184, -0.03676263988018036, -0.7491258382797241, -0.159590944647789, -0.4606722593307495, -0.022874847054481506, -0.2714492976665497, 0.32806989550590515, -0.6276338696479797, 1.6336913108825684, 0.2007913887500763, -1.6876331567764282, -0.2222357839345932, 1.0450925827026367, -0.5567018985748291, 0.1912340521812439, -0.4547777771949768, 2.063176393508911, -0.24133078753948212, -0.9099814891815186, -0.4576069116592407, 0.953027069568634, -0.553698718547821, 0.7157162427902222, 1.2564369440078735, -0.1326078623533249, 0.17128223180770874, 0.26831310987472534, 0.40333959460258484, -0.23266077041625977, -0.6787427663803101, -0.618725597858429, -0.3750547766685486, -0.023212827742099762, -0.9873372316360474, -0.5542662143707275, 0.4933087229728699, -0.9042888879776001, 0.14156031608581543, 0.25972020626068115, -0.05835995823144913, 0.1467711180448532, -0.046199213713407516, 0.21643586456775665, -0.0739210695028305, -0.3991875946521759, -0.3712161183357239, 0.18560348451137543, 0.19685962796211243, 0.08796115964651108, 0.45665842294692993, 0.6147587895393372, -0.9436284303665161, -0.719016969203949, -0.3808234632015228, 0.7354305386543274, -0.9786906838417053, 0.13764575123786926, 0.8536671996116638, -1.2877824306488037, -0.4598907232284546, 2.4054622650146484, -0.7493719458580017, 0.2577766478061676, 0.0014675138518214226, -0.5191132426261902, 0.826141357421875, 0.704350471496582, -1.1354682445526123, -0.19322456419467926, 0.5219385623931885, 0.8196110725402832, -0.19006972014904022, 0.8196911811828613, 0.28260910511016846, 0.08554298430681229, -0.162608340382576, 0.7633375525474548, 0.19549161195755005, 0.17319084703922272, 0.7736765146255493, -0.9275009036064148, 0.6104456186294556, 0.012088794261217117, -0.37850314378738403, -0.043154288083314896, -0.40757718682289124, -0.02568940632045269, 0.6929009556770325, 0.0939636304974556, 0.8529765605926514, 0.6641355752944946, -0.9423916339874268, -0.7309228181838989, -0.0657842606306076, -0.22940777242183685, 0.18638962507247925, -0.7982602119445801, 0.36197444796562195, 0.8550011515617371, -0.8877926468849182, 0.3201115131378174, -0.6308714747428894, 0.4355524778366089, -1.8212389945983887, 0.14807918667793274, 0.04168430715799332, 0.14856019616127014, 0.21635299921035767, 0.22509922087192535, 0.7296421527862549, 0.20457938313484192, -0.6179130673408508, -0.7695637941360474, 0.44806545972824097, 0.8373177647590637, -0.14865297079086304, -0.4429633617401123, 0.7392778396606445, -1.2980772256851196, -1.1030023097991943, 0.0259723961353302, -1.0163524150848389, -0.21475756168365479, 0.13146597146987915, 0.41035667061805725, 0.30283501744270325, 0.1858038455247879, 0.7152054309844971, -0.4171348810195923, 0.6973503828048706, -0.7365100383758545, -0.03952674940228462, 0.28792059421539307, -0.9459955096244812, 0.06584285944700241, 0.5094252228736877, 0.6940903663635254, 0.013035343028604984, 0.6724883913993835, -0.9010812044143677, -0.36327028274536133, -0.34197548031806946, 0.013096927665174007, 0.5537201762199402, -0.9672603607177734, -0.1639494001865387, -1.4827405214309692, 1.0017212629318237, 0.28398066759109497, -0.041030723601579666, 0.6414406895637512, 0.36291438341140747, -1.1652412414550781, 0.4170611798763275, -0.07484477758407593, 0.2977457642555237, -0.6830283999443054, -0.2842676043510437, -0.4235909879207611, -0.15858353674411774, 0.7120529413223267, -0.47648489475250244, -0.06855103373527527, -0.25710421800613403, 1.2223536968231201, -0.537226140499115, -0.655519425868988, -0.5631512999534607, -0.7203831076622009, 1.2396947145462036, -1.0694522857666016, 1.2271405458450317, 1.9629864692687988, 0.8568357825279236, -1.3465238809585571, -0.8690176606178284, -0.09563865512609482, 0.9231030344963074, 0.894915759563446, -0.25182145833969116, 0.19858960807323456, -1.7098641395568848, 0.7823837399482727, -0.4567284882068634, 0.3830019533634186, 0.19000527262687683, -0.8607416152954102, 0.4029393792152405, -0.12853728234767914, 0.4140937328338623, -0.18070609867572784, -0.6156997680664062, 0.9484603404998779, -0.05535496771335602, 0.5317251682281494, -0.4033409655094147, 0.5408105254173279, -0.3212808072566986, 0.2967940866947174, -0.8006054759025574, 1.557549238204956, -0.3163146376609802, -0.38701099157333374, 0.6718577742576599, -0.5594543218612671, -1.0110442638397217, 0.8960984349250793, 0.6999031901359558, 0.3872581720352173, -0.608366847038269, -0.41395509243011475, 1.2644492387771606, -0.8324910998344421, 0.7631962299346924, 0.5147530436515808, -0.5644518733024597, 0.6284278631210327, 0.8664609789848328, -0.17518825829029083, 1.1748672723770142, -0.028013987466692924, 0.3990938067436218, -1.3285175561904907, -0.867802083492279, 1.009283185005188, 0.3869047164916992, 0.2486136257648468, -0.6955869197845459, 0.13348419964313507, 0.7883387804031372, -0.6932908296585083, 0.8975342512130737, -0.09425540268421173, 1.0853441953659058, 0.24636369943618774, -0.02525278553366661, -0.08293800801038742, -0.604023814201355, -1.3168476819992065, 0.24156537652015686, -0.3316923975944519, 1.2367610931396484, -0.6230266690254211, -0.5891435146331787, -1.5698270797729492, 1.1535634994506836, 0.6197042465209961, 0.0745556503534317, 0.011658092960715294, 0.39212873578071594, -0.1872284859418869, -0.35792532563209534, -0.6125152111053467, 0.1645205169916153, 2.0245859622955322, -0.7556811571121216, 0.09051524102687836, 0.0887819230556488, 1.3782539367675781, 0.391689270734787, -0.516572117805481, -1.6049293279647827, -0.5131703615188599, 0.5746508836746216, 0.0729527473449707, 0.47930482029914856, -0.14812393486499786, -1.8361563682556152, -0.06208335980772972, 0.8360880017280579, -0.09963841736316681, -0.6531831622123718, -1.5158226490020752, 0.25360533595085144, 0.7680333852767944, 1.0015431642532349 ] ]
{ "indices": [ 3174900812, 1510964117, 836607167, 1904070401, 3677720983, 1975257448, 937671724, 5957873, 3796074982, 3688822001, 888000370, 2120095081, 1296157733, 691409538, 2035475614, 639386442, 2242149888, 1391639301, 1181726436, 722829366, 1063320047, 3172858508, 4191199403, 72180827, 1404934148, 1960040400, 1830628714, 1786548735, 3597663484, 4191350549 ], "values": [ 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.7723054894193192, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815 ] }
{ "context": "So the control unit exerts all of these control signals, and then the changes happen on the rising clock edge. So they'll be true in the next cycle. So that's why, for example, this IR somewhere, IR's here. So in the third fetch state, we're writing into IR, but we have to wait until the fourth fetch state to look at the bits in the opcode in the IR, right, the 15 to 12 bits for the opcode, because until the fourth cycle, they're not there." }
958430
[ [ -0.5100095272064209, -0.8606325387954712, -0.4795287847518921, -0.19929178059101105, 0.90989089012146, -0.020825611427426338, -0.25603392720222473, 0.3218611180782318, 1.2064288854599, -0.5126786828041077, 0.7117619514465332, 0.6698495149612427, 0.9534862041473389, 0.24719034135341644, 0.3103746771812439, -0.16192127764225006, 0.6889140009880066, -0.06755315512418747, -1.156102180480957, -0.15720628201961517, 0.480116069316864, -0.9616855978965759, -0.2539035379886627, -0.26597172021865845, -0.6759015321731567, -0.09476952254772186, 0.6819130778312683, -0.5907447338104248, 0.46470797061920166, 0.9027692079544067, -0.2801273763179779, -1.03330397605896, 0.4304729700088501, -0.16415894031524658, 0.6292436122894287, 0.13813412189483643, -0.09594525396823883, -0.7941250205039978, -0.6414520144462585, 0.9094698429107666, -0.9384973049163818, 0.3606569766998291, 0.237683966755867, 0.7411212921142578, -0.3205224573612213, 0.30083712935447693, -0.1252315193414688, 0.5432695150375366, 0.9425226449966431, -0.8387197256088257, 1.060245156288147, -0.810434877872467, 0.6650261878967285, 0.7269604802131653, -0.5014179348945618, 0.37670090794563293, 1.1428306102752686, 1.3598787784576416, 0.07968271523714066, -0.9978946447372437, -0.5450799465179443, -0.8669628500938416, 0.26700547337532043, -0.1621471345424652, -0.6331676244735718, -0.6759083867073059, 0.34788817167282104, -0.6924479007720947, 1.1129258871078491, -0.11982432007789612, -1.277708649635315, 0.12690429389476776, 0.147382453083992, 0.4643297493457794, 0.8857731223106384, -0.8487569093704224, 0.6267174482345581, 0.02545691654086113, 0.5588219165802002, 1.0871484279632568, -0.49122995138168335, -0.20799784362316132, -1.2777751684188843, 0.4882563650608063, 0.11593922972679138, -1.1561362743377686, 1.7212364673614502, -0.36547422409057617, 0.23449374735355377, 0.551683247089386, 0.1546592265367508, -0.471011221408844, 0.9154202938079834, -0.5873628854751587, -0.5531574487686157, 0.25706931948661804, 0.997285008430481, 0.6422711610794067, -0.3199981153011322, -0.16305556893348694, 0.7849918007850647, -1.0642807483673096, 0.5573295950889587, 0.9942917227745056, 0.16832327842712402, -0.23341518640518188, -0.23692390322685242, -0.4919440448284149, -0.6778936386108398, 0.7462427020072937, -0.9548885822296143, 0.8231734037399292, -0.8455632328987122, 0.7927003502845764, 0.3223796486854553, -0.7541878819465637, -0.8999046683311462, -0.021271614357829094, 0.9485986232757568, 1.0755349397659302, 0.6395280957221985, 0.2678893804550171, 0.46006426215171814, -0.2815285325050354, -1.582504391670227, 0.8843729496002197, 0.1037381961941719, -0.052297890186309814, -0.6679123640060425, 0.5907597541809082, -0.3999200165271759, -0.17523469030857086, 0.22837099432945251, -0.009060368873178959, -0.07329349219799042, 0.7356743812561035, -1.4964747428894043, -1.6251963376998901, 0.5903316140174866, 0.3575168251991272, -0.04386118799448013, -1.4478752613067627, -0.1774284541606903, 0.761793851852417, 0.728329598903656, 0.6826295256614685, 0.7091559767723083, 0.684748113155365, -0.41353100538253784, 0.41363945603370667, -0.6683515906333923, 0.2075461894273758, -1.280124545097351, -0.48872053623199463, -0.20661211013793945, -0.7909397482872009, -0.32719311118125916, 0.5008487701416016, -0.6900433897972107, -0.3659069240093231, 0.7337705492973328, -0.4729718267917633, -0.0017952137859538198, 0.09143491834402084, -0.5319653153419495, -1.11467444896698, 1.3326616287231445, -0.3868984878063202, 0.7076549530029297, 0.5976697206497192, -0.006484361365437508, 0.25762391090393066, -0.034669119864702225, 0.9647263884544373, 1.2871019840240479, -0.5734822154045105, 0.6979976892471313, -1.7875347137451172, -0.24323108792304993, -0.1527213305234909, -0.168122798204422, -0.5226066708564758, 0.22993646562099457, -0.015241561457514763, -0.32573598623275757, 0.5196278095245361, -0.6347625255584717, 0.5894075632095337, 1.4215052127838135, -2.022451639175415, -0.11256427317857742, -0.4221959710121155, 1.0208194255828857, -0.18953263759613037, -1.1285860538482666, -0.6847159266471863, 0.04328856244683266, 0.03514546528458595, 0.47723522782325745, -0.5717217326164246, -0.3593585193157196, 0.4920717775821686, 0.42996931076049805, -0.8106738328933716, 0.567638099193573, 0.44096869230270386, 0.3491016626358032, -0.5037959218025208, 0.6926941275596619, -0.8142692446708679, -0.3023702800273895, 0.9298521280288696, -0.0937998965382576, 0.39781495928764343, 0.10036078840494156, 0.051066089421510696, -0.9500450491905212, 1.6117360591888428, -0.19328494369983673, 0.39898881316185, -1.46317720413208, 0.9823797345161438, 2.0445477962493896, 0.684772253036499, 0.7327338457107544, 0.7736546993255615, -0.27267804741859436, -0.6801339983940125, -0.07953797280788422, -0.5169804096221924, -0.22093957662582397, -0.5213672518730164, 0.4595860540866852, 0.2531158924102783, -0.10401415824890137, -0.3396599292755127, 1.4736392498016357, 0.6507081985473633, 1.0357279777526855, 0.32082170248031616, -1.215986967086792, 0.3262893855571747, 0.7037432193756104, 0.9427281618118286, 0.12037955224514008, 1.0902299880981445, 0.5274856090545654, 1.2052943706512451, 0.19644102454185486, -0.10065145045518875, -0.41238099336624146, 0.6207864880561829, 0.3902756869792938, -0.49346408247947693, 0.09433902055025101, -0.906406044960022, 1.0772182941436768, -0.6172394156455994, -0.09370376169681549, 1.0891374349594116, -0.31416577100753784, 0.5765344500541687, 0.031605739146471024, -0.43509742617607117, 0.15869830548763275, 0.013058003969490528, -0.509152889251709, -0.5858438611030579, 1.1673434972763062, -0.5880615711212158, 1.2175368070602417, 0.6745147705078125, -0.47720545530319214, -1.4361093044281006, -0.12448708713054657, 0.17260746657848358, -0.34194785356521606, 1.6048845052719116, -1.2325223684310913, -0.8156156539916992, 0.0602300725877285, 0.7175658345222473, 0.6070420742034912, 0.23762090504169464, -1.7289503812789917, 0.6216365098953247, 0.0679781436920166, -1.5010751485824585, 0.7619011402130127, 0.7954830527305603, -0.3771229088306427, 0.2628956139087677, -0.14179107546806335, -0.42937952280044556, -0.5575250387191772, -0.4098905324935913, 0.2437930703163147, 0.40115582942962646, -0.08389902859926224, 1.209187626838684, 0.28230705857276917, -1.4190763235092163, -0.03601032495498657, -0.9387767910957336, -0.12695132195949554, 0.1739264279603958, -0.5959292650222778, 0.041607461869716644, -0.24340300261974335, 0.9338750243186951, -0.3438571095466614, -0.3032657504081726, -0.9813808798789978, -0.7689117193222046, -1.3878331184387207, 0.037509605288505554, 0.6111900210380554, 1.2220572233200073, -0.37149283289909363, -0.2630481719970703, 0.6064772009849548, 0.828348696231842, -1.0150783061981201, -0.7977620363235474, 0.20645524561405182, -0.6093930006027222, -1.0831366777420044, -0.405351459980011, 0.8889121413230896, 0.5892168283462524, 0.9970988631248474, 0.13984937965869904, 0.8461239337921143, 0.8132691979408264, -0.3581838011741638, -0.921413242816925, 0.19001999497413635, 0.8189637660980225, -0.7552676200866699, -0.4326160252094269, 1.012406349182129, -0.1843002587556839, 0.7025531530380249, -0.021683469414711, 0.8180326819419861, 0.4172895550727844, -0.7192291617393494, 0.2771112620830536, 0.19416126608848572, 0.9935967326164246, 0.10226207971572876, 0.13413876295089722, -0.15287961065769196, -1.1106730699539185, -0.3108026385307312, 0.9072352051734924, 1.2059156894683838, -0.6885331273078918, -0.7127957940101624, 0.9929714798927307, 0.947294294834137, -0.8144523501396179, 0.2185084968805313, 0.33150428533554077, 0.2941843867301941, 0.2512025535106659, -0.15367932617664337, -0.3621194362640381, 0.5609372854232788, -0.040252070873975754, 1.2572470903396606, 0.5996845960617065, -0.5043265223503113, -0.163241907954216, -1.129738211631775, 0.13666948676109314, 0.7715280652046204, 0.7774661779403687, 0.10741207003593445, 0.9165560007095337, 0.14886102080345154, 0.07697482407093048, -0.41471996903419495, 0.14593006670475006, -1.7731212377548218, 0.7104849219322205, 0.1925297975540161, 0.6696696281433105, 0.37826427817344666, 0.22269022464752197, 0.5697610378265381, -0.593565046787262, -0.8504461050033569, -0.15134526789188385, -0.737141489982605, -0.7497122287750244, 0.17053809762001038, 0.05801757797598839, -0.38891100883483887, -0.09055496007204056, 0.7110962271690369, 1.9263867139816284, 0.5687693953514099, -0.11009922623634338, 1.1956915855407715, 0.3051821291446686, -0.25499048829078674, -0.834475040435791, 0.4489758312702179, -0.13241270184516907, 1.3764314651489258, 0.45995426177978516, 0.5403377413749695, -0.9104623794555664, -0.28043606877326965, 0.3098072409629822, 0.14150899648666382, 0.1975846290588379, 0.6548683643341064, 0.5286926031112671, -0.7644725441932678, -0.8453034162521362, -0.10155253857374191, 0.8952935934066772, 0.22442026436328888, 1.560328722000122, 0.7820119261741638, 0.061545856297016144, 0.015474723652005196, 0.7744112610816956, 0.8715187907218933, 0.37040022015571594, -0.20686906576156616, -0.7501550316810608, -0.04320838302373886, 0.7038186192512512, -0.06717236340045929, 0.6583840847015381, 0.6250138282775879, 0.2710271179676056, -0.6337952017784119, -0.1413649022579193, -0.4319474697113037, -0.832267701625824, 0.862187922000885, -0.3693915605545044, 0.0012195046292617917, 0.2431357204914093, 0.7495667934417725, 0.9566704034805298, 0.5953391790390015, 0.02890584245324135, 0.054237380623817444, -0.9987766146659851, -3.0164132118225098, -0.22770728170871735, 0.08346922695636749, 0.9321852326393127, -0.7115246653556824, -0.38195765018463135, -0.48845985531806946, -1.3717082738876343, 0.48490944504737854, -0.631727933883667, 0.7158938646316528, -0.3036661744117737, 0.4761178195476532, -0.7566550970077515, -1.206709861755371, -1.2133963108062744, 1.3542150259017944, -0.3350684642791748, -0.102299764752388, -0.16604751348495483, 0.20055167376995087, 0.25087547302246094, 0.37270233035087585, -0.05426289513707161, 0.33343505859375, -0.8678441047668457, -0.16300030052661896, 0.07859896123409271, 0.7957626581192017, -1.2014243602752686, -0.7480053901672363, 0.1652519851922989, -0.20203858613967896, -0.13626159727573395, 0.5037632584571838, -0.1724918633699417, -0.5567845106124878, 0.2378695011138916, 0.08202587813138962, -0.04624398425221443, -0.9289569854736328, -1.3041102886199951, 0.9149684309959412, 0.23810963332653046, 0.5772504210472107, 0.8106951713562012, 0.8024294972419739, -1.1371420621871948, -0.1384088695049286, 0.05096644163131714, 0.6069146990776062, -0.821161687374115, 0.6494512557983398, 0.1700730174779892, -0.12492674589157104, -0.7415504455566406, 1.7849466800689697, -0.41536056995391846, -0.07299617677927017, -0.27617427706718445, -0.05619118735194206, -0.11879385262727737, 1.1996585130691528, -1.3308995962142944, -0.2927303612232208, -0.07295874506235123, -0.40717795491218567, -1.3252590894699097, 0.13693135976791382, 0.027350153774023056, -2.1816422939300537, 0.5259056687355042, 0.2491077035665512, -0.10825859755277634, 0.04528898373246193, -1.3003054857254028, 0.4537903964519501, -0.4079418480396271, -1.1883251667022705, 1.0167239904403687, -0.1740148812532425, 0.5135435461997986, 0.02467675879597664, -0.9398001432418823, -0.33825552463531494, 0.4160782992839813, -0.5158682465553284, 0.31286898255348206, 0.22642038762569427, 0.8054614067077637, -0.3172653615474701, -0.3557095229625702, -1.3158777952194214, -1.0487138032913208, -0.3486731946468353, 0.3151469826698303, 0.33654138445854187, -0.37534457445144653, 0.0669974684715271, 0.24378284811973572, -0.6404949426651001, -0.33527854084968567, 1.0686432123184204, -0.6801468729972839, 0.9263609647750854, 0.530271589756012, 0.27929869294166565, 1.0025560855865479, 0.3390011489391327, 0.69584059715271, 0.056758567690849304, 0.26825305819511414, 0.4044138193130493, -0.24985550343990326, -0.9986757040023804, -0.6127427816390991, -0.08849568665027618, -0.8507771492004395, -0.4870898127555847, 1.3613097667694092, 0.07041289657354355, 0.26177388429641724, 0.05423623323440552, -0.9287484288215637, -0.2590477764606476, 0.34426575899124146, -0.580288290977478, -0.2866416573524475, 0.8183587193489075, 0.04658236727118492, 0.5854470729827881, 0.5609482526779175, -0.3579247295856476, -0.4745619595050812, 0.8608603477478027, 0.19831889867782593, 1.0344280004501343, 1.7704375982284546, 0.27495723962783813, 0.3765447437763214, 0.2671931982040405, 0.1260920912027359, 1.1722261905670166, -0.9957912564277649, 0.040115419775247574, 0.45712849497795105, 0.26241981983184814, -0.25307172536849976, -0.40855082869529724, -1.1431063413619995, -0.05067720636725426, 1.500084638595581, -1.1436125040054321, 0.6043261885643005, -0.0033774347975850105, 0.3486863374710083, -0.14647170901298523, -0.027257781475782394, -0.7691649794578552, 0.879378080368042, -0.9813128113746643, 2.092496395111084, -0.4583587646484375, -0.6672651171684265, 0.831477165222168, 1.3462270498275757, 0.5032014846801758, 0.1976269632577896, 1.1540112495422363, -0.6975706219673157, 0.6602269411087036, -1.2466439008712769, -0.9145125150680542, 0.6586793661117554, -1.1993297338485718, 1.0278500318527222, -0.08417031913995743, -0.20292167365550995, 1.0828129053115845, 0.02499059960246086, -0.2205483317375183, -1.4445369243621826, -0.34027421474456787, -1.7170305252075195, 1.2748286724090576, 0.14010876417160034, -0.565721869468689, 0.23058193922042847, 0.00329131493344903, 0.8092769980430603, -0.14358024299144745, 0.7151427268981934, -0.5006853342056274, -2.171945571899414, 0.17177709937095642, -0.08189135789871216, -1.1631489992141724, 1.52144193649292, 0.6574578881263733, 0.29499074816703796, 0.5047242641448975, -0.5940630435943604, 0.8716819286346436, 0.24607527256011963, 0.47164663672447205, 0.5165453553199768, 1.8646188974380493, -0.47005993127822876, -0.0849132314324379, -0.5713027715682983, -0.32291457056999207, 4.677677631378174, 1.515474796295166, -0.23214176297187805, -1.7190752029418945, -0.2084134817123413, -0.9049422144889832, -0.15927046537399292, 0.022327054291963577, 0.23386339843273163, 1.1148709058761597, 0.30156925320625305, 0.6821959018707275, 0.570397675037384, -0.4519953727722168, 0.20584502816200256, -0.01001626718789339, -0.5801376104354858, -0.483267605304718, 0.8324657678604126, 0.210950568318367, -0.18172119557857513, -0.49455955624580383, -1.473615288734436, -1.4761815071105957, 0.9416318535804749, -0.5167819857597351, 0.4217607080936432, -0.5434011816978455, 0.9765437841415405, 0.39367011189460754, -0.7609112858772278, 0.49823689460754395, -0.14780938625335693, 0.3677915334701538, 0.26346123218536377, 0.668701708316803, -0.3137640357017517, 0.07333580404520035, -0.6272305846214294, 0.44016745686531067, 0.22577477991580963, -0.27782902121543884, -0.6987144351005554, -0.02677835151553154, -0.6790847182273865, -0.5163511633872986, -0.6448553800582886, -1.1262832880020142, -0.15686583518981934, 0.21913737058639526, 0.2393397092819214, 0.5786046385765076, -0.42086049914360046, -0.7589913606643677, -1.2829827070236206, 0.2731730043888092, 0.3127453327178955, -0.023815765976905823, -0.620366096496582, -0.9983154535293579, 0.4001368284225464, -0.612433135509491, 0.9329684376716614, 0.9815722703933716, 0.7712748646736145, 0.11218956112861633, -0.9673367738723755, 1.9451937675476074, 0.096166230738163, -0.45677173137664795, -0.7501398921012878, 0.384040892124176, -0.193672314286232, -0.3661769926548004, 0.5077497363090515, 0.8333683609962463, -1.1522239446640015, 0.21655118465423584, -0.8719674944877625, -0.9715721011161804, -0.6092692613601685, -0.39792025089263916, 0.33648166060447693, -0.2521597146987915, -0.5474376082420349, -0.38396310806274414, -0.047597162425518036, 0.22289901971817017, 0.721630871295929, -0.10179523378610611, -0.41474097967147827, 1.4286457300186157, 0.07440795004367828, -1.502780795097351, -0.09350027143955231, 0.4389498829841614, -0.8521074652671814, -0.4114936888217926, -1.0877702236175537, 1.67444908618927, -0.4167318344116211, -0.3390190005302429, 0.49091586470603943, 0.9086065292358398, -0.476543128490448, 0.1309235543012619, 0.7824091911315918, 0.08707761764526367, -0.06436336040496826, 0.13408257067203522, 0.6444969177246094, -0.513408362865448, -0.9117233753204346, -0.5994282364845276, -0.3972374498844147, -0.011838927865028381, -0.7504853010177612, -0.08001492917537689, 0.1997455656528473, -0.13232040405273438, 0.1966213583946228, 0.4666605591773987, -0.07457234710454941, -0.4995419383049011, -0.6912209987640381, 0.336988240480423, -0.18333196640014648, -0.4697350561618805, 0.13815779983997345, 0.303327739238739, -0.1382209211587906, 0.03222594037652016, -0.510478138923645, 0.7859150767326355, -1.0726470947265625, -0.9035857915878296, -0.08474643528461456, 0.8863064050674438, -0.4954095184803009, 0.24003984034061432, 0.9758948683738708, -1.1429777145385742, 0.1824730932712555, 1.4120911359786987, -0.5150027275085449, 0.25126031041145325, 0.5012608766555786, -0.22119174897670746, 1.1866130828857422, 0.3926199972629547, -0.858160674571991, -0.016992980614304543, 0.5153045654296875, 0.6558877229690552, -0.08231309801340103, 0.6406033039093018, 0.6691343784332275, -0.3192916512489319, -0.5017578601837158, 0.9471737146377563, 0.22844146192073822, 0.31255075335502625, 0.2548615336418152, -1.123830795288086, 0.3849247694015503, -0.13225121796131134, -0.40489405393600464, 0.18781213462352753, -0.04173295572400093, 0.6110858917236328, -0.5288171172142029, 0.5239550471305847, 0.9486110806465149, 0.4381125569343567, -1.5316619873046875, -0.4916852116584778, -0.21576662361621857, -0.6797977089881897, 0.07477313280105591, -0.8631922006607056, 0.8304417729377747, 0.5706266760826111, -1.1822551488876343, -0.3007405996322632, -0.826938807964325, 0.23354072868824005, -1.169238567352295, 0.4233003854751587, -0.31249773502349854, 0.41228947043418884, 0.21541044116020203, 0.39892610907554626, 0.765709638595581, 0.13734984397888184, -0.34255415201187134, -0.22909466922283173, 0.8454645872116089, 0.841070830821991, -0.15106849372386932, -1.0553946495056152, -0.05658923089504242, -0.780634880065918, -0.7286618947982788, 0.42903709411621094, -1.2670608758926392, -0.13368922472000122, -0.3857174217700958, 0.9406706094741821, 0.47623953223228455, 0.7347311973571777, 0.1948527991771698, -0.7024086713790894, 0.2975517809391022, -0.4295388460159302, -0.18333931267261505, 0.5288179516792297, -0.9775832295417786, -0.04955089092254639, -0.2770480513572693, -0.0877886489033699, 0.01073056273162365, 0.6787163019180298, -1.4746544361114502, 0.01115917507559061, 0.2683233916759491, -0.14634396135807037, 1.0926458835601807, -1.0260215997695923, -0.9157423377037048, -1.1699342727661133, 0.44457390904426575, 0.04401572421193123, 0.4554956555366516, 1.2007811069488525, 0.05200352519750595, -1.2238434553146362, -0.6591126322746277, 0.07734761387109756, 0.12847410142421722, -1.20450758934021, -0.2640860974788666, -0.006730262190103531, -0.18317534029483795, 0.8825452327728271, -0.08580806106328964, 0.1490442305803299, -0.8164873719215393, 0.8949729204177856, -0.7564079761505127, -0.8546125888824463, -0.7409545183181763, -0.6394875645637512, 0.8947393298149109, -1.0223110914230347, 1.3984346389770508, 1.987397313117981, 0.6332752704620361, -0.8174816966056824, -1.414726972579956, -0.5179281234741211, 0.835493803024292, 0.9702855348587036, -0.22994324564933777, 0.21409495174884796, -1.2828713655471802, 0.3655131757259369, -0.26887211203575134, 0.6342848539352417, 0.5232035517692566, 0.14514116942882538, -0.037721820175647736, 0.3057132959365845, 1.1187762022018433, 0.07420864701271057, -0.10960947722196579, 0.20116020739078522, -0.14372356235980988, 0.2877880036830902, -0.3980555534362793, 0.04320290684700012, 0.0245853029191494, 0.4778062105178833, -0.3822690546512604, 1.0683811902999878, 0.017097529023885727, -0.025059780105948448, 0.18441468477249146, -0.35159215331077576, -1.4614319801330566, 1.3000342845916748, -0.10673992335796356, 0.44852709770202637, -0.6004889011383057, -0.7438346743583679, 0.8870094418525696, -0.9152374863624573, 0.16318854689598083, 0.7684853076934814, -0.16808876395225525, 0.22370250523090363, 0.7268782258033752, 0.6491383910179138, 1.5329301357269287, 0.06440956145524979, 0.7028754353523254, -0.5242756009101868, -0.21470831334590912, 0.3273409307003021, 0.3513323664665222, 0.04186040535569191, -0.08882994204759598, -0.5978677272796631, 0.8554733991622925, -0.3175688087940216, 1.3163326978683472, -0.1309238076210022, 1.531848430633545, 0.6959919929504395, 0.057618774473667145, 0.048727814108133316, -0.7453126311302185, -1.1214840412139893, 0.05138138309121132, -0.18982453644275665, 1.4687693119049072, -0.7087922692298889, -0.027716726064682007, -0.9073511958122253, 0.25474438071250916, -0.5023771524429321, -0.22608324885368347, -0.27734658122062683, -0.031998682767152786, 0.10978130251169205, -0.7516617774963379, -0.770422101020813, 0.3368925154209137, 1.2469110488891602, -0.7786301970481873, 0.5002749562263489, 0.30306753516197205, 0.7268638610839844, -0.24607791006565094, -0.4084479808807373, -1.5279507637023926, -1.1272011995315552, 0.20913006365299225, -0.03234909474849701, 0.3463350534439087, -0.575505793094635, -1.682773232460022, -0.3879517912864685, 0.4199213981628418, -0.3105281889438629, -0.909233570098877, -0.8768485188484192, 0.35153448581695557, 0.8977075219154358, 1.1585859060287476 ] ]
{ "indices": [ 691409538, 2035475614, 639386442, 2242149888, 1391639301, 1181726436, 722829366, 1063320047, 3172858508, 4191199403, 72180827, 1404934148, 1960040400, 1830628714, 1786548735, 3597663484, 4191350549, 1296157733, 3474293978, 4022367199, 2165730276, 640124220 ], "values": [ 0.6460876948075268, 0.47720054860988836, 0.8455998145709483, 0.47720054860988836, 0.6460876948075268, 0.6460876948075268, 0.6460876948075268, 0.6460876948075268, 0.47720054860988836, 0.47720054860988836, 0.7325014542133561, 0.47720054860988836, 0.6460876948075268, 0.6460876948075268, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.7325014542133561, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836 ] }
{ "context": "So that's why, for example, this IR somewhere, IR's here. So in the third fetch state, we're writing into IR, but we have to wait until the fourth fetch state to look at the bits in the opcode in the IR, right, the 15 to 12 bits for the opcode, because until the fourth cycle, they're not there. And in the third cycle, we copy them from MDR into IR, but then only in the fourth cycle are they present in the IR to use them." }
836146
[ [ -0.36692777276039124, -0.6420196890830994, -0.4976016581058502, -0.3436032831668854, -0.012879123911261559, 0.34053465723991394, -0.22873246669769287, 0.5803120732307434, 1.1634249687194824, -0.9704546928405762, 0.5460953116416931, 0.6133216023445129, 0.8444911241531372, 0.3947298526763916, 0.5662289261817932, -0.13655774295330048, 0.7449905276298523, -0.16897954046726227, -0.8223158717155457, -0.47010156512260437, 1.1041055917739868, -0.6516849994659424, 0.12159517407417297, -0.3257293999195099, -0.31182345747947693, 0.4493010640144348, 0.2322888821363449, -0.512983500957489, 0.4104119837284088, 0.1586163491010666, -0.18369346857070923, -1.3405156135559082, 0.03042691759765148, -0.07848678529262543, 0.44335806369781494, -0.08008928596973419, -0.42448005080223083, -0.42574071884155273, -0.29276371002197266, 0.8255259394645691, -0.5150805115699768, 0.30539470911026, -0.3156629502773285, 1.1795567274093628, -0.36932772397994995, 0.3351711332798004, -0.11667906492948532, 0.06622400879859924, 1.062090516090393, -0.9099214673042297, 1.0756311416625977, -0.866119921207428, 0.7870187759399414, 0.059149641543626785, -0.17989595234394073, 0.17753981053829193, 1.1446582078933716, 1.867920160293579, 0.14127898216247559, -0.9399830102920532, -0.3317045569419861, -0.483191579580307, 0.44222491979599, 0.10558357834815979, -0.5470102429389954, -1.0241669416427612, 0.4834589958190918, -0.9262423515319824, 0.6950942873954773, -0.0013576843775808811, -1.1259008646011353, -0.19051381945610046, 0.06421363353729248, -0.01845533587038517, 0.9592185616493225, -0.9132678508758545, 0.4908895194530487, -0.4873282015323639, 0.43093833327293396, 0.7709802985191345, -1.19338858127594, -0.1290317326784134, -1.2406102418899536, 0.44629085063934326, -0.021796815097332, -0.7239213585853577, 1.828710913658142, -0.11723287403583527, -0.39233019948005676, 0.592725932598114, 0.44037294387817383, -0.292802095413208, 1.6555426120758057, -0.30710914731025696, -0.2862953841686249, 0.16318492591381073, 0.6436192393302917, 0.7281456589698792, -0.18183712661266327, -0.5807350873947144, 0.613090991973877, -1.0857470035552979, 0.14802156388759613, 0.9978684782981873, 0.15284818410873413, 0.32694530487060547, -0.3820630609989166, -0.37175923585891724, -0.9507383704185486, 0.7806853652000427, -1.6298913955688477, 1.077330470085144, -0.1529223471879959, 0.5536375641822815, 0.011274119839072227, -0.22084371745586395, -1.1350523233413696, -0.41949373483657837, 1.1277554035186768, 0.482082337141037, 1.053178071975708, 0.0166011955589056, 0.5661547780036926, -0.6636091470718384, -1.5645232200622559, 0.9901862144470215, 0.33952000737190247, -0.004705251194536686, -0.6324047446250916, 0.4668407738208771, 0.2829693853855133, -0.3219987452030182, -0.13408777117729187, -0.055143848061561584, 0.16296309232711792, 0.6298257112503052, -1.5138630867004395, -1.6153753995895386, 0.9528763294219971, 0.44477957487106323, 0.08645103126764297, -1.2159624099731445, 0.011935685761272907, 0.7113033533096313, 0.8577477335929871, 0.20802859961986542, 0.9647693037986755, 0.8452860713005066, -0.16242878139019012, 0.6564167737960815, -0.6286401748657227, -0.04662137106060982, -1.2595402002334595, -0.6208094954490662, 0.18781353533267975, -0.1570555418729782, -0.2795269191265106, 0.1804361492395401, -0.8049033284187317, -0.3576318919658661, 0.8754556775093079, -0.6091684699058533, 0.031490035355091095, 0.3484713137149811, -1.0640941858291626, -1.1399575471878052, 1.8308829069137573, 0.2863519489765167, 0.8274741172790527, 0.5111104846000671, 0.25936126708984375, 0.5592450499534607, 0.06031055003404617, 0.5074789524078369, 1.4617520570755005, -0.9005573391914368, 0.3393462598323822, -1.0158562660217285, -0.4849987328052521, -0.40575096011161804, -0.5365704894065857, -0.9950578808784485, 0.3781832158565521, 0.4704180955886841, -0.06696048378944397, -0.10452970862388611, -0.2769585847854614, 0.7458251714706421, 1.3053010702133179, -1.1439573764801025, -0.37060946226119995, -0.4294402301311493, 1.0036325454711914, 0.06262100487947464, -1.5349444150924683, -1.1106313467025757, -0.40274277329444885, 0.1784314215183258, -0.13707153499126434, -0.6365471482276917, -0.5007240772247314, 0.16419312357902527, 0.6489641666412354, -0.9042398929595947, -0.022038454189896584, 0.3132254481315613, 0.7733654379844666, -0.696995198726654, 0.9015434384346008, -0.778335690498352, -0.4947565197944641, 0.9166301488876343, -0.04450526088476181, -0.07297773659229279, -0.21826480329036713, 0.393984317779541, -0.6132057309150696, 1.1373754739761353, 0.4826606810092926, 0.4933364689350128, -1.492583990097046, 1.1686394214630127, 2.0109200477600098, 1.2416164875030518, 0.508917510509491, 0.6837063431739807, 0.11215048283338547, -0.6204739212989807, 0.20684932172298431, -0.46878695487976074, -0.5944118499755859, -0.8866409659385681, 0.07710082828998566, -0.039580781012773514, -0.5880212783813477, 0.24948523938655853, 1.6184505224227905, 0.5619683265686035, 0.650124192237854, -0.15382415056228638, -0.9274211525917053, 0.9519193768501282, 0.8183500170707703, 0.7231008410453796, 0.10235997289419174, 1.2023526430130005, 0.6167134046554565, 1.7185275554656982, -0.3283022940158844, -0.08671192824840546, -0.7768286466598511, 0.9576719999313354, 0.213257297873497, -0.48217037320137024, 0.02444577030837536, -1.3226476907730103, 0.7204270362854004, -0.5384435057640076, 0.27457180619239807, 0.5598300099372864, -0.5953778028488159, 0.2854005992412567, -0.03343178331851959, -0.21778741478919983, -0.12474101781845093, 0.4153871238231659, 0.10094618797302246, -1.1275264024734497, 1.287558913230896, -0.1695180982351303, 1.4586975574493408, 0.1165522113442421, -1.0019153356552124, -1.2278311252593994, 0.3261334300041199, 0.8835999369621277, -0.5480513572692871, 1.2528578042984009, -1.3431264162063599, -0.5156897306442261, -0.2263602465391159, 0.3167087137699127, 0.0761823058128357, -0.045660290867090225, -2.0630431175231934, 0.11324835568666458, 0.18968307971954346, -0.8640807867050171, -0.009232031181454659, 0.2806605100631714, -0.7874689698219299, -0.020173266530036926, -0.8289332389831543, -1.3464499711990356, -0.42584028840065, -0.09747624397277832, 0.09334944188594818, -0.22769100964069366, -0.21627727150917053, 1.531699299812317, 0.5146101117134094, -1.3491108417510986, -0.15612949430942535, -0.9604935050010681, 0.15871989727020264, 0.41010916233062744, -0.7170453071594238, -0.17814838886260986, -0.12525780498981476, 1.017898678779602, 0.06203816831111908, -0.40801239013671875, -0.4375985860824585, -0.5076596140861511, -1.1944564580917358, 0.2780558168888092, 0.7788482904434204, 0.9353206753730774, -0.6373964548110962, 0.01017389353364706, 0.27663081884384155, 0.5143306255340576, -0.8434361815452576, -0.6287671327590942, 0.21691946685314178, -0.6617581248283386, -0.9061456918716431, -0.658673107624054, 1.48770272731781, 0.15753145515918732, 0.6627146601676941, -0.3916572630405426, 1.234212875366211, 1.3836877346038818, -0.5507885217666626, -1.304611086845398, 0.15415191650390625, 0.8648001551628113, -0.6795274615287781, -0.17079797387123108, 0.8501425385475159, -0.04248194769024849, 0.7525513768196106, -0.3148657977581024, 0.7450738549232483, 0.5484582185745239, -0.566585123538971, 0.3454819917678833, -0.14024700224399567, 0.6141288876533508, -0.26681920886039734, -0.8236430883407593, 0.23531846702098846, -1.1250669956207275, -0.42142027616500854, 0.9720348715782166, 1.2722382545471191, -0.5020089745521545, -0.22157703340053558, 0.43485990166664124, 0.786745011806488, -0.32289692759513855, -0.28930172324180603, -0.44114190340042114, -0.2764113247394562, 0.2981507182121277, -0.43799978494644165, 0.18544603884220123, 0.49759554862976074, -0.2980509102344513, 0.5803872346878052, 0.9266262054443359, -1.0166212320327759, 0.08635921776294708, -1.7448632717132568, -0.3831579089164734, 0.7708136439323425, 0.8765020966529846, 0.16620896756649017, 0.7320525050163269, -0.27319076657295227, -0.32985439896583557, -0.4281676709651947, 0.12943661212921143, -2.044294595718384, -0.09324246644973755, 0.4923498332500458, -0.18029281497001648, 0.8170363903045654, -0.5023483037948608, 0.4646743834018707, -0.519235372543335, -0.6461925506591797, 0.33551207184791565, -0.2516224682331085, -0.6650064587593079, 0.5325546264648438, 0.2377622127532959, -0.7205073237419128, 0.5828659534454346, 0.6059630513191223, 1.8052273988723755, 0.6396359205245972, -0.24516722559928894, 0.9766638875007629, 0.2388526052236557, -0.412812739610672, -0.6175874471664429, 0.6961844563484192, -0.21323111653327942, 1.3376520872116089, 0.8298453688621521, 0.6450393795967102, -0.586394190788269, -0.44883349537849426, 0.29259613156318665, 0.614793598651886, 0.18510721623897552, -0.1020032986998558, 0.6202758550643921, -0.3362773358821869, -0.5502539277076721, 0.22685281932353973, 0.5330197811126709, -0.29316040873527527, 1.3213036060333252, 0.9483616948127747, 0.6377208232879639, -0.4640776813030243, 1.2351443767547607, 1.0507594347000122, 0.5525203347206116, -0.23869016766548157, -0.7487877011299133, -0.2300054281949997, 1.1701440811157227, -0.5715787410736084, 0.6508004665374756, 0.932732105255127, 0.16316261887550354, -0.33159661293029785, 0.029594415798783302, -0.07224991917610168, -0.883639931678772, 0.2101871371269226, -0.8141410946846008, -0.3366771638393402, 0.23113125562667847, 0.8036787509918213, 0.8766891360282898, 0.5320383310317993, -0.13832144439220428, 0.3134079575538635, -0.8768078088760376, -3.0809824466705322, 0.08728252351284027, 0.5146605372428894, 0.7446856498718262, -0.38146260380744934, -0.020053625106811523, -0.8727326393127441, -1.3642796277999878, 0.36373579502105713, -0.7143181562423706, 0.7880519032478333, -0.6601449847221375, 0.7645847797393799, -0.23257406055927277, -1.0323151350021362, -1.1341737508773804, 1.0857181549072266, 0.08267709612846375, -0.5234271287918091, -0.31190651655197144, -0.06923802196979523, 0.29742431640625, 0.1467326432466507, 0.026531033217906952, 0.34682920575141907, -0.7764879465103149, -0.24132078886032104, -0.21870075166225433, 1.1454423666000366, -1.1275922060012817, -0.4761500656604767, -0.11105327308177948, -0.17706416547298431, -0.04470836743712425, 0.9005600214004517, -0.5578485727310181, -0.5775256752967834, 0.16066795587539673, -0.40515145659446716, 0.15902017056941986, -1.2527663707733154, -1.1828464269638062, 1.3172818422317505, -0.10002748668193817, 0.41209685802459717, 0.8704830408096313, 0.22659742832183838, -0.5997074842453003, -0.40339022874832153, -0.9580956697463989, 0.1266934722661972, -1.3136882781982422, 0.5472098588943481, -0.4883459806442261, -0.038597434759140015, -0.4301973581314087, 1.101918339729309, 0.2116025686264038, -0.10280813276767731, -0.395548015832901, -0.1009407639503479, -0.019105423241853714, 0.37614887952804565, -1.2349333763122559, 0.4223768413066864, -0.0019890263210982084, 0.2754115164279938, -1.3038798570632935, -0.47350385785102844, 0.5188213586807251, -1.822273850440979, 0.3707839846611023, 0.510400116443634, 0.2665555477142334, 0.6394973993301392, -1.2947521209716797, 0.32920774817466736, -0.07446058839559555, -1.1364246606826782, 1.1986501216888428, 0.4298494756221771, 0.20577353239059448, 0.05834797024726868, -0.7563169598579407, 0.5671188831329346, 0.7621780633926392, -0.4437105655670166, -0.1881854236125946, 0.18795523047447205, 0.7604519128799438, -0.12544286251068115, -0.12785735726356506, -1.4493982791900635, -1.4603023529052734, -0.2645095884799957, 0.07551354169845581, 0.5987334847450256, -0.8104285001754761, 0.2234967052936554, 0.7593463659286499, -0.42849549651145935, 0.30163493752479553, 1.1555553674697876, -0.31441256403923035, 0.626066267490387, 0.4183015823364258, 0.6931495070457458, 0.6779637336730957, 0.4221159815788269, 0.3465158939361572, 0.3775613605976105, 0.2559921443462372, 0.7440358996391296, -0.43724653124809265, -0.8752534985542297, -0.37712812423706055, 0.6257594227790833, -1.0583056211471558, -0.8103268146514893, 1.1240283250808716, -0.04888269677758217, 0.21733883023262024, -0.09632724523544312, -0.9211201667785645, -0.36702826619148254, 0.08241965621709824, -0.3570522665977478, -0.5559424757957458, 0.5780612230300903, -0.26470109820365906, 0.31355568766593933, 0.23796364665031433, -0.3196053206920624, -0.9964687824249268, 0.7043997645378113, 0.3464750349521637, 0.8708862066268921, 1.7359027862548828, 0.11022447049617767, 0.7070539593696594, 0.5978354215621948, 0.3523868918418884, 1.0795294046401978, -0.9200701713562012, 0.0964243933558464, 0.8531617522239685, 0.25475838780403137, -0.34558379650115967, 0.07537958770990372, -0.9416526556015015, -0.3914310336112976, 1.414728045463562, -1.1594288349151611, 0.6213951110839844, 0.11646214872598648, 0.05863162875175476, -0.49010947346687317, -0.47747787833213806, -1.089152455329895, 0.17645859718322754, -1.3957831859588623, 2.3506734371185303, -0.8724055886268616, -1.6107689142227173, 0.7168725728988647, 1.6081945896148682, 0.5846852660179138, 0.01992596872150898, 0.751321017742157, -0.8126075863838196, 0.5759853720664978, -0.35108503699302673, -0.752417802810669, 1.013724446296692, -0.9049328565597534, 0.7521740794181824, 0.15164881944656372, -0.5954957008361816, 1.198779582977295, 0.09252700954675674, 0.20585542917251587, -1.217252492904663, -0.46162551641464233, -1.747908115386963, 0.898487389087677, 0.3584125339984894, -1.1818790435791016, 0.465194970369339, 0.230386883020401, 1.0363874435424805, -0.5402998924255371, 0.3164084255695343, -0.6519010663032532, -2.2202036380767822, -0.2284945398569107, 0.3063182532787323, -1.3472814559936523, 1.821242094039917, 0.6865394115447998, -0.24276494979858398, 0.614685595035553, -1.104075312614441, 1.2847846746444702, -0.01387380063533783, 0.7592308521270752, 0.9258552193641663, 1.7766913175582886, 0.21789312362670898, 0.2930460274219513, -0.3485981822013855, -0.5790525078773499, 4.3821635246276855, 1.4900965690612793, 0.16016755998134613, -1.714820384979248, -0.16740776598453522, -0.8749061226844788, 0.2621411681175232, -0.3778045177459717, 0.19316907227039337, 0.9316471815109253, 0.35639479756355286, 1.1045855283737183, 0.22616788744926453, -0.5250852704048157, 0.0006085395580157638, 0.3874950110912323, -0.37635985016822815, -0.3920036852359772, 1.16488516330719, 0.3465331792831421, 0.13926932215690613, -0.24380074441432953, -1.1922633647918701, -1.5847886800765991, 0.7760741710662842, -0.9318673610687256, 0.3585585951805115, -0.45662441849708557, 1.3680565357208252, 0.3764360845088959, -0.5068052411079407, 0.14960584044456482, 0.3507317304611206, 0.07190046459436417, 0.5902103781700134, 0.15272805094718933, -0.8567667007446289, 0.28854769468307495, -0.6069111227989197, -0.05260619521141052, 0.12195343524217606, 0.06604234129190445, -0.7388660907745361, -0.11844494193792343, -0.3130403459072113, -0.1554056704044342, -0.2821330428123474, -1.0583722591400146, 0.10068715363740921, 0.09918016940355301, -0.1524449735879898, 0.5073443055152893, -0.4732433557510376, -1.1182324886322021, -1.351118564605713, 0.1606467366218567, 0.1323854923248291, -0.15998214483261108, -0.9054734110832214, -0.5516228675842285, -0.12036000192165375, -0.3001476526260376, 1.1677864789962769, 0.6026554107666016, 0.8931002020835876, 0.45923683047294617, -0.8071582317352295, 1.783024787902832, 0.04838152974843979, -0.7232038974761963, -0.841178297996521, 0.44501522183418274, -0.09749501943588257, 0.1681407392024994, 0.3825570046901703, 0.7769637107849121, -0.87153160572052, 0.5379629731178284, -0.7884158492088318, -0.6803810596466064, -1.232744812965393, 0.3910810649394989, 0.17065364122390747, -0.3508177697658539, -0.4508044421672821, -0.2083262801170349, -0.3765536844730377, 0.030537644401192665, 0.35381749272346497, -0.01721290498971939, -0.6376069784164429, 1.8179891109466553, 0.2767718732357025, -1.1910632848739624, -0.3953752815723419, 1.0781008005142212, -0.8078157305717468, -0.02486744150519371, -1.0294512510299683, 1.8568421602249146, -0.3831952214241028, -0.09400119632482529, -0.23564739525318146, 1.2563642263412476, -0.8374713659286499, 0.25967279076576233, 1.0654772520065308, -0.04291662201285362, -0.24812625348567963, 0.5040497779846191, 0.8222997188568115, -0.5126010179519653, -0.7373375296592712, -0.6136792898178101, -0.4020400047302246, 0.35380637645721436, -1.1689212322235107, -0.5075357556343079, 0.532330334186554, -0.8918408155441284, 0.5049198865890503, 0.35782989859580994, 0.2451334297657013, -0.13038063049316406, -0.11269170790910721, 0.19246940314769745, -0.27348247170448303, -0.16056257486343384, -0.12096834927797318, -0.020865797996520996, 0.18081198632717133, 0.10466481000185013, 0.06245730444788933, 0.012580659240484238, -0.9616803526878357, -0.40029963850975037, -0.2892216742038727, 0.8100029826164246, -0.3953053951263428, 0.021406369283795357, 0.7224015593528748, -1.0257039070129395, -0.5809884667396545, 1.7907073497772217, -0.6120667457580566, 0.0073021575808525085, -0.07580079138278961, -0.1060817688703537, 1.1633895635604858, 0.7143835425376892, -0.7598966360092163, -0.2941302955150604, 0.658053457736969, 0.7427994012832642, 0.22256974875926971, 0.9782184362411499, 0.4495804011821747, -0.32598310708999634, -0.3474108874797821, 0.5570463538169861, 0.10768426954746246, 0.15289872884750366, 0.5933745503425598, -0.9251739382743835, 0.2812168300151825, 0.17021101713180542, -0.7520787715911865, 0.15100163221359253, -0.2822993993759155, 0.29354366660118103, 0.4725560247898102, 0.13414989411830902, 1.4334739446640015, 0.728024959564209, -0.9375876784324646, -0.42907387018203735, -0.21911105513572693, -0.4452855885028839, 0.3818340003490448, -0.6803677678108215, 0.36236152052879333, 1.194331169128418, -1.5109360218048096, 0.03979280963540077, -0.5753437280654907, -0.07723746448755264, -1.4540185928344727, 0.5808991193771362, 0.14918407797813416, 0.07639121264219284, 0.16135382652282715, 0.3937593102455139, 0.6342535018920898, 0.10235898941755295, -0.37544772028923035, -0.3454291820526123, 0.3857099413871765, 0.7005913257598877, -0.38336634635925293, -0.7737692594528198, 0.42339572310447693, -0.942188024520874, -0.8125272393226624, 0.16687938570976257, -1.3087899684906006, -0.11835964769124985, -0.025801192969083786, 0.8140369057655334, 0.5554319024085999, 0.5138033628463745, 0.46553337574005127, -0.4847743809223175, 0.32053130865097046, -0.6952235102653503, -0.018580419942736626, 0.47607389092445374, -0.9367920160293579, -0.04322407767176628, 0.33621546626091003, 0.4691477417945862, -0.2307649850845337, 0.7664802074432373, -1.0412195920944214, 0.15527808666229248, -0.28955575823783875, 0.10443378239870071, 0.659430980682373, -1.013008952140808, -0.31900137662887573, -1.395002007484436, 0.9991650581359863, 0.2263534963130951, 0.17299208045005798, 0.9593793749809265, 0.23756486177444458, -0.9365207552909851, -0.3224331736564636, -0.3707110285758972, 0.27938172221183777, -0.6082899570465088, -0.10508017241954803, -0.2059449851512909, -0.294401079416275, 0.9851561784744263, 0.032513327896595, -0.06815087795257568, -0.23829516768455505, 1.446918249130249, -0.47245022654533386, -0.738199770450592, -0.5622545480728149, -0.3487670123577118, 1.3874086141586304, -0.6440262794494629, 1.0280323028564453, 2.129631519317627, 0.8036112785339355, -1.269149899482727, -1.2264560461044312, 0.14721544086933136, 0.9722299575805664, 0.6034150719642639, 0.12255986034870148, 0.5433111786842346, -1.3404353857040405, 0.40663087368011475, -0.17913013696670532, 0.5817528963088989, 0.1496768742799759, -0.5124680399894714, 0.1364525705575943, 0.10047823935747147, 0.9860565066337585, -0.10753903537988663, -0.4045132100582123, 0.5913159847259521, 0.33676546812057495, 0.48231232166290283, -0.5283849835395813, 0.3412213921546936, -0.29381364583969116, 0.4510612189769745, -0.6604894995689392, 1.3653316497802734, -0.07700527459383011, -0.34283897280693054, 0.6307269334793091, -0.17145712673664093, -1.3295471668243408, 1.1058921813964844, 0.4104856848716736, 0.5602602958679199, -0.5811964869499207, -0.6321754455566406, 1.281943917274475, -1.136985182762146, 0.18068131804466248, 0.2612062692642212, -0.5671413540840149, 0.22565558552742004, 0.737551748752594, 0.03725840896368027, 0.7931103706359863, 0.30408141016960144, 0.28889501094818115, -0.8062137961387634, -0.8157068490982056, 0.4692467153072357, 0.6522711515426636, 0.525635302066803, -0.5909642577171326, -0.15243937075138092, 0.41257065534591675, -0.5594542622566223, 1.0885252952575684, -0.20295973122119904, 0.817433774471283, 0.6755073070526123, -0.18210411071777344, -0.025685029104351997, -0.6383061408996582, -1.0353128910064697, 0.02778608165681362, -0.34688204526901245, 1.6536222696304321, -0.4106198251247406, -0.23198577761650085, -0.9168598055839539, 0.8699951171875, 0.09110840409994125, 0.08312202990055084, -0.3018215000629425, 0.4216556251049042, 0.24281299114227295, -0.6775965094566345, -0.9301654100418091, 0.23851294815540314, 1.6613495349884033, -0.6569406986236572, 0.09945216774940491, 0.16606350243091583, 1.0948909521102905, -0.09740252792835236, -0.3659467399120331, -1.6641805171966553, -1.0658738613128662, 0.6552722454071045, 0.07559104263782501, 0.16410571336746216, -0.43139857053756714, -1.6851187944412231, -0.49706560373306274, 0.996646523475647, 0.2185845822095871, -0.6441140174865723, -1.2165894508361816, 0.2971660792827606, 0.9613927006721497, 1.0993610620498657 ] ]
{ "indices": [ 1391639301, 1181726436, 722829366, 1063320047, 3172858508, 639386442, 4191199403, 72180827, 1404934148, 1960040400, 1830628714, 1786548735, 3597663484, 4191350549, 1296157733, 3474293978, 4022367199, 2165730276, 640124220, 4075116728, 691409538, 4186256544, 3471378517 ], "values": [ 0.6504870267441352, 0.6504870267441352, 0.6504870267441352, 0.6504870267441352, 0.4820161344390456, 0.7882364613641718, 0.4820161344390456, 0.7362650941286006, 0.4820161344390456, 0.6504870267441352, 0.6504870267441352, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.7362650941286006, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456 ] }
{ "context": "So in the third fetch state, we're writing into IR, but we have to wait until the fourth fetch state to look at the bits in the opcode in the IR, right, the 15 to 12 bits for the opcode, because until the fourth cycle, they're not there. And in the third cycle, we copy them from MDR into IR, but then only in the fourth cycle are they present in the IR to use them. All right, so let's go back." }
333065
[ [ -0.08972043544054031, -0.4935681223869324, -0.17739632725715637, -0.8376591205596924, 0.09421607851982117, 0.053031425923109055, -0.2630845904350281, 0.5023596882820129, 0.7572889924049377, -0.8497039675712585, 0.9590122699737549, 0.6728172898292542, 0.79167240858078, 0.26888376474380493, 0.5484146475791931, 0.4555855393409729, 0.7850555181503296, -0.1397412270307541, -0.812938928604126, -0.053260475397109985, 0.5589222311973572, -0.6558603048324585, 0.021558580920100212, -0.020173346623778343, -0.6629962921142578, 0.428693562746048, 0.4094915986061096, -0.4575899839401245, 0.4870007336139679, 1.0456559658050537, -0.1800840198993683, -1.1033563613891602, 0.9829371571540833, -0.20117069780826569, 0.8006387948989868, -0.22931727766990662, 0.037597786635160446, -0.7216985821723938, 0.054512642323970795, 0.9759992361068726, -0.4123576581478119, 0.34313079714775085, 0.0878082811832428, 1.4816075563430786, -0.7821284532546997, 0.5867129564285278, 0.17252428829669952, 0.5758429765701294, 0.7091705203056335, -0.7015811204910278, 0.9859645962715149, -1.1865832805633545, 0.7705492377281189, 0.039039433002471924, -0.0278775691986084, 0.34673628211021423, 0.41100189089775085, 1.1834408044815063, 0.20913662016391754, -0.42914456129074097, -0.4757564067840576, 0.025627534836530685, -0.14535272121429443, -0.02531665377318859, -0.27923765778541565, -0.9898110628128052, 0.464752197265625, -0.5381380319595337, 0.8905134201049805, 0.3535256087779999, -0.8665449619293213, -0.015646038576960564, 0.35217538475990295, -0.06114736571907997, 0.8148536086082458, -1.1670756340026855, 0.3291366398334503, -0.3629605770111084, 0.12743878364562988, 0.792758584022522, -0.6937777400016785, -0.14608550071716309, -1.7590428590774536, -0.1293967217206955, 0.048427119851112366, -0.7856530547142029, 1.5268983840942383, -0.14194777607917786, -0.10289479047060013, 0.5804446339607239, 0.38004758954048157, -0.35297873616218567, 0.8044092059135437, -0.10787239670753479, -0.4481135606765747, -0.061832770705223083, 0.5712783336639404, 0.5321953296661377, -0.4803135395050049, -0.9058677554130554, 0.8043177127838135, -0.7602136135101318, -0.14982405304908752, 0.6862633228302002, 0.3843873143196106, -0.08250600099563599, -0.24048881232738495, -0.49614888429641724, -1.0932844877243042, 0.4701993465423584, -1.187274694442749, 0.743358314037323, -0.32762211561203003, 0.36785194277763367, 0.40592342615127563, -0.1770111322402954, -0.9372775554656982, -0.2942812740802765, 0.5912110209465027, 0.8787478804588318, 0.7389799356460571, 0.5332559943199158, 0.5169199109077454, -0.541144609451294, -1.69886314868927, 0.7802129983901978, 0.2649345099925995, 0.11862174421548843, -0.6463254690170288, 0.8056877851486206, -0.32679474353790283, 0.050648681819438934, -0.05376434326171875, 0.2217773050069809, 0.3940991759300232, 0.9793593883514404, -1.3228591680526733, -1.8475453853607178, 0.6484071016311646, 0.561211884021759, -0.4661461114883423, -0.9512462615966797, -0.24396105110645294, 0.928881824016571, 0.7999783158302307, 0.2160448580980301, 0.6629433631896973, 0.7809828519821167, -0.14112798869609833, 0.3010249137878418, -0.27867335081100464, 0.003289459040388465, -1.030720591545105, -0.3043590784072876, -0.3262560963630676, -0.631924569606781, 0.04876086488366127, 0.3066292107105255, -0.6818326711654663, -0.69364333152771, 0.750702977180481, -0.6479972004890442, -0.03627190366387367, -0.4892834424972534, -1.0282623767852783, -0.9633930921554565, 1.7756978273391724, -0.5380430221557617, 0.9392029047012329, 0.4857722818851471, -0.025012604892253876, 0.5627011656761169, -0.19211505353450775, 0.458130806684494, 1.7925176620483398, -0.43936824798583984, 0.5830413103103638, -0.7137544751167297, -0.5888635516166687, -0.5423754453659058, -0.37128961086273193, -0.9830432534217834, 0.2878781855106354, 0.20062533020973206, -0.48958131670951843, 0.40086570382118225, -0.3319413661956787, 0.12698481976985931, 0.8727272748947144, -1.234310507774353, -0.8625431060791016, -0.24045999348163605, 1.0256520509719849, 0.24511335790157318, -0.8675234913825989, -0.789802610874176, -0.33373892307281494, 0.017817964777350426, -0.1536911278963089, -0.5647810101509094, -0.3473639190196991, 0.3669573664665222, 0.541589617729187, -0.2466050535440445, 0.16458435356616974, 0.400417685508728, 0.782905638217926, -0.4162764847278595, 0.46862566471099854, -0.9927834272384644, -0.15133273601531982, 0.5942305326461792, -0.015247688628733158, 0.0686180591583252, -0.01623879186809063, 0.1793370544910431, -0.5416038632392883, 0.9488551020622253, 0.10878495126962662, 0.058478374034166336, -1.3374066352844238, 0.8631570339202881, 1.956078290939331, 0.4376116991043091, 1.2208658456802368, 1.0229980945587158, -0.1677645593881607, -0.5495657324790955, 0.12415580451488495, -0.6927158832550049, -0.6907250881195068, -0.2884150743484497, 0.23941479623317719, -0.5779614448547363, -0.6394936442375183, -0.308878630399704, 1.6270489692687988, 0.45270442962646484, 0.5023449659347534, 0.2251565158367157, -1.0162078142166138, 0.6451571583747864, 0.39972350001335144, 0.7549471259117126, -0.09625208377838135, 1.2071424722671509, 0.6637261509895325, 1.148844599723816, -0.23737654089927673, -0.019865505397319794, -0.3994395434856415, 1.0735431909561157, 0.7630343437194824, -0.5017421841621399, 0.181126207113266, -1.201978325843811, 0.7327872514724731, -1.0315134525299072, 0.19894449412822723, 0.9464030265808105, -0.3063080310821533, 0.27217918634414673, -0.2616356313228607, -0.06076367571949959, 0.14242832362651825, 0.5153043866157532, -0.7210566997528076, -0.8815091848373413, 1.0408324003219604, 0.42322537302970886, 1.5370070934295654, 0.23945185542106628, -0.9066195487976074, -0.6215627789497375, 0.26925477385520935, 0.9404434561729431, -0.10893827676773071, 1.1575927734375, -1.4061468839645386, -0.1102672889828682, 0.05697595700621605, 0.3391697108745575, 0.12132856994867325, 0.28654301166534424, -1.4868870973587036, 0.2569684088230133, 0.1647636890411377, -1.1976574659347534, 0.26520782709121704, 0.6280144453048706, -0.5284674167633057, 0.234050452709198, -0.1831953525543213, -1.4478996992111206, -0.4375994801521301, -0.018119338899850845, 0.06974393874406815, -0.47206100821495056, 0.1266874223947525, 1.1566466093063354, 0.4761608839035034, -1.3067827224731445, -0.16945292055606842, -0.9076831936836243, 0.7444074749946594, 0.19251039624214172, -1.1195926666259766, -0.35856038331985474, -0.3590806722640991, 0.4024454653263092, -0.09030889719724655, -0.02063649147748947, -0.6003530621528625, -0.6127221584320068, -0.9890809059143066, -0.36592715978622437, 0.48410189151763916, 0.9707273840904236, -0.32149413228034973, -0.4219491481781006, 0.8606892824172974, 0.34607836604118347, -1.236369252204895, -0.632655680179596, 0.3614331781864166, -0.7832056879997253, -0.9964963793754578, -0.24729354679584503, 0.9256739616394043, 0.7653414607048035, 0.5331241488456726, 0.19345957040786743, 0.8076573610305786, 0.7767407298088074, -0.6056486964225769, -1.4855223894119263, 0.24805888533592224, 0.3012458086013794, -0.5802605152130127, -0.02415596880018711, 0.5394882559776306, -0.10618270188570023, 0.548504650592804, 0.06399417668581009, 0.21643948554992676, 0.3642179071903229, -0.8201170563697815, 0.41849735379219055, 0.19933554530143738, 0.5565799474716187, 0.5809525847434998, -0.6718662977218628, 0.11765634268522263, -1.0115854740142822, -0.42193669080734253, 0.5035526156425476, 0.6841557025909424, -0.3161753714084625, 0.0792929008603096, 0.6374025940895081, 0.5073104500770569, -0.6479410529136658, -0.06750065088272095, 0.04117599502205849, 0.5615292191505432, -0.12147098034620285, -0.07711146026849747, 0.2928902804851532, 0.20942804217338562, -0.15405558049678802, 0.5231066942214966, 0.2069394737482071, -0.4090500473976135, 0.2580689787864685, -1.5452525615692139, 0.15334199368953705, 0.9440281987190247, 0.7479496598243713, -0.12636250257492065, 1.0927815437316895, 0.06246088445186615, -0.1512073576450348, -0.08890476822853088, 0.027919620275497437, -1.9190527200698853, 0.10184672474861145, -0.028540635481476784, 0.4922877550125122, 0.4182182252407074, 0.13299988210201263, 0.5495341420173645, -0.5152503252029419, -0.8495717644691467, 0.12613043189048767, -0.26256895065307617, -0.543852686882019, -0.003788622794672847, -0.19734878838062286, -0.38834279775619507, 0.19581758975982666, 0.4289683997631073, 1.7193716764450073, 0.6613892316818237, -0.3032089173793793, 0.8843651413917542, 0.39420217275619507, 0.04339442774653435, -0.7029784917831421, 0.5918188095092773, -0.010002823546528816, 1.3196277618408203, 0.9190175533294678, 0.4585936963558197, -0.43205559253692627, 0.02356863208115101, 0.046637218445539474, 0.4458259046077728, 0.5510276556015015, -0.07410309463739395, 0.6652084589004517, -0.14687301218509674, -0.6372462511062622, -0.1312709003686905, 0.11793512105941772, -0.10454011708498001, 1.1473186016082764, 0.39492952823638916, 0.27381834387779236, -0.11308524012565613, 1.3110958337783813, 1.20828378200531, -0.133962944149971, -0.2196495682001114, -0.32054221630096436, -0.2923256754875183, 0.6847369074821472, -0.11593227833509445, 0.49089938402175903, 0.6827976107597351, 0.6796631813049316, -0.6428622007369995, 0.3400697708129883, -0.10999690741300583, -0.8303638696670532, 0.3091238737106323, -0.5091955065727234, 0.13337376713752747, 0.13128279149532318, 0.760043203830719, 0.8101282715797424, 0.5086037516593933, 0.23740753531455994, 0.39270690083503723, -0.9954307675361633, -2.9045310020446777, -0.16424182057380676, 0.2140037566423416, 0.7413192391395569, -0.6291254758834839, 0.1773400604724884, -0.4846302568912506, -0.8693196177482605, 0.8754168152809143, -0.7531658411026001, 0.5867652893066406, -0.5374117493629456, 0.3533090054988861, -0.6136869788169861, -1.3934106826782227, -0.7771975994110107, 1.1241164207458496, -0.7732799649238586, -0.24387459456920624, -0.21340440213680267, -0.40257877111434937, 0.6335245966911316, 0.2731921076774597, 0.1798589825630188, 0.5631637573242188, -0.3144283592700958, -0.4397934377193451, -0.09794285148382187, 0.9366157054901123, -1.2686198949813843, -0.7272335290908813, 0.4900361895561218, -0.48120394349098206, -0.11376353353261948, 1.1049060821533203, 0.11372122168540955, -0.7758899927139282, 0.22270303964614868, -0.19530542194843292, -0.38948532938957214, -0.7362951636314392, -1.009408712387085, 1.1625514030456543, 0.10722735524177551, 0.36157020926475525, 0.543769121170044, 0.049182336777448654, -0.4879485070705414, -0.40675103664398193, -0.2643049359321594, -0.0010229571489617229, -0.5040645599365234, 0.5962831377983093, -0.24072444438934326, -0.24157853424549103, -0.21745258569717407, 1.332865834236145, -0.058751773089170456, -0.08413460105657578, 0.05688970535993576, -0.04316201061010361, 0.18678440153598785, 0.7716514468193054, -1.3223178386688232, 0.46337273716926575, -0.15162186324596405, 0.540973424911499, -0.9198316931724548, 0.07066751271486282, 0.11399849504232407, -1.6496983766555786, 0.355175256729126, 0.11632515490055084, -0.16676470637321472, 0.21542580425739288, -0.9059088826179504, 0.6787004470825195, 0.06425245851278305, -0.9623739123344421, 1.061001181602478, 0.4483998715877533, -0.15331736207008362, 0.525145411491394, -0.8814147114753723, 0.18831095099449158, 0.31437382102012634, -0.3117334246635437, -0.43211784958839417, 0.1795971691608429, 0.6069560050964355, -0.40178558230400085, -0.15965449810028076, -1.5920552015304565, -1.4168905019760132, -0.27225756645202637, 0.053446996957063675, 0.6509478092193604, -0.4097229838371277, -0.1564357578754425, 0.7680059671401978, -0.5074426531791687, -0.13889262080192566, 1.029588222503662, 0.10538771003484726, 0.25864070653915405, 0.710265576839447, 0.07403460144996643, 0.49177512526512146, 0.17262029647827148, 0.0621332973241806, 1.0320581197738647, 0.02496022917330265, 0.0972609668970108, -1.3220716714859009, -0.7168881893157959, -0.18971243500709534, -0.04071274399757385, -1.203565239906311, -0.5376724004745483, 1.2735404968261719, 0.12228479981422424, 0.44945773482322693, -0.1817125827074051, -1.1339572668075562, -0.15550296008586884, 0.08389295637607574, -0.1016639843583107, -0.7308779954910278, 0.636582612991333, -0.30105382204055786, 0.09517226368188858, 0.863731861114502, 0.07182824611663818, -0.8423798680305481, 0.8513663411140442, 0.43337705731391907, 1.2257616519927979, 1.6920621395111084, 0.22515557706356049, 0.4386267066001892, 0.5428707599639893, 0.10385140776634216, 0.8100835680961609, -0.8525956273078918, -0.2198687046766281, 0.6550170183181763, 0.2730509638786316, -0.42954739928245544, -0.39267441630363464, -1.1437053680419922, -0.11135786771774292, 0.5373698472976685, -0.3787832260131836, 0.6272803544998169, -0.2351645827293396, 0.4244166314601898, 0.09509570896625519, -0.5242310166358948, -0.8374552130699158, 0.6926923990249634, -0.8674349784851074, 2.133113145828247, -0.7020920515060425, -1.1840472221374512, 1.0454151630401611, 1.6029930114746094, 0.4493665397167206, 0.14469902217388153, 1.1177994012832642, -0.4579620361328125, 0.10259831696748734, -0.39310571551322937, -0.8759247660636902, 0.9681784510612488, -0.876116156578064, 0.40198516845703125, -0.11655793339014053, -0.24255768954753876, 1.1372910737991333, 0.022270793095231056, 0.09331267327070236, -1.1554330587387085, -0.3264996409416199, -1.8590002059936523, 0.9516675472259521, 0.21217982470989227, -0.44063594937324524, 0.18256469070911407, 0.2674705982208252, 0.6580550074577332, -0.23015625774860382, 0.9373958110809326, -0.44529223442077637, -1.6532690525054932, 0.06876485794782639, 0.35708028078079224, -1.6629360914230347, 1.329805850982666, 0.5298120379447937, 0.1734326332807541, 0.7776892185211182, -0.42674097418785095, 0.7036117315292358, 0.1936044692993164, 0.45828986167907715, 0.9046619534492493, 1.775259017944336, 0.1221284344792366, -0.16493013501167297, -0.5898872017860413, -0.5653439164161682, 5.3570237159729, 1.166350245475769, -0.1366148144006729, -1.7130650281906128, -0.25395771861076355, -0.9030532836914062, 0.2861744463443756, 0.06675583124160767, 0.4959341585636139, 0.5807434320449829, 0.06319955736398697, 0.9495258927345276, 0.5369664430618286, -0.5450454354286194, -0.05772119015455246, 0.4664665460586548, -0.7605741620063782, -0.15497426688671112, 1.1131010055541992, 0.28581562638282776, 0.1455584466457367, -0.2380346953868866, -0.9334966540336609, -1.409493088722229, 0.9676971435546875, -0.5818991661071777, 0.42884787917137146, -1.342567801475525, 1.6124851703643799, 0.30451005697250366, -0.687926709651947, 0.45968160033226013, 0.4425272047519684, 0.22454392910003662, 0.8137811422348022, 0.5019330978393555, -0.5234469175338745, -0.1281815767288208, -0.3040711581707001, -0.09813515096902847, 0.004953430499881506, -0.3249984085559845, -0.8862748146057129, -0.4459397494792938, -0.48187556862831116, -0.34297192096710205, -0.8716412782669067, -0.5625876784324646, 0.04097282886505127, 0.14713600277900696, -0.1945388913154602, 0.39677146077156067, -0.43395286798477173, -1.079028606414795, -1.3626128435134888, 0.15470844507217407, -0.13489508628845215, 0.43610697984695435, -0.9969295859336853, -0.6941211223602295, 0.09728848934173584, -0.45405113697052, 1.2740319967269897, 0.14114148914813995, 0.7270731329917908, 0.15697382390499115, -0.34835851192474365, 1.629226803779602, -0.0835440456867218, -0.21733640134334564, -0.769661009311676, 0.42860114574432373, -0.3656972050666809, -0.12223455309867859, 0.2783639430999756, 0.9556644558906555, -0.5624120235443115, 0.21708418428897858, -1.5399998426437378, -0.8013540506362915, -1.546921730041504, -0.5866637229919434, -0.22815757989883423, -0.30211761593818665, -0.3093319833278656, -0.23396073281764984, 0.21651364862918854, 0.09257782250642776, 0.5231296420097351, -0.39127910137176514, -0.4719725251197815, 1.607361912727356, -0.11913871765136719, -1.2453320026397705, -0.6143820881843567, 0.8237094283103943, -0.836670994758606, -0.8739493489265442, -1.2736245393753052, 1.6166141033172607, -0.06960619240999222, -0.3943026661872864, -0.5949335694313049, 0.9611645340919495, -0.4670022130012512, 0.019253099337220192, 0.49740931391716003, 0.22533297538757324, -0.6441102623939514, 0.2744942903518677, 0.5433566570281982, -0.8582094311714172, -0.3853550851345062, -0.5220903158187866, -0.23356330394744873, 0.3486224412918091, -0.9049033522605896, -0.26822254061698914, 0.24879978597164154, -0.6234856247901917, 0.07197818160057068, 0.33884212374687195, 0.2570841908454895, -0.5172244310379028, -0.6671814918518066, 0.36387887597084045, 0.1206766739487648, -0.488004207611084, 0.3540283441543579, -0.2814927399158478, -0.11357307434082031, 0.2858026921749115, 0.18134035170078278, 0.7869729399681091, -0.8681027293205261, -0.2472931146621704, -0.03625109791755676, 1.235160231590271, -0.5947695374488831, -0.06441131979227066, 1.099953532218933, -0.736729085445404, -0.3128027617931366, 1.170434832572937, -0.3055322766304016, -0.0884452760219574, 0.1186780110001564, 0.3632356524467468, 1.2361868619918823, 0.46544313430786133, -1.009436845779419, -0.41098999977111816, 0.5113235712051392, 0.28300318121910095, 0.03856474161148071, 0.4458776116371155, 0.4301905930042267, 0.08095064759254456, -0.3768518269062042, 0.3161179721355438, 0.11690057069063187, 0.051403071731328964, 0.7653589248657227, -1.2613064050674438, 0.14869357645511627, 0.11813044548034668, -0.9325846433639526, 0.28877732157707214, -0.17421124875545502, 0.2815188467502594, -0.23399396240711212, 0.8342403173446655, 1.1377818584442139, 0.3397975564002991, -1.3150300979614258, -0.49588412046432495, -0.27176597714424133, -0.3558743894100189, 0.8678056001663208, -0.6584709286689758, -0.07469229400157928, 0.5264638662338257, -1.390526533126831, -0.045787617564201355, -0.5125588178634644, 0.2950877249240875, -1.403988003730774, 0.8452463746070862, -0.565507173538208, 0.43293648958206177, 0.13509580492973328, 0.24815407395362854, 0.4444483518600464, 0.42587700486183167, -0.20776264369487762, -0.13431282341480255, 0.5558597445487976, 0.6513146162033081, -0.02297813631594181, -0.5859264731407166, 0.41524484753608704, -0.9463343024253845, -0.8491367697715759, 0.37599992752075195, -1.1595430374145508, 0.27731451392173767, -0.11647773534059525, 1.109563946723938, 0.4896862506866455, 0.7305437326431274, 0.3804262578487396, -1.0308623313903809, -0.45398491621017456, -0.18912316858768463, -0.10333402454853058, 0.9214547872543335, -0.7640776038169861, 0.3219752609729767, -0.3262444734573364, 0.09355784207582474, -0.029606085270643234, 0.7811299562454224, -1.5802429914474487, -0.3506375551223755, 0.06591686606407166, -0.08348144590854645, 1.1870568990707397, -0.7175157070159912, -0.37586864829063416, -0.5251066088676453, 0.5247496962547302, 0.6304967999458313, 0.27909746766090393, 0.3525317907333374, 0.46306124329566956, -0.9532802700996399, -0.48031187057495117, 0.15362505614757538, 0.40861976146698, -0.7233770489692688, 0.261569082736969, 0.30334022641181946, -0.3754156529903412, 0.5481162667274475, 0.20667500793933868, -0.004784655757248402, -0.9258091449737549, 0.9086897373199463, -0.7013950943946838, -0.2929193675518036, -0.4471130073070526, -0.25108712911605835, 0.8853780627250671, -0.30573347210884094, 1.335698127746582, 1.522637128829956, 0.3869136869907379, -0.8091506361961365, -1.144798755645752, 0.33376193046569824, 1.0835562944412231, 0.475871205329895, -0.012397443875670433, 0.32419857382774353, -1.47243070602417, 0.6069857478141785, 0.0770636573433876, 0.6311808824539185, 0.32238322496414185, -0.289173424243927, -0.08257162570953369, 0.20407870411872864, 1.2758877277374268, -0.01656913198530674, 0.37491166591644287, 0.2542401850223541, 0.12024468928575516, 0.4014025330543518, -0.23106718063354492, -0.48278987407684326, -0.6848025321960449, 0.5770885944366455, -0.2172698676586151, 1.242847204208374, -0.04765811935067177, -0.06066341698169708, 0.1358044147491455, -0.2861703336238861, -1.3912326097488403, 1.1929882764816284, -0.032690636813640594, 0.40447866916656494, -0.7463423609733582, -0.26347649097442627, 0.6315430402755737, -0.7312491536140442, 0.32993948459625244, 0.5640905499458313, -0.28086331486701965, -0.0743926465511322, -0.05951176956295967, 0.35450854897499084, 1.2351226806640625, 0.41211429238319397, 1.0789564847946167, -0.9600589275360107, -0.5647439360618591, 0.19156388938426971, 0.45691633224487305, 0.6289303302764893, -0.10328210145235062, -0.025259559974074364, 0.478550523519516, -0.6632189154624939, 1.1712950468063354, -0.29598432779312134, 0.6416293382644653, 0.6582699418067932, -0.3068857192993164, 0.7599931955337524, -0.855765163898468, -0.8712341785430908, -0.01664118282496929, 0.2540326416492462, 1.828995704650879, -0.44304177165031433, 0.07079996913671494, -1.2444896697998047, 0.3955419659614563, -0.25314465165138245, -0.6084139943122864, -0.7013239860534668, -0.10695724934339523, 0.03501146659255028, -0.486433744430542, -1.328336238861084, -0.005366324447095394, 1.2194921970367432, -0.7927846312522888, 0.09101495146751404, 0.17162902653217316, 0.1239636093378067, -0.4886893630027771, -0.27083709836006165, -1.0378035306930542, -0.7073909640312195, 0.399007648229599, 0.09213301539421082, 0.7194391489028931, -0.7707875370979309, -1.2963817119598389, -0.21803508698940277, 0.5880901217460632, -0.12488778680562973, -0.4802176356315613, -0.7759372591972351, -0.05849279835820198, 0.7401581406593323, 1.0112848281860352 ] ]
{ "indices": [ 1391639301, 1296157733, 3474293978, 4022367199, 639386442, 72180827, 2165730276, 640124220, 1786548735, 4075116728, 691409538, 4186256544, 3471378517, 2120095081, 1041011349, 3741174264, 358389376, 1296924235, 2132027491, 4051235863, 3876624646, 3688822001, 570652574, 3002345859, 2851137560, 2257684172, 2542857079, 286434387, 3676260520, 640477688, 2542944140, 1753603297, 3774983271 ], "values": [ 0.616883240004695, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.616883240004695, 0.7071961376506675, 0.4460095183914834, 0.616883240004695, 0.4460095183914834, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.616883240004695, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.616883240004695, 0.616883240004695, 0.4460095183914834, 0.4460095183914834 ] }
{ "context": "And in the third cycle, we copy them from MDR into IR, but then only in the fourth cycle are they present in the IR to use them. All right, so let's go back. This is the next MUX. This is actually two of the MUXs, two of the three for address generation. The third is the MarMUX, I'll show you in the next slide up there. So the first one here is choosing a source register. So this line comes from SR1, this line comes down from the PC, and address one MUX just chooses between them." }
279203
[ [ -0.07508888840675354, -0.7330132126808167, -0.21312758326530457, -0.9240812659263611, -0.03816797956824303, 0.04030546918511391, -0.19365805387496948, 0.6802700161933899, 0.9365548491477966, -0.8948472142219543, 0.7296476364135742, 0.6062796115875244, 0.645940899848938, 0.5228006839752197, 0.4525356888771057, 0.07693888247013092, 0.9279361963272095, -0.465238481760025, -0.9342833161354065, -0.06530049443244934, 0.37033504247665405, -0.79373699426651, -0.3364509642124176, -0.057122644037008286, -0.5559794306755066, 0.5928111672401428, 0.37348634004592896, -0.4200839102268219, 0.8585373759269714, 1.0340241193771362, -0.6940795183181763, -1.0214444398880005, 0.578184962272644, 0.007646413054317236, 0.47248008847236633, -0.19839657843112946, 0.1560467630624771, -0.45113447308540344, -0.25946244597435, 0.7755405306816101, -0.34139713644981384, 0.139604851603508, -0.23273837566375732, 1.1439415216445923, -0.9488460421562195, 0.4264307916164398, -0.17713642120361328, 0.26776865124702454, 0.7169671058654785, -0.5120311379432678, 1.052592396736145, -0.5512912273406982, 0.6507388949394226, 0.1613539755344391, 0.09442852437496185, 0.4599422216415405, 0.8168769478797913, 1.4136215448379517, 0.14375683665275574, -0.4771918058395386, -0.6259968876838684, -0.09953083097934723, -0.06053920462727547, 0.01638682186603546, -0.3286827802658081, -1.109654426574707, 0.327966570854187, -0.5479816198348999, 0.771011233329773, 0.43148940801620483, -0.926416277885437, -0.2648698389530182, 0.32202401757240295, 0.010626064613461494, 0.7378605604171753, -1.1921381950378418, 0.7860206365585327, -0.21453045308589935, 0.5156681537628174, 0.9627705812454224, -0.924319326877594, -0.27945640683174133, -1.5953832864761353, 0.2400839775800705, 0.021004412323236465, -0.669476330280304, 2.0650455951690674, 0.03445158153772354, -0.4771559536457062, 0.37280014157295227, 0.372052401304245, -0.49058598279953003, 1.0149351358413696, -0.25141459703445435, -0.511468768119812, 0.14457152783870697, 0.39642831683158875, 0.5210919380187988, -0.17416144907474518, -0.7265243530273438, 0.6988697648048401, -0.9030147790908813, -0.1129012182354927, 0.7078569531440735, 0.06984922289848328, 0.007511372212320566, -0.4255775213241577, -0.20820866525173187, -0.8921510577201843, 0.5124931335449219, -1.1529542207717896, 0.9138087034225464, -0.45001575350761414, 0.6718045473098755, 0.24010112881660461, -0.07816919684410095, -0.9761204719543457, -0.3608187139034271, 1.0896869897842407, 0.5891042351722717, 0.9771510362625122, 0.14337413012981415, 0.3154717981815338, -0.34986117482185364, -1.6862459182739258, 1.082110047340393, 0.3117159903049469, 0.15991899371147156, -0.6575852632522583, 0.581864595413208, -0.05393495783209801, -0.019785717129707336, 0.057142551988363266, 0.269037663936615, 0.18091636896133423, 0.9024897217750549, -1.4128649234771729, -1.6286265850067139, 0.8824717402458191, 0.41822850704193115, -0.4164668619632721, -1.2101458311080933, -0.14021790027618408, 1.1314069032669067, 0.8529531359672546, 0.2796826958656311, 0.9808247685432434, 0.6375586986541748, -0.4323115050792694, 0.46338483691215515, -0.5301474332809448, -0.11812067031860352, -1.2718136310577393, -0.43834149837493896, 0.02725432999432087, -0.4239669740200043, 0.20605376362800598, 0.4550108313560486, -1.021118402481079, -0.49451568722724915, 0.598098874092102, -0.641543447971344, -0.14326806366443634, 0.007092660758644342, -0.891609787940979, -1.2455124855041504, 1.4748767614364624, -0.2922225892543793, 0.5727514624595642, 0.5602127313613892, 0.37380868196487427, 0.6321311593055725, -0.13005104660987854, 0.3448118567466736, 1.573094367980957, -0.6611546874046326, 0.6711012125015259, -1.1143485307693481, -0.39629197120666504, -0.49906840920448303, -0.4941616952419281, -0.9566680788993835, 0.2481043040752411, 0.2577246427536011, -0.04843360185623169, 0.276657372713089, -0.1167222410440445, 0.3951629400253296, 1.1879266500473022, -1.3994975090026855, -0.9434038996696472, -0.1138746365904808, 1.1372796297073364, -0.00664101867005229, -1.2960143089294434, -0.9354140162467957, -0.4600667357444763, -0.09692305326461792, -0.40325605869293213, -0.5897015333175659, -0.6322592496871948, 0.38805267214775085, 0.4396750032901764, -0.5707677006721497, 0.14822667837142944, 0.183346226811409, 0.7887768745422363, -0.2501773238182068, 0.6872162222862244, -0.7766680121421814, -0.018591241911053658, 0.39736756682395935, -0.11210252344608307, -0.053025778383016586, 0.03548017144203186, 0.27193647623062134, -0.6201351881027222, 0.8863928914070129, 0.14253883063793182, 0.2014356404542923, -1.2276742458343506, 0.9844079613685608, 1.8154985904693604, 0.7737877368927002, 0.8893402218818665, 0.8722873330116272, -0.1582990288734436, -0.7781131863594055, 0.13781696557998657, -0.550029456615448, -0.7392513751983643, -0.7204002141952515, 0.11191748827695847, -0.30447399616241455, -0.6074726581573486, -0.06615114212036133, 1.7377146482467651, 0.57570880651474, 0.5226507782936096, -0.10464385896921158, -1.4676462411880493, 0.8715531826019287, 0.5500237941741943, 0.9721624255180359, 0.31192538142204285, 1.22157621383667, 0.8503485918045044, 1.5979275703430176, -0.3018827438354492, -0.016867106780409813, -0.8830930590629578, 1.0955853462219238, 0.532776415348053, -0.3077329397201538, 0.08900885283946991, -1.3416670560836792, 0.6798693537712097, -0.7819276452064514, 0.024789035320281982, 0.8415805101394653, -0.7448990941047668, 0.21043051779270172, -0.028758415952324867, -0.3098839223384857, 0.021802527830004692, 0.12382906675338745, -0.1630435436964035, -0.9814441204071045, 1.1995025873184204, -0.12920628488063812, 1.2831614017486572, 0.04714057967066765, -1.0218836069107056, -0.7572146058082581, 0.26817408204078674, 0.8979120850563049, -0.48890143632888794, 1.081748366355896, -1.029034972190857, -0.5900528430938721, -0.2575205862522125, 0.18528664112091064, 0.3812727630138397, 0.29771971702575684, -1.7711398601531982, 0.0691363513469696, 0.17413967847824097, -1.1471045017242432, 0.29159092903137207, 0.8083177208900452, -0.8206801414489746, 0.14279353618621826, -0.1758681982755661, -0.9018619060516357, -0.3645147979259491, -0.08611558377742767, -0.15347595512866974, -0.22838227450847626, -0.06589768826961517, 1.1892935037612915, 0.2810700833797455, -1.2401940822601318, -0.30323535203933716, -0.9204725027084351, 0.3026171326637268, 0.053807154297828674, -1.098278522491455, -0.20383036136627197, -0.32706117630004883, 0.6693394184112549, 0.03975662961602211, -0.2547984719276428, -0.5196868777275085, -0.771626889705658, -0.8505435585975647, 0.1652258187532425, 0.8133556246757507, 0.9373922348022461, -0.21650958061218262, -0.2191375195980072, 0.8861097693443298, 0.35194873809814453, -1.3388419151306152, -0.7027887105941772, 0.14280664920806885, -0.6013833284378052, -0.9386312365531921, -0.21747468411922455, 1.2065614461898804, 0.47715598344802856, 0.7790899276733398, -0.09360342472791672, 0.7595178484916687, 1.1297085285186768, -0.5154891610145569, -1.4264400005340576, 0.11621356755495071, 0.416679322719574, -0.4515168070793152, 0.18500804901123047, 1.0151630640029907, -0.12829121947288513, 0.43782782554626465, -0.06229820474982262, 0.7135562896728516, 0.4910675883293152, -0.6680126190185547, 0.30215704441070557, -0.04329042136669159, 0.604289174079895, 0.19353295862674713, -0.612930417060852, 0.261554092168808, -1.1221747398376465, -0.35517582297325134, 0.4328320026397705, 0.9996190667152405, -0.35852909088134766, 0.10875316709280014, 0.4552023708820343, 0.8655961751937866, -0.5394469499588013, -0.3897630572319031, -0.04225149378180504, 0.2079385668039322, 0.35508865118026733, -0.2210628241300583, 0.18604163825511932, 0.23543468117713928, -0.2694733142852783, 0.35522082448005676, 0.40246158838272095, -0.5295611023902893, 0.19478227198123932, -1.607395052909851, -0.07444612681865692, 0.8411034345626831, 1.0552446842193604, 0.10028306394815445, 0.8461625576019287, 0.04132936894893646, -0.0815139040350914, -0.4758611023426056, 0.18093012273311615, -1.8289612531661987, 0.0634220764040947, 0.15708309412002563, 0.16605044901371002, 0.5863305330276489, 0.08324900269508362, 0.8525870442390442, -0.5817416310310364, -0.7419373393058777, 0.21962745487689972, -0.14983077347278595, -0.735137403011322, 0.02498222514986992, -0.06123018264770508, -0.25412261486053467, 0.4264034926891327, 0.32549741864204407, 1.659441590309143, 0.3465031683444977, -0.17002759873867035, 0.9748024940490723, 0.2593965530395508, 0.0760788768529892, -0.49436214566230774, 0.7212895750999451, 0.03179958462715149, 1.1717848777770996, 0.921963095664978, 0.3263842761516571, -0.368178129196167, -0.25703346729278564, 0.0735127255320549, 0.593772828578949, 0.519939661026001, -0.24897922575473785, 0.7741818428039551, -0.3586658239364624, -0.6949473023414612, -0.2546674311161041, 0.39613500237464905, -0.023116037249565125, 1.2106472253799438, 0.7922477126121521, 0.5238444805145264, -0.34376010298728943, 1.4654767513275146, 1.2579265832901, -0.10349487513303757, -0.07448943704366684, -0.06752055138349533, -0.31961408257484436, 0.968245267868042, -0.2963300943374634, 0.8377311825752258, 0.6174710988998413, 0.6166830062866211, -0.417022705078125, 0.15050651133060455, -0.0769500806927681, -1.229461431503296, 0.6078240871429443, -0.35728251934051514, -0.2688809037208557, -0.22598236799240112, 0.8496020436286926, 0.8998406529426575, 0.4350684881210327, -0.09679608047008514, 0.13869674503803253, -1.0333178043365479, -2.9951319694519043, -0.14752058684825897, 0.16851310431957245, 0.44548678398132324, -0.6652054190635681, 0.03879659250378609, -0.6343399882316589, -1.292923927307129, 0.8467590808868408, -0.7566307783126831, 0.8437355756759644, -0.8163275122642517, 0.6512179970741272, -0.3150962293148041, -1.1732767820358276, -1.0168652534484863, 0.8020249009132385, -0.2781176269054413, -0.3182889223098755, -0.3390808701515198, -0.45513781905174255, 0.4756506383419037, 0.1336221545934677, -0.11500423401594162, 0.6943137049674988, -0.3363775610923767, -0.5199014544487, -0.299205482006073, 1.0213947296142578, -1.1697347164154053, -0.7218286395072937, 0.27939340472221375, -0.6192843317985535, -0.09454451501369476, 0.9111994504928589, 0.15785960853099823, -0.7267202734947205, 0.0802283063530922, -0.19017215073108673, -0.1184895783662796, -1.0404659509658813, -1.0981305837631226, 1.0170986652374268, -0.0818415954709053, 0.562378466129303, 0.952259361743927, 0.06419086456298828, -0.6934117674827576, -0.4036023020744324, -0.576583743095398, 0.06889397650957108, -0.9340735077857971, 0.48939329385757446, -0.6335602402687073, -0.21836888790130615, -0.4924839735031128, 0.8134652972221375, -0.17182184755802155, -0.2944539785385132, 0.17488780617713928, -0.35873737931251526, 0.24584896862506866, 0.6785854697227478, -1.169489860534668, 0.4889812767505646, -0.11405958980321884, 0.25330543518066406, -0.7822146415710449, -0.10171084105968475, 0.20571984350681305, -1.5522481203079224, 0.3563280403614044, 0.17862525582313538, -0.05077777057886124, 0.2692175805568695, -0.9648352265357971, 0.25259965658187866, -0.018422381952404976, -0.9907857775688171, 1.1820176839828491, 0.2462528944015503, 0.0029916209168732166, 0.289109468460083, -1.0345699787139893, 0.16295810043811798, 0.17292729020118713, 0.06977464258670807, -0.18287566304206848, 0.10943093150854111, 0.6682657599449158, -0.09954455494880676, -0.4208512306213379, -1.6093904972076416, -1.3722467422485352, 0.014292601495981216, 0.1479274034500122, 0.3122597932815552, -0.6781241297721863, 0.32311785221099854, 0.23810110986232758, -0.4779212772846222, 0.01966759003698826, 1.2581031322479248, -0.23007234930992126, 0.3274887204170227, 0.5896173715591431, 0.3723103106021881, 0.37322938442230225, 0.5635175704956055, 0.11003182083368301, 1.0488519668579102, 0.18863892555236816, 0.2706710696220398, -0.5986536145210266, -0.611661434173584, -0.2681828737258911, 0.18052498996257782, -1.2039083242416382, -0.6426337361335754, 1.0966267585754395, -0.0699252337217331, 0.2722433805465698, -0.21888135373592377, -0.7281894087791443, -0.45135441422462463, 0.04504799470305443, -0.14087159931659698, -0.4551023840904236, 0.7161306142807007, -0.11290453374385834, 0.26298990845680237, 0.3122636377811432, -0.08308238536119461, -0.8028686046600342, 0.9962818026542664, 0.41836559772491455, 1.136083960533142, 1.5504910945892334, 0.17455056309700012, 0.4240007996559143, 0.3735809922218323, 0.23614615201950073, 1.0439033508300781, -1.013359785079956, 0.1965930163860321, 0.7983602285385132, 0.14740817248821259, -0.5767030119895935, -0.149464949965477, -0.7252821326255798, -0.12633880972862244, 1.0626170635223389, -0.7983632683753967, 0.6340477466583252, 0.08861510455608368, 0.15615390241146088, 0.05474543944001198, -0.7190663814544678, -0.9287893176078796, 0.2854759395122528, -1.184943437576294, 2.1863019466400146, -0.44863584637641907, -1.3683611154556274, 0.9899497032165527, 1.7007895708084106, 0.47434431314468384, -0.036502961069345474, 1.3077843189239502, -0.3622942566871643, 0.1586126834154129, -0.41813403367996216, -0.6287798881530762, 1.0244914293289185, -0.7291134595870972, 0.664838433265686, 0.10342726856470108, -0.4482499361038208, 1.1680856943130493, 0.13496747612953186, 0.14831089973449707, -1.2255252599716187, -0.3541863262653351, -1.8681812286376953, 0.7874678373336792, -0.04885436221957207, -0.7397099137306213, 0.26351338624954224, 0.20987534523010254, 0.7970396280288696, -0.5916306376457214, 0.8908805847167969, -0.3600679337978363, -1.8734937906265259, 0.03396269306540489, 0.28396037220954895, -1.6416103839874268, 1.7173418998718262, 0.9063522219657898, 0.2507275342941284, 0.8163464069366455, -0.5869965553283691, 0.8695173263549805, 0.18004094064235687, 0.380889356136322, 0.8981039524078369, 1.7763327360153198, 0.20395395159721375, 0.223959282040596, -0.3512558341026306, -0.4178251028060913, 5.295771598815918, 1.1279572248458862, 0.0173342227935791, -1.7188661098480225, -0.2649705111980438, -0.5195783972740173, 0.24158939719200134, -0.08680751174688339, 0.33778348565101624, 0.9830154180526733, 0.3703695833683014, 0.7923133969306946, 0.5056000351905823, -0.3663906157016754, 0.1758560687303543, 0.26192280650138855, -0.5128403306007385, -0.39787405729293823, 1.04855215549469, -0.04019732400774956, 0.1854599565267563, -0.05125594884157181, -1.306282877922058, -1.3036413192749023, 1.091686487197876, -0.46466225385665894, 0.1588195413351059, -0.9247539639472961, 1.6851842403411865, 0.3160417377948761, -0.24730341136455536, 0.25667881965637207, 0.5640496611595154, 0.1167663186788559, 0.6732150316238403, 0.13456569612026215, -0.5379079580307007, 0.12165772914886475, -0.3059796094894409, 0.07856182008981705, 0.13207994401454926, -0.2256845235824585, -0.5193146467208862, -0.3270026445388794, -0.3286287188529968, -0.09130599349737167, -0.588375985622406, -0.34153252840042114, -0.24710257351398468, -0.022997001186013222, -0.14867790043354034, 0.4068553149700165, -0.2596222162246704, -0.8226578831672668, -1.2248798608779907, 0.35271987318992615, -0.001518100150860846, 0.27092868089675903, -0.8229718804359436, -0.9542675614356995, 0.011293724179267883, -0.26247966289520264, 1.3297194242477417, 0.6614283323287964, 0.6505783796310425, 0.23577842116355896, -0.6755216717720032, 1.7190093994140625, -0.1793239265680313, -0.47256141901016235, -0.5136821269989014, 0.4989391565322876, -0.40602540969848633, -0.027401123195886612, 0.2298969328403473, 0.9102274179458618, -0.6323964595794678, 0.09106627851724625, -1.2466784715652466, -0.871324896812439, -1.2707539796829224, -0.2541814148426056, 0.10508815199136734, -0.4173026978969574, -0.4897547662258148, -0.08823173493146896, -0.18443048000335693, 0.09876001626253128, 0.5306493043899536, -0.4106762111186981, -0.29413899779319763, 1.5924744606018066, -0.05579780414700508, -1.2127012014389038, -0.32441988587379456, 0.5239576101303101, -0.5664061903953552, -0.7285775542259216, -1.1304861307144165, 1.5027859210968018, -0.18904027342796326, -0.02107958309352398, -0.3469412326812744, 1.0182327032089233, -0.6793481707572937, 0.28181809186935425, 0.6801369786262512, -0.026140643283724785, -0.341790109872818, 0.15780742466449738, 0.7688761353492737, -0.8838154077529907, -0.4956282079219818, -0.5505048036575317, -0.386821985244751, 0.45075276494026184, -0.7177300453186035, -0.4411328434944153, 0.5741892457008362, -0.48018646240234375, 0.4773746132850647, 0.3094889223575592, 0.4192061424255371, -0.5623348951339722, -0.11306960135698318, 0.23028451204299927, 0.011752474121749401, -0.48134854435920715, 0.2208755612373352, -0.1919470578432083, -0.17589665949344635, 0.07676709443330765, 0.26436328887939453, 0.4869801700115204, -0.9918540716171265, 0.02280133031308651, -0.13583801686763763, 1.133947491645813, -0.5135354995727539, -0.14476339519023895, 0.8913297653198242, -0.8490508198738098, -0.5195318460464478, 1.2635282278060913, -0.6163884997367859, -0.13701118528842926, -0.04825430363416672, 0.015966491773724556, 1.1130383014678955, 0.7389236688613892, -0.8048292398452759, -0.4000077247619629, 0.44314876198768616, 0.24395115673542023, 0.07499600946903229, 0.5545511841773987, 0.531721830368042, -0.13829128444194794, -0.26203659176826477, 0.6636291146278381, -0.08715678751468658, 0.3950878977775574, 0.6995104551315308, -0.9554321765899658, 0.13425365090370178, 0.19104167819023132, -0.8509731888771057, 0.19337604939937592, -0.6065621376037598, 0.4430159628391266, -0.09328502416610718, 0.25190284848213196, 1.2919379472732544, 0.3318694829940796, -1.3809828758239746, -0.5381861925125122, -0.31419506669044495, -0.3536078929901123, 0.8526061773300171, -0.7396354079246521, 0.38626405596733093, 0.7139202356338501, -1.3624252080917358, -0.297189861536026, -0.5953722596168518, -0.4613363742828369, -1.496824860572815, 0.7292605042457581, -0.6507768630981445, 0.37895718216896057, 0.3179592490196228, 0.5294063687324524, 0.42730191349983215, 0.2878012955188751, -0.4257241487503052, -0.09319952875375748, 0.3493942320346832, 0.8469823598861694, -0.2963813245296478, -0.6330650448799133, 0.4604507386684418, -0.9654461741447449, -0.8340367674827576, 0.28803443908691406, -1.2628629207611084, 0.18772269785404205, 0.011263517662882805, 0.9319901466369629, 0.4449440836906433, 0.7795854210853577, 0.34525012969970703, -0.7612084150314331, -0.015691274777054787, -0.2891581356525421, -0.09789461642503738, 0.7972515225410461, -0.7349963188171387, 0.23420916497707367, -0.03496721759438515, 0.06532714515924454, -0.08525381982326508, 0.9289303421974182, -1.4250459671020508, -0.15198978781700134, 0.1560111790895462, -0.04732830077409744, 0.8974385857582092, -0.6642075777053833, -0.259238600730896, -0.7609446048736572, 0.6731155514717102, 0.5455942749977112, 0.11746106296777725, 0.5281519293785095, 0.4560074806213379, -1.0032919645309448, -0.48089635372161865, 0.13954564929008484, 0.3135506212711334, -0.7309786081314087, 0.20870451629161835, 0.10224819928407669, -0.17691676318645477, 1.047221302986145, 0.06027377024292946, -0.03909871727228165, -0.4520765542984009, 1.0277600288391113, -0.21489562094211578, -0.5242068767547607, -0.6770408153533936, -0.10638844966888428, 1.0895211696624756, -0.5068657398223877, 1.423223614692688, 1.5471827983856201, 0.46948373317718506, -0.9223817586898804, -1.1608288288116455, 0.15222284197807312, 0.9636078476905823, 0.5660421848297119, -0.2175939977169037, 0.34342071413993835, -1.1643314361572266, 0.6141376495361328, 0.14304248988628387, 0.617723822593689, 0.28457728028297424, -0.655779242515564, 0.016316968947649002, -0.12355910986661911, 0.9811221957206726, 0.26290950179100037, -0.012974895536899567, 0.24433207511901855, -0.04013857990503311, 0.47952181100845337, -0.22643961012363434, -0.13977386057376862, -0.18221238255500793, 0.37384411692619324, -0.5495721101760864, 1.1717897653579712, -0.08600898087024689, -0.05812985077500343, 0.5056124329566956, -0.09437541663646698, -1.2701027393341064, 1.1106581687927246, 0.16969090700149536, 0.7087909579277039, -0.6392333507537842, -0.32521313428878784, 0.6689463257789612, -0.7141373157501221, 0.2519506514072418, 0.6051750183105469, -0.6261099576950073, 0.2698579430580139, 0.3015652894973755, 0.12488905340433121, 1.1133363246917725, 0.3473256230354309, 0.5837641954421997, -0.564064621925354, -0.6002558469772339, 0.18320158123970032, 0.6040237545967102, 0.312829852104187, -0.2812810242176056, -0.26855042576789856, 0.5032318830490112, -0.7273621559143066, 1.2432572841644287, -0.3506142497062683, 0.7598822712898254, 0.46379169821739197, -0.3527546226978302, 0.3174217939376831, -0.7938237190246582, -1.057111382484436, -0.23764416575431824, -0.23071052134037018, 1.7176283597946167, -0.33527320623397827, -0.11891496181488037, -1.2003253698349, 0.5679664611816406, -0.14103887975215912, -0.29345446825027466, -0.23860564827919006, 0.026783620938658714, 0.12462937831878662, -0.5061079263687134, -1.1267939805984497, -0.17427700757980347, 1.1290124654769897, -1.0383272171020508, 0.11398330330848694, 0.2760481536388397, 0.6299428343772888, -0.35797083377838135, -0.3100374937057495, -1.3606287240982056, -0.9467301964759827, 0.6587718725204468, -0.002550003118813038, 0.3711593449115753, -0.5292888283729553, -1.5467581748962402, -0.6247817277908325, 0.6353286504745483, -0.3124959170818329, -0.6105414628982544, -0.9536521434783936, 0.16071319580078125, 0.9772371053695679, 0.9790059328079224 ] ]
{ "indices": [ 2851137560, 2257684172, 2542857079, 286434387, 3676260520, 640477688, 2542944140, 1753603297, 3774983271, 2132027491, 1041011349, 262840313, 2006536704, 997012898, 1097973018, 1063320047, 640124220, 2578007438, 4011823516, 3172858508, 3471378517, 3541586099, 3009698197, 3876624646, 2067848296, 1551089265, 4051235863, 1786548735, 1590456296, 580887246 ], "values": [ 0.45021338715555703, 0.7107036060130572, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.6208926095194913, 0.6208926095194913, 0.7107036060130572, 0.6208926095194913, 0.45021338715555703, 0.6208926095194913, 0.45021338715555703, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703 ] }
{ "context": "So the first one here is choosing a source register. So this line comes from SR1, this line comes down from the PC, and address one MUX just chooses between them. So if you configure it to zero, you get PC. If you configure it to one, you get SR1. Now, obviously, if you're not using the output of this adder to write back into the PC or to write onto the bus through the MarMUX, then you don't care what this address generates, right? So you can set it to don't care in those cases." }
661583
[ [ -0.24642732739448547, -0.8794170618057251, -0.03156118839979172, -0.54002845287323, 0.2224143147468567, 0.06716902554035187, -0.22746871411800385, 0.3190354108810425, 0.5799245238304138, -0.7529047727584839, 0.9024394154548645, 0.818341851234436, 1.0381627082824707, 0.2580404579639435, 0.5933637619018555, 0.07985122501850128, 0.8349452614784241, -0.5725720524787903, -0.9493283629417419, -0.12648826837539673, 0.46824973821640015, -0.7572261691093445, -0.3275550305843353, -0.4335087537765503, -0.657141923904419, 0.17897677421569824, 0.48055824637413025, -0.5438278317451477, 0.4453970193862915, 0.9906550645828247, -0.39868175983428955, -0.9387417435646057, 0.5954391360282898, -0.09969935566186905, 0.5114309787750244, -0.0023123743012547493, 0.39116013050079346, -0.4385988414287567, -0.4125014543533325, 0.8586241006851196, -0.6381688117980957, 0.19805018603801727, -0.036884408444166183, 1.0639710426330566, -0.4844404458999634, 0.15550720691680908, 0.010355708189308643, 0.8296170830726624, 0.9942651987075806, -0.4153482913970947, 1.0807441473007202, -0.4878656566143036, 0.6530904769897461, 0.2381947636604309, 0.06408993899822235, 0.4645153880119324, 0.9133993983268738, 1.8500014543533325, 0.19119349122047424, -0.6092462539672852, -0.680121898651123, -0.3352908492088318, -0.09925918281078339, -0.547356128692627, -0.3436999022960663, -0.9071947932243347, 0.45850229263305664, -0.31893277168273926, 0.49831894040107727, 0.006571616977453232, -0.8821210861206055, -0.1038786768913269, 0.1932428479194641, 0.17402441799640656, 0.7409815788269043, -1.1113024950027466, 0.8096592426300049, -0.12865868210792542, 0.5821942687034607, 1.2389909029006958, -0.6818296909332275, -0.027064114809036255, -1.309798002243042, 0.25101178884506226, 0.4853544235229492, -0.9243784546852112, 1.7563323974609375, -0.09100110828876495, -0.12128183245658875, 0.351472944021225, 0.30991697311401367, -0.16880176961421967, 0.5996282696723938, -0.49271464347839355, -0.5806025862693787, 0.23773202300071716, 0.7730222940444946, 0.6131678223609924, -0.46399855613708496, -0.5899460315704346, 1.0931309461593628, -0.8414353132247925, 0.08190245926380157, 0.7485955953598022, 0.1519409418106079, -0.2522892355918884, -0.24523010849952698, -0.2826422452926636, -0.9351934194564819, 0.6081088781356812, -1.2016806602478027, 0.9003844261169434, -0.6139063835144043, 0.6551268696784973, 0.14391151070594788, -0.1616087406873703, -0.9686861038208008, -0.4132978320121765, 0.7472392916679382, 1.103047251701355, 0.9119752049446106, 0.04045776650309563, 0.4674224853515625, -0.23719042539596558, -1.59238600730896, 0.8529710173606873, 0.4948570430278778, 0.06088441610336304, -0.8596693277359009, 0.5912063121795654, -0.1978478580713272, 0.1368882954120636, -0.10785843431949615, 0.26580145955085754, 0.2028399258852005, 0.9282919764518738, -1.63057541847229, -1.7918543815612793, 0.6352290511131287, 0.4375394880771637, -0.29961854219436646, -1.471328854560852, -0.1777171939611435, 0.8242713809013367, 1.0573019981384277, 0.7284541130065918, 0.8729007840156555, 0.6272456645965576, -0.6534450054168701, 0.38090935349464417, -0.6395484805107117, 0.10541640967130661, -1.234533667564392, -0.4222644865512848, -0.005957773420959711, -0.43710070848464966, -0.16770000755786896, 0.43896329402923584, -1.0682718753814697, -0.564279317855835, 0.7943633794784546, -0.5109175443649292, -0.11096081882715225, -0.07080210000276566, -0.5895084142684937, -1.2700115442276, 1.5993657112121582, -0.2987271845340729, 0.6455267667770386, 0.6090087890625, -0.056853920221328735, 0.6920970678329468, -0.08898225426673889, 0.600566565990448, 1.8175888061523438, -0.33532044291496277, 0.4506787359714508, -1.8736461400985718, -0.4786266088485718, -0.4802669882774353, -0.4393969774246216, -0.742091953754425, 0.17738333344459534, -0.009613911621272564, -0.5066491365432739, 0.3818206489086151, -0.37086090445518494, 0.26653680205345154, 1.3792604207992554, -1.6229139566421509, -0.664238691329956, -0.113956980407238, 0.8767377138137817, -0.03327012434601784, -1.0977801084518433, -0.8565956950187683, -0.16436541080474854, -0.13202814757823944, 0.2508328855037689, -0.6706377267837524, -0.19914758205413818, 0.28194016218185425, 0.3622224032878876, -0.4104105830192566, 0.25658145546913147, 0.15757103264331818, 0.5255017280578613, -0.24680890142917633, 0.6862850785255432, -0.7694792151451111, -0.08253096044063568, 0.779421865940094, -0.22544318437576294, 0.19127590954303741, 0.2716892957687378, 0.38727298378944397, -0.6631529331207275, 0.9471320509910583, 0.13303034007549286, 0.11980710923671722, -1.3205901384353638, 0.8599920272827148, 2.100036144256592, 0.5591643452644348, 0.6992985606193542, 0.8801559805870056, -0.4699099063873291, -0.6892684102058411, 0.10927358269691467, -0.4525052011013031, -0.4275481402873993, -0.8894584774971008, 0.25972577929496765, 0.13577532768249512, -0.5241472125053406, -0.1476731151342392, 1.8233295679092407, 0.8707630634307861, 0.8979863524436951, 0.22453126311302185, -1.2416355609893799, 0.4475259482860565, 0.3337148129940033, 0.9349481463432312, 0.44443753361701965, 1.4166964292526245, 0.8079712390899658, 1.1572215557098389, -0.13101117312908173, 0.03634265065193176, -0.6610381007194519, 0.8181038498878479, 0.3548876643180847, -0.5849002599716187, 0.16431008279323578, -0.855941116809845, 0.7181110382080078, -0.6447592377662659, 0.2848889231681824, 1.4219582080841064, -0.3935297727584839, 0.15998949110507965, 0.1217166930437088, -0.6685476303100586, 0.1148814707994461, 0.44752541184425354, -0.491078644990921, -0.9205327033996582, 0.9660571217536926, -0.2113698422908783, 1.2767612934112549, 0.4504954516887665, -0.6330747008323669, -0.9651707410812378, 0.04916558787226677, 0.6144912242889404, -0.4287837743759155, 1.2564761638641357, -1.3602335453033447, -0.48520803451538086, 0.09098215401172638, 0.3827848434448242, 0.6993510127067566, 0.1511479616165161, -1.921317458152771, 0.6421844959259033, 0.05497946962714195, -1.366222858428955, 0.6661028861999512, 0.5959683656692505, -0.9518184065818787, 0.18263113498687744, 0.046443503350019455, -0.7445931434631348, -0.544245719909668, -0.04233454540371895, -0.07708799093961716, -0.10867327451705933, -0.05124017223715782, 0.9880426526069641, 0.3321363925933838, -1.1495392322540283, 0.07585921138525009, -1.026145100593567, 0.28433871269226074, -0.0625859797000885, -1.0324227809906006, -0.25833892822265625, -0.19800584018230438, 0.45680370926856995, -0.23339629173278809, -0.24524758756160736, -0.6362078189849854, -0.6974331140518188, -1.2432221174240112, 0.08339469879865646, 0.5818052887916565, 1.0468686819076538, -0.36217001080513, -0.29448676109313965, 1.0037899017333984, 0.6859264969825745, -1.0043227672576904, -0.9776057600975037, 0.2357696145772934, -0.3375113606452942, -0.8141553997993469, -0.044915419071912766, 1.3017077445983887, 0.547834038734436, 0.7587714791297913, 0.06152000650763512, 0.6382566094398499, 1.0551037788391113, -0.49145567417144775, -0.888626754283905, 0.09490244835615158, 0.49283072352409363, -0.8959652781486511, 0.011072740890085697, 0.9673634171485901, -0.26087453961372375, 0.6932647824287415, -0.20761284232139587, 0.5322244763374329, 0.3731982111930847, -0.9446943998336792, 0.5387197136878967, -0.0866854265332222, 0.9268583059310913, 0.19986777007579803, -0.2923322319984436, 0.14410436153411865, -1.270014762878418, -0.48597440123558044, -0.04389204829931259, 0.8873421549797058, -0.49440279603004456, -0.4720084071159363, 0.7890494465827942, 0.48141592741012573, -0.6437568664550781, 0.10659044981002808, 0.4509379267692566, -0.017257237806916237, 0.3113773763179779, -0.3009195327758789, -0.3060095012187958, 0.320550799369812, -0.2138144075870514, 0.6994025707244873, 0.32433122396469116, -0.5640146136283875, 0.11868515610694885, -1.0507328510284424, -0.12704303860664368, 0.7788416147232056, 0.9063923954963684, -0.18899908661842346, 0.9743742942810059, 0.30158084630966187, 0.05075278505682945, -0.4505384564399719, 0.16259950399398804, -1.8012146949768066, 0.40981417894363403, -0.11703161150217056, 0.3797994554042816, 0.4411807656288147, 0.2907591462135315, 0.709676206111908, -0.5542416572570801, -0.9185184836387634, -0.05500901862978935, -0.21354061365127563, -0.7035842537879944, 0.055807583034038544, -0.07250439375638962, -0.6037023067474365, 0.22115492820739746, 0.6581496596336365, 1.5781339406967163, 0.23374377191066742, -0.028169693425297737, 1.0167083740234375, 0.1871321052312851, -0.032461561262607574, -0.6324615478515625, 0.40682756900787354, 0.05117994546890259, 1.3513411283493042, 0.5800325274467468, 0.7907591462135315, -0.6191779971122742, -0.15577541291713715, 0.51624995470047, 0.09290701895952225, 0.28544411063194275, 0.003354261163622141, 0.47559863328933716, -0.7257916331291199, -0.7295658588409424, -0.1719198375940323, 0.5126751065254211, 0.029301760718226433, 1.2365072965621948, 0.7959654927253723, 0.3386048674583435, -0.003376767272129655, 1.1425896883010864, 0.9842638373374939, -0.28760460019111633, -0.15519742667675018, -0.5393664836883545, -0.15905284881591797, 0.5902433395385742, -0.0034747873432934284, 0.5486013889312744, 0.6097564101219177, 0.5277637839317322, -0.4152681827545166, 0.23430205881595612, -0.37703657150268555, -0.9003922939300537, 0.7221963405609131, -0.45862168073654175, 0.05179890617728233, 0.16259798407554626, 0.8208625316619873, 1.0490827560424805, 0.4969135820865631, -0.05439577251672745, 0.36544257402420044, -0.823661208152771, -2.879347085952759, -0.2761484980583191, 0.13701550662517548, 0.5643044114112854, -0.8882991075515747, 0.053626127541065216, -0.49476680159568787, -1.2403829097747803, 0.8851788640022278, -0.5008761286735535, 0.7183182835578918, -0.47339656949043274, 0.5529531836509705, -0.5182187557220459, -1.1814626455307007, -1.0838900804519653, 1.23727285861969, -0.4809417426586151, -0.3503691852092743, -0.2704111337661743, 0.060190264135599136, 0.09219229221343994, 0.5962756872177124, 0.13163770735263824, 0.4885657727718353, -0.9738362431526184, -0.4707619845867157, 0.10377873480319977, 0.9006254076957703, -1.0903257131576538, -0.9663408994674683, 0.32827818393707275, -0.5564255714416504, -0.287259966135025, 0.8741320967674255, -0.061720553785562515, -0.6759369969367981, 0.24718189239501953, 0.09253627061843872, -0.09658706188201904, -0.7530376315116882, -1.156285047531128, 1.0104955434799194, 0.4343346059322357, 0.6668618321418762, 0.6880154609680176, 0.2710266709327698, -0.7207922339439392, -0.226849764585495, -0.2125856727361679, 0.313345342874527, -0.7586272954940796, 0.5829393267631531, -0.32577943801879883, -0.04230145737528801, -0.9009971022605896, 1.597793459892273, -0.5553044080734253, -0.23506397008895874, 0.26674893498420715, -0.12666937708854675, -0.0202480535954237, 1.1472994089126587, -1.4991682767868042, -0.15153564512729645, 0.220566987991333, 0.14786063134670258, -1.0587995052337646, -0.1532796323299408, 0.16292178630828857, -1.7390943765640259, 0.4141070544719696, 0.22550815343856812, -0.08180348575115204, 0.23154473304748535, -1.3134459257125854, 0.6372660398483276, 0.0063707553781569, -1.01556396484375, 1.1729588508605957, 0.10554078966379166, 0.23907598853111267, 0.33965298533439636, -0.9246697425842285, -0.1740848422050476, 0.4771527051925659, -0.37121179699897766, -0.05135677382349968, 0.44390732049942017, 0.7338158488273621, 0.005669400095939636, -0.33165404200553894, -1.754891276359558, -0.947821855545044, -0.21035894751548767, 0.1838356852531433, 0.1817474067211151, -0.5985888242721558, 0.24517719447612762, 0.3121044635772705, -0.5896658897399902, -0.38406240940093994, 1.185730218887329, -0.6245518326759338, 0.6394714713096619, 0.21427509188652039, 0.05372131988406181, 0.5947344303131104, 0.4038650095462799, 0.79344242811203, 0.6234170198440552, 0.13398057222366333, 0.17534595727920532, -0.8393253087997437, -0.7239174246788025, -0.47287577390670776, -0.15050530433654785, -0.9276715517044067, -0.7164400219917297, 1.4180394411087036, 0.01276350487023592, 0.11811551451683044, 0.046674568206071854, -0.6766003370285034, -0.07621359825134277, 0.32495543360710144, -0.5248560905456543, -0.46872618794441223, 0.638704776763916, 0.019949357956647873, 0.2302740216255188, 0.465976357460022, -0.1262110322713852, -0.7632038593292236, 0.8186389803886414, 0.3311801552772522, 1.0962287187576294, 1.56548011302948, 0.32977625727653503, 0.13535574078559875, 0.280833899974823, 0.16981112957000732, 1.0134724378585815, -1.0253491401672363, 0.052120815962553024, 0.6001611948013306, 0.076058529317379, -0.4418328106403351, -0.28792211413383484, -0.8673734664916992, 0.30727529525756836, 0.8686058521270752, -0.6587961316108704, 0.2783779203891754, 0.06130475550889969, 0.21374110877513885, -0.11121030151844025, -0.27539053559303284, -0.6816864013671875, 0.39192458987236023, -0.9803134799003601, 2.1351304054260254, -0.6738767623901367, -1.3449928760528564, 0.8337549567222595, 1.3389298915863037, 0.7462467551231384, 0.05724272131919861, 1.2930692434310913, -0.3146645426750183, 0.03846772015094757, -0.7890891432762146, -0.5692164897918701, 0.6676674485206604, -1.028122901916504, 0.6380434036254883, 0.12902434170246124, -0.22728827595710754, 1.0035525560379028, 0.01802758127450943, 0.08607983589172363, -1.3780651092529297, -0.6175506114959717, -2.0549585819244385, 1.0088759660720825, 0.20583383738994598, -0.6010962128639221, 0.16696889698505402, 0.1631980538368225, 0.5851962566375732, -0.2618689239025116, 0.7886518239974976, -0.4281039237976074, -1.7061176300048828, -0.03608893230557442, 0.052379727363586426, -1.4270358085632324, 1.3619295358657837, 0.6854990720748901, 0.11311172693967819, 0.7591582536697388, -0.4570111334323883, 0.9727218151092529, 0.26317256689071655, 0.3065911829471588, 0.9589030742645264, 1.6910135746002197, -0.22111600637435913, 0.18159858882427216, -0.29615384340286255, -0.3705594539642334, 5.193028450012207, 1.3450736999511719, -0.2156229317188263, -1.9188750982284546, -0.26603060960769653, -0.712608814239502, 0.06398233026266098, -0.2459978610277176, 0.3372204005718231, 1.0805398225784302, 0.0917476937174797, 0.8842431902885437, 0.6281333565711975, -0.18116623163223267, 0.13864897191524506, 0.25351133942604065, -0.6329770088195801, -0.6433523297309875, 0.8827662467956543, 0.13777773082256317, 0.19341839849948883, -0.43157723546028137, -1.2656511068344116, -1.5650986433029175, 1.0073440074920654, -0.4655362069606781, 0.2654365599155426, -0.7911046743392944, 1.4043594598770142, 0.4870832860469818, -0.6933016180992126, 0.43631020188331604, 0.42573484778404236, 0.593940019607544, 0.6874284148216248, 0.47017309069633484, -0.22240087389945984, -0.05873386189341545, -0.5342977046966553, 0.1492997109889984, 0.006209738552570343, -0.1567526012659073, -0.5634388327598572, -0.019171586260199547, -0.40827804803848267, -0.2635350227355957, -0.6447871923446655, -0.585904598236084, -0.031080806627869606, 0.35168471932411194, -0.17194221913814545, 0.27400046586990356, -0.5340757369995117, -1.0203099250793457, -1.4438576698303223, 0.5484669208526611, 0.23281317949295044, 0.44623705744743347, -0.8283991813659668, -0.5292922258377075, 0.41706547141075134, -0.35013750195503235, 1.1553757190704346, 0.41338595747947693, 0.7573098540306091, 0.08119475096464157, -0.7704705595970154, 1.7699745893478394, -0.2194027155637741, -0.41925355792045593, -0.8349905610084534, 0.40084564685821533, -0.45526883006095886, -0.017425861209630966, 0.30338478088378906, 0.7404148578643799, -0.6992996335029602, -0.12035562843084335, -0.7071585059165955, -0.8843141794204712, -1.2252970933914185, -0.6922873854637146, -0.036519307643175125, -0.5849615931510925, -0.8127602934837341, -0.15145400166511536, -0.16737954318523407, 0.2997179329395294, 0.7269316911697388, -0.11604705452919006, -0.3085442781448364, 1.2001097202301025, 0.09027263522148132, -1.3208950757980347, 0.31266915798187256, 0.48063817620277405, -0.8738869428634644, -0.6980612277984619, -0.9772951602935791, 1.4398983716964722, -0.34505733847618103, -0.135673388838768, -0.04585292935371399, 0.9751877784729004, -0.47785675525665283, 0.1704043298959732, 0.6904537081718445, 0.44364133477211, -0.24119174480438232, 0.17959576845169067, 0.7087453603744507, -0.7815918922424316, -0.9518430829048157, -0.4674181044101715, -0.5332555770874023, -0.0004611062759067863, -0.6737452149391174, -0.13775712251663208, -0.03803956136107445, -0.2891170084476471, 0.19663670659065247, 0.55513995885849, -0.008294215425848961, -0.402292400598526, -0.3772154152393341, 0.2647624611854553, -0.004316775593906641, -0.2185784876346588, 0.36050325632095337, -0.19619280099868774, -0.16921572387218475, -0.056504618376493454, -0.22540102899074554, 0.8691678643226624, -1.1137852668762207, -0.3262541592121124, -0.3200445771217346, 0.9561017751693726, -0.8061960339546204, -0.15353593230247498, 1.1875258684158325, -0.9489672183990479, -0.04646606743335724, 1.4328525066375732, -0.40826505422592163, 0.1405116766691208, 0.3485591411590576, -0.07366669923067093, 1.1305456161499023, 0.659501314163208, -1.180260419845581, -0.14875862002372742, 0.6816292405128479, 0.23315958678722382, 0.12940624356269836, 0.292684406042099, 0.29546627402305603, -0.10015407204627991, -0.5011143088340759, 0.8429096937179565, -0.20690660178661346, 0.3603551387786865, 0.22358088195323944, -1.0907189846038818, 0.33524489402770996, 0.3807135224342346, -0.8377662301063538, 0.5156843066215515, -0.1984386444091797, 0.6804746985435486, -0.5332947373390198, 0.1862086057662964, 0.8292621374130249, 0.1993108093738556, -1.558559536933899, -0.2811431586742401, -0.30243849754333496, -0.4511183500289917, 0.7514067888259888, -0.4675902724266052, 0.0837903544306755, 0.5061864852905273, -1.2985243797302246, -0.15973758697509766, -0.750588595867157, 0.02634408138692379, -1.3920332193374634, 0.7814170122146606, -0.6165967583656311, 0.43230849504470825, 0.26398786902427673, 0.4368700087070465, 0.8948559761047363, 0.09706442803144455, -0.2083895206451416, -0.020438391715288162, 0.764419674873352, 0.8973188400268555, 0.08523331582546234, -0.9904809594154358, 0.2789123058319092, -0.812640368938446, -0.5692208409309387, 0.2700323462486267, -1.2100872993469238, 0.22863756120204926, -0.057284869253635406, 1.0144023895263672, 0.34259191155433655, 0.6873303651809692, 0.21980620920658112, -0.42569491267204285, -0.36983543634414673, -0.28594115376472473, 0.09826575219631195, 0.42997050285339355, -0.9723714590072632, 0.15878944098949432, -0.444333553314209, -0.09492576867341995, 0.05443327873945236, 0.8384341597557068, -1.7022120952606201, -0.25104138255119324, 0.3174969255924225, 0.05328282713890076, 0.9504147171974182, -1.039303183555603, -0.6581974029541016, -0.8319734930992126, 0.6061175465583801, 0.29198575019836426, 0.2545143961906433, 0.6600720286369324, 0.12341394275426865, -1.0855950117111206, -0.3996037542819977, 0.30181288719177246, 0.26640984416007996, -1.13043212890625, 0.12753309309482574, -0.01582000032067299, -0.07068495452404022, 0.8118894100189209, 0.2506919503211975, 0.25312623381614685, -0.6848434209823608, 0.8235763311386108, -0.37880173325538635, -0.3255201280117035, -0.09440204501152039, -0.32503992319107056, 0.7984808087348938, -0.8575019836425781, 1.503736138343811, 1.6943893432617188, 0.3971940875053406, -1.193056583404541, -1.241256594657898, -0.31214189529418945, 0.9029944539070129, 0.9112481474876404, -0.012390648014843464, 0.15115192532539368, -1.4924598932266235, 0.6343685388565063, 0.23148642480373383, 0.5700352787971497, 0.13628216087818146, -0.22874371707439423, -0.19241207838058472, -0.10141712427139282, 1.3084899187088013, 0.15131041407585144, 0.20917998254299164, 0.3784817159175873, -0.1250486671924591, 0.34931933879852295, -0.40982362627983093, -0.38743001222610474, -0.24044586718082428, 0.524913489818573, -0.2845216393470764, 1.2024030685424805, -0.07521843910217285, -0.2633447051048279, 0.19346679747104645, 0.0758073553442955, -1.3357423543930054, 1.276475429534912, 0.058786507695913315, 0.4832235872745514, -0.2654552459716797, -0.15281958878040314, 0.764009952545166, -0.5748437643051147, 0.26430460810661316, 0.8475567102432251, -0.2511339485645294, 0.21003831923007965, 0.29504328966140747, 0.2900441586971283, 1.2543108463287354, 0.24258841574192047, 0.46199747920036316, -0.4772743880748749, -0.40583643317222595, 0.1624448001384735, 0.2653849422931671, 0.7029141783714294, -0.26662468910217285, -0.3021823763847351, 0.7240899801254272, -0.48440083861351013, 1.4595102071762085, -0.2783096134662628, 1.1916989088058472, 0.18363164365291595, -0.024346424266695976, 0.27227675914764404, -0.8492481112480164, -1.1613192558288574, 0.31779125332832336, 0.1102374717593193, 1.486538290977478, -0.7952237725257874, -0.04362064599990845, -1.1669670343399048, 0.08848540484905243, -0.48100242018699646, -0.16103976964950562, -0.41409534215927124, -0.2699604630470276, 0.05420723184943199, -0.6794673800468445, -0.6078540086746216, 0.011209438554942608, 0.9347301721572876, -0.9002060294151306, 0.21488340198993683, 0.1641523689031601, 0.43903544545173645, -0.36783847212791443, -0.4119732081890106, -1.321264624595642, -0.990211009979248, 0.9917420148849487, 0.025905365124344826, 0.6341604590415955, -0.4632781147956848, -1.8108100891113281, -0.6326316595077515, 0.48432549834251404, -0.4064456522464752, -0.7256270051002502, -0.8122130036354065, 0.38907280564308167, 0.8508894443511963, 0.9330006241798401 ] ]
{ "indices": [ 262840313, 2257684172, 997012898, 1753603297, 1097973018, 1063320047, 640124220, 2578007438, 4011823516, 3172858508, 3471378517, 3774983271, 3541586099, 3009698197, 3876624646, 2067848296, 1551089265, 2132027491, 4051235863, 1786548735, 1590456296, 580887246, 436751995, 4068491112, 3425584443, 4147729819, 2842715111, 691409538, 3077810618, 3284862352, 1200021954, 296467145, 333744412 ], "values": [ 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836 ] }
{ "context": "If you configure it to one, you get SR1. Now, obviously, if you're not using the output of this adder to write back into the PC or to write onto the bus through the MarMUX, then you don't care what this address generates, right? So you can set it to don't care in those cases. This second one, you can see several sign-extended offsets. So there's a six-bit, that's for base plus offset mode for LDR-STR." }
584796
[ [ -0.4344753623008728, -0.7971712946891785, -0.24455669522285461, -0.35054123401641846, -0.017763236537575722, 0.2188803106546402, -0.3459179997444153, 0.534939169883728, 0.9055296182632446, -0.747153639793396, 0.48354941606521606, 0.6449243426322937, 0.9918219447135925, 0.34227174520492554, 0.5556722283363342, -0.19453589618206024, 0.834962785243988, -0.3371632397174835, -0.7812502384185791, -0.4160809814929962, 0.6996454000473022, -0.7306352853775024, -0.07577832043170929, -0.3259839713573456, -0.5506704449653625, 0.503895103931427, 0.20764701068401337, -0.5602722764015198, 0.4222022294998169, 0.1008065864443779, -0.413902223110199, -1.2462795972824097, 0.12797553837299347, -0.1775042861700058, 0.4338429570198059, -0.0343584381043911, -0.41028812527656555, -0.39244046807289124, -0.28824007511138916, 0.896224319934845, -0.6779853701591492, 0.35480016469955444, -0.28226587176322937, 1.0944570302963257, -0.3573436439037323, 0.3287322521209717, -0.02144324593245983, 0.211773082613945, 1.1564382314682007, -0.6866047978401184, 1.1819995641708374, -0.7979099750518799, 0.5975964069366455, 0.18964363634586334, -0.15599007904529572, 0.29863107204437256, 0.9634987115859985, 1.8919219970703125, 0.011644495651125908, -0.9537642598152161, -0.41764402389526367, -0.35504740476608276, 0.5322589874267578, -0.0680818259716034, -0.5749239325523376, -1.0191317796707153, 0.49018120765686035, -0.7505860924720764, 0.7000227570533752, 0.18585599958896637, -0.9013360142707825, -0.21801729500293732, 0.21448282897472382, 0.030900973826646805, 0.9396651983261108, -0.921227216720581, 0.6566552519798279, -0.40564531087875366, 0.4071342647075653, 0.769184410572052, -1.210679292678833, -0.03972673416137695, -1.0640848875045776, 0.6357002258300781, 0.06959328800439835, -0.6499616503715515, 2.1358349323272705, -0.17985422909259796, -0.2672281563282013, 0.4587107002735138, 0.5224692821502686, -0.11343014985322952, 1.4212698936462402, -0.2378748059272766, -0.3685660660266876, 0.2688487470149994, 0.612697184085846, 0.6204184293746948, -0.2685779929161072, -0.48187586665153503, 0.5093802809715271, -1.057234764099121, 0.161408469080925, 1.0558173656463623, 0.1251123547554016, 0.12044217437505722, -0.5410671234130859, -0.21467028558254242, -0.8413771986961365, 0.7013630270957947, -1.4078617095947266, 0.8867248296737671, -0.18427124619483948, 0.6748616695404053, 0.003921463154256344, -0.2757440507411957, -1.0239217281341553, -0.27665555477142334, 1.1391363143920898, 0.603365421295166, 1.2262579202651978, -0.1812656968832016, 0.6034791469573975, -0.6059885025024414, -1.598423957824707, 0.9430916905403137, 0.3398204445838928, -0.21829736232757568, -0.695498526096344, 0.4614393711090088, 0.2360946238040924, -0.2032579928636551, -0.13758887350559235, -0.08397279679775238, -0.08333542943000793, 0.6647574305534363, -1.6595507860183716, -1.5977637767791748, 0.8298905491828918, 0.3659987151622772, -0.04037794470787048, -1.2542253732681274, -0.004722921643406153, 0.6206217408180237, 0.8612176179885864, 0.25820064544677734, 0.8813809752464294, 0.8622657656669617, -0.31770530343055725, 0.5398445725440979, -0.8555975556373596, 0.03485497459769249, -1.2024239301681519, -0.7038729786872864, 0.11468788236379623, -0.28873366117477417, -0.30406010150909424, 0.2589959502220154, -0.8495433330535889, -0.36544162034988403, 0.8897399306297302, -0.4630003273487091, -0.05584648996591568, 0.32882407307624817, -0.9289084672927856, -1.1994000673294067, 1.7910789251327515, 0.0510425940155983, 0.8704264760017395, 0.5138620138168335, 0.39093315601348877, 0.5457110404968262, 0.03266410529613495, 0.6125102043151855, 1.5065101385116577, -0.8169498443603516, 0.47513526678085327, -1.0473841428756714, -0.5930389761924744, -0.3994691073894501, -0.7754546403884888, -0.9669970870018005, 0.4403100311756134, 0.38893723487854004, -0.07216602563858032, 0.0888989046216011, -0.3172679543495178, 0.679056704044342, 1.279815435409546, -1.2087565660476685, -0.3242146670818329, -0.3830200135707855, 0.864383339881897, -0.027025971561670303, -1.4594279527664185, -1.1728541851043701, -0.35912254452705383, 0.3530087172985077, -0.08158056437969208, -0.6355077028274536, -0.5327489972114563, 0.18353787064552307, 0.5248942971229553, -0.8505324125289917, 0.09351618587970734, 0.38694727420806885, 0.6963368654251099, -0.5945721864700317, 0.8853916525840759, -0.8515555262565613, -0.44810783863067627, 0.929171621799469, -0.07191476225852966, 0.18329864740371704, -0.23603388667106628, 0.3760077953338623, -0.6153757572174072, 1.14817214012146, 0.41894957423210144, 0.379716157913208, -1.309899091720581, 1.0686230659484863, 1.9312471151351929, 1.0645194053649902, 0.48131629824638367, 0.7331977486610413, 0.00942435022443533, -0.5323023796081543, 0.23274311423301697, -0.30150777101516724, -0.6015605330467224, -1.054229497909546, -0.060197122395038605, 0.027558578178286552, -0.5310074687004089, 0.11486079543828964, 1.6432199478149414, 0.5940167307853699, 0.8243956565856934, -0.22973845899105072, -1.000854730606079, 0.8278993368148804, 0.6331777572631836, 0.879401683807373, 0.02569088712334633, 1.1354366540908813, 0.6398019194602966, 1.644906997680664, -0.347869336605072, 0.09676935523748398, -0.6341477632522583, 0.920195460319519, 0.16485045850276947, -0.38006168603897095, 0.06747464835643768, -1.1691184043884277, 0.7716931104660034, -0.5189849734306335, -0.03495103493332863, 0.6275931000709534, -0.545540988445282, 0.12746350467205048, 0.02137221023440361, -0.23296231031417847, 0.034525204449892044, 0.4861166775226593, 0.0882604643702507, -1.0848759412765503, 1.155133605003357, -0.40849703550338745, 1.3654718399047852, 0.08686365187168121, -1.0775034427642822, -1.332074761390686, 0.2390511929988861, 0.8215823173522949, -0.610011875629425, 1.2577505111694336, -1.2611515522003174, -0.4515412449836731, -0.22233709692955017, 0.05812818557024002, 0.2665482759475708, -0.16547788679599762, -2.1065380573272705, 0.08074735105037689, 0.12057898193597794, -0.9476553797721863, 0.1606152057647705, 0.21319814026355743, -0.7767477631568909, 0.12586994469165802, -0.7013388872146606, -1.1733251810073853, -0.5462501049041748, 0.04317651316523552, 0.13417312502861023, 0.0031972588039934635, -0.13692225515842438, 1.2700222730636597, 0.5044201016426086, -1.4025784730911255, -0.06160001829266548, -0.9151473045349121, 0.005855293944478035, 0.27453434467315674, -0.7616350054740906, -0.012840193696320057, -0.17050616443157196, 0.8629633784294128, 0.04387219250202179, -0.36668530106544495, -0.5525579452514648, -0.6317931413650513, -1.2248541116714478, 0.0865790918469429, 0.7858635187149048, 0.8926793336868286, -0.5922918915748596, -0.05938541516661644, 0.575554370880127, 0.47694867849349976, -1.0120757818222046, -0.7941024899482727, 0.10471371561288834, -0.6387510895729065, -0.8311387896537781, -0.6412287950515747, 1.5414193868637085, 0.2722848355770111, 0.7354722023010254, -0.36310476064682007, 1.213747262954712, 1.445396900177002, -0.5153266787528992, -1.0723968744277954, 0.08675332367420197, 0.7396725416183472, -0.6231917142868042, -0.170767143368721, 0.7997896075248718, -0.1651616394519806, 0.7637874484062195, -0.2382129430770874, 0.8453750610351562, 0.6413570642471313, -0.6345937252044678, 0.23716551065444946, -0.2346564680337906, 0.5976581573486328, -0.35749009251594543, -0.840237557888031, 0.4270630478858948, -1.1621754169464111, -0.1015181690454483, 0.6556181907653809, 1.2230464220046997, -0.4076204001903534, -0.03228025138378143, 0.556370735168457, 0.7652156949043274, -0.32082992792129517, -0.17284221947193146, -0.403984934091568, -0.27538391947746277, 0.26769012212753296, -0.1896839141845703, 0.07530371844768524, 0.644126832485199, -0.2913641333580017, 0.5410882234573364, 0.7861937880516052, -0.9045569896697998, -0.012516309507191181, -1.8299808502197266, -0.39911580085754395, 0.8336358070373535, 0.7848873138427734, 0.26707735657691956, 0.8345925211906433, -0.19034257531166077, -0.26294201612472534, -0.48074325919151306, 0.16631367802619934, -1.8570401668548584, 0.0006241772207431495, 0.42646241188049316, -0.10231147706508636, 0.8256145715713501, -0.3132379651069641, 0.5036690831184387, -0.5394743084907532, -0.902924656867981, 0.2389654815196991, -0.18116289377212524, -0.8511185050010681, 0.5691269040107727, 0.21478518843650818, -0.5565164089202881, 0.5402435660362244, 0.6501222252845764, 1.7033144235610962, 0.38655349612236023, -0.17006492614746094, 1.1212995052337646, 0.1685332953929901, -0.4788375198841095, -0.6033382415771484, 0.5527170896530151, -0.10736662894487381, 1.152264952659607, 0.8123184442520142, 0.6240507364273071, -0.5679063200950623, -0.24014051258563995, 0.16259536147117615, 0.4986041486263275, 0.1921461522579193, -0.005849541164934635, 0.5185365676879883, -0.5300692319869995, -0.4703711271286011, 0.16898232698440552, 0.6156085729598999, -0.16269727051258087, 1.4113290309906006, 0.8179545402526855, 0.6340323090553284, -0.42210328578948975, 1.351192593574524, 1.2254480123519897, 0.4794292449951172, -0.23324067890644073, -0.717792272567749, -0.027817629277706146, 1.0410020351409912, -0.4552972614765167, 0.85539710521698, 0.9260960817337036, 0.2744848430156708, -0.15524129569530487, 0.05837688222527504, -0.2540252208709717, -0.8298996686935425, 0.36143481731414795, -0.8307560086250305, -0.20801116526126862, -0.05212127044796944, 0.8828077912330627, 0.8610183596611023, 0.39377209544181824, -0.2273496687412262, 0.3275608718395233, -0.7980130910873413, -2.9444363117218018, 0.009388986974954605, 0.5895039439201355, 0.7006300091743469, -0.6793708205223083, 0.13197073340415955, -0.7515490651130676, -1.4495741128921509, 0.34664177894592285, -0.6268471479415894, 0.8540480732917786, -0.684589684009552, 0.6602601408958435, -0.2867543697357178, -1.0626075267791748, -1.1868500709533691, 1.027186393737793, 0.05798382684588432, -0.32696154713630676, -0.2478404939174652, 0.04585326462984085, 0.1901213526725769, 0.2975851595401764, 0.029080824926495552, 0.34772196412086487, -0.7728349566459656, -0.35522976517677307, -0.062332335859537125, 1.1665149927139282, -1.0527687072753906, -0.5376726984977722, -0.1836208999156952, -0.17654813826084137, -0.2243252396583557, 0.7553161978721619, -0.4571918547153473, -0.6493238806724548, 0.18880125880241394, -0.2884683907032013, 0.07895272970199585, -1.1533504724502563, -1.2677556276321411, 1.1671202182769775, 0.06091143190860748, 0.49002084136009216, 0.9537018537521362, 0.41700807213783264, -0.6742212176322937, -0.3734177052974701, -0.6594058871269226, 0.3493823707103729, -1.2242729663848877, 0.4788685142993927, -0.6826469898223877, 0.08849871903657913, -0.4213164746761322, 1.0932694673538208, -0.0020669109653681517, -0.11346999555826187, -0.27976804971694946, -0.24289944767951965, -0.19045527279376984, 0.4659195840358734, -1.328834891319275, 0.3207762837409973, 0.11543641984462738, 0.07507887482643127, -1.3237953186035156, -0.46043920516967773, 0.40539830923080444, -1.7878087759017944, 0.3327190577983856, 0.4379408657550812, 0.17873571813106537, 0.49640244245529175, -1.4129526615142822, 0.3265523910522461, 0.0440237820148468, -1.236920952796936, 1.231294870376587, 0.5195888876914978, 0.12806305289268494, 0.06788156926631927, -0.856537938117981, 0.39133694767951965, 0.6560676693916321, -0.27636459469795227, -0.037501443177461624, 0.05220312252640724, 0.836560845375061, -0.017317913472652435, -0.4373754858970642, -1.4702550172805786, -1.4988545179367065, -0.05721445381641388, 0.10608047246932983, 0.4662669003009796, -0.867311954498291, 0.3171183466911316, 0.6655664443969727, -0.538784921169281, 0.4466460347175598, 1.1844154596328735, -0.4889010787010193, 0.6487409472465515, 0.4537297785282135, 0.5816370844841003, 0.5497520565986633, 0.46253645420074463, 0.27219241857528687, 0.2683062255382538, 0.29631882905960083, 0.6225759387016296, -0.3864120543003082, -0.9266127943992615, -0.3156774640083313, 0.5338244438171387, -1.106126308441162, -0.6923272013664246, 1.210422158241272, 0.03833887726068497, -0.010443220846354961, 0.058706045150756836, -0.7951061725616455, -0.5211366415023804, 0.17530617117881775, -0.5334617495536804, -0.5522760152816772, 0.6634158492088318, -0.23552832007408142, 0.5077396631240845, 0.17868034541606903, -0.1928751915693283, -1.1163426637649536, 0.763207733631134, 0.535679280757904, 1.0163676738739014, 1.8325247764587402, 0.06208421662449837, 0.4953797161579132, 0.44684624671936035, 0.3984915316104889, 1.1192454099655151, -0.9986903667449951, 0.2688712477684021, 0.9051341414451599, 0.37577056884765625, -0.241074338555336, -0.06441786885261536, -0.9948469996452332, -0.15473228693008423, 1.4250560998916626, -1.2902886867523193, 0.6439763307571411, 0.22596752643585205, -0.07832808792591095, -0.5069044232368469, -0.4385634958744049, -1.043755292892456, 0.1541445255279541, -1.4746917486190796, 2.330063819885254, -0.8356503248214722, -1.7188072204589844, 0.8083104491233826, 1.6486603021621704, 0.4416092336177826, 0.06075064837932587, 0.8112797737121582, -0.7069927453994751, 0.36359432339668274, -0.3992440700531006, -0.6476565599441528, 0.9128069281578064, -1.0854679346084595, 0.7672618627548218, 0.2801989018917084, -0.47938936948776245, 1.0860328674316406, 0.12049531936645508, 0.1942979395389557, -1.3381948471069336, -0.566650390625, -1.5906624794006348, 0.9676950573921204, 0.1654943823814392, -1.0964529514312744, 0.3677486181259155, -0.0018469695933163166, 1.0718399286270142, -0.4459158480167389, 0.4786621928215027, -0.6990858316421509, -2.0640265941619873, -0.24192674458026886, 0.3315539062023163, -1.3533638715744019, 1.9183095693588257, 0.7476852536201477, -0.13363994657993317, 0.696855902671814, -1.0315638780593872, 1.303458333015442, 0.09764548391103745, 0.5455322265625, 0.7930250763893127, 1.8541252613067627, 0.2906928062438965, 0.1092059388756752, -0.270162969827652, -0.49840444326400757, 4.614674091339111, 1.5275793075561523, 0.14598000049591064, -1.7994372844696045, -0.17651766538619995, -0.6548123955726624, 0.27204829454421997, -0.47637903690338135, 0.2840074300765991, 0.8882057070732117, 0.5019229054450989, 1.1163356304168701, 0.4214548170566559, -0.4042258858680725, 0.0692986249923706, 0.2822384238243103, -0.23107798397541046, -0.5606650114059448, 1.071938157081604, 0.2818492650985718, 0.2760886549949646, -0.21058528125286102, -1.303742527961731, -1.567244529724121, 0.841338038444519, -0.735519289970398, 0.30972936749458313, -0.5350365042686462, 1.2768199443817139, 0.5008209347724915, -0.4972890019416809, 0.16451409459114075, 0.4012400805950165, 0.09768648445606232, 0.5185224413871765, 0.3031936287879944, -0.9146577715873718, 0.24875812232494354, -0.5389356017112732, 0.030024589970707893, 0.09282638132572174, 0.05795348435640335, -0.7180777192115784, 0.07007727026939392, -0.37868720293045044, -0.052616070955991745, -0.3645336329936981, -0.9851801991462708, 0.08440808206796646, 0.22251416742801666, -0.18723998963832855, 0.4568001925945282, -0.5530758500099182, -1.133770227432251, -1.3282135725021362, 0.1616712212562561, 0.4934207499027252, -0.011160234920680523, -0.7314594984054565, -0.6547838449478149, -0.16683277487754822, -0.21138320863246918, 1.110064148902893, 0.6398858428001404, 0.7768728733062744, 0.23815996944904327, -1.0160571336746216, 1.7606191635131836, -0.09432796388864517, -0.5711259245872498, -0.6775463819503784, 0.32458892464637756, -0.3412192165851593, 0.03587597981095314, 0.2507269084453583, 0.8000362515449524, -0.8455357551574707, 0.4728037416934967, -0.7305260300636292, -0.6630077958106995, -1.209282398223877, 0.3529919385910034, 0.27418819069862366, -0.3923245668411255, -0.6023399233818054, -0.17775052785873413, -0.511159360408783, 0.20330341160297394, 0.36843910813331604, 0.16108959913253784, -0.5835896730422974, 1.6315728425979614, 0.43883660435676575, -1.294579267501831, -0.2776451110839844, 0.8669882416725159, -0.8480085730552673, -0.12984225153923035, -0.9843626618385315, 1.7825746536254883, -0.4232723116874695, -0.15545348823070526, -0.20106205344200134, 1.2456880807876587, -0.8623034954071045, 0.3228633403778076, 0.9943051338195801, 0.049603421241045, -0.29080331325531006, 0.46305373311042786, 0.7079904675483704, -0.6374049782752991, -0.7389454245567322, -0.5305847525596619, -0.5008722543716431, 0.3413528501987457, -1.0018080472946167, -0.4663655161857605, 0.3212586045265198, -0.8310564160346985, 0.6375031471252441, 0.5102099776268005, 0.13758958876132965, -0.12493319809436798, 0.06684303283691406, 0.20782817900180817, -0.3793388605117798, -0.293870747089386, -0.07194271683692932, -0.12070884555578232, 0.17051026225090027, -0.01565992459654808, -0.03289851173758507, 0.19024859368801117, -1.0387111902236938, -0.28690293431282043, -0.3433757722377777, 0.8188229203224182, -0.44427627325057983, 0.09008481353521347, 0.6810821890830994, -1.020303726196289, -0.7978988885879517, 1.7693736553192139, -0.6986638307571411, 0.06631887704133987, 0.05275639519095421, -0.14136801660060883, 1.0063209533691406, 0.7438504695892334, -0.8137364387512207, -0.26309487223625183, 0.4778738021850586, 0.8432404398918152, 0.08718152344226837, 0.8981984853744507, 0.365172803401947, -0.48104503750801086, -0.36034661531448364, 0.8751227259635925, -0.0321185439825058, 0.17570143938064575, 0.5514880418777466, -0.9945482015609741, 0.3764113485813141, 0.19424153864383698, -0.704021692276001, 0.20730315148830414, -0.21917808055877686, 0.38747966289520264, 0.34639909863471985, 0.013368713669478893, 1.1457778215408325, 0.6960998177528381, -1.1159813404083252, -0.36730679869651794, -0.20652391016483307, -0.3387708365917206, 0.3980587124824524, -0.614360511302948, 0.4101678729057312, 1.0561397075653076, -1.4409805536270142, 0.21330399811267853, -0.4646401107311249, -0.08216596394777298, -1.5613207817077637, 0.6328583359718323, 0.05309372395277023, 0.31850942969322205, 0.2298775613307953, 0.42925164103507996, 0.6719909906387329, -0.024369986727833748, -0.31242698431015015, -0.12588045001029968, 0.455907940864563, 0.6891723275184631, -0.4409922659397125, -0.7087432742118835, 0.37150830030441284, -0.8523935079574585, -0.876584529876709, 0.1018577516078949, -1.3034288883209229, -0.11181642860174179, 0.02835637517273426, 0.7998539209365845, 0.40124931931495667, 0.5339930653572083, 0.4130706787109375, -0.5321850776672363, 0.41039687395095825, -0.6008191108703613, -0.013548141345381737, 0.5304005146026611, -0.8515563011169434, -0.0023132830392569304, 0.27183979749679565, 0.4747878313064575, -0.21974103152751923, 0.9003304839134216, -1.0598889589309692, -0.07436741143465042, -0.4174085855484009, 0.02120283618569374, 0.7221434712409973, -1.0655161142349243, -0.29260921478271484, -1.4397759437561035, 1.1296643018722534, 0.17625164985656738, 0.24487130343914032, 0.9491801261901855, 0.34886252880096436, -0.856086790561676, -0.34451574087142944, -0.2906262278556824, 0.26942431926727295, -0.7985578775405884, -0.22573639452457428, -0.0928664281964302, 0.0106281079351902, 0.9848647117614746, 0.07321108132600784, -0.024917250499129295, -0.2205970287322998, 1.3850507736206055, -0.25140318274497986, -0.6864741444587708, -0.5048037171363831, -0.3549105226993561, 1.3412138223648071, -0.7758759260177612, 1.2405153512954712, 1.9794715642929077, 0.6581516265869141, -1.1373296976089478, -1.1364558935165405, 0.08822084963321686, 0.875266969203949, 0.7531405687332153, 0.010276020504534245, 0.31023627519607544, -1.417440414428711, 0.391289621591568, -0.10031869262456894, 0.46436962485313416, 0.016160694882273674, -0.4904119074344635, 0.06202731281518936, 0.126384437084198, 0.8173016309738159, -0.1671704351902008, -0.38844728469848633, 0.5084579586982727, 0.21315649151802063, 0.46406906843185425, -0.53428053855896, 0.25158578157424927, -0.2235765904188156, 0.2813681662082672, -0.8107008934020996, 1.309228539466858, -0.12121732532978058, -0.3125503957271576, 0.7745726108551025, -0.06344324350357056, -1.298066258430481, 1.0908151865005493, 0.3522786498069763, 0.5415751934051514, -0.4581283628940582, -0.5541509985923767, 1.2525542974472046, -1.0966016054153442, 0.4417344629764557, 0.5288236141204834, -0.5380070209503174, 0.27912142872810364, 0.6743228435516357, -0.10042232275009155, 1.025033950805664, 0.24151206016540527, 0.3781090974807739, -0.7539195418357849, -0.887817919254303, 0.3391767740249634, 0.6625163555145264, 0.5362364053726196, -0.4592854380607605, -0.20833052694797516, 0.47116658091545105, -0.5273262858390808, 1.124309778213501, -0.23404954373836517, 0.8362741470336914, 0.4428136646747589, -0.18626633286476135, -0.16563056409358978, -0.6256768107414246, -1.190560221672058, 0.14971059560775757, -0.17196166515350342, 1.7129900455474854, -0.4455292224884033, -0.18530073761940002, -1.0256624221801758, 0.8354950547218323, 0.00041994181810878217, 0.032392751425504684, -0.27457159757614136, 0.34733349084854126, 0.15918534994125366, -0.610482394695282, -0.8484897017478943, 0.1090264618396759, 1.490321159362793, -0.7194079756736755, 0.24842393398284912, 0.1599397510290146, 1.1487526893615723, 0.014869295991957188, -0.4678650498390198, -1.5653451681137085, -1.0445934534072876, 0.7502291202545166, 0.1215943843126297, 0.22000500559806824, -0.34530892968177795, -1.651471495628357, -0.4631098508834839, 1.0614649057388306, -0.09973966330289841, -0.6373865604400635, -1.2377698421478271, 0.46041592955589294, 0.8785529136657715, 1.1260446310043335 ] ]
{ "indices": [ 436751995, 2257684172, 4068491112, 3425584443, 4147729819, 2842715111, 691409538, 3077810618, 3284862352, 1200021954, 296467145, 333744412, 2723463472, 640124220, 2877535289, 658574542, 2060705647, 2067848296, 620220149, 1296924235, 3967169986, 751175284, 639386442, 2006536704, 1786548735, 1308276157, 3979495055, 2598428456, 2132027491, 358389376, 1041011349 ], "values": [ 0.46785238308125726, 0.46785238308125726, 0.6374651681242771, 0.46785238308125726, 0.6374651681242771, 0.6374651681242771, 0.8406391500877533, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.6374651681242771, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726 ] }
{ "context": "This second one, you can see several sign-extended offsets. So there's a six-bit, that's for base plus offset mode for LDR-STR. There's a nine-bit that's used for branches, and there's an 11-bit that's used for JSR, which you haven't seen. But those three different sign-extended chunks of the IR or a zero, right? And so you can see the four choices and the encodings for address two MUX down over there." }
519759
[ [ -0.6507837176322937, -0.25228574872016907, 0.41874897480010986, -0.29731541872024536, 0.3536410629749298, 0.4900248646736145, -0.6157429218292236, 0.09130524098873138, 0.5603126287460327, -0.3826407492160797, 0.6233124136924744, 0.24855348467826843, 0.37999632954597473, 0.3402975797653198, 0.37124544382095337, 0.5484621524810791, 0.7453409433364868, 0.06425176560878754, -0.5228270888328552, 0.030593926087021828, 0.0496082529425621, -0.6992681622505188, -0.19853997230529785, 0.09242083877325058, -0.6831254363059998, 0.09472299367189407, 0.6056221723556519, -0.39146485924720764, 0.04515523090958595, 1.2863887548446655, -0.44422388076782227, -0.8160784244537354, 1.0817960500717163, -0.18085165321826935, 1.1581261157989502, -0.19184665381908417, 0.3099469244480133, -0.8188449740409851, -0.04108930751681328, 1.1326535940170288, -0.5653278231620789, 0.3705516755580902, -0.19067886471748352, 1.6300290822982788, -0.9076400995254517, 0.9897716641426086, -0.12152626365423203, 0.5533310770988464, 0.7235532402992249, -0.4709344506263733, 0.9790526628494263, -0.7456609606742859, 0.8059289455413818, 0.30686140060424805, 0.17430336773395538, 0.691552460193634, -0.1715395152568817, 0.9824315309524536, 0.2928842306137085, -0.13112087547779083, -0.4494779407978058, -0.1698390543460846, -0.433822900056839, -0.32270383834838867, -0.27168408036231995, -0.7835088968276978, 0.29665496945381165, -0.37320590019226074, 0.6189600229263306, 0.35192611813545227, -0.7456152439117432, 0.27381691336631775, -0.11041277647018433, 0.17133446037769318, 0.6144360899925232, -1.1391384601593018, 0.30002814531326294, 0.015222093090415001, 0.44763195514678955, 0.9478690028190613, -0.40801671147346497, 0.12986671924591064, -1.4134770631790161, -0.16114945709705353, 0.6386143565177917, -0.21647508442401886, 1.3621392250061035, -0.3116072714328766, -0.08624280244112015, 0.3921736180782318, 0.15453460812568665, 0.04400728642940521, 0.7205206751823425, -0.03629486635327339, -0.7262434363365173, -0.3000771105289459, 0.32909005880355835, 0.42516079545021057, -0.36454465985298157, -0.9069743156433105, 0.7519914507865906, -0.7493449449539185, -0.2577255368232727, 0.4291379749774933, 0.32653331756591797, -0.6241822838783264, -0.05057239532470703, -0.3239552080631256, -0.8808106184005737, 0.5119836330413818, -0.71912682056427, 0.6551017761230469, -0.2997738718986511, 0.05229365453124046, 0.5624181628227234, -0.6697607040405273, -0.7836482524871826, -0.2669737637042999, -0.008975958451628685, 1.7415227890014648, 0.7714325189590454, 0.3523240387439728, 0.07171592861413956, -0.19538120925426483, -1.2683327198028564, 0.5959872007369995, 0.508618950843811, -0.22080162167549133, -0.8350183367729187, 1.1591978073120117, -0.3137335181236267, 0.1711134910583496, 0.2116633653640747, 0.16664044559001923, 0.0900653600692749, 0.858380913734436, -1.5676673650741577, -1.8521919250488281, 0.31824299693107605, 0.5017865300178528, -0.28209158778190613, -1.1152654886245728, -0.23579372465610504, 0.8796860575675964, 0.9144927263259888, 0.6809972524642944, 0.9638211727142334, 0.40503206849098206, -0.24908478558063507, 0.43412163853645325, -0.4056011736392975, 0.03286628797650337, -1.3067209720611572, -0.4777103066444397, -0.24602484703063965, -1.021582841873169, 0.1474427878856659, 0.18222348392009735, -0.8395534157752991, -0.8093072175979614, 0.789048969745636, -0.7165585160255432, 0.07978732883930206, -0.3628091812133789, -0.8253378868103027, -1.1497615575790405, 1.8974177837371826, -0.37105560302734375, 0.7727783918380737, 0.4902026951313019, -0.10295791178941727, 0.670769214630127, -0.2709336280822754, 0.27878278493881226, 1.9176278114318848, 0.0557221844792366, 0.5045682787895203, -1.8005616664886475, -0.39400067925453186, -0.4184087812900543, -0.26496246457099915, -0.7786799073219299, 0.2753574550151825, -0.07225902378559113, -0.4976479709148407, 0.4294782876968384, -0.3598203659057617, -0.046228617429733276, 0.8977932333946228, -1.5123586654663086, -0.9035420417785645, -0.3434390127658844, 1.3206863403320312, 0.01815168745815754, -0.8234092593193054, -0.620799720287323, -0.10808707028627396, 0.11123153567314148, 0.20287379622459412, -0.5925800204277039, -0.06055277958512306, 0.29270389676094055, 0.08277340233325958, -0.2661348283290863, 0.3039035201072693, 0.7907858490943909, 0.25909000635147095, -0.7929511070251465, 0.5854842662811279, -1.1760985851287842, 0.25810348987579346, 0.8277327418327332, -0.02931286208331585, -0.3564586043357849, 0.3318173885345459, -0.24209915101528168, -0.6673826575279236, 0.7460866570472717, -0.21556627750396729, 0.19206668436527252, -1.2140949964523315, 0.8798912167549133, 1.844128131866455, 0.2732390761375427, 0.7802038192749023, 1.26992666721344, -0.26711511611938477, -0.37369024753570557, 0.12909089028835297, -0.6549440622329712, -0.27402204275131226, -0.4954378008842468, 0.5080296993255615, -0.17854607105255127, -0.6465770602226257, -0.0011986010940745473, 1.6428027153015137, 0.4453584551811218, 0.3086980879306793, 0.812092125415802, -0.9296855926513672, 0.7112619280815125, 0.24408398568630219, 0.20283138751983643, -0.47796308994293213, 1.116996169090271, 0.7068012952804565, 0.7555882930755615, -0.11167405545711517, 0.2174045741558075, -0.6922712326049805, 1.0470211505889893, 0.6221854090690613, -0.7665917873382568, 0.1085629090666771, -0.7574726939201355, 0.5358515381813049, -0.9279543161392212, 0.1441258192062378, 1.520532250404358, -0.411378413438797, 0.4587273597717285, -0.6283348202705383, -0.39058175683021545, 0.2219560742378235, 0.9653546214103699, -0.6916284561157227, -0.46888864040374756, 0.7334258556365967, 0.2607233226299286, 1.3783650398254395, 0.424111545085907, -0.7278480529785156, -0.6986070275306702, 0.16869698464870453, 0.7275272607803345, -0.2688283622264862, 0.8892353177070618, -0.8663318753242493, -0.1829032450914383, 0.15539734065532684, 0.3164195418357849, 1.070764183998108, 0.07599352300167084, -1.4931563138961792, 0.3100462555885315, 0.13008101284503937, -1.4834986925125122, 0.9468453526496887, 0.7758232355117798, -0.6416909694671631, 0.27721428871154785, -0.08504307270050049, -1.2265913486480713, -0.9032028317451477, 0.22790081799030304, 0.16989067196846008, 0.07172834873199463, -0.08537142723798752, 0.8605382442474365, -0.017076965421438217, -1.1000502109527588, 0.061284516006708145, -1.1654167175292969, 0.32946035265922546, -0.2351086586713791, -1.1819946765899658, -0.10305703431367874, -0.55963134765625, 0.43784746527671814, -0.13130125403404236, -0.4725087583065033, -0.8952505588531494, -0.64461749792099, -0.5516725778579712, -0.25415530800819397, 0.43569284677505493, 0.9814546704292297, -0.47160571813583374, -0.10828344523906708, 0.7222462892532349, 0.49429622292518616, -1.232040286064148, -1.0676419734954834, -0.025266963988542557, -0.7758370637893677, -1.456024408340454, 0.12226860970258713, 0.8480534553527832, 1.0810515880584717, 0.4159794747829437, 0.10429488867521286, 0.8903527855873108, 0.43489402532577515, -0.6094902753829956, -0.7485131621360779, 0.11414027959108353, 0.2235478013753891, -0.9347636699676514, 0.2794152796268463, 0.5487394332885742, -0.2702108919620514, 0.5977315902709961, -0.22441549599170685, 0.2958531975746155, 0.43885254859924316, -0.8863345384597778, 0.724748969078064, 0.4643958806991577, 0.36779671907424927, 0.6429529786109924, -0.45578086376190186, 0.21221697330474854, -0.9022339582443237, -0.3269554376602173, -0.08020690828561783, 0.5981141328811646, -0.24330393970012665, 0.5039070248603821, 0.6088238954544067, 0.6010429263114929, -0.4272306263446808, 0.18552707135677338, -0.05213259160518646, 0.5598592162132263, -0.5002526640892029, -0.30632463097572327, 0.27731871604919434, 0.15871645510196686, 0.19992294907569885, 0.6902263164520264, -0.015185791999101639, -0.6073472499847412, 0.12817616760730743, -1.244704008102417, -0.13012820482254028, 0.8403772115707397, 1.0433937311172485, -0.22477523982524872, 0.9546782374382019, 0.0552004836499691, -0.18134048581123352, -0.19494391977787018, 0.220157653093338, -1.3171051740646362, 0.32798245549201965, 0.2923204004764557, 1.1241456270217896, 0.40675783157348633, 0.386438250541687, 1.0654348134994507, -0.7927513122558594, -1.208292007446289, -0.0727873370051384, -0.40588799118995667, -0.6596295237541199, 0.04787840694189072, -0.37105974555015564, -0.7310612201690674, -0.3225085139274597, 0.3529912531375885, 1.712734580039978, 0.8854845762252808, 0.07671310752630234, 1.0303137302398682, 0.2808351516723633, -0.0036178750451654196, -0.618199348449707, 0.25842148065567017, -0.3247820734977722, 0.8950360417366028, 0.710651695728302, 0.564379096031189, -0.5755730271339417, 0.004288224969059229, 0.0059306747280061245, 0.11529550701379776, 0.7199714779853821, 0.12333254516124725, 0.2858183681964874, 0.2077983170747757, -0.36129316687583923, -0.07460317760705948, -0.028093358501791954, -0.02527991123497486, 0.8805837631225586, 0.18626438081264496, 0.38592779636383057, 0.09715265035629272, 1.232802391052246, 0.8742725849151611, -1.0492894649505615, -0.4452667534351349, -0.16353534162044525, -0.27571889758110046, 0.4308532178401947, -0.14260333776474, 0.9307661056518555, 0.7067134380340576, 0.6890304684638977, -0.415458619594574, 0.575585663318634, -0.7430354356765747, -1.235364317893982, 0.6847397685050964, -0.47479817271232605, -0.2089274674654007, -0.4401366114616394, 0.5414464473724365, 1.1531035900115967, -0.03300681337714195, 0.22002123296260834, 0.20184572041034698, -0.6351515054702759, -3.0716145038604736, -0.39185938239097595, 0.13973553478717804, 0.9519091844558716, -1.2719165086746216, 0.055980101227760315, -0.4378126263618469, -0.920426070690155, 1.0255120992660522, -0.6873899698257446, 0.4471488893032074, -0.6689890027046204, -0.11273741722106934, -0.5931450724601746, -1.3053474426269531, -1.0100499391555786, 1.5209200382232666, -0.613053560256958, -0.512563943862915, -0.3665509521961212, -0.2909296154975891, 0.537195086479187, 0.7413363456726074, 0.5135131478309631, 0.7553641200065613, -0.390952467918396, -0.3575311303138733, 0.13631711900234222, 0.7590635418891907, -1.0753275156021118, -0.7739802598953247, 1.132559895515442, -0.30977392196655273, -0.33036065101623535, 0.8231767416000366, 0.34276828169822693, -0.5235149264335632, 0.2227969467639923, 0.5003629326820374, -0.4314355254173279, -0.2628050148487091, -0.9268649816513062, 1.054086685180664, 0.348887175321579, 0.6541728973388672, 1.0253221988677979, -0.1558813601732254, -0.39594584703445435, -0.3196277320384979, -0.027636438608169556, 0.4135008752346039, -0.24532216787338257, 0.7740496397018433, -0.36596229672431946, 0.3903847932815552, -1.0244600772857666, 1.8401073217391968, -0.6061989665031433, 0.10563266277313232, 0.27478525042533875, -0.3444523215293884, -0.14357687532901764, 1.0571386814117432, -1.267473578453064, 0.017660554498434067, 0.33051031827926636, 0.4444345235824585, -1.200135588645935, 0.2990108132362366, 0.279824435710907, -1.6610980033874512, 0.5232973694801331, 0.11233464628458023, -0.29023033380508423, 0.7959045767784119, -0.795371413230896, 0.9260503649711609, -0.06242706626653671, -0.7098711133003235, 0.9147340655326843, 0.22335471212863922, 0.24714139103889465, 0.21966597437858582, -0.9936738014221191, -0.345039963722229, 0.16630196571350098, -0.5895707607269287, -0.24515874683856964, 0.4794728457927704, 0.8436238765716553, -0.16517086327075958, 0.031559284776449203, -1.3024102449417114, -0.6523841619491577, -0.26643097400665283, 0.5735213160514832, 0.3546525835990906, -0.6201701164245605, -0.09484770894050598, 0.9626136422157288, -0.6738113164901733, -0.25430601835250854, 1.1985301971435547, 0.028292417526245117, 0.35064780712127686, 0.3037189245223999, 0.23208338022232056, 0.6632508039474487, 0.7079584002494812, 0.404225617647171, 1.0796724557876587, 0.1588817536830902, 0.025665389373898506, -1.6608290672302246, -0.7541329264640808, -0.16481256484985352, -0.09575805813074112, -1.3281100988388062, -0.39586782455444336, 1.0505553483963013, 0.45692792534828186, 0.7709779739379883, -0.23057419061660767, -1.1635034084320068, -0.4423963129520416, 0.17007599771022797, -0.3873300552368164, -0.738692045211792, 0.39013534784317017, -0.3538077771663666, 0.3358660340309143, 0.6023714542388916, -0.36062178015708923, -0.4776937961578369, 0.76526939868927, 0.7534299492835999, 1.2826660871505737, 1.6767090559005737, 0.44740864634513855, 0.41951626539230347, 0.6286171078681946, 0.3556250333786011, 1.0793790817260742, -0.9428072571754456, 0.0853973850607872, 0.98603355884552, 0.25771045684814453, -0.12588506937026978, -0.8546522855758667, -0.9739729166030884, 0.23826080560684204, 0.3868933618068695, 0.09480997920036316, 0.413591206073761, -0.010152565315365791, 0.34249457716941833, 0.01402193307876587, -0.17848776280879974, -0.9899342060089111, 0.48038116097450256, -0.7366966605186462, 1.699890375137329, -0.7427197694778442, -1.1080855131149292, 0.7661495804786682, 1.4436269998550415, 0.6933366060256958, -0.020787013694643974, 1.1175196170806885, -0.09617754817008972, -0.13936184346675873, -0.44353532791137695, -1.0541948080062866, 1.2642288208007812, -0.7617243528366089, 0.687325119972229, -0.15783964097499847, -0.13613936305046082, 1.186692237854004, 0.00047919992357492447, 0.25199198722839355, -1.1892809867858887, -0.2892381250858307, -2.0126540660858154, 1.015529990196228, 0.18739019334316254, -0.4162616431713104, -0.06135795637965202, -0.23604433238506317, 0.677398681640625, 0.11718248575925827, 0.8714709281921387, -0.4615998864173889, -1.292974591255188, 0.05347174033522606, 0.4369778335094452, -1.03331458568573, 0.9340788125991821, 0.48489293456077576, 0.2207040637731552, 0.7853566408157349, 0.01475411094725132, 0.5154730677604675, 0.6198100447654724, 0.37890303134918213, 1.3064045906066895, 1.7496235370635986, 0.017277773469686508, 0.3800262212753296, -0.7489309906959534, -0.1266685426235199, 5.139444351196289, 1.1370097398757935, -0.3213135600090027, -2.0915720462799072, -0.33791545033454895, -0.8054772019386292, -0.26250556111335754, -0.04228680208325386, 0.43519294261932373, 0.3601428270339966, -0.2745043933391571, 0.6256911158561707, 0.9105557203292847, 0.16289816796779633, -0.08818931877613068, 0.3537231385707855, -0.6929943561553955, -0.050210192799568176, 1.2785227298736572, -0.0977017730474472, -0.11993345618247986, -0.45175454020500183, -1.375647783279419, -1.488576054573059, 1.131361961364746, -0.444802850484848, 0.5458335280418396, -1.2290780544281006, 1.2375116348266602, 0.19951984286308289, -0.40390413999557495, 0.5555844306945801, 0.5148618221282959, 0.47518742084503174, 0.3959341049194336, 0.701346218585968, -0.6021940112113953, -0.028828738257288933, -0.6559326648712158, 0.03777358680963516, -0.11925971508026123, -0.39295104146003723, -0.7515297532081604, -0.6600985527038574, -0.47332873940467834, -0.5850874781608582, -0.6181046366691589, -0.31739363074302673, 0.028606761246919632, -0.3137924373149872, -0.26210567355155945, 0.19956231117248535, 0.1017090231180191, -0.9220328330993652, -1.0748798847198486, 0.08834787458181381, 0.15448874235153198, 0.4259045124053955, -0.7240005731582642, -0.2705404758453369, 0.29663097858428955, -0.5010949969291687, 1.2307910919189453, 0.20205485820770264, 0.673987865447998, 0.21872127056121826, 0.08810240775346756, 1.820136308670044, -0.19697201251983643, -0.3212721645832062, -0.820762574672699, 0.09305911511182785, -0.1943725347518921, 0.010008580982685089, 0.3665388822555542, 1.0539133548736572, -0.3421396315097809, -0.01793823018670082, -1.3139846324920654, -1.2581396102905273, -1.4244322776794434, -0.6670935153961182, 0.28253352642059326, -0.3260610103607178, -0.8100597858428955, -0.11627563834190369, -0.09264557808637619, 0.5134796500205994, 0.6865830421447754, -0.31340280175209045, -0.5060924291610718, 1.3443540334701538, 0.10886844992637634, -1.607579231262207, -0.9353741407394409, 0.20755885541439056, -0.7379430532455444, -0.9891794919967651, -1.0115984678268433, 1.5221346616744995, 0.3215593993663788, -0.4714183807373047, -0.22265060245990753, 0.8380774855613708, -0.568848729133606, -0.01565438322722912, 0.20638610422611237, 0.20439451932907104, -0.6590632796287537, 0.2998325526714325, 0.49613499641418457, -1.0242544412612915, -0.592445433139801, -0.9272478222846985, 0.03547774627804756, 0.5666603446006775, -0.8206474781036377, 0.15848815441131592, -0.34702548384666443, -0.9026077389717102, 0.2874259948730469, 0.17327134311199188, 0.3495508134365082, -0.4567425847053528, -0.8428600430488586, 0.20348967611789703, -0.21839360892772675, -0.3081134557723999, 0.5418367385864258, -0.11155278235673904, -0.26641714572906494, 0.21480566263198853, 0.03549489006400108, 0.8726127743721008, -0.8663164973258972, -0.2978894114494324, -0.626018226146698, 0.9334747791290283, -0.46123483777046204, -0.09178108721971512, 1.083694577217102, -0.4763083755970001, -0.3433004915714264, 1.200580358505249, -0.23867493867874146, -0.09416916221380234, 0.2986670732498169, 0.28558996319770813, 1.2761423587799072, 0.7869952917098999, -1.141795039176941, -0.19705471396446228, 0.4905875027179718, -0.27341732382774353, 0.039698079228401184, 0.779941976070404, 0.7451963424682617, 0.11674502491950989, -1.0071544647216797, 0.9347555637359619, 0.019174683839082718, 0.08707324415445328, 0.5017675757408142, -0.9475866556167603, 0.4727756977081299, 0.43179455399513245, -0.8739866018295288, 0.37901750206947327, 0.17803819477558136, 0.27090612053871155, -0.809035062789917, 0.6748027801513672, 1.068729281425476, 0.3680325448513031, -1.4121524095535278, -0.3369677662849426, -0.46521610021591187, -0.13923503458499908, 0.9886391758918762, -0.8444633483886719, -0.23942650854587555, -0.046132393181324005, -1.1119751930236816, 0.09738823026418686, -0.5607789754867554, 0.002883653389289975, -1.0521607398986816, 1.2503657341003418, -0.6722956299781799, 0.10311667621135712, 0.631197988986969, 0.07010103762149811, 0.26293522119522095, 0.2731311619281769, -0.5580554008483887, -0.1688004732131958, 0.6998440027236938, 1.1124333143234253, -0.5709677338600159, -0.6853214502334595, 0.39800742268562317, -1.0297189950942993, -1.1537752151489258, 0.44226494431495667, -1.3589730262756348, 0.31727510690689087, -0.09717530757188797, 0.9124574065208435, 0.0470745787024498, 0.8678296208381653, -0.11103801429271698, -0.49505066871643066, -0.5700426697731018, 0.18012189865112305, -0.253210186958313, 0.8777821063995361, -1.386932134628296, 0.3235109746456146, -0.07373272627592087, 0.35344094038009644, -0.05418454855680466, 0.7867221236228943, -1.6093497276306152, -0.45267900824546814, -0.14566747844219208, -0.17035570740699768, 0.9638940691947937, -0.584601879119873, -0.47987115383148193, -0.21131205558776855, 0.3457309901714325, 0.528962254524231, 0.5621120929718018, 0.051425423473119736, 0.29425281286239624, -1.4290255308151245, -0.39242610335350037, 0.380038321018219, 0.6051368713378906, -1.1262108087539673, -0.03095318004488945, 0.17951883375644684, -0.37501874566078186, 0.21696467697620392, 0.5547301173210144, -0.11173468083143234, -0.8912558555603027, 0.5881665349006653, -0.7493668794631958, -0.4547416567802429, -0.15952211618423462, -0.10466104745864868, 0.9008781313896179, -0.47208163142204285, 1.539480209350586, 1.3411887884140015, 0.4635724127292633, -0.7264282703399658, -0.9695999622344971, -0.008682639338076115, 1.131265640258789, 0.8309647440910339, 0.26334816217422485, 0.3088163733482361, -1.4330040216445923, 0.5110858082771301, 0.002869040472432971, 0.5719598531723022, 0.20795172452926636, -0.04004078358411789, 0.06592758744955063, -0.09712926298379898, 1.0373204946517944, -0.26894304156303406, 0.6613091230392456, 0.3976474702358246, 0.32272452116012573, 0.0027265192475169897, 0.06492552906274796, -0.37651383876800537, -0.24376937747001648, 0.48637983202934265, -0.08903814107179642, 1.127052664756775, -0.16426973044872284, 0.0872596874833107, -0.11058630794286728, -0.40484896302223206, -0.9908861517906189, 1.3342411518096924, -0.23186275362968445, 0.26065900921821594, -0.5243140459060669, -0.3741918206214905, 0.7406195998191833, -0.9315302968025208, 0.33075016736984253, 0.7574098706245422, 0.09102844446897507, -0.07926963269710541, 0.14824342727661133, 0.4804533123970032, 1.4168308973312378, 0.3753643333911896, 0.7611477971076965, -0.7745171785354614, -0.4330989420413971, 0.1973336786031723, 0.11546618491411209, 0.6385729908943176, 0.2439037412405014, -0.11488595604896545, 0.23646187782287598, -0.27851006388664246, 1.375108242034912, -0.3937448561191559, 0.6915063858032227, 0.6724963784217834, -0.11657491326332092, 0.38857969641685486, -0.7889271974563599, -1.4181642532348633, 0.12957295775413513, 0.3167644143104553, 1.547371506690979, -0.4039493501186371, 0.12751060724258423, -1.2230650186538696, 0.2114865481853485, -0.2613425850868225, -0.29117104411125183, -0.8960912823677063, -0.4959486126899719, -0.03781234100461006, -0.5445295572280884, -1.098901391029358, -0.6906846165657043, 0.6898801326751709, -0.4077085554599762, 0.2643612027168274, 0.15914468467235565, -0.5955621600151062, -0.6624451875686646, -0.41816291213035583, -1.2415204048156738, -1.1815274953842163, 0.4836184084415436, 0.3206108808517456, 0.616947591304779, -0.775542676448822, -1.127450942993164, -0.5796396732330322, 0.5803676247596741, -0.5148250460624695, -0.4901888072490692, -0.8011139035224915, 0.4188506603240967, 0.9530248045921326, 0.7963253855705261 ] ]
{ "indices": [ 691409538, 2723463472, 640124220, 2877535289, 658574542, 2060705647, 2067848296, 620220149, 1296924235, 3967169986, 4147729819, 751175284, 639386442, 2006536704, 1786548735, 4068491112, 1308276157, 3979495055, 2598428456, 2132027491, 358389376, 1041011349, 1432087569, 894451947, 3005552705, 4186256544, 4011823516, 997012898, 3023675989, 1153915709, 3876624646 ], "values": [ 0.7817858489589629, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065 ] }
{ "context": "There's a nine-bit that's used for branches, and there's an 11-bit that's used for JSR, which you haven't seen. But those three different sign-extended chunks of the IR or a zero, right? And so you can see the four choices and the encodings for address two MUX down over there. Once you've decided those two values, those go into this adder, get added together and go into MarMUX, your other choice is over here for MarMUX." }
878470
[ [ -0.17552314698696136, -0.8566007614135742, -0.40547817945480347, -0.5167447924613953, 0.1124262809753418, 0.08735325187444687, -0.18913336098194122, 0.3054872751235962, 0.8286249041557312, -0.9695310592651367, 0.4846700429916382, 0.7675092220306396, 0.7803319692611694, 0.41646498441696167, 0.4363437592983246, -0.03354968503117561, 0.7983065843582153, -0.41620513796806335, -0.8264746069908142, -0.12865594029426575, 0.603624701499939, -0.7436679005622864, -0.3904740512371063, -0.2519611120223999, -0.7720468640327454, 0.47574853897094727, 0.37518879771232605, -0.49862223863601685, 0.8191670179367065, 0.5520077347755432, -0.594916045665741, -1.0154314041137695, 0.3802754580974579, -0.3153071701526642, 0.5282079577445984, 0.022053977474570274, -0.13242612779140472, -0.45409470796585083, -0.3740377426147461, 0.8622893691062927, -0.6216647028923035, 0.34825751185417175, -0.21818053722381592, 1.0181653499603271, -0.6633890867233276, 0.41267693042755127, -0.15169352293014526, 0.5107345581054688, 1.0040850639343262, -0.7461438179016113, 1.1489378213882446, -0.8240441679954529, 0.6622948050498962, 0.2786845564842224, 0.051140666007995605, 0.1806008666753769, 0.7737835049629211, 1.7274900674819946, 0.23107028007507324, -0.867109477519989, -0.6638321876525879, -0.15726517140865326, 0.2989673316478729, -0.34199225902557373, -0.3788396418094635, -0.8677712678909302, 0.35377877950668335, -0.5734007358551025, 0.7464356422424316, 0.13214272260665894, -0.837971031665802, -0.1289648562669754, 0.2655446529388428, 0.21669912338256836, 0.905180811882019, -1.059026837348938, 0.871552586555481, 0.04887083172798157, 0.48363959789276123, 0.9469963908195496, -0.9303181767463684, -0.1967366337776184, -1.2657731771469116, 0.2925682067871094, 0.2551196813583374, -0.8535731434822083, 2.0879781246185303, -0.13110844790935516, -0.09902562201023102, 0.3395286798477173, 0.5014796257019043, -0.3220655024051666, 1.0016058683395386, -0.3106975853443146, -0.3899378180503845, 0.22603242099285126, 0.791416347026825, 0.6213697195053101, -0.31074202060699463, -0.4137173593044281, 0.7191939949989319, -0.997292697429657, -0.09083648025989532, 0.9775968790054321, 0.28205233812332153, -0.1344972848892212, -0.39770248532295227, -0.2548031210899353, -0.772396981716156, 0.648874044418335, -1.2721388339996338, 0.7447114586830139, -0.7668962478637695, 0.5929614305496216, 0.1559562236070633, -0.16897204518318176, -1.0379239320755005, -0.30974942445755005, 0.9395560622215271, 0.6282532811164856, 0.9568663239479065, 0.01843184046447277, 0.5248380303382874, -0.4576496481895447, -1.5992610454559326, 1.0546188354492188, 0.33665600419044495, -0.06990756094455719, -0.5620462894439697, 0.5644442439079285, -0.07390992343425751, 0.05871596932411194, -0.21976147592067719, 0.28570878505706787, 0.021177571266889572, 0.663989782333374, -1.6223623752593994, -1.6866205930709839, 0.8532596826553345, 0.36815738677978516, -0.17334261536598206, -1.2705210447311401, -0.12256123870611191, 0.9255189299583435, 0.8933670520782471, 0.3072136342525482, 0.9380131959915161, 0.6929639577865601, -0.3750443756580353, 0.5583243370056152, -0.7980082631111145, -0.14666184782981873, -1.1616941690444946, -0.5275943279266357, -0.07283733785152435, -0.4874855577945709, -0.26293161511421204, 0.28445082902908325, -0.9283657670021057, -0.5551953315734863, 0.8925191760063171, -0.4000035524368286, -0.056715063750743866, 0.16352160274982452, -0.6585590839385986, -1.194770336151123, 1.5969792604446411, -0.285596638917923, 0.7745023369789124, 0.6366797685623169, 0.42583075165748596, 0.6397165656089783, 0.029331069439649582, 0.6638931632041931, 1.6332634687423706, -0.8709272742271423, 0.5785622596740723, -1.3622596263885498, -0.43268758058547974, -0.2687040865421295, -0.557523787021637, -0.9735553860664368, 0.2023763656616211, 0.2422008067369461, -0.2533167004585266, 0.3907054662704468, -0.2434026300907135, 0.47572842240333557, 1.465954303741455, -1.3912646770477295, -0.466013103723526, -0.14232009649276733, 0.9785193204879761, -0.10581177473068237, -1.2504103183746338, -0.9491884708404541, -0.3612840175628662, 0.0776987224817276, 0.009378666989505291, -0.7514989972114563, -0.6472629904747009, 0.5880165696144104, 0.5294761061668396, -0.5357145071029663, 0.3019271194934845, 0.21584448218345642, 0.7025834321975708, -0.3972671926021576, 0.8104000091552734, -0.6953216791152954, -0.2828986942768097, 0.8182676434516907, -0.22761961817741394, 0.15390481054782867, -0.038541022688150406, 0.3923013508319855, -0.6305384635925293, 1.119707703590393, 0.3441574275493622, 0.34111377596855164, -1.387347936630249, 1.022753119468689, 1.8584100008010864, 0.9275698065757751, 0.7261826395988464, 0.744500458240509, -0.24995605647563934, -0.4592816233634949, 0.3048394024372101, -0.4414610266685486, -0.6393690705299377, -0.8690405488014221, 0.14607533812522888, -0.047062601894140244, -0.454738587141037, -0.09810811281204224, 1.8088957071304321, 0.5483339428901672, 0.9148580431938171, -0.10995141416788101, -1.1725419759750366, 0.6795942187309265, 0.495485782623291, 1.0478321313858032, 0.16388283669948578, 1.182735562324524, 0.7391361594200134, 1.5138574838638306, -0.2732093036174774, 0.13590985536575317, -0.7394734621047974, 0.837395191192627, 0.4073910713195801, -0.45437347888946533, 0.12565778195858002, -1.0166378021240234, 0.6309174299240112, -0.5099821090698242, -0.006398436147719622, 0.8432391285896301, -0.4351910352706909, 0.15727494657039642, 0.12074004113674164, -0.6540406942367554, 0.23847483098506927, 0.3434346318244934, -0.24872364103794098, -1.103956937789917, 1.1163098812103271, -0.34890079498291016, 1.3072031736373901, 0.15750740468502045, -0.8348758816719055, -1.1484202146530151, 0.2730398178100586, 0.8134064078330994, -0.42983168363571167, 1.1739270687103271, -1.3462611436843872, -0.6229715943336487, -0.16304823756217957, 0.30366119742393494, 0.5050948858261108, 0.004634950775653124, -1.898428201675415, 0.28903284668922424, 0.012825483456254005, -1.089274525642395, 0.2796185612678528, 0.38597360253334045, -0.6922759413719177, 0.2523244619369507, -0.37371376156806946, -0.7919487953186035, -0.4956219494342804, -0.06353023648262024, -0.004309147130697966, 0.004031648393720388, 0.05486186221241951, 1.1631587743759155, 0.5273094773292542, -1.3528050184249878, -0.10451077669858932, -0.8913070559501648, -0.006085736211389303, 0.10985451936721802, -1.0843864679336548, -0.045824453234672546, -0.33021649718284607, 0.6980998516082764, -0.02732015959918499, -0.2527010142803192, -0.4156193435192108, -0.7208971381187439, -1.196528434753418, 0.2265910804271698, 0.7820113897323608, 1.0170484781265259, -0.3406527638435364, -0.3776217997074127, 0.850868284702301, 0.5558461546897888, -1.083968162536621, -0.703100323677063, 0.22185781598091125, -0.5948131084442139, -0.9326423406600952, -0.22924983501434326, 1.478914737701416, 0.5093840956687927, 0.7877771854400635, -0.03596310690045357, 0.9901252388954163, 1.0619159936904907, -0.4455713927745819, -1.071205973625183, 0.072122722864151, 0.5305752158164978, -0.669162392616272, 0.04081140458583832, 0.9342290759086609, -0.24480876326560974, 0.5059098601341248, -0.1816999763250351, 0.8266291618347168, 0.4470551013946533, -0.8307688236236572, 0.21884030103683472, -0.0043431492522358894, 0.8913062810897827, 0.01827896013855934, -0.44204267859458923, 0.30310413241386414, -1.2970314025878906, -0.18468642234802246, 0.415854811668396, 0.9731664061546326, -0.3495422303676605, -0.18568341434001923, 0.7887594699859619, 0.5779486298561096, -0.5474644899368286, -0.2593421936035156, 0.010135871358215809, -0.07289806753396988, 0.33133015036582947, -0.3732699751853943, -0.023393861949443817, 0.4209401607513428, -0.28404396772384644, 0.6975924372673035, 0.5870157480239868, -0.6025314331054688, 0.0608869343996048, -1.5053578615188599, -0.04939254745841026, 0.9807917475700378, 0.8420217037200928, 0.20459268987178802, 0.7930306196212769, 0.022593848407268524, -0.16056907176971436, -0.2873649001121521, 0.03868400678038597, -1.7453315258026123, 0.15138806402683258, 0.2422877848148346, 0.04744705557823181, 0.5123603343963623, -0.027257820591330528, 0.6697514057159424, -0.7936467528343201, -0.7033535838127136, 0.17969352006912231, -0.27802756428718567, -0.7384806871414185, 0.4009804427623749, 0.08647753298282623, -0.3566010892391205, 0.6166877746582031, 0.5270361304283142, 1.6984063386917114, 0.3168892562389374, -0.038396403193473816, 1.032113790512085, 0.08592004328966141, -0.3664628863334656, -0.5578927993774414, 0.4932599663734436, 0.1355644315481186, 1.4262489080429077, 0.7155432105064392, 0.6737734079360962, -0.48867085576057434, -0.2251419574022293, 0.39741775393486023, 0.3115501403808594, 0.2807157039642334, -0.20357879996299744, 0.6948821544647217, -0.6106102466583252, -0.7450723052024841, -0.1296057105064392, 0.58757483959198, 0.16343897581100464, 1.4378447532653809, 0.7666333913803101, 0.3157584071159363, -0.43069007992744446, 1.2914786338806152, 1.1304904222488403, 0.19040492177009583, -0.18660801649093628, -0.6420918703079224, -0.011823647655546665, 0.9560001492500305, -0.29649683833122253, 0.6859054565429688, 0.8858239650726318, 0.5348014831542969, -0.28849858045578003, 0.1939946413040161, -0.27506035566329956, -1.033633828163147, 0.6591066718101501, -0.6575044393539429, -0.3379804790019989, -0.052760589867830276, 0.6944233775138855, 0.8251506686210632, 0.37751463055610657, 0.013753585517406464, 0.4148827791213989, -0.9459781646728516, -2.8812942504882812, -0.25086840987205505, 0.30642104148864746, 0.4270445704460144, -0.710327684879303, -0.1401364654302597, -0.6786080598831177, -1.4041821956634521, 0.753915548324585, -0.7007696628570557, 0.8350825905799866, -0.6593726873397827, 0.7610217332839966, -0.4916844367980957, -1.1742515563964844, -0.9978119134902954, 1.0852278470993042, -0.19824112951755524, -0.1814560890197754, -0.18906985223293304, -0.09585708379745483, 0.3675829768180847, 0.3493444323539734, 0.03263101354241371, 0.44234177470207214, -0.7371153235435486, -0.42454391717910767, -0.05292404443025589, 0.9164776802062988, -1.1344571113586426, -0.837440013885498, 0.00525554409250617, -0.4447289705276489, -0.19922254979610443, 0.6959972381591797, -0.17717033624649048, -0.7346696853637695, -0.0400722399353981, -0.20067167282104492, -0.1274898201227188, -0.8587383031845093, -1.1910024881362915, 1.0572566986083984, 0.058988649398088455, 0.5522825717926025, 1.0094212293624878, 0.325063556432724, -0.6107779741287231, -0.32451072335243225, -0.4110270142555237, 0.1331695020198822, -0.9516497850418091, 0.6034484505653381, -0.5227176547050476, -0.18103453516960144, -0.6495853662490845, 1.2272050380706787, -0.27370569109916687, -0.1531563699245453, 0.13577918708324432, -0.24064187705516815, -0.029369818046689034, 0.8015332818031311, -1.2729095220565796, 0.20236289501190186, 0.13394713401794434, -0.1351975053548813, -1.205816626548767, -0.271949827671051, 0.21077857911586761, -1.6490015983581543, 0.3228645920753479, 0.3184570074081421, -0.17263464629650116, 0.30839434266090393, -1.332079529762268, 0.2896040380001068, -0.20109140872955322, -1.1424044370651245, 1.1939671039581299, 0.22842863202095032, 0.34448951482772827, 0.23050877451896667, -0.8858364224433899, 0.2162918597459793, 0.3912820816040039, -0.31581419706344604, 0.13392679393291473, 0.03740402311086655, 0.6183797121047974, -0.1157277449965477, -0.18996959924697876, -1.5460566282272339, -1.331660270690918, 0.10121273994445801, 0.062432143837213516, 0.15782508254051208, -0.6726903319358826, 0.27840617299079895, 0.49373626708984375, -0.5832147598266602, 0.07357966899871826, 1.2799501419067383, -0.5377520322799683, 0.6900059580802917, 0.39667218923568726, 0.40504127740859985, 0.4435606002807617, 0.5925433039665222, 0.257203608751297, 0.48000404238700867, 0.3166820704936981, 0.21735508739948273, -0.6555001735687256, -0.8381223678588867, -0.5739511251449585, 0.2437584549188614, -1.2907601594924927, -0.822510302066803, 1.180881142616272, -0.013211539946496487, -0.10278765857219696, -0.029340490698814392, -0.8261893391609192, -0.22184619307518005, 0.25488659739494324, -0.45581936836242676, -0.4832041263580322, 0.6638745069503784, -0.1459362655878067, 0.5130912065505981, 0.35398927330970764, 0.032981764525175095, -0.9087470173835754, 0.7708063721656799, 0.4411494731903076, 1.1540977954864502, 1.5094122886657715, 0.1866205781698227, 0.2296195924282074, 0.377340704202652, 0.2907703220844269, 1.0460317134857178, -0.8997972011566162, 0.15847592055797577, 0.5512730479240417, 0.24003615975379944, -0.4232018291950226, -0.15003907680511475, -0.8655962944030762, 0.014944769442081451, 1.0821342468261719, -1.211116909980774, 0.6228158473968506, 0.15683652460575104, 0.24423611164093018, -0.10365080088376999, -0.39005139470100403, -0.7965888381004333, 0.3383178412914276, -1.300692081451416, 2.3130059242248535, -0.7637719511985779, -1.584083080291748, 1.0400993824005127, 1.3597201108932495, 0.4151557385921478, 0.0487644299864769, 1.0739173889160156, -0.7742272615432739, 0.144668310880661, -0.5776041746139526, -0.6292416453361511, 0.9242244958877563, -0.9581689238548279, 0.5736019611358643, 0.05366940051317215, -0.3006648123264313, 1.3118726015090942, 0.12988436222076416, 0.14535996317863464, -1.3407751321792603, -0.565667450428009, -1.9513895511627197, 1.0008344650268555, 0.14323949813842773, -0.7757105231285095, 0.314422607421875, 0.09949856996536255, 0.7960318326950073, -0.47636330127716064, 0.8531213402748108, -0.597544252872467, -1.9363070726394653, -0.01107205729931593, 0.25730547308921814, -1.457948088645935, 1.7314828634262085, 0.6898431777954102, 0.18623575568199158, 0.6211962103843689, -0.9684966206550598, 1.1460494995117188, 0.20396539568901062, 0.2782995104789734, 0.7730836868286133, 1.6193914413452148, 0.11063142120838165, -0.10405280441045761, -0.3037564158439636, -0.4597294330596924, 5.009697437286377, 1.3851674795150757, 0.07508983463048935, -1.8753107786178589, 0.019599897786974907, -0.7046356201171875, 0.08128564059734344, -0.3900943994522095, 0.3384869694709778, 1.0498392581939697, 0.38102516531944275, 0.7550833821296692, 0.42065200209617615, -0.42170846462249756, 0.11952164769172668, 0.23416979610919952, -0.42238035798072815, -0.6837520599365234, 1.0360087156295776, 0.1816563904285431, 0.30659207701683044, -0.24121388792991638, -1.1965693235397339, -1.3536747694015503, 0.9275257587432861, -0.6514123678207397, 0.30309006571769714, -0.7489392161369324, 1.4659110307693481, 0.41326451301574707, -0.504055917263031, 0.32543352246284485, 0.6004090309143066, 0.2333451807498932, 0.5768106579780579, 0.3716656565666199, -0.6877464652061462, -0.02713826112449169, -0.5286556482315063, 0.1824451982975006, -0.020908627659082413, -0.10186208039522171, -0.7897213101387024, 0.10421627759933472, -0.3740599751472473, -0.12614890933036804, -0.5199292302131653, -0.7576968669891357, 0.053402431309223175, 0.11990585178136826, -0.03582823649048805, 0.5639635920524597, -0.642899215221405, -1.1316509246826172, -1.2879080772399902, 0.3498707115650177, 0.13205169141292572, 0.09035905450582504, -0.8145092725753784, -0.43302956223487854, 0.2211146503686905, -0.289360374212265, 1.2887276411056519, 0.7484607100486755, 0.8293792605400085, 0.19156253337860107, -1.0648566484451294, 1.6733702421188354, -0.11146508157253265, -0.40117326378822327, -0.605731725692749, 0.3790220320224762, -0.4787050187587738, 0.0916142538189888, 0.2808051109313965, 0.7438898682594299, -0.9418182373046875, 0.28707870841026306, -0.8037654161453247, -0.6774570941925049, -1.2943209409713745, -0.099634550511837, 0.06754568964242935, -0.35410019755363464, -0.8567193150520325, -0.13297462463378906, -0.1948799043893814, 0.20205828547477722, 0.6141047477722168, -0.09876204282045364, -0.43162330985069275, 1.4855653047561646, 0.20253266394138336, -1.3976160287857056, -0.13748671114444733, 0.732223391532898, -1.0281426906585693, -0.48749440908432007, -1.00612211227417, 1.6173912286758423, -0.2687763273715973, -0.10425582528114319, -0.11930019408464432, 1.269167184829712, -0.7161106467247009, 0.39233776926994324, 0.8467172980308533, 0.22971440851688385, -0.4578644931316376, 0.3677447438240051, 0.6298114061355591, -0.8498268127441406, -0.7855473160743713, -0.4734756350517273, -0.45662447810173035, 0.25606951117515564, -0.9293570518493652, -0.23521104454994202, 0.22249101102352142, -0.5443559885025024, 0.433959037065506, 0.45653820037841797, 0.2628481984138489, -0.38600486516952515, -0.14221222698688507, 0.1450374871492386, -0.21384932100772858, -0.2820976972579956, -0.14068804681301117, -0.2951599061489105, -0.13191775977611542, 0.009677140042185783, -0.11778129637241364, 0.47071924805641174, -1.025442361831665, -0.37087103724479675, -0.22318394482135773, 0.9398106336593628, -0.4945330321788788, -0.013819734565913677, 0.9071512818336487, -1.1236562728881836, -0.45925816893577576, 1.5169236660003662, -0.6162941455841064, 0.07829184085130692, 0.24141278862953186, 0.09769352525472641, 1.0873894691467285, 0.5472694635391235, -0.8125104308128357, -0.3098014295101166, 0.6399291157722473, 0.4928097128868103, 0.016547834500670433, 0.7080357074737549, 0.4272594451904297, -0.29516083002090454, -0.4176885783672333, 0.8561744093894958, -0.20960162580013275, 0.2975423336029053, 0.5825072526931763, -0.9926474094390869, 0.18385092914104462, 0.0123770572245121, -0.7464139461517334, 0.18979831039905548, -0.32175710797309875, 0.5530799627304077, 0.04736867547035217, 0.35386011004447937, 1.0719563961029053, 0.657687783241272, -1.548158884048462, -0.341658353805542, -0.4065696597099304, -0.5411575436592102, 0.525183379650116, -0.638644278049469, 0.468261182308197, 0.7060262560844421, -1.44655442237854, 0.10335250943899155, -0.5401374697685242, -0.09081345796585083, -1.4164056777954102, 0.7899584770202637, -0.23708224296569824, 0.4623260796070099, 0.28662312030792236, 0.3982553482055664, 0.5610138177871704, -0.02922620065510273, -0.298263281583786, -0.16942021250724792, 0.6054319143295288, 0.9073025584220886, -0.1205461174249649, -0.8757781982421875, 0.26302045583724976, -0.9628291130065918, -0.777071475982666, 0.3279922604560852, -1.1847643852233887, 0.15985743701457977, 0.09128551185131073, 0.8654704689979553, 0.4408794939517975, 0.6691200137138367, 0.38001731038093567, -0.4807058870792389, 0.28996771574020386, -0.6238330006599426, -0.06473388522863388, 0.5781164765357971, -0.6894342303276062, 0.026922008022665977, 0.060667481273412704, 0.04212623089551926, -0.06281566619873047, 0.8920877575874329, -1.3452590703964233, -0.10532449930906296, 0.06217648461461067, -0.23066134750843048, 1.0165525674819946, -1.0095360279083252, -0.4966580271720886, -1.1417289972305298, 0.9505974054336548, 0.3851965367794037, 0.0037817233242094517, 1.0475647449493408, 0.2977071702480316, -0.9136655330657959, -0.44383618235588074, -0.03754743933677673, 0.12822169065475464, -1.0358737707138062, -0.06414458155632019, 0.06985130906105042, 0.030013902112841606, 0.9026492834091187, 0.04574005305767059, -0.050821006298065186, -0.3730121850967407, 1.2236366271972656, -0.21305251121520996, -0.5120868682861328, -0.43634268641471863, -0.14723852276802063, 1.1362991333007812, -0.7528166770935059, 1.544571042060852, 1.8894591331481934, 0.6707350611686707, -1.1877949237823486, -1.3338052034378052, -0.07726278156042099, 0.9248429536819458, 0.7295097708702087, -0.12729297578334808, 0.19586503505706787, -1.4556941986083984, 0.6383183598518372, 0.11658735573291779, 0.6106413006782532, 0.07787278294563293, -0.43036502599716187, -0.10749436169862747, 0.12732836604118347, 1.0923452377319336, 0.2582095265388489, -0.07946351915597916, 0.22427141666412354, 0.04072985798120499, 0.31336310505867004, -0.26704537868499756, -0.1458469182252884, -0.269107460975647, 0.3126722276210785, -0.7278028726577759, 1.2510384321212769, -0.0976044312119484, -0.17944329977035522, 0.3385293483734131, 0.10247017443180084, -1.3556969165802002, 1.2442352771759033, 0.37177303433418274, 0.5200803875923157, -0.31088271737098694, -0.5333073735237122, 1.0872944593429565, -0.7421454787254333, 0.3821946084499359, 0.7873555421829224, -0.5890478491783142, 0.2292071431875229, 0.39454513788223267, 0.23458118736743927, 1.0922285318374634, 0.13416866958141327, 0.5473011136054993, -0.43852654099464417, -0.589841902256012, 0.354649156332016, 0.4381752908229828, 0.6506760120391846, -0.25613534450531006, -0.2698967456817627, 0.5001527070999146, -0.579005777835846, 1.1508756875991821, -0.21238426864147186, 0.9537575840950012, 0.3964254558086395, -0.2406901866197586, 0.21058818697929382, -0.7004483342170715, -1.0495907068252563, -0.0605313777923584, -0.040192145854234695, 1.7494771480560303, -0.6093699932098389, -0.12753818929195404, -0.9482815861701965, 0.4706394672393799, -0.4305267930030823, -0.08020493388175964, -0.5840575098991394, 0.15740716457366943, 0.08287790417671204, -0.5426405072212219, -0.8281762003898621, 0.06215285137295723, 1.1107529401779175, -0.8609834909439087, 0.33215638995170593, 0.13152913749217987, 0.8292753100395203, -0.44571077823638916, -0.36508503556251526, -1.617844581604004, -0.966313898563385, 0.8251606822013855, 0.227510467171669, 0.3278328776359558, -0.271728515625, -1.62492835521698, -0.7331832647323608, 0.6953539848327637, -0.26955127716064453, -0.618582546710968, -1.2570955753326416, 0.5137370228767395, 1.1318325996398926, 1.0382747650146484 ] ]
{ "indices": [ 1296924235, 3967169986, 4147729819, 751175284, 639386442, 2006536704, 1786548735, 4068491112, 1308276157, 3979495055, 2598428456, 2132027491, 358389376, 1041011349, 1432087569, 894451947, 3005552705, 4186256544, 4011823516, 997012898, 3023675989, 1153915709, 3876624646, 3875167354, 2263091519, 3413443226, 2874966370, 1520586419, 691409538, 767357674, 2257684172 ], "values": [ 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456 ] }
{ "context": "But those three different sign-extended chunks of the IR or a zero, right? And so you can see the four choices and the encodings for address two MUX down over there. Once you've decided those two values, those go into this adder, get added together and go into MarMUX, your other choice is over here for MarMUX. Yes, that should be 10 to zero. Thank you. Yeah, sorry, that's a typo. This one is right." }
282393
[ [ -0.5085784196853638, -0.6993834972381592, -0.09414996206760406, -0.18945768475532532, 0.6493347883224487, 0.1329832226037979, -0.24186255037784576, 0.22948488593101501, 0.8852472305297852, -0.5003153681755066, 0.9027532339096069, 0.6532305479049683, 1.2958697080612183, 0.10264122486114502, 0.4083230793476105, 0.04065621271729469, 0.8391110301017761, -0.34202271699905396, -1.0700602531433105, -0.27401450276374817, 0.6250422596931458, -0.8360219597816467, -0.05769346281886101, -0.28769055008888245, -0.578355610370636, -0.04146357998251915, 0.2142438441514969, -0.6166377663612366, 0.06518764793872833, 0.6623719334602356, -0.3278488516807556, -0.9068129062652588, 0.4052191376686096, -0.03707851096987724, 0.6881510615348816, 0.14419670403003693, 0.04155323654413223, -0.4643329083919525, -0.3022843897342682, 0.9150568246841431, -0.7206860780715942, 0.4356902539730072, 0.2036217451095581, 0.9625501036643982, -0.12337736040353775, 0.08880461752414703, -0.26956531405448914, 0.6081382632255554, 0.995735764503479, -0.684043824672699, 1.1190046072006226, -0.6175563335418701, 0.6277432441711426, 0.36388587951660156, -0.17892709374427795, 0.4374072849750519, 1.2215267419815063, 1.5817478895187378, 0.12006057798862457, -0.9995497465133667, -0.314326673746109, -0.524555504322052, 0.15001559257507324, -0.47185924649238586, -0.6024671196937561, -0.9795092344284058, 0.6312797665596008, -0.5199386477470398, 0.6790610551834106, -0.19160814583301544, -1.0541746616363525, -0.11486508697271347, 0.3079957067966461, 0.22506408393383026, 0.8571709990501404, -0.9005319476127625, 0.7499351501464844, -0.3059810698032379, 0.637610912322998, 1.0819658041000366, -0.6591141223907471, -0.14177468419075012, -1.4039249420166016, 0.6716168522834778, 0.22925697267055511, -0.9418970942497253, 1.8461992740631104, -0.4467625916004181, -0.04615763947367668, 0.19530212879180908, 0.25085070729255676, -0.22730301320552826, 1.0342034101486206, -0.42849063873291016, -0.5516231060028076, 0.16829223930835724, 0.756099283695221, 0.7680802941322327, -0.38784778118133545, -0.4943990409374237, 0.9380314946174622, -0.944116473197937, 0.44927695393562317, 1.044884443283081, 0.002582975896075368, 0.020433051511645317, -0.17016692459583282, -0.27782750129699707, -1.100638747215271, 0.8750729560852051, -1.1454427242279053, 0.9949365258216858, -0.5093190670013428, 0.8414321541786194, 0.2787073850631714, -0.5390190482139587, -0.7800191640853882, -0.3175717890262604, 0.8659058809280396, 1.0750166177749634, 1.0522886514663696, 0.12171623110771179, 0.46762317419052124, -0.4433486759662628, -1.625365972518921, 0.8462584018707275, 0.24597440659999847, -0.04603425785899162, -0.7514894008636475, 0.5528378486633301, -0.26252949237823486, 0.17195363342761993, 0.1504770666360855, 0.07090476155281067, -0.043313462287187576, 0.7006466388702393, -1.6921457052230835, -1.6344609260559082, 0.6941648125648499, 0.32381582260131836, -0.11600607633590698, -1.4092265367507935, -0.13519641757011414, 0.8089373707771301, 0.7398971915245056, 0.5871641039848328, 0.8507770299911499, 0.8075153827667236, -0.5497710704803467, 0.3390759825706482, -0.9403709769248962, 0.29049307107925415, -1.4916229248046875, -0.5019809007644653, 0.021808966994285583, -0.32040122151374817, -0.328561395406723, 0.4631895124912262, -0.7122575640678406, -0.2904694676399231, 0.6630465984344482, -0.336495965719223, 0.09441045671701431, -0.13015253841876984, -0.6511574387550354, -1.1225677728652954, 1.5600740909576416, -0.3399578630924225, 0.820963978767395, 0.44379156827926636, -0.11727200448513031, 0.6387706995010376, -0.03780387341976166, 0.9550246596336365, 1.5283801555633545, -0.5487650036811829, 0.32148006558418274, -1.5688343048095703, -0.49559077620506287, -0.2026015669107437, -0.2665647566318512, -0.4924105703830719, 0.34753841161727905, 0.10178528726100922, -0.348955899477005, 0.28719446063041687, -0.4409939646720886, 0.2937866747379303, 1.5210570096969604, -1.5019475221633911, -0.3753727376461029, -0.34211117029190063, 0.763534665107727, -0.14499926567077637, -1.1857080459594727, -0.878214955329895, 0.10395968705415726, 0.10754682868719101, 0.44668975472450256, -0.6894553303718567, -0.26308029890060425, 0.29775115847587585, 0.27442461252212524, -0.5615089535713196, 0.35687118768692017, 0.29353830218315125, 0.3089395761489868, -0.37963786721229553, 0.4708413779735565, -1.0805069208145142, -0.21624243259429932, 0.7627595663070679, -0.16970673203468323, 0.39801493287086487, -0.02735387347638607, 0.41598477959632874, -0.7013359069824219, 1.201056718826294, -0.020307689905166626, 0.3718649446964264, -1.4302653074264526, 0.9411389231681824, 2.098278760910034, 0.6521140933036804, 0.7081916332244873, 0.9898685812950134, -0.3868982195854187, -0.5887426733970642, 0.005298934876918793, -0.33959972858428955, -0.36408188939094543, -0.9975394606590271, 0.17876379191875458, 0.40297767519950867, -0.15266647934913635, -0.06932444870471954, 1.5792168378829956, 0.8603368401527405, 1.0193474292755127, 0.2788199484348297, -1.1869617700576782, 0.4007553458213806, 0.4178781509399414, 0.8849996328353882, 0.20360712707042694, 1.2786405086517334, 0.6463630795478821, 1.2684378623962402, -0.05842124670743942, -0.13319948315620422, -0.4337605834007263, 0.7609305381774902, 0.32040271162986755, -0.44512757658958435, 0.14436134696006775, -1.0587023496627808, 0.7565391659736633, -0.6941487789154053, 0.012798428535461426, 1.3342430591583252, -0.18849721550941467, 0.23359528183937073, -0.08525379002094269, -0.6842622756958008, 0.2663768529891968, 0.36144161224365234, -0.39704030752182007, -0.8087184429168701, 1.1305480003356934, -0.5555261373519897, 1.403080940246582, 0.32466089725494385, -0.6878089308738708, -1.3058593273162842, -0.18041206896305084, 0.5179064869880676, -0.47337934374809265, 1.6050119400024414, -1.5977389812469482, -0.4505783021450043, 0.06740754842758179, 0.41646358370780945, 0.5414748787879944, -0.05561807006597519, -1.849518895149231, 0.5294598937034607, -0.09807105362415314, -1.3746881484985352, 0.7225779891014099, 0.5089576244354248, -0.8185060620307922, 0.3308236300945282, -0.187720388174057, -0.5288360714912415, -0.8308104276657104, -0.2873397469520569, 0.1728401929140091, 0.03940384462475777, -0.023329928517341614, 1.2194911241531372, 0.6472429037094116, -1.2140086889266968, 0.12164857983589172, -0.9560562968254089, -0.08087745308876038, 0.13171564042568207, -0.5710243582725525, -0.06674428284168243, -0.29002103209495544, 0.773585855960846, -0.16891346871852875, -0.4990990459918976, -0.6824012398719788, -0.8050572872161865, -1.4090604782104492, 0.22847381234169006, 0.6552519798278809, 0.9973049759864807, -0.5146887898445129, -0.3606272041797638, 0.7721215486526489, 0.8231162428855896, -0.8790997862815857, -1.0364471673965454, 0.20571227371692657, -0.37680503726005554, -0.6756642460823059, -0.25945237278938293, 1.1959993839263916, 0.4771977663040161, 0.9408035278320312, -0.13411667943000793, 1.058944821357727, 1.0945265293121338, -0.13609930872917175, -0.713886022567749, 0.06337636709213257, 0.9019119143486023, -0.8767312169075012, -0.2447962909936905, 0.9570714235305786, -0.20975367724895477, 0.7625830769538879, -0.22461126744747162, 0.8230082392692566, 0.4146319627761841, -0.7807735800743103, 0.5996906161308289, 0.2515437602996826, 0.9402368068695068, -0.10372091084718704, -0.37070804834365845, -0.03267701715230942, -1.2758409976959229, -0.2675893008708954, 0.5266718864440918, 1.037007451057434, -0.6195929646492004, -0.6122912764549255, 0.9162725210189819, 0.659735381603241, -0.493013471364975, 0.13696804642677307, 0.2704624533653259, -0.25165796279907227, 0.29876068234443665, -0.15978993475437164, -0.45411935448646545, 0.5025014281272888, -0.1928432583808899, 0.650202214717865, 0.5407860279083252, -0.4948164224624634, -0.12441779673099518, -0.9475103616714478, -0.27151551842689514, 0.6666548848152161, 0.7598392963409424, 0.0948745608329773, 1.052622675895691, -0.0313398651778698, -0.029405919834971428, -0.29436370730400085, 0.2306554764509201, -1.6574376821517944, 0.355598509311676, -0.0660356730222702, 0.5741341710090637, 0.42355018854141235, 0.29501771926879883, 0.3277530074119568, -0.7543957233428955, -1.0000979900360107, -0.00947397667914629, -0.5413158535957336, -0.8444219827651978, 0.2590682804584503, 0.09855346381664276, -0.4130285084247589, 0.11803216487169266, 0.8209424614906311, 1.9840519428253174, 0.6837332844734192, 0.08374408632516861, 1.4365628957748413, 0.3625005781650543, -0.517615556716919, -0.7462736964225769, 0.34355679154396057, 0.048613086342811584, 1.436230182647705, 0.04745921120047569, 0.8339205384254456, -0.7488012909889221, -0.28987789154052734, 0.4112171530723572, 0.14087259769439697, 0.10804054886102676, 0.386842280626297, 0.6589872241020203, -0.6572192907333374, -0.6912841796875, -0.10465747117996216, 0.630797803401947, 0.21691153943538666, 1.2252044677734375, 0.7979059815406799, 0.2487344592809677, -0.23940058052539825, 1.143766164779663, 0.9216548800468445, 0.28007057309150696, -0.20464909076690674, -0.8283183574676514, -0.06620895117521286, 0.6687293648719788, -0.07205100357532501, 0.6745961904525757, 0.5616977214813232, 0.19562342762947083, -0.31481125950813293, 0.0053797438740730286, -0.5226227045059204, -0.6540130376815796, 0.7306902408599854, -0.5187678933143616, -0.23055487871170044, 0.32248809933662415, 0.8317222595214844, 1.1259312629699707, 0.5648216605186462, -0.28952503204345703, 0.19183380901813507, -0.9288753867149353, -3.0380876064300537, -0.11118808388710022, 0.3241363763809204, 0.8321042060852051, -0.7358921766281128, -0.10351861268281937, -0.5024825930595398, -1.3233462572097778, 0.45420292019844055, -0.3625366687774658, 0.8451514840126038, -0.35483071208000183, 0.3995954990386963, -0.5154480934143066, -1.0174446105957031, -1.1949735879898071, 1.3171361684799194, -0.40303829312324524, -0.2958671450614929, -0.37550607323646545, 0.6087793111801147, 0.18645580112934113, 0.5851455926895142, 0.05926062539219856, 0.24994847178459167, -0.9877324104309082, -0.24669215083122253, 0.1252278983592987, 1.0500825643539429, -1.2469180822372437, -0.8290666937828064, 0.17431458830833435, -0.1550735980272293, -0.0608251690864563, 0.673209011554718, -0.29108113050460815, -0.5133543014526367, 0.31441929936408997, -0.13261251151561737, 0.19694121181964874, -0.9957939982414246, -1.3434795141220093, 0.9298459887504578, 0.24603962898254395, 0.49850696325302124, 1.167712926864624, 0.45561397075653076, -0.8416256308555603, -0.31459176540374756, -0.2285880744457245, 0.6940466165542603, -0.7691404223442078, 0.5637741684913635, -0.40519699454307556, 0.05342526733875275, -0.8034001588821411, 1.6210497617721558, -0.43217897415161133, -0.14264816045761108, -0.1391797661781311, -0.0781099870800972, -0.26894402503967285, 0.9207639694213867, -1.3544150590896606, -0.23026756942272186, -0.06800730526447296, -0.3812400698661804, -1.4364680051803589, -0.004558204673230648, 0.26211100816726685, -2.0569303035736084, 0.5337839722633362, 0.28061869740486145, 0.039537448436021805, 0.20767809450626373, -1.482844591140747, 0.6486071348190308, -0.250449001789093, -1.4189211130142212, 1.098031759262085, 0.04568524286150932, 0.4549456834793091, -0.009440774098038673, -0.8373384475708008, -0.39864814281463623, 0.49600890278816223, -0.5423782467842102, 0.21122828125953674, 0.601037323474884, 0.9751215577125549, -0.013119245879352093, -0.48187318444252014, -1.513904094696045, -0.7437623143196106, -0.24679480493068695, 0.5176489949226379, 0.14553774893283844, -0.6807850003242493, 0.26759666204452515, 0.32341697812080383, -0.7280302047729492, -0.26726314425468445, 1.1886974573135376, -0.8239589333534241, 0.7798873782157898, 0.3005511462688446, 0.3018173575401306, 0.8583690524101257, 0.4023076891899109, 1.0019367933273315, 0.2045789510011673, 0.13772742450237274, 0.3250204622745514, -0.499519944190979, -1.1345711946487427, -0.3835484981536865, 0.059837911278009415, -0.8621158599853516, -0.7433557510375977, 1.3436765670776367, -0.06662888079881668, 0.16754208505153656, -0.004065563436597586, -0.665310800075531, -0.23020023107528687, 0.20710454881191254, -0.698209285736084, -0.3239060938358307, 0.7362098693847656, -0.013670139014720917, 0.29695338010787964, 0.22774195671081543, -0.32140108942985535, -0.6180938482284546, 0.7128552198410034, 0.115757517516613, 0.9939769506454468, 1.7010211944580078, 0.2689667344093323, 0.13464950025081635, 0.42098507285118103, 0.4593579173088074, 1.0485211610794067, -1.0556011199951172, 0.17829640209674835, 0.6715973615646362, 0.2636069357395172, -0.2467775195837021, -0.3429339528083801, -1.309349775314331, 0.2511129379272461, 1.0427627563476562, -0.964546263217926, 0.2674901783466339, 0.12533068656921387, 0.11456194519996643, -0.34762415289878845, -0.04325278103351593, -0.8264374732971191, 0.318419873714447, -0.8440085649490356, 2.093658924102783, -0.8576474785804749, -1.2095149755477905, 0.53717440366745, 1.3920625448226929, 0.9051297307014465, 0.11716663837432861, 0.8955862522125244, -0.47152358293533325, 0.4453991949558258, -0.7874846458435059, -0.923755407333374, 0.57398921251297, -1.174273133277893, 0.9150694608688354, 0.07927929610013962, -0.29641398787498474, 0.8607566952705383, 0.10028879344463348, -0.015891673043370247, -1.604996681213379, -0.5395659804344177, -1.597216248512268, 1.2981425523757935, 0.3795181214809418, -0.8085994124412537, 0.3848972022533417, 0.06735175102949142, 0.8099048733711243, -0.12553666532039642, 0.6365050673484802, -0.5955643057823181, -1.8950390815734863, -0.022128012031316757, -0.2101956009864807, -1.0434505939483643, 1.6292147636413574, 0.7605067491531372, -0.037509720772504807, 0.6916008591651917, -0.5672087073326111, 1.1272988319396973, 0.10133780539035797, 0.5285444259643555, 0.6976436376571655, 1.6758241653442383, -0.31190186738967896, 0.06399153918027878, -0.447826087474823, -0.11063189804553986, 4.827672004699707, 1.5719496011734009, -0.2773100733757019, -1.8904056549072266, -0.46259960532188416, -0.7544023394584656, 0.00388373457826674, -0.35628995299339294, 0.36246365308761597, 1.1032475233078003, 0.3136391341686249, 0.8765771985054016, 0.5597180724143982, -0.31280016899108887, 0.21754884719848633, 0.36939799785614014, -0.488602876663208, -0.660853385925293, 0.9252455830574036, 0.37684160470962524, 0.3704425096511841, -0.44762498140335083, -1.6270902156829834, -1.8076972961425781, 0.7095283269882202, -0.7032287120819092, 0.38751235604286194, -0.2693216800689697, 1.0537376403808594, 0.5621451139450073, -0.7467027902603149, 0.37339282035827637, 0.2513062357902527, 0.2770044207572937, 0.3922605514526367, 0.4779004454612732, -0.42184197902679443, -0.022429922595620155, -0.47030940651893616, 0.09117182344198227, 0.11186013370752335, -0.21447211503982544, -0.4415963292121887, 0.13756167888641357, -0.5900866389274597, -0.47189223766326904, -0.49810266494750977, -1.0922880172729492, 0.004431095905601978, 0.30806776881217957, -0.20401106774806976, 0.5935355424880981, -0.33166560530662537, -0.802812397480011, -1.4719314575195312, 0.22194448113441467, 0.3803190588951111, 0.03788723796606064, -0.8524174094200134, -0.6877971291542053, 0.3072831928730011, -0.5228850841522217, 1.0415321588516235, 0.48348546028137207, 0.8425076603889465, 0.08199996501207352, -1.1866589784622192, 1.7719061374664307, -0.015977753326296806, -0.5700839161872864, -0.8477004170417786, 0.1304454803466797, -0.5300159454345703, 0.04805143177509308, 0.41327303647994995, 0.8423202037811279, -1.0182615518569946, 0.10427471995353699, -0.40267127752304077, -0.7392627596855164, -0.761804461479187, -0.41646456718444824, 0.007862647995352745, -0.39077097177505493, -0.7945583462715149, -0.39992615580558777, -0.2879035174846649, 0.3002655506134033, 0.6142008900642395, -0.04949343577027321, -0.6039523482322693, 1.2777092456817627, 0.34277772903442383, -1.3939557075500488, -0.10258651524782181, 0.5138475894927979, -0.7714681625366211, -0.36977797746658325, -0.7896496057510376, 1.730825424194336, -0.5884507298469543, -0.210757315158844, 0.15010228753089905, 1.058143973350525, -0.5872729420661926, 0.11944817751646042, 0.9095908999443054, -0.014907211065292358, 0.08432643860578537, 0.2138688713312149, 0.4782062768936157, -0.5582745671272278, -1.1082311868667603, -0.43684449791908264, -0.42011454701423645, -0.10653377324342728, -0.755763053894043, -0.09920608252286911, -0.03270644322037697, -0.3131934702396393, 0.20190393924713135, 0.5248987674713135, -0.15553410351276398, -0.31624817848205566, -0.443093478679657, 0.327094703912735, -0.1899310201406479, -0.3755001723766327, 0.12261664122343063, 0.2543202042579651, -0.10892190784215927, 0.016221940517425537, -0.34306398034095764, 0.9804571270942688, -1.1662194728851318, -0.6894432306289673, -0.3106118142604828, 0.8424257040023804, -0.7946344017982483, 0.11856283992528915, 0.9732787013053894, -1.057142972946167, 0.1266360729932785, 1.6388100385665894, -0.6374412775039673, 0.1387939155101776, 0.3624062240123749, -0.31658774614334106, 1.1725472211837769, 0.279669851064682, -1.061515212059021, 0.006771834567189217, 0.6057905554771423, 0.49599790573120117, -0.06416697800159454, 0.35219550132751465, 0.48802849650382996, -0.24834127724170685, -0.5815348625183105, 0.7511525750160217, 0.035950351506471634, 0.3036211133003235, 0.2303258329629898, -1.0174628496170044, 0.2827264070510864, -0.10302656888961792, -0.5358390212059021, 0.46833595633506775, -0.31863081455230713, 0.47253358364105225, -0.4135151207447052, 0.2818260192871094, 0.8329670429229736, 0.22654205560684204, -1.3847190141677856, -0.4484682083129883, -0.16857531666755676, -0.5450826287269592, 0.3169861137866974, -0.654409646987915, 0.23741422593593597, 0.6400719285011292, -1.1872904300689697, 0.01878616400063038, -0.7263830900192261, 0.18620795011520386, -1.4695607423782349, 0.4503815472126007, -0.23981556296348572, 0.4841490685939789, 0.1817093938589096, 0.35272300243377686, 1.1409187316894531, -0.062292613089084625, -0.10511106252670288, -0.2354591339826584, 0.7771605253219604, 0.7916684746742249, -0.1017611026763916, -0.9831565618515015, -0.001753681106492877, -0.7647801637649536, -0.8115670084953308, 0.3165780007839203, -1.194435954093933, 0.07618191838264465, -0.2121269553899765, 1.1171969175338745, 0.24122388660907745, 0.5539150834083557, 0.3545602262020111, -0.45851752161979675, 0.3354632556438446, -0.435592383146286, 0.0396619513630867, 0.3128368854522705, -0.9815178513526917, 0.05432111397385597, 0.13768477737903595, -0.16589570045471191, -0.15071944892406464, 0.6541861891746521, -1.454833745956421, -0.0890892818570137, 0.35297828912734985, -0.10051757842302322, 0.8392441272735596, -1.0453157424926758, -0.5897899270057678, -1.239483118057251, 0.7162050008773804, -0.0862109437584877, 0.4650811553001404, 0.836155354976654, -0.054093364626169205, -1.3415883779525757, -0.5285348892211914, 0.010170741938054562, 0.1316312551498413, -1.0442864894866943, -0.2708912193775177, -0.037768471986055374, 0.11352616548538208, 0.957091212272644, 0.17401236295700073, 0.1996491551399231, -0.6999847888946533, 0.9794797897338867, -0.4253928065299988, -0.48826783895492554, -0.3632854223251343, -0.6987718939781189, 0.9492065906524658, -0.972200870513916, 1.2963427305221558, 2.1108763217926025, 0.6569212675094604, -1.2640727758407593, -1.2289055585861206, -0.6370758414268494, 0.809307873249054, 0.8531219363212585, -0.12797261774539948, 0.3073788285255432, -1.2606531381607056, 0.2651093006134033, -0.21180857717990875, 0.5035347938537598, -0.04155881330370903, 0.01325135212391615, -0.007968953810632229, 0.2277715802192688, 1.3047242164611816, 0.016635820269584656, -0.2181626409292221, 0.38060304522514343, -0.04370837286114693, 0.3925882875919342, -0.48428308963775635, -0.07967279106378555, 0.12386384606361389, 0.43108832836151123, -0.25864681601524353, 1.2794177532196045, 0.045210082083940506, -0.18867206573486328, 0.3336699903011322, -0.07905929535627365, -1.3882412910461426, 1.034996747970581, -0.1794939786195755, 0.4926845133304596, -0.27752721309661865, -0.5097910165786743, 1.0734608173370361, -1.011304497718811, 0.2707555890083313, 0.850709855556488, -0.16964145004749298, 0.0740300863981247, 0.5924192070960999, 0.3506805896759033, 1.4264791011810303, 0.24475786089897156, 0.5628988742828369, -0.41213518381118774, -0.6015840768814087, 0.23711088299751282, 0.4971018433570862, 0.6112074255943298, -0.2900327146053314, -0.15322943031787872, 0.7421521544456482, -0.3957195281982422, 1.3289587497711182, -0.1278688758611679, 1.6165058612823486, 0.4594596028327942, -0.04437936842441559, -0.005127932410687208, -0.5895572304725647, -1.077641248703003, 0.31977957487106323, 0.07095219194889069, 1.5149096250534058, -0.9067485332489014, -0.06709720939397812, -0.9961559772491455, 0.48942285776138306, -0.5518094897270203, -0.10545036196708679, -0.29040753841400146, -0.195099875330925, 0.06096288189291954, -0.6393359899520874, -0.626383900642395, 0.41980063915252686, 1.109566330909729, -0.8679990768432617, 0.2525232136249542, 0.3129786252975464, 0.7337886095046997, -0.1761237233877182, -0.3816063702106476, -1.609276533126831, -0.9600352644920349, 0.5425664186477661, 0.019284972921013832, 0.6557401418685913, -0.4802910387516022, -1.8591853380203247, -0.3304634094238281, 0.6097639203071594, -0.3141762614250183, -0.801724374294281, -0.843500018119812, 0.5932748317718506, 0.878996729850769, 1.022879958152771 ] ]
{ "indices": [ 2874966370, 1520586419, 691409538, 767357674, 2257684172, 1786548735, 3413443226, 3819098157, 2840212248, 2180406531, 2067848296, 1793137844, 4156877717, 2895605406, 1063320047, 570245443, 640124220, 4102578029, 4186256544, 2391722386, 2132027491, 558074401, 4146668087, 3188814266, 1955147705, 2006536704, 2517333479, 3282398576, 1960040400, 296467145, 3876624646, 2673623443, 629871972 ], "values": [ 0.6290697586112285, 0.45886343419922815, 0.7178239640284703, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.7178239640284703, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815 ] }
{ "context": "Yeah, sorry, that's a typo. This one is right. Yeah, thanks. Okay. So on the left here is something we didn't talk about how traps are implemented. If you're interested, it's using this approach to go to this memory address basically. So you take your eight-bit trap vector zero, extend that to 16 bits, and that's your memory address. So that zero mode of this MarMUX is used to execute a trap instruction." }
690823
[ [ -0.049047958105802536, -0.7287450432777405, -0.31825125217437744, -0.9910660982131958, -0.044148124754428864, 0.08019309490919113, -0.16203612089157104, 0.5636476874351501, 0.869194746017456, -0.9546470046043396, 0.7507189512252808, 0.6197068691253662, 0.643524169921875, 0.5607444047927856, 0.561348021030426, 0.0027817203663289547, 0.8317433595657349, -0.4086350202560425, -0.9380059838294983, -0.06531268358230591, 0.3856508135795593, -0.6662641763687134, -0.3375222980976105, -0.1324261575937271, -0.5163226127624512, 0.5505990386009216, 0.2986386716365814, -0.4832441806793213, 0.9028828740119934, 0.9401936531066895, -0.7229675054550171, -1.035714030265808, 0.48075148463249207, -0.03147480636835098, 0.4610487222671509, -0.15889115631580353, 0.03701405972242355, -0.4128546714782715, -0.283146470785141, 0.7732125520706177, -0.2807031273841858, 0.10351280868053436, -0.19024986028671265, 1.1352206468582153, -0.8345069289207458, 0.42287564277648926, -0.14520937204360962, 0.4083687961101532, 0.7671196460723877, -0.5117581486701965, 1.0656079053878784, -0.59161776304245, 0.7277042269706726, 0.27923262119293213, 0.09963762015104294, 0.5201112627983093, 0.9073075652122498, 1.4746781587600708, 0.10367494076490402, -0.48568177223205566, -0.6691828370094299, -0.09188210219144821, -0.0022833470720797777, -0.003599506802856922, -0.3956146538257599, -1.125002145767212, 0.325466126203537, -0.5646575689315796, 0.760831356048584, 0.43078702688217163, -0.9424295425415039, -0.2125667929649353, 0.31345605850219727, -0.0135322455316782, 0.7036594152450562, -1.1670738458633423, 0.9383760690689087, -0.22723443806171417, 0.4644760489463806, 0.9735134840011597, -0.9419434666633606, -0.29719069600105286, -1.5945360660552979, 0.2563477158546448, 0.09745737165212631, -0.6296837329864502, 2.108687400817871, 0.02419741451740265, -0.48474499583244324, 0.37607476115226746, 0.4086930751800537, -0.4893716871738434, 1.006800889968872, -0.30068451166152954, -0.45192238688468933, 0.17746789753437042, 0.4298156499862671, 0.4545517861843109, -0.18081876635551453, -0.6715585589408875, 0.723844587802887, -0.911253809928894, -0.13823843002319336, 0.7164924144744873, 0.1034482941031456, -0.053401220589876175, -0.3890121281147003, -0.2639370858669281, -0.7929587960243225, 0.48069000244140625, -1.1259480714797974, 0.9014150500297546, -0.4646739363670349, 0.7058759331703186, 0.25589728355407715, -0.14021405577659607, -0.9387366771697998, -0.4270060956478119, 1.1113090515136719, 0.5584908723831177, 0.9903406500816345, 0.10691598057746887, 0.2766822874546051, -0.2940524220466614, -1.6348577737808228, 1.058873176574707, 0.3312793970108032, 0.20378230512142181, -0.6234936118125916, 0.5353986620903015, -0.12220989912748337, -0.025417519733309746, 0.03908389061689377, 0.19219239056110382, 0.16827264428138733, 0.8355960249900818, -1.4058692455291748, -1.6010569334030151, 0.8988388776779175, 0.4393962025642395, -0.34970077872276306, -1.333443284034729, -0.11327119171619415, 1.128958821296692, 0.8870126008987427, 0.31725239753723145, 0.9899240136146545, 0.6620675921440125, -0.4719238877296448, 0.46954095363616943, -0.6028186678886414, -0.06341326236724854, -1.2649282217025757, -0.43443697690963745, -0.07442919164896011, -0.40358513593673706, 0.1727304309606552, 0.4145391583442688, -1.0133243799209595, -0.5108574628829956, 0.6926416754722595, -0.6241662502288818, -0.11886167526245117, -0.028818970546126366, -0.9791101813316345, -1.384317398071289, 1.5005160570144653, -0.2244701236486435, 0.5828348398208618, 0.6194287538528442, 0.38416236639022827, 0.5963348150253296, -0.039373718202114105, 0.3680201768875122, 1.6379302740097046, -0.6628780364990234, 0.6086302995681763, -1.1510847806930542, -0.36485201120376587, -0.45571771264076233, -0.5328574776649475, -0.9855952262878418, 0.18972145020961761, 0.19542838633060455, -0.0417182557284832, 0.268295556306839, -0.13130158185958862, 0.4300219416618347, 1.1600145101547241, -1.4305957555770874, -0.9704052805900574, -0.06697238236665726, 1.1571786403656006, -0.0876302570104599, -1.329686164855957, -0.9540246725082397, -0.443360835313797, -0.14604932069778442, -0.3194200098514557, -0.6692025065422058, -0.7140806317329407, 0.33813929557800293, 0.5110403895378113, -0.6490011215209961, 0.18962879478931427, 0.0015191225102171302, 0.8088865280151367, -0.19031976163387299, 0.7497577667236328, -0.7103338837623596, -0.02940487489104271, 0.48624467849731445, -0.11170414090156555, -0.04717670753598213, -0.02266124077141285, 0.27729249000549316, -0.6167231798171997, 0.8966697454452515, 0.17580905556678772, 0.2284960299730301, -1.2343045473098755, 0.9854934811592102, 1.854863166809082, 0.7766483426094055, 0.7910510301589966, 0.7674950361251831, -0.1327563375234604, -0.6590597033500671, 0.09028545022010803, -0.530231773853302, -0.7989386320114136, -0.6995534300804138, 0.11180375516414642, -0.29647698998451233, -0.6401194930076599, -0.1396051049232483, 1.7557839155197144, 0.5461533665657043, 0.5488354563713074, -0.10754892975091934, -1.428252100944519, 0.7592542171478271, 0.5310143828392029, 1.066144585609436, 0.28736746311187744, 1.3184839487075806, 0.9525120258331299, 1.6240907907485962, -0.35001471638679504, -0.014217207208275795, -0.8653079867362976, 1.0565078258514404, 0.5388952493667603, -0.3338686227798462, 0.10354641824960709, -1.3750513792037964, 0.7035307288169861, -0.7300789952278137, 0.03348161652684212, 0.889216423034668, -0.7647614479064941, 0.18265937268733978, 0.039508748799562454, -0.3998652696609497, 0.021070700138807297, 0.15763640403747559, -0.14663007855415344, -1.011945128440857, 1.1690006256103516, -0.25164690613746643, 1.332744836807251, 0.05485156178474426, -0.9704849720001221, -0.8283173441886902, 0.31815001368522644, 0.9266935586929321, -0.49925345182418823, 1.0448508262634277, -1.0299546718597412, -0.625196099281311, -0.1693982034921646, 0.1567447930574417, 0.3691854774951935, 0.24597404897212982, -1.7503628730773926, 0.11284372210502625, 0.1317823976278305, -1.0920878648757935, 0.2330840677022934, 0.7782347798347473, -0.9272924065589905, 0.1294507384300232, -0.2814135253429413, -0.9250214099884033, -0.28492429852485657, -0.1282804012298584, -0.09285681694746017, -0.1668170988559723, -0.08508479595184326, 1.2304918766021729, 0.2859902083873749, -1.2274800539016724, -0.24638967216014862, -0.8819557428359985, 0.22982583940029144, 0.09208889305591583, -1.1527221202850342, -0.2345639318227768, -0.29555544257164, 0.6148951649665833, 0.07669432461261749, -0.1956210732460022, -0.4702524244785309, -0.7045683264732361, -0.8642785549163818, 0.1653994470834732, 0.8111206293106079, 0.9690987467765808, -0.18575379252433777, -0.2737627625465393, 0.8669605255126953, 0.33603647351264954, -1.3210422992706299, -0.679523229598999, 0.10894715040922165, -0.5933406352996826, -0.9591946005821228, -0.18001949787139893, 1.2482233047485352, 0.44363611936569214, 0.7841408252716064, -0.13456816971302032, 0.7603539228439331, 1.098841667175293, -0.5806809067726135, -1.3538429737091064, 0.12882256507873535, 0.47655171155929565, -0.5320162177085876, 0.13518156111240387, 1.0802115201950073, -0.16529281437397003, 0.479376882314682, -0.05494621396064758, 0.7190529108047485, 0.5686927437782288, -0.6805960536003113, 0.3499191701412201, -0.15292878448963165, 0.7014421820640564, 0.14441250264644623, -0.571595311164856, 0.31081342697143555, -1.1642723083496094, -0.32816386222839355, 0.3713386654853821, 1.0242092609405518, -0.36012446880340576, 0.01190824806690216, 0.46611037850379944, 0.855373203754425, -0.5525307655334473, -0.38815057277679443, 0.051320161670446396, 0.19288107752799988, 0.40897297859191895, -0.28806933760643005, 0.19473062455654144, 0.2798410952091217, -0.2759816646575928, 0.4009818136692047, 0.38020557165145874, -0.5053983330726624, 0.2213743031024933, -1.5707690715789795, 0.01052851416170597, 0.7953563332557678, 1.0103613138198853, 0.06934128701686859, 0.7782849669456482, 0.1000639796257019, -0.02084941603243351, -0.5470706224441528, 0.213325634598732, -1.9169272184371948, 0.12996719777584076, 0.14600162208080292, 0.12077571451663971, 0.5846970677375793, 0.07712087035179138, 0.8778013586997986, -0.5283660292625427, -0.7747331261634827, 0.27523893117904663, -0.1027911826968193, -0.7252289652824402, 0.06692111492156982, -0.021016331389546394, -0.24762555956840515, 0.5071239471435547, 0.3736741840839386, 1.6578829288482666, 0.3509598970413208, -0.1461964249610901, 0.9446209669113159, 0.13361002504825592, 0.021701626479625702, -0.537571370601654, 0.7007986903190613, 0.06430381536483765, 1.1526870727539062, 0.9273163676261902, 0.40767723321914673, -0.3728925883769989, -0.3413415849208832, 0.2093440443277359, 0.5172334909439087, 0.44643861055374146, -0.24902299046516418, 0.815211296081543, -0.38546058535575867, -0.6818785667419434, -0.22008638083934784, 0.40973013639450073, -0.0049806879833340645, 1.2358306646347046, 0.7223728895187378, 0.6485112309455872, -0.3435836434364319, 1.3855531215667725, 1.3097813129425049, -0.07840044051408768, -0.06955188512802124, -0.11922542750835419, -0.3372972011566162, 0.9208527207374573, -0.2857173681259155, 0.8415938019752502, 0.6524857878684998, 0.587451159954071, -0.37961751222610474, 0.16593027114868164, -0.11060945689678192, -1.224364161491394, 0.6329463124275208, -0.4395306706428528, -0.2328684777021408, -0.27670398354530334, 0.8571426868438721, 0.9329679012298584, 0.4126403331756592, -0.08788031339645386, 0.1989293247461319, -1.0159552097320557, -3.004335403442383, -0.1802385151386261, 0.12851735949516296, 0.40999120473861694, -0.7472983002662659, 0.04277169704437256, -0.6661906242370605, -1.2831997871398926, 0.8962751030921936, -0.7467127442359924, 0.8710656762123108, -0.7593929171562195, 0.674789309501648, -0.3186485171318054, -1.130553126335144, -1.0545936822891235, 0.8232719302177429, -0.22385595738887787, -0.3240331709384918, -0.3664543032646179, -0.5431572794914246, 0.5056625008583069, 0.18922294676303864, -0.10723856836557388, 0.6956148147583008, -0.49315446615219116, -0.5721735954284668, -0.33971107006073, 0.9566415548324585, -1.0991727113723755, -0.6935333609580994, 0.15742264688014984, -0.6587242484092712, -0.10796859860420227, 0.9074631333351135, 0.15983177721500397, -0.8105111122131348, 0.08573301136493683, -0.11910814046859741, -0.13913193345069885, -1.0599952936172485, -1.0153682231903076, 1.0641355514526367, -0.07860436290502548, 0.6244006156921387, 0.8283028602600098, 0.0765223428606987, -0.756415605545044, -0.41151732206344604, -0.5818188190460205, 0.08180104196071625, -1.0441654920578003, 0.5666127800941467, -0.6258278489112854, -0.17168939113616943, -0.47031059861183167, 0.9089863300323486, -0.23585590720176697, -0.35562261939048767, 0.17732706665992737, -0.34586209058761597, 0.25146472454071045, 0.6942293047904968, -1.2434016466140747, 0.4292285442352295, -0.07518349587917328, 0.2633291482925415, -0.8778256177902222, -0.1178346499800682, 0.23146863281726837, -1.6168311834335327, 0.36036601662635803, 0.1736489087343216, -0.05991050973534584, 0.2593826651573181, -0.9378832578659058, 0.19829991459846497, -0.03288630396127701, -1.0249598026275635, 1.2031309604644775, 0.2889649271965027, 0.0981566533446312, 0.3628927171230316, -1.0891668796539307, 0.20946310460567474, 0.21265850961208344, -0.04665924981236458, -0.20379520952701569, 0.07450877130031586, 0.6826250553131104, -0.07339095324277878, -0.457878053188324, -1.5765200853347778, -1.4365242719650269, 0.029873641207814217, 0.12002774327993393, 0.33144402503967285, -0.7388690114021301, 0.2782813608646393, 0.23847649991512299, -0.4798038899898529, 0.06539024412631989, 1.3052409887313843, -0.19180870056152344, 0.31154048442840576, 0.5196007490158081, 0.3022419214248657, 0.39024174213409424, 0.5729529857635498, 0.19803200662136078, 1.0013219118118286, 0.1660258173942566, 0.24819108843803406, -0.6049916744232178, -0.6068370938301086, -0.27070707082748413, 0.17229311168193817, -1.279943823814392, -0.5530278086662292, 1.1473716497421265, -0.02428773045539856, 0.20767463743686676, -0.1920146346092224, -0.7269223928451538, -0.42821481823921204, 0.008653833530843258, -0.1899486780166626, -0.6013014316558838, 0.7858298420906067, -0.16361507773399353, 0.2983705401420593, 0.19487464427947998, -0.08996490389108658, -0.7972471714019775, 1.0610551834106445, 0.40958237648010254, 1.139378547668457, 1.446333408355713, 0.18537922203540802, 0.3974977433681488, 0.30850452184677124, 0.23948124051094055, 0.9978775978088379, -1.0013089179992676, 0.21604546904563904, 0.7714430093765259, 0.12641067802906036, -0.47607797384262085, -0.10143814980983734, -0.7585061192512512, -0.1536511331796646, 1.0356554985046387, -0.883466899394989, 0.6272143721580505, 0.14800304174423218, 0.09397131204605103, 0.09902931749820709, -0.6852798461914062, -0.9402399063110352, 0.27624744176864624, -1.1546592712402344, 2.282428503036499, -0.47007814049720764, -1.3694566488265991, 1.016600489616394, 1.6951751708984375, 0.46185892820358276, -0.004004198592156172, 1.2890957593917847, -0.47600626945495605, 0.09471019357442856, -0.4509144723415375, -0.5821009874343872, 0.9733942151069641, -0.7634915709495544, 0.670235812664032, 0.13773009181022644, -0.46180182695388794, 1.2155143022537231, 0.12230481207370758, 0.15644073486328125, -1.2525031566619873, -0.4024483859539032, -2.027130126953125, 0.7169017195701599, -0.05299138277769089, -0.773532509803772, 0.3093033730983734, 0.2290581911802292, 0.7900936007499695, -0.5904057621955872, 0.9537028670310974, -0.3682936131954193, -1.8191418647766113, 0.06116853281855583, 0.2961677312850952, -1.6894149780273438, 1.79127836227417, 0.8953335285186768, 0.23095546662807465, 0.8345459699630737, -0.6496094465255737, 0.8994221091270447, 0.20609773695468903, 0.3887985646724701, 0.8767412304878235, 1.7819621562957764, 0.12339124828577042, 0.23485524952411652, -0.3281819522380829, -0.4996037781238556, 5.175936222076416, 1.1765199899673462, -0.05736047774553299, -1.7277976274490356, -0.14821329712867737, -0.5205192565917969, 0.28481948375701904, -0.20085415244102478, 0.3807094991207123, 1.044978141784668, 0.33273619413375854, 0.8140373229980469, 0.49078691005706787, -0.39748263359069824, 0.16864986717700958, 0.1762562394142151, -0.5212023258209229, -0.45008501410484314, 1.0504189729690552, -0.06235641613602638, 0.17917399108409882, -0.11758571118116379, -1.3131203651428223, -1.3470937013626099, 1.2507559061050415, -0.351187139749527, 0.19498175382614136, -0.9267967939376831, 1.6570820808410645, 0.3212957978248596, -0.25631484389305115, 0.2577148377895355, 0.6339338421821594, 0.11800983548164368, 0.7382844686508179, 0.13572359085083008, -0.510371744632721, 0.05837012827396393, -0.36604270339012146, 0.0831761285662651, 0.15081626176834106, -0.12190640717744827, -0.5013335347175598, -0.23173388838768005, -0.39040467143058777, -0.10049394518136978, -0.5849248170852661, -0.3491409122943878, -0.1721852570772171, -0.012437430210411549, -0.10994324088096619, 0.3825228810310364, -0.306124746799469, -0.863244891166687, -1.2275878190994263, 0.402340829372406, 0.11242427676916122, 0.2584135830402374, -0.738146185874939, -0.9044816493988037, 0.010825718753039837, -0.21902024745941162, 1.3618924617767334, 0.6691910028457642, 0.696832001209259, 0.2587049901485443, -0.7823334336280823, 1.6995570659637451, -0.19963672757148743, -0.4819622039794922, -0.5382969975471497, 0.5041916966438293, -0.3813621997833252, 0.008413592353463173, 0.2912546992301941, 0.8825423121452332, -0.7015628218650818, 0.107362300157547, -1.1779258251190186, -0.8824961185455322, -1.3057440519332886, -0.21937955915927887, 0.12005480378866196, -0.4255516231060028, -0.5778638124465942, -0.005581072997301817, -0.2524603009223938, 0.13160961866378784, 0.6367831230163574, -0.3472691774368286, -0.27261608839035034, 1.5900565385818481, -0.05285161733627319, -1.2059602737426758, -0.2117081582546234, 0.5104597806930542, -0.6545913219451904, -0.7126154899597168, -1.1145291328430176, 1.5332767963409424, -0.2087150663137436, -0.051767200231552124, -0.27242597937583923, 1.0006887912750244, -0.657532274723053, 0.26645711064338684, 0.6691849827766418, 0.08094382286071777, -0.36015433073043823, 0.18260034918785095, 0.8198754787445068, -0.9002386927604675, -0.48971065878868103, -0.5902483463287354, -0.42078298330307007, 0.4049316346645355, -0.7180806994438171, -0.4452266991138458, 0.5637863874435425, -0.410643607378006, 0.4920650124549866, 0.34895697236061096, 0.3958986699581146, -0.5827876925468445, -0.13848985731601715, 0.15357530117034912, 0.057770464569330215, -0.4782942533493042, 0.25157082080841064, -0.2599943280220032, -0.19001269340515137, 0.013046295382082462, 0.24876394867897034, 0.4902827739715576, -1.0459890365600586, -0.008977930061519146, -0.13376398384571075, 1.104038953781128, -0.4605492055416107, -0.14858731627464294, 0.8578583002090454, -0.8942703604698181, -0.5202863812446594, 1.3637309074401855, -0.5717053413391113, -0.0980912446975708, 0.023254647850990295, -0.019018959254026413, 1.0383201837539673, 0.6863922476768494, -0.79070645570755, -0.40933987498283386, 0.4845200181007385, 0.3091074824333191, 0.12048912048339844, 0.4901459515094757, 0.6040904521942139, -0.1578073799610138, -0.2951313257217407, 0.6923375725746155, -0.05826737731695175, 0.44259482622146606, 0.699535608291626, -0.9676114916801453, 0.11291065067052841, 0.24218721687793732, -0.8933136463165283, 0.24742980301380157, -0.6070500016212463, 0.4270651638507843, -0.0860535204410553, 0.2631630301475525, 1.344130277633667, 0.3830687701702118, -1.3984894752502441, -0.5652871131896973, -0.3197188079357147, -0.3687843382358551, 0.830323338508606, -0.708045244216919, 0.4433111250400543, 0.7712134122848511, -1.42622709274292, -0.24552714824676514, -0.6221868991851807, -0.39868053793907166, -1.529369831085205, 0.6906901597976685, -0.6913484930992126, 0.4194304943084717, 0.25082066655158997, 0.5468363761901855, 0.45802706480026245, 0.2326439619064331, -0.40692782402038574, -0.07529822736978531, 0.3548137843608856, 0.8104231357574463, -0.3170489966869354, -0.720846951007843, 0.43378400802612305, -1.0449531078338623, -0.7995633482933044, 0.23058545589447021, -1.3034615516662598, 0.22433172166347504, -0.013605860061943531, 0.8500298857688904, 0.5147128105163574, 0.725344717502594, 0.2955780625343323, -0.7088797092437744, -0.00777354184538126, -0.27983951568603516, -0.0879463404417038, 0.6977812051773071, -0.7245192527770996, 0.2535834312438965, -0.13317428529262543, 0.09638068079948425, -0.08660446852445602, 0.950287401676178, -1.4258124828338623, -0.09427563101053238, 0.16370606422424316, 0.010696475394070148, 0.9008955955505371, -0.7355037331581116, -0.29439759254455566, -0.8275846838951111, 0.6297340393066406, 0.5470724701881409, 0.10959429293870926, 0.6757917404174805, 0.4695949852466583, -1.011246681213379, -0.4351534843444824, 0.12509788572788239, 0.3593655526638031, -0.7476008534431458, 0.165577232837677, 0.07584060728549957, -0.1461295783519745, 1.100385308265686, 0.10892297327518463, 0.011113765649497509, -0.4094060957431793, 1.046500325202942, -0.2468244880437851, -0.5424919128417969, -0.6117758750915527, -0.10459067672491074, 1.1372008323669434, -0.49051806330680847, 1.4071944952011108, 1.5852924585342407, 0.48374879360198975, -0.9343291521072388, -1.2513984441757202, 0.09958849847316742, 1.028967022895813, 0.6385591626167297, -0.13098634779453278, 0.3876667320728302, -1.1598281860351562, 0.671852171421051, 0.22520367801189423, 0.5436087250709534, 0.2888386845588684, -0.6924679279327393, 0.0007869481923989952, -0.20433679223060608, 1.0323445796966553, 0.2798229455947876, -0.0374661386013031, 0.27481743693351746, -0.015461403876543045, 0.4351324737071991, -0.25764548778533936, -0.2000947892665863, -0.16163766384124756, 0.43045708537101746, -0.6296859979629517, 1.1333988904953003, -0.025110363960266113, -0.054071277379989624, 0.5207439661026001, -0.02617184817790985, -1.3601503372192383, 1.095455527305603, 0.24934817850589752, 0.7627993226051331, -0.5900315642356873, -0.3191860318183899, 0.6858474612236023, -0.7312734723091125, 0.2619169354438782, 0.5950106382369995, -0.613222599029541, 0.19128304719924927, 0.3574487864971161, 0.1484198421239853, 1.1407054662704468, 0.3129115402698517, 0.5993523001670837, -0.5312855243682861, -0.5590272545814514, 0.13860853016376495, 0.5607182383537292, 0.40974265336990356, -0.2732643187046051, -0.2613028585910797, 0.49370673298835754, -0.6970609426498413, 1.2880570888519287, -0.3354749083518982, 0.8275036215782166, 0.4768592119216919, -0.33864328265190125, 0.3499224781990051, -0.7728922367095947, -1.034798502922058, -0.18574926257133484, -0.33123520016670227, 1.6796857118606567, -0.34536048769950867, -0.11010610312223434, -1.1288472414016724, 0.5380155444145203, -0.23078256845474243, -0.23597998917102814, -0.281730592250824, 0.048021361231803894, 0.1410033404827118, -0.49712151288986206, -1.0395474433898926, -0.2254652976989746, 1.1286139488220215, -1.0763903856277466, 0.1557217836380005, 0.19223880767822266, 0.6303316354751587, -0.4420621693134308, -0.2950470745563507, -1.3897602558135986, -1.0258259773254395, 0.8042755722999573, 0.04843197390437126, 0.32881104946136475, -0.377496600151062, -1.5477468967437744, -0.644952654838562, 0.6597259640693665, -0.3423558175563812, -0.6331081986427307, -1.0400034189224243, 0.3058236241340637, 0.986417293548584, 0.9645242691040039 ] ]
{ "indices": [ 4146668087, 3188814266, 4156877717, 1955147705, 2006536704, 2517333479, 3282398576, 1960040400, 691409538, 2391722386, 2132027491, 296467145, 3876624646, 640124220, 2673623443, 629871972, 3076736765, 2912884399, 2067848296, 1793137844, 2895605406, 1777960979, 4186256544, 4011823516, 3743430521, 1590456296, 2257684172 ], "values": [ 0.49194488589648017, 0.49194488589648017, 0.743909605600537, 0.49194488589648017, 0.6594679073562161, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.6594679073562161, 0.49194488589648017, 0.743909605600537, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.6594679073562161, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.6594679073562161, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017 ] }
{ "context": "So you take your eight-bit trap vector zero, extend that to 16 bits, and that's your memory address. So that zero mode of this MarMUX is used to execute a trap instruction. All of the things that we know about, we didn't talk about trap implementation. So everything we know about will go through this address adder here and go out through the MarMUX. So that would be setting one in the MarMUX." }
111782
[ [ -0.3411197364330292, -0.9281643629074097, -0.1058230772614479, -0.6978285908699036, 0.3160673975944519, 0.04610423743724823, -0.37456014752388, 0.3205699324607849, 0.7787166833877563, -0.7789475321769714, 0.7199172377586365, 0.7787522673606873, 1.1660627126693726, 0.35064059495925903, 0.4744572043418884, 0.0665724128484726, 0.8893030285835266, -0.5100829601287842, -0.9539412260055542, -0.2363549768924713, 0.45747652649879456, -0.7904757261276245, -0.3487272262573242, -0.37938475608825684, -0.628097653388977, 0.16213533282279968, 0.5913603901863098, -0.5569077730178833, 0.5387634038925171, 0.7528082728385925, -0.5044456720352173, -0.8043911457061768, 0.5396173000335693, -0.2172108292579651, 0.45501235127449036, 0.20513111352920532, 0.05974481254816055, -0.4090655744075775, -0.4292583763599396, 1.064457654953003, -0.6903465390205383, 0.28292497992515564, -0.004278191830962896, 1.0696325302124023, -0.5006936192512512, 0.3288058936595917, -0.06639079004526138, 0.7509341239929199, 0.9555361866950989, -0.5512886047363281, 1.2065560817718506, -0.7058817744255066, 0.5662843585014343, 0.4046385884284973, 0.14835649728775024, 0.2950293719768524, 0.8648220896720886, 1.917332649230957, 0.2404187023639679, -0.7498570680618286, -0.6598252058029175, -0.4130997955799103, 0.08608411997556686, -0.5421820282936096, -0.3341074585914612, -0.9515781402587891, 0.5566449761390686, -0.23093487322330475, 0.6490846872329712, -0.0865941271185875, -0.8349804282188416, -0.15635955333709717, 0.2436038702726364, 0.20060881972312927, 0.8419687747955322, -1.0030006170272827, 0.7165045142173767, -0.046317704021930695, 0.6144185066223145, 0.9480820894241333, -0.6831102967262268, -0.1100270226597786, -1.2844732999801636, 0.2541539967060089, 0.38127216696739197, -0.9456667304039001, 1.8384565114974976, -0.3479466438293457, 0.10154762119054794, 0.3237759470939636, 0.3628280460834503, -0.10411269217729568, 0.7623493671417236, -0.5186194777488708, -0.4706808924674988, 0.2005455046892166, 0.8446370363235474, 0.7136224508285522, -0.4987639784812927, -0.47022098302841187, 1.105627417564392, -0.9501181244850159, 0.13924165070056915, 0.8739955425262451, 0.17799913883209229, -0.2194383591413498, -0.22573325037956238, -0.28976625204086304, -0.925261914730072, 0.7620294690132141, -1.315281867980957, 0.8926043510437012, -0.7687080502510071, 0.8081409335136414, 0.1542716771364212, -0.3308159410953522, -0.979318380355835, -0.3464620113372803, 0.7491874694824219, 0.9844385385513306, 0.8693230152130127, -0.08755426108837128, 0.37336406111717224, -0.3623785674571991, -1.6271551847457886, 0.939923107624054, 0.3762212097644806, 0.04191865772008896, -0.7907964587211609, 0.5292620062828064, -0.1570674628019333, 0.24624036252498627, -0.08057110011577606, 0.2922724783420563, 0.03585430234670639, 0.7758768796920776, -1.6461403369903564, -1.8407505750656128, 0.8399927020072937, 0.26728829741477966, -0.25017309188842773, -1.3614144325256348, -0.22728048264980316, 0.8426165580749512, 1.0353714227676392, 0.6449657082557678, 0.860775887966156, 0.7496227622032166, -0.6027628779411316, 0.40796536207199097, -0.7901725172996521, 0.05133657157421112, -1.2629319429397583, -0.4247092604637146, -0.034698911011219025, -0.3018853962421417, -0.3168323040008545, 0.23124511539936066, -1.1038869619369507, -0.5880124568939209, 0.8045625686645508, -0.26045742630958557, 0.006789474748075008, 0.12231367081403732, -0.6911632418632507, -1.211146593093872, 1.6346304416656494, -0.2950689196586609, 0.6993584036827087, 0.6272156834602356, -0.10385877639055252, 0.8051262497901917, -0.11213014274835587, 0.6468064188957214, 1.7411577701568604, -0.6327328085899353, 0.3801463842391968, -1.9479939937591553, -0.4570261836051941, -0.3003297746181488, -0.4303780496120453, -0.7395628094673157, 0.1405418962240219, 0.10098143666982651, -0.449024498462677, 0.4218980073928833, -0.3558453321456909, 0.36828330159187317, 1.5140960216522217, -1.735681414604187, -0.5391310453414917, -0.16856004297733307, 1.029690146446228, -0.01457361038774252, -1.1299127340316772, -0.9122847318649292, -0.07596448808908463, -0.0251894723623991, 0.39458152651786804, -0.7668595314025879, -0.17430554330348969, 0.4502394497394562, 0.32811495661735535, -0.4070243537425995, 0.4078689515590668, 0.21353822946548462, 0.5014521479606628, -0.3682864308357239, 0.7095087766647339, -0.6352619528770447, -0.15002883970737457, 0.806596577167511, -0.32073119282722473, 0.26855576038360596, 0.17861361801624298, 0.4236311614513397, -0.6835282444953918, 1.1132341623306274, 0.13063493371009827, 0.18258456885814667, -1.3597432374954224, 1.0525591373443604, 2.0447311401367188, 0.639275848865509, 0.5595341920852661, 0.9409633278846741, -0.6039384603500366, -0.5790600180625916, 0.24410033226013184, -0.38275009393692017, -0.44585683941841125, -1.0076650381088257, 0.14917238056659698, 0.415237158536911, -0.5317871570587158, -0.09025430679321289, 1.8769176006317139, 0.8445759415626526, 1.0306345224380493, 0.18696820735931396, -1.1472002267837524, 0.589902937412262, 0.3742026090621948, 0.9553650617599487, 0.2839275002479553, 1.211925745010376, 0.7641631364822388, 1.159999132156372, -0.11857230961322784, 0.05217251181602478, -0.746487557888031, 0.804665744304657, 0.28242701292037964, -0.5628055930137634, 0.07896738499403, -0.8232964873313904, 0.6976901888847351, -0.7024087905883789, 0.17111046612262726, 1.2216142416000366, -0.23983041942119598, 0.11503255367279053, 0.06527619808912277, -0.7627604007720947, 0.2624724805355072, 0.5430160164833069, -0.5956865549087524, -1.0111258029937744, 0.7713746428489685, -0.4714677631855011, 1.3040000200271606, 0.3800239562988281, -0.5954303741455078, -1.1392804384231567, 0.053990863263607025, 0.48023536801338196, -0.512272298336029, 1.2095412015914917, -1.5169166326522827, -0.4133303761482239, -0.02989816665649414, 0.45510193705558777, 0.8427721858024597, 0.0562053881585598, -1.8593474626541138, 0.5539566874504089, 0.059741489589214325, -1.3202428817749023, 0.5924249291419983, 0.545573353767395, -0.86491858959198, 0.10595539212226868, -0.058181069791316986, -0.7725775837898254, -0.6899221539497375, -0.06197608262300491, -0.13177023828029633, -0.0379834808409214, 0.060707125812768936, 1.0465954542160034, 0.3205065131187439, -1.1385785341262817, 0.09677594155073166, -0.931344747543335, 0.18036557734012604, 0.05716679245233536, -0.9130041003227234, -0.05212816596031189, -0.40372276306152344, 0.5359854102134705, -0.3020772635936737, -0.33933013677597046, -0.5889928936958313, -0.7942331433296204, -1.3339290618896484, 0.2618604898452759, 0.7212820649147034, 1.0649869441986084, -0.3757723271846771, -0.3577028512954712, 0.9715319275856018, 0.746967613697052, -0.9565151333808899, -0.8562037348747253, 0.2652153968811035, -0.40040159225463867, -0.9058669805526733, -0.07406655699014664, 1.4214191436767578, 0.43072646856307983, 0.8287767171859741, -0.0012443060986697674, 0.7508487701416016, 0.9157311916351318, -0.5399969220161438, -0.7924189567565918, 0.19110703468322754, 0.5759848356246948, -0.9161930680274963, 0.12044195830821991, 1.0276938676834106, -0.3590267300605774, 0.6928138732910156, -0.28985318541526794, 0.6321425437927246, 0.3490760624408722, -0.9441349506378174, 0.48182979226112366, -0.02086756005883217, 1.0192532539367676, 0.18586009740829468, -0.3186173439025879, 0.33033138513565063, -1.3180915117263794, -0.4189644157886505, 0.059273749589920044, 0.909487247467041, -0.4015657305717468, -0.47340190410614014, 0.9566033482551575, 0.4645533859729767, -0.5570470690727234, -0.026823734864592552, 0.2824719250202179, -0.16243703663349152, 0.27077311277389526, -0.31479498744010925, -0.3004452586174011, 0.2927854359149933, -0.2548382580280304, 0.7259684205055237, 0.25105658173561096, -0.5178015232086182, -0.07406134158372879, -1.1076362133026123, -0.01127681229263544, 0.8877246379852295, 0.841528058052063, -0.10711296647787094, 0.9280946850776672, 0.2644300162792206, 0.08105198293924332, -0.289083331823349, -0.026922348886728287, -1.7295403480529785, 0.2634486258029938, -0.06881608068943024, 0.38654494285583496, 0.4158186912536621, 0.15912312269210815, 0.5531538128852844, -0.6325981616973877, -0.8164408802986145, -0.02441457286477089, -0.29749923944473267, -0.7362837195396423, 0.23413707315921783, 0.002031558658927679, -0.4657444953918457, 0.41102123260498047, 0.6619846820831299, 1.7078790664672852, 0.2953253388404846, -0.006070164497941732, 1.1126059293746948, 0.13257794082164764, -0.087713323533535, -0.6828590035438538, 0.29910194873809814, 0.047006089240312576, 1.3757563829421997, 0.5396185517311096, 0.6664223670959473, -0.5527269244194031, -0.14080879092216492, 0.6317647099494934, 0.2119903862476349, 0.22947397828102112, -0.06296361982822418, 0.47206544876098633, -0.7544080018997192, -0.8902245759963989, -0.1921672374010086, 0.5822840929031372, 0.3170034885406494, 1.4521547555923462, 0.8506254553794861, 0.2843005955219269, -0.05144660547375679, 1.1434932947158813, 1.011104702949524, -0.18368427455425262, -0.2869555950164795, -0.8271194696426392, -0.014514664188027382, 0.7640437483787537, -0.23133954405784607, 0.4681084156036377, 0.7680607438087463, 0.7154639363288879, -0.2719331681728363, 0.13873355090618134, -0.43450573086738586, -0.9877967834472656, 0.7719122767448425, -0.5111015439033508, -0.12658937275409698, 0.2091694474220276, 0.8012642860412598, 1.0706990957260132, 0.4695519208908081, 0.13616614043712616, 0.38501816987991333, -0.8081797957420349, -2.899399757385254, -0.24188610911369324, 0.2602279484272003, 0.7035853266716003, -0.905451774597168, -0.03940025344491005, -0.737086832523346, -1.318596363067627, 0.7995918989181519, -0.5352111458778381, 0.7631792426109314, -0.5813308954238892, 0.5558997392654419, -0.5805361866950989, -1.2054592370986938, -1.0392006635665894, 1.176859974861145, -0.41623103618621826, -0.20924703776836395, -0.24965409934520721, 0.19400909543037415, 0.21370728313922882, 0.6665022969245911, 0.1288064569234848, 0.41127273440361023, -1.0731801986694336, -0.4486244022846222, 0.008704058825969696, 0.9560843110084534, -1.133652925491333, -0.8557559847831726, 0.16118963062763214, -0.39516279101371765, -0.20908841490745544, 0.7680702209472656, -0.06703741103410721, -0.5016117691993713, 0.2238285392522812, -0.10761260241270065, -0.1726832389831543, -0.7637361884117126, -1.1138112545013428, 1.0622636079788208, 0.31280678510665894, 0.5044952034950256, 0.7762417793273926, 0.3932916522026062, -0.6895090341567993, -0.2145300805568695, -0.18523521721363068, 0.22980839014053345, -0.8221817016601562, 0.6658046245574951, -0.5001696348190308, 0.11218444257974625, -0.7926751971244812, 1.4696288108825684, -0.4376928508281708, -0.21040822565555573, 0.23985591530799866, -0.12706127762794495, -0.1572423279285431, 0.9605517983436584, -1.4237169027328491, -0.04682895541191101, 0.16023734211921692, -0.06960397213697433, -1.186381459236145, -0.14789485931396484, 0.15122783184051514, -1.6990127563476562, 0.3896070122718811, 0.33553165197372437, -0.24002687633037567, 0.2719537913799286, -1.3328766822814941, 0.5562356114387512, -0.13273105025291443, -1.0865192413330078, 1.1451163291931152, 0.2308286726474762, 0.3127657175064087, 0.18221470713615417, -0.8433425426483154, -0.08942129462957382, 0.34696412086486816, -0.44436419010162354, 0.0015939670847728848, 0.3834584057331085, 0.6826342940330505, -0.054650213569402695, -0.19593524932861328, -1.6290992498397827, -0.9407100677490234, -0.08086510002613068, 0.17206552624702454, 0.07248236238956451, -0.5754528641700745, 0.20800964534282684, 0.40065798163414, -0.7003169059753418, -0.24179530143737793, 1.2941412925720215, -0.7207388877868652, 0.7718794345855713, 0.2309959977865219, 0.35016149282455444, 0.6807047128677368, 0.37388843297958374, 0.5557110905647278, 0.38220277428627014, 0.2527908682823181, 0.28116559982299805, -0.8217875361442566, -0.8865185379981995, -0.5000334978103638, -0.06239721551537514, -1.0777602195739746, -0.8143315315246582, 1.3463988304138184, -0.05841139331459999, -0.11008338630199432, 0.14360550045967102, -0.6562376022338867, -0.04118598252534866, 0.45589035749435425, -0.5088374018669128, -0.4356829822063446, 0.6227484941482544, 0.008056946098804474, 0.26959288120269775, 0.5488432049751282, -0.021979762241244316, -0.5903581380844116, 0.7669194936752319, 0.43866491317749023, 1.1005761623382568, 1.5808374881744385, 0.36089926958084106, 0.11660636216402054, 0.32275909185409546, 0.29633650183677673, 1.0273683071136475, -1.0395219326019287, 0.14833228290081024, 0.5363510251045227, 0.04680180922150612, -0.4014240801334381, -0.32413750886917114, -0.909672200679779, 0.261322557926178, 0.8843508362770081, -0.7987704873085022, 0.3042382299900055, 0.17646236717700958, 0.3829953372478485, -0.025402342900633812, -0.27905625104904175, -0.603722333908081, 0.45932266116142273, -1.0976331233978271, 2.3493611812591553, -0.7958399653434753, -1.4744185209274292, 0.82197105884552, 1.2674007415771484, 0.6659992337226868, 0.16880565881729126, 1.1728342771530151, -0.3959665596485138, 0.11702365428209305, -0.7511703372001648, -0.7049627900123596, 0.6500276923179626, -1.1263930797576904, 0.623913586139679, 0.16767524182796478, -0.2782424986362457, 1.1027638912200928, 0.1781052201986313, 0.16597920656204224, -1.3877618312835693, -0.6651512980461121, -1.994529366493225, 1.142053484916687, 0.3002524971961975, -0.5868266820907593, 0.27306264638900757, 0.11770130693912506, 0.6520109176635742, -0.37015292048454285, 0.8225468993186951, -0.5356560945510864, -1.8067808151245117, 0.005668184719979763, -0.0050660246051847935, -1.2732747793197632, 1.4252389669418335, 0.7085757255554199, 0.0829654335975647, 0.564769446849823, -0.5772162079811096, 1.2028828859329224, 0.2680630683898926, 0.28460535407066345, 0.8881433010101318, 1.5600100755691528, -0.07322824001312256, 0.060929831117391586, -0.35702022910118103, -0.46101024746894836, 4.991981029510498, 1.455276608467102, -0.06838099658489227, -1.8840513229370117, -0.20481188595294952, -0.7658928632736206, -0.1315009444952011, -0.3337453305721283, 0.38175976276397705, 1.150479793548584, 0.20244304835796356, 0.7481245994567871, 0.650500476360321, -0.18001991510391235, 0.1328897923231125, 0.16091932356357574, -0.5279598832130432, -0.6749845147132874, 0.975806474685669, 0.1753174513578415, 0.22763068974018097, -0.44852420687675476, -1.3445870876312256, -1.5681631565093994, 1.000224232673645, -0.6104407906532288, 0.2658160924911499, -0.689655601978302, 1.395553469657898, 0.4870663583278656, -0.8244556188583374, 0.4624885022640228, 0.3009207248687744, 0.4702953100204468, 0.5813984870910645, 0.5214143395423889, -0.3799826502799988, -0.06383860111236572, -0.48619452118873596, 0.23938468098640442, 0.03813550993800163, -0.17868152260780334, -0.6872987151145935, 0.15709246695041656, -0.45603108406066895, -0.35194745659828186, -0.613403856754303, -0.8453145027160645, -0.1369975209236145, 0.2994021773338318, -0.4289899170398712, 0.5667821764945984, -0.6047202944755554, -1.0909528732299805, -1.458768606185913, 0.3829484283924103, 0.1348116099834442, 0.3107081949710846, -0.833479106426239, -0.39526456594467163, 0.4057289958000183, -0.43193134665489197, 1.1225003004074097, 0.38592612743377686, 0.7388551831245422, 0.08466609567403793, -0.8268914818763733, 1.811964511871338, -0.026974176988005638, -0.530500590801239, -0.8244436383247375, 0.30609890818595886, -0.5097675919532776, 0.13835319876670837, 0.27226462960243225, 0.621305525302887, -0.7995843887329102, 0.05914920195937157, -0.68047696352005, -0.7186447978019714, -1.0498631000518799, -0.4389663338661194, -0.02943487837910652, -0.47229182720184326, -0.9530438184738159, -0.24641455709934235, -0.13689488172531128, 0.34299010038375854, 0.8468974828720093, -0.10178051888942719, -0.3152908384799957, 1.2625632286071777, 0.20108801126480103, -1.2245570421218872, 0.16748306155204773, 0.6225329041481018, -1.0172101259231567, -0.47594153881073, -1.0644116401672363, 1.4333287477493286, -0.3254398703575134, -0.07469047605991364, 0.007998384535312653, 1.1040115356445312, -0.6340646147727966, 0.2580867111682892, 0.7788665294647217, 0.43008315563201904, -0.2618110179901123, 0.23119273781776428, 0.6205695271492004, -0.757337749004364, -0.9527138471603394, -0.43369725346565247, -0.38025328516960144, -0.13042400777339935, -0.7157822251319885, -0.015125643461942673, -0.15335315465927124, -0.3542652428150177, 0.17048121988773346, 0.4605382978916168, -0.003948077093809843, -0.4397561550140381, -0.3071520924568176, 0.19213464856147766, -0.06172465160489082, -0.2152131348848343, 0.1912301629781723, -0.2529076337814331, -0.17435495555400848, -0.03388044238090515, -0.2974570691585541, 0.7123648524284363, -1.1447081565856934, -0.607683539390564, -0.2491828352212906, 0.9916309118270874, -0.7117876410484314, -0.08709929883480072, 1.2560670375823975, -1.0985896587371826, -0.20863942801952362, 1.4804550409317017, -0.39056605100631714, 0.1009988784790039, 0.3739422857761383, -0.09720420092344284, 1.1569502353668213, 0.5235180854797363, -1.1144717931747437, -0.049383606761693954, 0.6855549216270447, 0.3394336998462677, 0.02233949489891529, 0.4901168942451477, 0.32855474948883057, -0.29272082448005676, -0.5236358046531677, 0.870466947555542, -0.19597157835960388, 0.28592243790626526, 0.32682329416275024, -0.9090633988380432, 0.26280316710472107, 0.06414620578289032, -0.7564733624458313, 0.48900163173675537, -0.2167627513408661, 0.7481984496116638, -0.33134424686431885, 0.21680577099323273, 0.8624316453933716, 0.2899397313594818, -1.6865110397338867, -0.29545819759368896, -0.39897024631500244, -0.5127729177474976, 0.5837540626525879, -0.5126492381095886, 0.26870131492614746, 0.6550294756889343, -1.3023942708969116, -0.005885554477572441, -0.5724374055862427, 0.06827186048030853, -1.3386054039001465, 0.86504065990448, -0.33842092752456665, 0.3218018114566803, 0.2512725293636322, 0.39754119515419006, 0.7742559909820557, 0.010930617339909077, -0.28294041752815247, -0.05525001138448715, 0.7190797924995422, 0.9246959090232849, -0.07143262028694153, -1.0003385543823242, 0.0808476060628891, -0.9252205491065979, -0.5957427620887756, 0.41512930393218994, -1.1581642627716064, 0.24298270046710968, -0.027564363554120064, 0.9785171747207642, 0.39198410511016846, 0.6451643109321594, 0.3238162696361542, -0.473297119140625, -0.12545159459114075, -0.47735434770584106, 0.15804366767406464, 0.38092830777168274, -0.8812946677207947, 0.0897008627653122, -0.16136011481285095, -0.07657159119844437, 0.051570963114500046, 0.7467793822288513, -1.6421196460723877, -0.24083514511585236, 0.29921746253967285, -0.08433479070663452, 0.8930646777153015, -1.0809049606323242, -0.6407638788223267, -0.9792563319206238, 0.634364128112793, 0.3588673770427704, 0.2067362517118454, 0.9041346311569214, 0.07587511837482452, -1.1457926034927368, -0.4076232314109802, 0.1090136244893074, 0.06779053062200546, -1.220119595527649, 0.0319286473095417, 0.11158255487680435, 0.022264977917075157, 0.8658933639526367, 0.2441728264093399, 0.15915358066558838, -0.5962160229682922, 1.0008344650268555, -0.2869926989078522, -0.3358173072338104, -0.12886275351047516, -0.3112471103668213, 0.881761372089386, -0.8845573663711548, 1.5699052810668945, 1.9619622230529785, 0.40726616978645325, -1.1738357543945312, -1.127084732055664, -0.36190155148506165, 0.8144637942314148, 0.9033859968185425, -0.03745920583605766, 0.18331508338451385, -1.527734637260437, 0.6563699245452881, 0.1000576913356781, 0.5237100720405579, 0.1478576809167862, -0.07699117809534073, -0.2238004207611084, 0.06324885040521622, 1.3868730068206787, 0.19273942708969116, 0.014413037337362766, 0.30302664637565613, -0.07232249528169632, 0.1313696652650833, -0.4102969765663147, -0.3633044958114624, -0.2439793050289154, 0.5304720401763916, -0.3998042643070221, 1.2513408660888672, 0.07544560730457306, -0.32241660356521606, 0.2432921975851059, 0.304999440908432, -1.3815171718597412, 1.195665717124939, 0.1769780069589615, 0.41272497177124023, -0.2917008101940155, -0.5616517663002014, 1.0210037231445312, -0.6655682325363159, 0.38937580585479736, 0.756831705570221, -0.5240567922592163, 0.16555802524089813, 0.46965980529785156, 0.1561834216117859, 1.3250895738601685, 0.11282210797071457, 0.5448786020278931, -0.45499274134635925, -0.5424176454544067, 0.2771148681640625, 0.29202893376350403, 0.6125622391700745, -0.09733373671770096, -0.23467758297920227, 0.6309195160865784, -0.5415893197059631, 1.2870826721191406, -0.24426546692848206, 1.365016222000122, 0.31181538105010986, -0.04977280646562576, 0.256893128156662, -0.7579399943351746, -0.9854925870895386, 0.23837314546108246, 0.020829662680625916, 1.6370315551757812, -0.7920870184898376, -0.12537245452404022, -1.017541527748108, 0.202409565448761, -0.6349852681159973, -0.10759806632995605, -0.6297270655632019, -0.1316262185573578, 0.02338293194770813, -0.6747024059295654, -0.7186729907989502, 0.0902152955532074, 0.9777572154998779, -0.8197832703590393, 0.37446415424346924, 0.21232914924621582, 0.5274724364280701, -0.3538139760494232, -0.2919129729270935, -1.547173261642456, -1.0197287797927856, 0.9828017950057983, 0.13306397199630737, 0.5904210209846497, -0.29688599705696106, -1.822792410850525, -0.6632758975028992, 0.5215213894844055, -0.40446358919143677, -0.8164399862289429, -0.9210805892944336, 0.6309905648231506, 1.0282301902770996, 0.9706089496612549 ] ]
{ "indices": [ 1777960979, 2912884399, 4186256544, 2132027491, 4011823516, 3876624646, 3743430521, 1590456296, 2257684172, 691409538, 1041011349, 1765639896, 1786548735, 2305334529, 358389376, 640124220, 2411031802, 2578007438, 997012898, 1830401145, 1939799981, 2516331022, 3299089655, 2063350695, 3686012684, 3774983271 ], "values": [ 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.45021338715555703, 0.6208926095194913, 0.8037074758461079, 0.45021338715555703, 0.6208926095194913, 0.7661119630911921, 0.45021338715555703, 0.7107036060130572, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.8037074758461079, 0.7107036060130572, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703 ] }
{ "context": "So everything we know about will go through this address adder here and go out through the MarMUX. So that would be setting one in the MarMUX. And that's it for the MUX settings. So those six MUXs. All right. So notice that these two MUXs are used by both the PCMUX, so the output here of the adder gets used by the PCMUX and the MarMUX. I kind of said this already, but if either one of them is used and MarMUX is only used if gate MarMUX is one, PCMUX is only used if load PC is one." }
298744
[ [ -0.5882198214530945, -0.757286012172699, -0.20464012026786804, -0.208704873919487, -0.008535753935575485, 0.3783736526966095, -0.3522492051124573, 0.7167007923126221, 0.787261962890625, -0.6064417362213135, 0.47211459279060364, 0.7292221784591675, 0.9656854867935181, -0.20532545447349548, 0.6387912034988403, -0.13362641632556915, 0.8670145869255066, 0.09822847694158554, -1.0619515180587769, -0.21649758517742157, 0.5805102586746216, -0.5737650990486145, 0.37031516432762146, -0.562757670879364, -0.2295360267162323, 0.5821547508239746, 0.44045138359069824, -1.0465457439422607, 0.5141351819038391, -0.18121285736560822, -0.3961125612258911, -1.8343276977539062, 0.4231937825679779, -0.22023114562034607, 0.866108238697052, 0.03576107323169708, -0.3227177858352661, -0.5735171437263489, -0.12785138189792633, 0.6820141077041626, -0.4831388592720032, 0.30823588371276855, -0.48896193504333496, 0.6123328804969788, -0.10919125378131866, 0.2663881480693817, -0.30114418268203735, 0.3199082016944885, 1.0889571905136108, -1.1283875703811646, 1.3773889541625977, -1.073913812637329, 0.8790642023086548, 0.5220623016357422, -0.43384578824043274, 0.4745924770832062, 1.3286210298538208, 1.6748689413070679, -0.24728430807590485, -0.7238367795944214, -0.6417542695999146, -0.20321497321128845, 0.6715170741081238, -0.05792754516005516, -0.6503503918647766, -0.9379178285598755, 0.6724257469177246, -0.12302147597074509, 0.4030725061893463, 0.2288241982460022, -0.6396278142929077, -0.32438522577285767, 0.27623286843299866, 0.5009924173355103, 1.2003945112228394, -1.0573832988739014, 0.8987805843353271, -0.5985630750656128, 0.13357040286064148, 0.49792301654815674, -1.4061146974563599, -0.13046438992023468, -1.5380115509033203, 0.9975999593734741, 0.16946043074131012, -0.5363590717315674, 2.3616955280303955, -0.5849332809448242, -0.32261213660240173, 0.277413010597229, 0.15272097289562225, 0.06246185302734375, 1.207455039024353, -0.6383038759231567, -0.44017693400382996, 0.5665902495384216, 0.2523705065250397, 0.19515345990657806, -0.30718258023262024, -0.5106900930404663, 0.1660315990447998, -0.9697465300559998, 0.23582257330417633, 1.3785879611968994, -0.3354523777961731, 0.12580810487270355, -0.19931629300117493, -0.29166755080223083, -0.347150593996048, 0.8387681245803833, -0.8828330039978027, 0.31147301197052, -0.5384599566459656, 1.2361643314361572, -0.11517783999443054, -0.06910891085863113, -0.9784120917320251, -0.06134788691997528, 0.8896865248680115, 0.9431725740432739, 1.5762333869934082, -0.6824280619621277, 0.5631526708602905, -0.7568079829216003, -1.7258774042129517, 1.3871511220932007, 0.007333414163440466, -0.1619761884212494, -0.5667157769203186, 0.6553979516029358, 0.3356826603412628, -0.05389869958162308, 0.10573095083236694, -0.23711661994457245, -0.3234513998031616, 0.6236927509307861, -1.2673927545547485, -1.649755835533142, 0.5775838494300842, 0.34541940689086914, 0.08833862841129303, -1.537825584411621, -0.3467448055744171, 0.8314498662948608, 0.9562227725982666, -0.21269434690475464, 0.40896865725517273, 0.8402586579322815, 0.001240929588675499, 0.601540207862854, -0.912224292755127, -0.2172471582889557, -1.4455209970474243, -0.13464294373989105, 0.03172053024172783, 0.2625997066497803, 0.29911407828330994, 0.5947924256324768, -0.5659638047218323, -0.3240804970264435, 1.0851246118545532, -0.3279598653316498, 0.10549751669168472, 0.5590229630470276, -0.97843337059021, -0.9405325651168823, 1.851630687713623, -0.009545492939651012, 0.4652087688446045, 0.09082378447055817, 0.3847828209400177, 0.15573371946811676, -0.1192372664809227, 0.5670889019966125, 1.367801308631897, -0.7271778583526611, 0.13498559594154358, -0.9683601260185242, -0.6412336230278015, -0.5926512479782104, -0.45905306935310364, -0.6212472319602966, 0.5994268655776978, 0.6019527912139893, -0.35710516571998596, 0.23705707490444183, -0.49769270420074463, 0.7325670719146729, 1.4902530908584595, -0.7505064010620117, -0.4924495816230774, -0.7182530760765076, 0.6567522883415222, -0.5298397541046143, -1.3710227012634277, -0.6906952261924744, -0.2932722568511963, 0.26536792516708374, 0.4790879786014557, -0.3895959258079529, -0.702323317527771, 0.47578170895576477, 1.0613367557525635, -0.6579979062080383, 0.034505486488342285, 0.626480221748352, 0.6817073225975037, -1.036167860031128, 0.8596090078353882, -0.7219297289848328, 0.0636274442076683, 0.7356720566749573, 0.30131983757019043, 0.1038297638297081, -0.1097821593284607, -0.26023006439208984, -0.7071129679679871, 0.643207311630249, 0.11166711151599884, 0.2547191381454468, -1.255192518234253, 1.3839017152786255, 1.8197548389434814, 0.9762056469917297, 0.6402221322059631, 1.1251764297485352, 0.1446739286184311, -0.1766229271888733, 0.5348943471908569, 0.11203374713659286, -1.0245164632797241, -1.8334161043167114, -0.2609066069126129, 0.06751666218042374, -0.4268971383571625, 0.5600883960723877, 1.2712727785110474, 0.4616726338863373, 0.699249267578125, -0.5330265760421753, -1.2516008615493774, 0.9265236854553223, 0.3972826600074768, 1.3256747722625732, 0.18095238506793976, 0.75336092710495, 0.47447291016578674, 1.6318763494491577, -0.34323441982269287, -0.041257262229919434, -0.25781723856925964, 0.7946128845214844, 0.4493762254714966, 0.12995341420173645, 0.23389460146427155, -1.241233229637146, 0.6246382594108582, -0.4665175974369049, 0.24001233279705048, 0.8455073237419128, -0.0186769999563694, -0.43391066789627075, -0.11455123871564865, -0.21728810667991638, 0.08183709532022476, 0.8330495357513428, 0.05465136095881462, -1.022326111793518, 0.7722402811050415, -0.613122284412384, 1.4419348239898682, -0.10758844763040543, -0.9737074375152588, -1.168088436126709, -0.032913535833358765, 0.34700244665145874, -0.27013760805130005, 1.3451786041259766, -1.6041215658187866, -0.35136088728904724, -0.23596873879432678, -0.15829619765281677, 0.3259676694869995, 0.09675124287605286, -1.7502728700637817, 0.25266990065574646, 0.3465352952480316, -0.6673151254653931, 0.589767336845398, -0.24764373898506165, -1.0164505243301392, 0.7565842866897583, 0.014294549822807312, -1.046364665031433, -0.49082547426223755, 0.06667453050613403, 0.15108031034469604, 0.030039265751838684, -0.03428236395120621, 1.1934312582015991, 0.32584986090660095, -1.6325862407684326, 0.06591619551181793, -0.5434277653694153, -0.13372597098350525, 0.21238340437412262, -0.4433099627494812, -0.25747156143188477, -0.16845004260540009, 0.5572875738143921, 0.2736329138278961, -0.22760964930057526, -0.14966122806072235, -0.6862192153930664, -1.7712067365646362, 0.1327657699584961, 0.4376436769962311, 0.9143106341362, -0.5138475894927979, -0.1366773545742035, 0.9932715892791748, 0.634452760219574, -0.7671257257461548, -0.42845967411994934, 0.46115389466285706, -0.5590064525604248, -0.8841991424560547, -0.627666175365448, 1.3368332386016846, -0.05005040764808655, 0.28232166171073914, -0.05137398838996887, 1.0552256107330322, 1.6951625347137451, -0.5341790318489075, -0.6587269306182861, 0.3179948925971985, 0.5653206706047058, -0.7505943179130554, -0.015618706122040749, 0.7106969356536865, 0.22072839736938477, 0.5864773392677307, -0.29055434465408325, 0.27877727150917053, 0.7079055309295654, -0.5758469700813293, 0.04105599224567413, -0.06396724283695221, 1.0774883031845093, -0.16404150426387787, -0.5284560322761536, 0.6459317803382874, -1.142263412475586, 0.16005922853946686, 0.714420735836029, 1.3261005878448486, -0.7048304677009583, 0.16708223521709442, 0.6094400882720947, 0.5032258033752441, -0.32890069484710693, -0.2938693165779114, -0.06603149324655533, -0.8414576053619385, 0.5407102108001709, -0.13953669369220734, 0.10465792566537857, 0.4958861768245697, -0.35121580958366394, 0.4551178514957428, 0.7892360687255859, -0.7903726100921631, 0.16501156985759735, -1.5801618099212646, -0.7090394496917725, 1.108821153640747, 0.725697934627533, 0.32306578755378723, 0.9003720879554749, -0.10909447073936462, -0.3446757197380066, -0.7252702116966248, -0.20347820222377777, -1.9331594705581665, 0.19579115509986877, 0.20851294696331024, -0.06180755794048309, 0.7077978849411011, -0.6013604998588562, 0.7291229367256165, -0.7395164966583252, -1.2927651405334473, -0.07314155995845795, -0.18356089293956757, -0.8264274001121521, 0.4076971411705017, 0.2188747078180313, -0.47216248512268066, -0.2003931999206543, 1.2012174129486084, 2.1022040843963623, 0.3654213547706604, 0.06558801233768463, 1.1873435974121094, 0.21685102581977844, -0.35509228706359863, -0.5330891013145447, 0.42221593856811523, -0.3980094790458679, 1.5096691846847534, 0.5127313733100891, 0.5839976668357849, -0.3000621795654297, -0.4811147153377533, 0.583114743232727, 0.5372263193130493, 0.6935281157493591, -0.20530539751052856, 0.46249088644981384, -0.36712363362312317, -0.3945083022117615, 0.05078694969415665, 0.6734750866889954, 0.5810525417327881, 1.1830230951309204, 1.2241429090499878, 0.5170628428459167, -0.2999357581138611, 1.1454194784164429, 0.5081772804260254, 0.254342257976532, -0.3657229542732239, -1.0019837617874146, 0.09709728509187698, 0.7315765619277954, -0.25610989332199097, 0.7539620995521545, 0.8631036877632141, -0.24433255195617676, 0.07383786886930466, 0.18345779180526733, -0.019641393795609474, -0.7276128530502319, 0.38327744603157043, -0.5514152646064758, 0.19398021697998047, 0.08171190321445465, 0.8952752351760864, 1.229602336883545, 0.2910803556442261, -0.5642393827438354, -0.25798842310905457, -0.8840669989585876, -2.6284375190734863, 0.3874993324279785, 0.6250805258750916, 0.8295544385910034, -0.4818488359451294, 0.21939045190811157, -1.0013059377670288, -1.0709890127182007, 0.0012700665974989533, -0.7503409385681152, 1.063892126083374, -0.5337172150611877, 0.788340151309967, -0.0027114623226225376, -0.964085578918457, -1.341448426246643, 0.9431247115135193, -0.5792357325553894, -0.6637080311775208, -0.5666831731796265, 0.519880473613739, -0.06655306369066238, 0.3742946684360504, -0.28430765867233276, 0.5784851908683777, -0.9046561121940613, -0.12260129302740097, 0.24041694402694702, 1.0638580322265625, -0.7378005981445312, -0.6000108122825623, -0.2544028162956238, -0.012691924348473549, -0.02454708144068718, 1.047546148300171, -0.1054336279630661, -0.6357173323631287, 0.37168943881988525, -0.44705262780189514, 0.5081133842468262, -0.6617156863212585, -1.3238409757614136, 1.6996829509735107, 0.1777055263519287, 0.3186158537864685, 1.1148368120193481, 0.6319711804389954, -0.5764983892440796, -0.5317626595497131, -0.945466935634613, 0.5185198783874512, -1.654018759727478, 0.2645385265350342, -0.4610196352005005, 0.21205465495586395, -0.3964543640613556, 1.0602995157241821, -0.15062923729419708, 0.1820092648267746, -0.13354258239269257, -0.36466047167778015, -0.9972939491271973, 0.4291437268257141, -1.1398175954818726, -0.030606983229517937, 0.2754688560962677, -0.5713881850242615, -1.1797614097595215, -0.7361510396003723, 0.4676574170589447, -2.131558895111084, 0.07843747735023499, 0.7742886543273926, 0.19346429407596588, 0.6981805562973022, -1.8895838260650635, 0.4157387614250183, 0.07260678708553314, -0.9500431418418884, 1.079086184501648, 0.5881626605987549, -0.5630605220794678, -0.05800085514783859, -0.885977566242218, 0.4475196301937103, 0.6724636554718018, 0.12187748402357101, -0.04336698353290558, 0.5374100208282471, 0.7864567637443542, -0.33528271317481995, -0.31438788771629333, -1.8809534311294556, -1.133127212524414, -0.06095881387591362, 0.030181098729372025, 0.5381097197532654, -0.27323880791664124, 0.4206879436969757, 0.998672366142273, -0.7538356184959412, 0.33420631289482117, 1.1346023082733154, -0.6373656988143921, 0.4641874134540558, 0.34370169043540955, 0.5611698627471924, 0.11826081573963165, 0.6684697270393372, 0.26648223400115967, -0.23276495933532715, 0.0726035013794899, 0.8729050755500793, -0.4632578194141388, -1.297425389289856, -0.22362738847732544, 0.350250244140625, -1.0082379579544067, -0.06490973383188248, 1.604258418083191, 0.061053451150655746, -0.1528499871492386, 0.31595975160598755, -0.4649999141693115, -0.7035608887672424, 0.29558587074279785, -0.859695315361023, -0.2608385682106018, 0.7517115473747253, 0.0028000413440167904, 0.27146315574645996, -0.1957535743713379, -0.05801139399409294, -1.6412720680236816, 0.982822060585022, 0.3045985996723175, 0.4758361279964447, 1.6196883916854858, 0.4848940372467041, 0.08169673383235931, 0.3220921456813812, 0.3412172496318817, 0.7199174165725708, -0.7944117188453674, 0.42787495255470276, 1.2827539443969727, 0.31398487091064453, -0.256891131401062, 0.3841477334499359, -1.1028116941452026, 0.6000108122825623, 1.3444581031799316, -1.2494152784347534, 0.6217438578605652, 0.42310574650764465, -0.35020124912261963, -0.6203508377075195, -0.49870216846466064, -0.8912146687507629, -0.0543593168258667, -1.4611518383026123, 2.231060266494751, -0.9166463017463684, -2.0196590423583984, 0.37181955575942993, 1.904989242553711, 0.17943230271339417, -0.14766925573349, 1.0326192378997803, -0.5169540643692017, 0.15401919186115265, -0.47098204493522644, -0.35598430037498474, 0.9224194288253784, -1.2852641344070435, 0.8737120032310486, 0.18672116100788116, -0.37492838501930237, 0.6800843477249146, 0.45670923590660095, 0.22823910415172577, -1.4764831066131592, -1.196222186088562, -1.0472975969314575, 0.7509037256240845, 0.041613467037677765, -1.0390205383300781, 0.48937729001045227, -0.017059965059161186, 1.061970591545105, -0.5356205105781555, 0.3662678301334381, -0.5928047299385071, -2.0364646911621094, -0.5278922915458679, -0.08794361352920532, -1.2665072679519653, 1.8391140699386597, 0.615390419960022, -0.025399204343557358, 0.5077084898948669, -0.9604844450950623, 1.2546621561050415, -0.18575359880924225, 0.7818708419799805, 0.8679827451705933, 1.7927333116531372, 0.2843993008136749, 0.22770649194717407, -0.06182016432285309, -0.304968923330307, 4.202903747558594, 1.6598339080810547, 0.11744228005409241, -1.6461033821105957, -0.6573845148086548, -0.6382264494895935, 0.401458203792572, -0.4830390214920044, 0.3501302897930145, 0.8913208842277527, 0.40311264991760254, 0.7667433619499207, 0.4233810305595398, -0.2804704010486603, 0.06661571562290192, 0.19161807000637054, -0.28122779726982117, -0.5741950869560242, 0.7785404324531555, 0.5186710357666016, 0.2305244356393814, -0.3494186997413635, -1.1888424158096313, -1.3748321533203125, 0.8429293632507324, -0.7963036298751831, 0.42793890833854675, -0.4173961281776428, 1.2145748138427734, 0.2828005254268646, -0.5513036847114563, -0.08018539100885391, 0.30643928050994873, -0.021862484514713287, 0.37743493914604187, 0.48723694682121277, -0.7665759921073914, 0.27474159002304077, -0.3920068144798279, 0.19605399668216705, 0.2033909410238266, -0.39002758264541626, -0.921015739440918, 0.2619410753250122, -0.5368853807449341, -0.1361045390367508, -0.7191738486289978, -0.9260722398757935, -0.20246809720993042, 0.37616854906082153, -0.11505165696144104, 0.35382190346717834, -0.28367820382118225, -1.743269920349121, -1.3694571256637573, 0.3800325393676758, 0.5991783142089844, -0.10988404601812363, -0.8459637761116028, -1.0748045444488525, 0.20500150322914124, -0.38355180621147156, 0.6716161370277405, 0.7354387044906616, 0.8027408123016357, 0.49734818935394287, -1.2562627792358398, 1.6319605112075806, -0.15326710045337677, -0.8546614646911621, -0.12838216125965118, 0.3909512460231781, -0.195170059800148, -0.3607019782066345, 0.3635547459125519, 0.41493651270866394, -1.2169196605682373, 0.24820466339588165, -0.2057788074016571, -0.6181221604347229, -0.7612618207931519, 0.05940086394548416, 0.1686469465494156, -0.1883053034543991, -0.8125789165496826, -0.1789901852607727, -0.48918282985687256, 0.05113576352596283, -0.3548799157142639, 0.26106294989585876, -0.45902350544929504, 1.4228039979934692, 0.168427512049675, -1.5841346979141235, 0.029254348948597908, 0.7503478527069092, -0.6358807682991028, 0.04389996826648712, -0.5698119401931763, 2.07180118560791, -0.34421470761299133, -0.7299882173538208, -0.33440807461738586, 1.0487157106399536, -0.6113381385803223, 0.7137073278427124, 1.2629810571670532, -0.04995410889387131, 0.06050606071949005, 0.16357089579105377, 0.20291228592395782, -0.36457589268684387, -0.6506040692329407, -0.5901373624801636, -0.19822247326374054, -0.11489111185073853, -0.7071186900138855, -0.6003740429878235, 0.31009629368782043, -0.7271931171417236, 0.18289606273174286, 0.45652031898498535, -0.17511631548404694, 0.05430266633629799, -0.07459280639886856, 0.3862350583076477, -0.3141856789588928, -0.23117420077323914, -0.3396643400192261, 0.2584139108657837, 0.03044031746685505, 0.14968813955783844, 0.3036416172981262, 0.644909679889679, -0.8940752148628235, -0.6213152408599854, -0.2431725263595581, 0.6557115316390991, -1.1174789667129517, -0.03544681891798973, 0.8496179580688477, -1.2411774396896362, -0.541014552116394, 2.2773478031158447, -0.7849675416946411, 0.1086980402469635, 0.06155693903565407, -0.554841935634613, 0.7565152645111084, 0.6128984093666077, -1.2016239166259766, -0.20321540534496307, 0.4666713774204254, 0.7487055063247681, -0.2654271423816681, 0.8011550307273865, 0.1584208458662033, -0.10354109853506088, -0.12677015364170074, 0.906588077545166, 0.011096899397671223, 0.2881711423397064, 0.6906914114952087, -0.9798924326896667, 0.5708533525466919, 0.20427697896957397, -0.3956969380378723, -0.010776662267744541, -0.32856976985931396, 0.1620774120092392, 0.5847420692443848, 0.22169773280620575, 0.7470909357070923, 0.5386042594909668, -0.7719901204109192, -0.6340643167495728, -0.20231574773788452, -0.2305704653263092, 0.235291987657547, -0.8461341261863708, 0.2130671739578247, 0.8416428565979004, -0.8603367805480957, 0.4531655013561249, -0.2289365828037262, 0.45204493403434753, -1.9002532958984375, 0.22958087921142578, -0.014652445912361145, 0.23609548807144165, 0.3446073532104492, 0.16020922362804413, 0.7324045300483704, 0.02126980945467949, -0.21609371900558472, -0.6258498430252075, 0.5196344256401062, 0.7890685796737671, -0.19531646370887756, -0.38986140489578247, 0.8978817462921143, -0.9567312002182007, -1.032002568244934, 0.0034426029305905104, -1.1598408222198486, -0.08609645068645477, 0.2208825945854187, 0.38702964782714844, 0.27067291736602783, 0.15497452020645142, 0.5861863493919373, -0.45278674364089966, 0.5413213968276978, -0.6318778991699219, 0.05824548751115799, 0.3458045721054077, -0.5823074579238892, 0.07778508961200714, 0.5660635232925415, 0.6287585496902466, -0.09010642021894455, 0.6994721293449402, -0.8783411383628845, -0.2795063853263855, -0.13540545105934143, -0.09431469440460205, 0.6403916478157043, -0.7456603050231934, -0.06779409199953079, -1.471824288368225, 1.193561315536499, 0.3751530647277832, -0.13454747200012207, 0.3594675362110138, 0.3073674440383911, -1.097501277923584, 0.3410806655883789, 0.027672309428453445, 0.23318323493003845, -0.7749427556991577, -0.4348108172416687, -0.4395011067390442, 0.1812911331653595, 0.6366228461265564, -0.41438743472099304, -0.038387127220630646, -0.35670730471611023, 1.2263998985290527, -0.3236386477947235, -0.5643439292907715, -0.6570595502853394, -0.7278078198432922, 1.162193775177002, -1.1245501041412354, 1.4927040338516235, 1.963789463043213, 0.7293336987495422, -1.1607075929641724, -0.836124062538147, -0.0023695845156908035, 0.9651700854301453, 1.0207760334014893, -0.3515755534172058, 0.03986838459968567, -1.849887490272522, 0.48609015345573425, -0.3153623342514038, 0.22526796162128448, 0.023559700697660446, -0.6312006115913391, 0.3911984860897064, -0.06645555794239044, 0.4318489134311676, -0.10665103048086166, -0.5422294735908508, 0.8545870184898376, -0.09689640253782272, 0.44932374358177185, -0.447404682636261, 0.4642964005470276, -0.17531219124794006, 0.20307794213294983, -0.5872942805290222, 1.5064921379089355, -0.27241528034210205, -0.36725616455078125, 0.710302472114563, -0.4918375015258789, -1.0146963596343994, 0.7721296548843384, 0.470469206571579, 0.429460346698761, -0.41788002848625183, -0.4644734561443329, 1.1274515390396118, -0.8053348064422607, 0.6907204985618591, 0.5692867040634155, -0.3668825924396515, 0.559268057346344, 0.8877363801002502, -0.21423013508319855, 1.2179819345474243, 0.0647948682308197, 0.44798967242240906, -1.2689940929412842, -0.978910505771637, 0.8668489456176758, 0.4534499943256378, 0.2763550281524658, -0.506984293460846, 0.16286474466323853, 0.6460708975791931, -0.5810765624046326, 0.8136082887649536, -0.2357599437236786, 1.0151008367538452, 0.20895163714885712, 0.020922807976603508, -0.19982068240642548, -0.517856240272522, -1.0509334802627563, 0.21965736150741577, -0.28765133023262024, 1.3329263925552368, -0.6954249143600464, -0.5863770246505737, -1.5621445178985596, 1.12496018409729, 0.4884781539440155, 0.04940656200051308, 0.09299729764461517, 0.2186436951160431, -0.4295227825641632, -0.31780195236206055, -0.6415973901748657, 0.13439039885997772, 1.7788362503051758, -0.9201476573944092, 0.2266504019498825, 0.11256638914346695, 1.3967621326446533, 0.5516660809516907, -0.48226219415664673, -1.3824996948242188, -0.5269407629966736, 0.5044630169868469, 0.0338054820895195, 0.5070587992668152, -0.07693077623844147, -1.738818883895874, 0.15628445148468018, 0.8738842010498047, -0.1566784530878067, -0.6333024501800537, -1.4183111190795898, 0.5712954998016357, 0.5769201517105103, 0.8529634475708008 ] ]
{ "indices": [ 2305334529, 358389376, 1041011349, 640124220, 2411031802, 2578007438, 4011823516, 997012898, 3876624646, 1830401145, 1939799981, 2516331022, 3299089655, 2257684172, 2063350695, 3686012684, 3774983271 ], "values": [ 0.5361174930809088, 0.5361174930809088, 0.5361174930809088, 0.8524767254888744, 0.7761438688098343, 0.5361174930809088, 0.5361174930809088, 0.5361174930809088, 0.7761438688098343, 0.5361174930809088, 0.5361174930809088, 0.5361174930809088, 0.5361174930809088, 0.7761438688098343, 0.5361174930809088, 0.5361174930809088, 0.5361174930809088 ] }
{ "context": " So notice that these two MUXs are used by both the PCMUX, so the output here of the adder gets used by the PCMUX and the MarMUX. I kind of said this already, but if either one of them is used and MarMUX is only used if gate MarMUX is one, PCMUX is only used if load PC is one" }
464600
[ [ -0.48530542850494385, -0.7395381927490234, -0.4757608473300934, -0.36571308970451355, -0.16428428888320923, 0.23609071969985962, -0.2759067118167877, 0.7317992448806763, 0.7824456095695496, -0.572168231010437, 0.2334500551223755, 0.5730624794960022, 0.8163439631462097, -0.016502616927027702, 0.6079459190368652, -0.12631303071975708, 0.7118032574653625, 0.0628063902258873, -0.9196789860725403, -0.27948206663131714, 0.8686793446540833, -0.6503933072090149, 0.10293976217508316, -0.37511950731277466, -0.20787429809570312, 0.6266128420829773, 0.2215641736984253, -0.8968045115470886, 0.5952586531639099, -0.1658777892589569, -0.12277369201183319, -1.6859135627746582, 0.36633503437042236, -0.19252517819404602, 0.8091476559638977, 0.03541242703795433, -0.2071101814508438, -0.5608213543891907, -0.14143504202365875, 0.7241745591163635, -0.33808913826942444, 0.24601934850215912, -0.3405130207538605, 0.894827127456665, -0.29919570684432983, 0.4487101137638092, -0.13720828294754028, 0.2015165090560913, 0.9444885849952698, -1.0724385976791382, 1.1218867301940918, -0.8535197973251343, 0.7335892915725708, 0.37263256311416626, -0.48040634393692017, 0.37681475281715393, 1.099457025527954, 1.760353446006775, 0.14825333654880524, -0.48834508657455444, -0.5859525203704834, -0.14391322433948517, 0.6453520655632019, -0.03585437312722206, -0.47696778178215027, -0.843565821647644, 0.7141261696815491, -0.5679099559783936, 0.5458011627197266, 0.21114559471607208, -1.0571978092193604, -0.4276971220970154, 0.314475953578949, 0.2770545780658722, 1.249001383781433, -0.949088454246521, 0.8782405853271484, -0.5875317454338074, 0.24023008346557617, 0.6617977619171143, -1.3784514665603638, 0.1351267397403717, -1.4623985290527344, 0.6250227093696594, 0.12358725070953369, -0.5428441762924194, 2.284452438354492, -0.2032191902399063, -0.43457916378974915, 0.5350847244262695, 0.07844667136669159, -0.029364075511693954, 1.1645094156265259, -0.421751469373703, -0.38972383737564087, 0.6559092998504639, 0.3444940745830536, -0.03792819380760193, -0.1738339066505432, -0.5398842096328735, 0.3160591423511505, -1.039555311203003, 0.23277945816516876, 1.444193720817566, -0.23904091119766235, 0.3981465995311737, 0.049923717975616455, -0.26664793491363525, -0.5544721484184265, 0.7026808261871338, -1.1974198818206787, 0.48336032032966614, -0.3503703773021698, 1.0703575611114502, 0.192540243268013, 0.004159803967922926, -0.9243531823158264, -0.11531706899404526, 0.9225486516952515, 0.6793272495269775, 1.3949837684631348, -0.2912176549434662, 0.552183210849762, -0.5484012365341187, -1.5582374334335327, 1.2845326662063599, 0.12727810442447662, -0.0024743108078837395, -0.6396735310554504, 0.5602750182151794, 0.5527682304382324, -0.15489009022712708, 0.05425107106566429, -0.10370482504367828, -0.2540895938873291, 0.8085594177246094, -1.6181384325027466, -1.6434519290924072, 0.6240407228469849, 0.4803658425807953, -0.061352603137493134, -1.573217749595642, -0.03309810906648636, 0.8384122252464294, 1.0476887226104736, 0.10897475481033325, 0.46047812700271606, 0.6625851392745972, -0.03216893598437309, 0.5092491507530212, -0.8042096495628357, -0.2600024938583374, -1.36942720413208, -0.2358154058456421, 0.02025052160024643, -0.08929140865802765, 0.15287980437278748, 0.4658450186252594, -0.6763955950737, -0.49165889620780945, 1.0539699792861938, -0.50043785572052, 0.08280622959136963, 0.3201359808444977, -1.0564780235290527, -0.8106344938278198, 1.7040717601776123, 0.06940673291683197, 0.5045012831687927, 0.11691904813051224, 0.5995275378227234, 0.46384483575820923, -0.42177632451057434, 0.5028989911079407, 1.2798888683319092, -0.8683187961578369, 0.28719231486320496, -0.7455971837043762, -0.5724965333938599, -0.4452294111251831, -0.348751425743103, -0.7557258605957031, 0.49647659063339233, 0.6415380835533142, -0.23648084700107574, 0.24591845273971558, -0.4142894446849823, 0.833084762096405, 1.5268256664276123, -0.8138384819030762, -0.48811253905296326, -0.7416152358055115, 0.8360286951065063, -0.26419690251350403, -1.440917730331421, -0.7708033323287964, -0.5231528282165527, 0.29681411385536194, 0.3406911790370941, -0.37863412499427795, -0.6431849002838135, 0.47537359595298767, 0.9875210523605347, -0.8194916248321533, -0.03817354887723923, 0.38092032074928284, 0.5975092649459839, -0.7787654399871826, 0.6722822189331055, -0.8418368101119995, -0.11284834891557693, 0.8801958560943604, 0.19446998834609985, 0.07036865502595901, -0.24501623213291168, 0.055036529898643494, -0.6461989283561707, 0.7653823494911194, 0.3956354558467865, 0.20057140290737152, -1.372471570968628, 0.8790847659111023, 2.0864763259887695, 0.9813644289970398, 0.5066770315170288, 1.122027039527893, 0.08136269450187683, -0.30936649441719055, 0.3800157308578491, -0.3707643449306488, -0.912727415561676, -1.5498476028442383, -0.15206798911094666, 0.04598524048924446, -0.5473944544792175, 0.2598174512386322, 1.2107559442520142, 0.2952106297016144, 0.8058615326881409, -0.29963570833206177, -1.0517462491989136, 0.6545147895812988, 0.6916714906692505, 0.8420289158821106, 0.30605512857437134, 0.8953619003295898, 0.8653968572616577, 1.5908026695251465, -0.3218752443790436, -0.03027176670730114, -0.5738434195518494, 0.8224064111709595, 0.33487239480018616, -0.04028112068772316, 0.029186367988586426, -1.3201771974563599, 0.5650385618209839, -0.8558300733566284, 0.03836916387081146, 0.6278272867202759, -0.20705591142177582, 0.020236393436789513, 0.042598333209753036, -0.20237183570861816, -0.045294538140296936, 0.7546488642692566, 0.07436419278383255, -1.165817379951477, 0.9893758893013, -0.6186355948448181, 1.3612370491027832, 0.09135925024747849, -1.0013920068740845, -1.252927541732788, 0.24385954439640045, 0.5686126351356506, -0.3856714963912964, 1.3396767377853394, -1.4953302145004272, -0.4562981426715851, -0.08191589266061783, -0.06490372866392136, 0.22558940947055817, 0.12665191292762756, -1.5848935842514038, 0.10519685596227646, 0.3188352882862091, -0.8052714467048645, 0.3059965670108795, -0.3891123831272125, -0.7716056108474731, 0.6499530673027039, -0.47211286425590515, -1.1795703172683716, -0.42398953437805176, 0.1455574631690979, -0.08750645816326141, -0.003292511682957411, -0.2866933047771454, 1.3438010215759277, 0.455891877412796, -1.4127084016799927, -0.06471613794565201, -0.6388446092605591, 0.2008417844772339, 0.19431790709495544, -0.5307828783988953, -0.41761335730552673, -0.3248167932033539, 0.7890819907188416, 0.16237622499465942, -0.19897164404392242, -0.25662702322006226, -0.6435467004776001, -1.458071231842041, 0.24143901467323303, 0.603598952293396, 0.9216448068618774, -0.5779407024383545, 0.036506280303001404, 0.7917119264602661, 0.4747753143310547, -0.8789085149765015, -0.4696457087993622, 0.2461611032485962, -0.7884393930435181, -0.9420601725578308, -0.7196884751319885, 1.465728521347046, 0.17021209001541138, 0.4471311867237091, -0.25183922052383423, 1.179943561553955, 1.5589535236358643, -0.20544129610061646, -0.8485158681869507, 0.07507255673408508, 0.56816166639328, -0.6764256954193115, -0.13176217675209045, 0.5902276635169983, 0.17098897695541382, 0.6148524880409241, -0.214347243309021, 0.43927332758903503, 0.7880526781082153, -0.556382417678833, 0.2203754037618637, 0.0519108772277832, 0.9265310764312744, -0.29742318391799927, -0.5009333491325378, 0.4776366353034973, -1.0241717100143433, -0.13097526133060455, 0.7234584093093872, 1.465296745300293, -0.6998133659362793, 0.27345308661460876, 0.7190519571304321, 0.5918567776679993, -0.33253490924835205, -0.3486751914024353, -0.20788124203681946, -0.5580014586448669, 0.3241496980190277, 0.04545135796070099, 0.3618018925189972, 0.5951871871948242, -0.22360236942768097, 0.44139015674591064, 0.55983966588974, -0.8150561451911926, 0.06234031915664673, -1.6131150722503662, -0.632097065448761, 0.7391116619110107, 0.9207831621170044, 0.07951340824365616, 0.8694822192192078, -0.09427543729543686, -0.2850452661514282, -0.42660796642303467, 0.08722943067550659, -1.9622862339019775, -0.14060185849666595, 0.22487075626850128, -0.02374877780675888, 0.8845708966255188, -0.4034392535686493, 0.4483676254749298, -0.5329787731170654, -1.1747890710830688, 0.2312646210193634, -0.2791554927825928, -0.5966597199440002, 0.38330644369125366, 0.10874322801828384, -0.5434206128120422, 0.133817657828331, 0.8588414192199707, 1.8394778966903687, 0.6095181703567505, -0.028808221220970154, 1.0142585039138794, 0.22907522320747375, -0.5762385725975037, -0.8802282214164734, 0.7338422536849976, -0.3342587351799011, 1.2388180494308472, 0.6657361388206482, 0.6823946833610535, -0.37246090173721313, -0.23507347702980042, 0.6136659979820251, 0.716264009475708, 0.5562507510185242, -0.023945290595293045, 0.5388470888137817, -0.32712191343307495, -0.2947087287902832, 0.10198907554149628, 0.7165265679359436, 0.16459912061691284, 1.3689563274383545, 1.263060212135315, 0.4427506923675537, -0.4014025032520294, 1.099816083908081, 0.6546618938446045, 0.3951731324195862, -0.16402296721935272, -0.993882954120636, -0.03146221488714218, 0.7783114314079285, -0.5655148029327393, 0.8153600692749023, 0.7175469398498535, -0.179709792137146, -0.25691166520118713, 0.1338128298521042, 0.018378203734755516, -0.8457611203193665, 0.35808488726615906, -0.6855229735374451, 0.006529786624014378, 0.15154074132442474, 0.8888907432556152, 1.2587907314300537, 0.4363318979740143, -0.542323887348175, 0.15485765039920807, -0.9827292561531067, -2.737138032913208, 0.34444722533226013, 0.7212105393409729, 0.5536916255950928, -0.649569034576416, 0.061826739460229874, -1.2511392831802368, -0.9914191365242004, 0.13343189656734467, -0.6972255110740662, 0.8808372616767883, -0.4886294901371002, 0.8612837195396423, -0.23682063817977905, -1.033724308013916, -1.2659111022949219, 0.7910389304161072, -0.10918507725000381, -0.44492635130882263, -0.4697738289833069, 0.3509211540222168, 0.3403451144695282, 0.47100090980529785, -0.21397541463375092, 0.49566611647605896, -0.8048540949821472, -0.19360485672950745, -0.07714994996786118, 1.1546573638916016, -0.9384981393814087, -0.6425683498382568, -0.1397077739238739, 0.0985959991812706, 0.1753096729516983, 1.096639633178711, -0.36302903294563293, -0.5273685455322266, 0.2321424037218094, -0.42978808283805847, 0.3452819585800171, -0.6960824131965637, -1.3819888830184937, 1.3631120920181274, 0.19168192148208618, 0.23769356310367584, 0.8473260998725891, 0.3448277413845062, -0.651229977607727, -0.6522165536880493, -0.7310307025909424, 0.2632952630519867, -1.423412799835205, 0.19608257710933685, -0.46999865770339966, -0.05461632460355759, -0.32857978343963623, 0.7165328860282898, 0.172221839427948, 0.22172197699546814, -0.24764567613601685, -0.4620102345943451, -0.7083633542060852, 0.1376590132713318, -1.2627347707748413, 0.1981855183839798, 0.06421300768852234, -0.15223605930805206, -1.241053581237793, -0.7188892364501953, 0.6156132221221924, -2.1527469158172607, 0.2698778808116913, 0.7755584716796875, 0.21843662858009338, 0.7193121910095215, -1.7270762920379639, 0.1230294480919838, 0.18840113282203674, -0.767711877822876, 0.8939252495765686, 0.4839758276939392, -0.11135999858379364, 0.030068716034293175, -0.8401557207107544, 0.6309251189231873, 0.7494030594825745, -0.062431272119283676, -0.17760330438613892, 0.25865650177001953, 0.7616370320320129, -0.13466590642929077, -0.08564706146717072, -1.8091800212860107, -1.5391103029251099, -0.23889507353305817, 0.011801294051110744, 0.5328055620193481, -0.6485549211502075, 0.5413981080055237, 0.8239620923995972, -0.5129348039627075, 0.3215068280696869, 1.015952706336975, -0.3407469689846039, 0.4710003435611725, 0.30402687191963196, 0.36990129947662354, 0.297467976808548, 0.6617202162742615, 0.33833634853363037, 0.1863541305065155, 0.12566785514354706, 0.7275255918502808, -0.669668436050415, -1.1624993085861206, 0.04755104333162308, 0.42275556921958923, -1.0183500051498413, -0.28567570447921753, 1.43905770778656, -0.07663930952548981, 0.28807422518730164, -0.07819130271673203, -0.590935468673706, -0.787521481513977, 0.2231040894985199, -0.6358433961868286, -0.25576457381248474, 0.8562382459640503, -0.24869419634342194, 0.24332381784915924, -0.19054964184761047, -0.14936010539531708, -1.422837495803833, 0.632398784160614, 0.30723050236701965, 0.7047016024589539, 1.7252665758132935, 0.23230905830860138, 0.3382347524166107, 0.39437335729599, 0.5789863467216492, 0.6654973030090332, -0.7678741216659546, 0.41152459383010864, 1.3594063520431519, 0.39412686228752136, -0.14878208935260773, 0.1358000785112381, -1.1543328762054443, 0.137116938829422, 1.3139011859893799, -1.4570037126541138, 0.6461604237556458, 0.21197983622550964, -0.4075072407722473, -0.42247143387794495, -0.532252848148346, -0.8789554238319397, 0.20839717984199524, -1.4263026714324951, 2.3616631031036377, -0.8942959904670715, -1.8228877782821655, 0.263698935508728, 1.8395662307739258, 0.2705717980861664, -0.05625905841588974, 0.9983593225479126, -0.531614363193512, 0.17488551139831543, -0.3656083643436432, -0.2910872995853424, 1.190887689590454, -1.2530943155288696, 0.8312203884124756, 0.07146041095256805, -0.48149314522743225, 0.8349824547767639, 0.19822536408901215, 0.1909169703722, -1.2199705839157104, -0.6612082719802856, -1.4132174253463745, 0.7906333208084106, 0.15670979022979736, -1.14614737033844, 0.4883458912372589, 0.26744380593299866, 0.9935925006866455, -0.3418371081352234, 0.4181651473045349, -0.6608826518058777, -1.7839540243148804, -0.3348431885242462, 0.31741878390312195, -1.344943881034851, 1.7572383880615234, 0.7753945589065552, -0.01626032218337059, 0.5162344574928284, -1.1346619129180908, 1.4688966274261475, -0.09134583175182343, 0.7891669273376465, 0.8045713901519775, 1.8012351989746094, 0.10357965528964996, 0.24260981380939484, -0.02509566955268383, -0.2905617654323578, 4.5768537521362305, 1.6736581325531006, 0.10814201086759567, -1.7682886123657227, -0.4389813542366028, -0.6760519742965698, 0.458931028842926, -0.32734620571136475, 0.2160375714302063, 0.7930917143821716, 0.14326287806034088, 0.7739042043685913, 0.26314401626586914, -0.5314109325408936, 0.14948387444019318, 0.29801222681999207, -0.07852932810783386, -0.3407999575138092, 0.9557676911354065, 0.36617812514305115, 0.2716110646724701, -0.3416491150856018, -0.9138883352279663, -1.2902722358703613, 0.7713520526885986, -0.8304389715194702, 0.49763891100883484, -0.6378818154335022, 1.2326370477676392, 0.2984830439090729, -0.43498119711875916, -0.14307020604610443, 0.18011701107025146, 0.12340619415044785, 0.7191875576972961, 0.15623676776885986, -0.4300193786621094, 0.09638986736536026, -0.41050657629966736, 0.3188963830471039, 0.3565579652786255, -0.23610834777355194, -0.8502129316329956, 0.15524807572364807, -0.5005654692649841, -0.1591522991657257, -0.40280699729919434, -0.779491662979126, -0.21150140464305878, 0.29264920949935913, -0.2184533178806305, 0.28280776739120483, -0.3703705072402954, -1.503615379333496, -1.2402045726776123, 0.2870546877384186, 0.46706125140190125, -0.11700060218572617, -0.8357479572296143, -0.8204650282859802, -0.16583071649074554, -0.27301445603370667, 0.8501884937286377, 0.6999815106391907, 0.8518707156181335, 0.5103543996810913, -1.2113878726959229, 2.006441116333008, -0.2901836931705475, -0.801105260848999, -0.360533207654953, 0.5070303678512573, -0.195252925157547, -0.4457933306694031, 0.3609848618507385, 0.38862550258636475, -1.0824558734893799, 0.30555570125579834, -0.46054184436798096, -0.4007197320461273, -1.1540358066558838, 0.14238205552101135, 0.2475413978099823, -0.3585200905799866, -0.5078847408294678, -0.22234323620796204, -0.540802538394928, 0.17470942437648773, -0.009838920086622238, 0.37997666001319885, -0.570531964302063, 1.5229259729385376, 0.3478054702281952, -1.5222622156143188, 0.015025095082819462, 1.041938304901123, -0.8202992081642151, 0.03663460165262222, -0.706511378288269, 2.081674337387085, -0.3297812342643738, -0.3645634949207306, -0.4704259932041168, 1.099120855331421, -0.7023594975471497, 0.28143244981765747, 1.2618598937988281, 0.05184159800410271, -0.12584960460662842, 0.3930634558200836, 0.46420300006866455, -0.35545814037323, -0.5911353826522827, -0.5670608878135681, -0.43050700426101685, 0.20100988447666168, -1.065967321395874, -0.6766976118087769, 0.529114305973053, -0.8728634715080261, 0.4044445753097534, 0.30064523220062256, 0.06802109628915787, 0.17430803179740906, -0.04387782886624336, 0.4715977609157562, -0.03442883491516113, -0.2623938024044037, -0.31771230697631836, 0.39225390553474426, 0.2419305145740509, 0.12027473747730255, 0.23520764708518982, 0.5968973636627197, -0.9297460317611694, -0.3240247666835785, -0.12217482924461365, 0.7035842537879944, -0.9309050440788269, -0.005311670247465372, 0.8842402696609497, -1.2198703289031982, -0.717660129070282, 1.9061304330825806, -0.8927578926086426, 0.09549340605735779, -0.0732860267162323, -0.3250831663608551, 0.9433798789978027, 0.741096019744873, -0.970166802406311, -0.4315582513809204, 0.6302394866943359, 0.7834964990615845, -0.35482123494148254, 0.6330447196960449, 0.28579655289649963, 0.1623084545135498, -0.2821424901485443, 0.8207098841667175, 0.2871778607368469, 0.1416722685098648, 0.8595070838928223, -0.9458709359169006, 0.48687294125556946, 0.11434202641248703, -0.5317546129226685, 0.10282213985919952, -0.53746098279953, 0.2446618378162384, 0.47720763087272644, -0.17186032235622406, 0.8693429231643677, 0.39216917753219604, -0.9311025142669678, -0.6106651425361633, -0.40201547741889954, -0.09488453716039658, 0.044801220297813416, -0.760014533996582, 0.16531410813331604, 0.8802148103713989, -1.2452021837234497, 0.4213864505290985, -0.32347801327705383, 0.40789395570755005, -1.7017635107040405, 0.1265145093202591, -0.03271925076842308, 0.5052677392959595, 0.09890754520893097, 0.3059784471988678, 0.7437989115715027, 0.2961854338645935, -0.49536752700805664, -0.5119668841362, 0.3821728825569153, 0.8533714413642883, -0.10079658776521683, -0.3844982385635376, 0.6616313457489014, -0.8835437297821045, -0.828791618347168, -0.18051323294639587, -1.0571233034133911, -0.23846113681793213, 0.24593761563301086, 0.7613766193389893, 0.21406002342700958, 0.2911699414253235, 0.6089794039726257, -0.6707850098609924, 0.4774596095085144, -0.7131845355033875, -0.09438880532979965, 0.42134273052215576, -0.6327427625656128, 0.22142243385314941, 0.5615383982658386, 0.5924575924873352, -0.14263877272605896, 0.9512522220611572, -1.0285029411315918, -0.30484962463378906, -0.5292010307312012, -0.18194374442100525, 0.7287614941596985, -0.861709475517273, -0.10481610149145126, -1.6455748081207275, 1.1792513132095337, 0.2379557192325592, -0.12794749438762665, 0.7658026814460754, 0.4666156470775604, -0.9648818969726562, 0.09204372763633728, -0.09822428971529007, 0.3376547694206238, -0.6748716235160828, -0.26942092180252075, -0.2902487516403198, -0.206155464053154, 0.7322481870651245, -0.7059645652770996, -0.08813706040382385, -0.45476052165031433, 1.3410706520080566, -0.3047948181629181, -0.6295152306556702, -0.3999711871147156, -0.7971238493919373, 1.204326868057251, -0.6993818879127502, 1.0955477952957153, 1.9544663429260254, 0.5637250542640686, -1.1182178258895874, -0.9183573126792908, 0.1421847939491272, 0.8215708136558533, 0.7841265201568604, -0.2182426154613495, 0.163234680891037, -1.6109707355499268, 0.514312744140625, -0.44782936573028564, 0.39083731174468994, 0.14001776278018951, -0.5984839200973511, 0.25096893310546875, 0.07148514688014984, 0.4757205843925476, 0.07891470193862915, -0.26737406849861145, 0.8680769205093384, -0.025065112859010696, 0.43325066566467285, -0.38129472732543945, 0.18497438728809357, -0.29024526476860046, 0.3629809319972992, -0.5490473508834839, 1.2438364028930664, -0.16316232085227966, -0.27602535486221313, 0.7461459040641785, -0.39351415634155273, -1.2077890634536743, 0.7372260093688965, 0.5184597373008728, 0.5162040591239929, -0.6300488710403442, -0.3170730471611023, 1.1781224012374878, -1.017238974571228, 0.5981682538986206, 0.26621904969215393, -0.5651379823684692, 0.5527563095092773, 0.8124737739562988, -0.2072823941707611, 1.0566335916519165, -0.06082060933113098, 0.347852885723114, -1.1470043659210205, -1.0690667629241943, 0.8170991539955139, 0.36814215779304504, 0.32940107583999634, -0.43719252943992615, -0.05062923952937126, 0.25507205724716187, -0.7633092999458313, 1.023106336593628, -0.21530494093894958, 0.8934101462364197, 0.1555667221546173, -0.220611572265625, -0.23792076110839844, -0.5210124850273132, -1.1243354082107544, 0.17400096356868744, -0.13889528810977936, 1.4421175718307495, -0.46255001425743103, -0.4835565686225891, -1.3851052522659302, 0.8564348816871643, 0.3162129521369934, -0.09044238179922104, -0.16790981590747833, 0.19438837468624115, -0.22972702980041504, -0.5799508690834045, -0.7699072957038879, -0.05293320119380951, 1.8035576343536377, -0.8626227378845215, 0.18261489272117615, 0.17217423021793365, 1.3665471076965332, 0.10789249837398529, -0.5183013081550598, -1.464303970336914, -0.5441328287124634, 0.36943528056144714, 0.154920756816864, 0.3878639042377472, -0.36677926778793335, -1.7557837963104248, -0.18241900205612183, 0.9914841651916504, -0.23567600548267365, -0.6465436816215515, -1.3626590967178345, 0.4569644331932068, 0.7328226566314697, 1.1432768106460571 ] ]
{ "indices": [ 691409538, 1041011349, 1590456296, 1765639896, 1786548735, 2305334529, 358389376, 640124220, 2411031802, 2578007438, 4011823516, 997012898, 3876624646, 1830401145, 1939799981, 2516331022, 3299089655, 2257684172, 2063350695, 3686012684, 3774983271, 2394752843, 1296924235, 2132027491, 4051235863 ], "values": [ 0.46785238308125726, 0.7785999733411075, 0.6374651681242771, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.814673730469978, 0.7250884400239858, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.7250884400239858, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.6374651681242771, 0.7250884400239858, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726 ] }
{ "context": "And that's it for the MUX settings. So those six MUXs. All right. So notice that these two MUXs are used by both the PCMUX, so the output here of the adder gets used by the PCMUX and the MarMUX. I kind of said this already, but if either one of them is used and MarMUX is only used if gate MarMUX is one, PCMUX is only used if load PC is one. So if either of those is turned on, then you have to set these three address generation MUXs." }
425176
[ [ -0.05160411447286606, -0.529817521572113, -0.4589088261127472, -0.6766131520271301, 0.33114245533943176, -0.3484814167022705, -0.4767414629459381, 0.8053939342498779, 0.8613059520721436, -0.7776914834976196, 0.7913708090782166, 0.44732367992401123, 0.9787319898605347, 0.21394126117229462, 0.6408980488777161, 0.1903000771999359, 0.6529603600502014, -0.19096124172210693, -1.1341078281402588, 0.08364948630332947, 0.5432653427124023, -0.8048276305198669, -0.3010485768318176, -0.1599593609571457, -0.7688710689544678, 0.3468572199344635, 0.2426794171333313, -0.18836739659309387, 0.6600537896156311, 1.313564419746399, -0.39492329955101013, -1.4142292737960815, 0.6445730924606323, -0.287064790725708, 0.5516067743301392, -0.16172248125076294, 0.14222191274166107, -0.7787942290306091, -0.2602168917655945, 1.0167652368545532, -0.3831274211406708, 0.30626481771469116, -0.140424445271492, 1.0655126571655273, -0.7428305745124817, 0.5237149596214294, 0.05064181238412857, 0.6910667419433594, 0.6056702136993408, -0.8312680125236511, 1.2019166946411133, -1.031040072441101, 0.8206064701080322, 0.524373471736908, -0.23768608272075653, 0.26353827118873596, 0.550662636756897, 1.4365167617797852, 0.3108746409416199, -0.3986375331878662, -0.41072240471839905, -0.058286603540182114, -0.04460180550813675, -0.1466074436903, -0.29641830921173096, -0.9061579704284668, 0.3728165030479431, -0.25656867027282715, 0.745434582233429, 0.47556793689727783, -0.9743329286575317, -0.15329061448574066, 0.3927381932735443, 0.17840778827667236, 0.6933446526527405, -1.1542338132858276, 0.5942437648773193, -0.3425084352493286, 0.4983273446559906, 1.051116943359375, -0.6502358317375183, -0.11153293401002884, -1.8151644468307495, 0.23704908788204193, 0.1405203491449356, -0.9854646921157837, 1.6913443803787231, -0.06169503182172775, 0.06303819268941879, 0.5746561884880066, 0.32386183738708496, -0.3464805483818054, 0.7752119898796082, -0.25245365500450134, -0.5793375372886658, 0.20925505459308624, 0.4983629584312439, 0.4615767002105713, -0.6349487900733948, -0.9807267189025879, 1.0838626623153687, -0.9124195575714111, 0.1946958303451538, 0.624660313129425, 0.3031679391860962, -0.11897948384284973, 0.3053077161312103, -0.4566938877105713, -1.0315788984298706, 0.6240947842597961, -1.3057610988616943, 0.8246133923530579, -0.5417792797088623, 0.32517796754837036, 0.7105093598365784, -0.21400155127048492, -1.0573389530181885, -0.07869419455528259, 0.3053103983402252, 1.0214452743530273, 0.7760430574417114, 0.3930225968360901, 0.35628455877304077, -0.4460188150405884, -1.4021552801132202, 0.7463287115097046, 0.4866754710674286, 0.13516388833522797, -0.6376569271087646, 0.7460467219352722, -0.21228329837322235, 0.1622474193572998, 0.05331595987081528, 0.151289701461792, 0.4562016725540161, 1.009629726409912, -1.5212326049804688, -1.8347318172454834, 0.5967484712600708, 0.6337808966636658, -0.14493323862552643, -1.1246528625488281, -0.3331725001335144, 1.1516063213348389, 0.7158179879188538, 0.504124641418457, 0.7469514012336731, 0.7590295076370239, -0.4347732663154602, 0.3121357560157776, -0.35397234559059143, 0.11941321939229965, -1.0955523252487183, -0.49744874238967896, -0.24075140058994293, -0.76080322265625, -0.04381157085299492, 0.4139541983604431, -1.0709624290466309, -0.6464674472808838, 0.842882513999939, -0.7908470630645752, 0.07359115779399872, -0.2533571124076843, -1.0494201183319092, -1.0614486932754517, 1.4950250387191772, -0.45352479815483093, 0.8318459987640381, 0.6956381797790527, 0.04464791342616081, 0.1954890638589859, -0.26077911257743835, 0.32913389801979065, 1.802799940109253, -0.6087710857391357, 0.7510406970977783, -0.9441668391227722, -0.43643268942832947, -0.6261587738990784, -0.2707781493663788, -0.6194102168083191, 0.053040437400341034, 0.11455824971199036, -0.49169376492500305, 0.21703286468982697, -0.4085615575313568, 0.3876507580280304, 1.070021390914917, -1.397353172302246, -0.7844011783599854, -0.24422655999660492, 1.0685086250305176, 0.29479098320007324, -1.1131566762924194, -0.5594286322593689, -0.35440340638160706, -0.14061790704727173, -0.0904284194111824, -0.442979633808136, -0.8218593597412109, 0.44062116742134094, 0.4712851941585541, -0.2052711397409439, 0.31486719846725464, 0.5028056502342224, 0.5630843639373779, -0.30443355441093445, 0.6581067442893982, -0.900149405002594, -0.14854809641838074, 0.49988555908203125, -0.002055591670796275, 0.21951168775558472, 0.20081496238708496, 0.2308453917503357, -0.6581032276153564, 0.9285058975219727, -0.13338375091552734, -0.04487915337085724, -1.5789951086044312, 0.872560441493988, 2.035991907119751, 0.5017157793045044, 1.1050424575805664, 1.1711370944976807, -0.3530280888080597, -0.6856656074523926, 0.07716739177703857, -0.7355298399925232, -0.4776057004928589, -0.2933015525341034, 0.16780482232570648, -0.35229596495628357, -0.4389616847038269, -0.3359993100166321, 1.6353631019592285, 0.5061886310577393, 0.6253551244735718, 0.4301139712333679, -1.0308375358581543, 0.7123498320579529, 0.4402308464050293, 0.8400251865386963, 0.27390241622924805, 1.0846861600875854, 0.5351720452308655, 1.1947156190872192, -0.04977715387940407, -0.09381193667650223, -0.47071579098701477, 0.8946170806884766, 0.4767654836177826, -0.6542882919311523, 0.2930348813533783, -1.0479494333267212, 0.8683266639709473, -0.9912595152854919, 0.1332177221775055, 1.032067060470581, -0.2587606906890869, 0.4791499674320221, -0.1754474639892578, -0.2536839544773102, 0.04241076111793518, 0.21344512701034546, -0.13714787364006042, -0.7812137603759766, 1.1071752309799194, 0.10195012390613556, 1.5500538349151611, 0.5391331315040588, -0.7865977883338928, -0.9083636403083801, 0.24665573239326477, 0.5578036904335022, -0.2765090763568878, 0.995289146900177, -1.4147591590881348, -0.46805334091186523, 0.1472870260477066, 0.43180936574935913, 0.5218965411186218, 0.35850462317466736, -1.9914829730987549, 0.30479317903518677, 0.2980327606201172, -1.3125554323196411, 0.28317347168922424, 0.6816003918647766, -0.5059537887573242, 0.31460124254226685, -0.0941564068198204, -0.8415884375572205, -0.47820591926574707, -0.2295849323272705, -0.05778408795595169, -0.18344628810882568, 0.23061613738536835, 1.0302001237869263, 0.5803736448287964, -1.1539117097854614, -0.1432758867740631, -0.9824073314666748, 0.6965963840484619, -0.20338000357151031, -0.819368302822113, -0.3736771047115326, -0.19138428568840027, 0.546015739440918, -0.10063226521015167, -0.3946111798286438, -0.9629663825035095, -0.7678263783454895, -1.0958582162857056, -0.24070407450199127, 0.6489058136940002, 0.9737692475318909, -0.4443122446537018, -0.33849722146987915, 0.9779320359230042, 0.5929271578788757, -1.2573963403701782, -0.45548948645591736, 0.43211543560028076, -0.5447291135787964, -1.0443928241729736, -0.10707977414131165, 1.1298065185546875, 0.6138418912887573, 0.6988725066184998, 0.16592741012573242, 0.9079437255859375, 0.42785346508026123, -0.44878485798835754, -1.571014165878296, 0.3415219783782959, 0.45028358697891235, -0.6028180718421936, 0.1518460512161255, 0.8197879791259766, -0.134164959192276, 0.5856438279151917, -0.03472357988357544, 0.3753323256969452, 0.5638729929924011, -0.5974116921424866, 0.49431028962135315, 0.187690868973732, 0.7310256361961365, 0.4077075719833374, -0.49581360816955566, -0.2063639760017395, -0.9347000122070312, -0.3443072736263275, 0.6436811685562134, 0.8786982297897339, -0.46793118119239807, -0.021412262693047523, 0.5311981439590454, 0.601525604724884, -0.6446780562400818, 0.05326692387461662, 0.16397064924240112, 0.5689786672592163, -0.1199413314461708, 0.05319124460220337, -0.042635202407836914, 0.2806183397769928, -0.2768680155277252, 0.9657511115074158, 0.22212740778923035, -0.4178544580936432, -0.07044178992509842, -1.4335006475448608, -0.19466732442378998, 0.7694442868232727, 0.906158983707428, -0.05247104912996292, 0.8725895285606384, 0.08013558387756348, 0.015029462985694408, -0.4029369056224823, 0.03750423341989517, -1.8117969036102295, 0.19283083081245422, 0.03308388963341713, 0.545879602432251, 0.8320305943489075, 0.36271676421165466, 0.7050957083702087, -0.7939717173576355, -0.824661374092102, -0.08260234445333481, -0.40785545110702515, -0.6046337485313416, -0.08775262534618378, -0.3511001765727997, -0.30444759130477905, -0.09717308729887009, 0.4658043682575226, 1.5102574825286865, 0.44680631160736084, -0.39343178272247314, 0.8856626749038696, 0.5043917298316956, 0.05978048965334892, -0.7420380115509033, 0.6356413960456848, -0.20677216351032257, 1.3845744132995605, 1.182906150817871, 0.5244624614715576, -0.5582122802734375, -0.027673300355672836, 0.15572214126586914, 0.5466458201408386, 0.24783609807491302, 0.1687137484550476, 0.521359920501709, -0.213638573884964, -0.9730467796325684, -0.2896076738834381, 0.5975958108901978, -0.15301503241062164, 1.2335383892059326, 0.788187563419342, 0.056015465408563614, -0.16674262285232544, 1.2945168018341064, 1.2794203758239746, -0.45267999172210693, 0.0822431817650795, -0.13028079271316528, -0.5247039794921875, 0.683819055557251, 0.06224096193909645, 0.6098395586013794, 0.6727361083030701, 0.4307313561439514, -0.5104729533195496, 0.1543203592300415, -0.06900716572999954, -0.7688314318656921, 0.2991582453250885, -0.3175777792930603, 0.40524011850357056, 0.03343166038393974, 0.525807797908783, 0.9046304225921631, 0.46337446570396423, 0.046288590878248215, 0.3572334051132202, -0.8913030028343201, -2.909379482269287, -0.09445162862539291, 0.16258564591407776, 0.5794680714607239, -0.45078542828559875, 0.039916012436151505, -0.7153600454330444, -1.119643211364746, 0.6633939146995544, -0.7509960532188416, 0.2940436601638794, -0.5420899391174316, 0.4526364505290985, -0.643979549407959, -1.4219952821731567, -0.6300517320632935, 1.167736530303955, -0.7762251496315002, -0.32721206545829773, -0.214366152882576, -0.2628213167190552, 0.474216490983963, 0.2986530363559723, -0.1718960404396057, 0.7971193194389343, -0.20216074585914612, -0.35192108154296875, -0.028675874695181847, 0.8346608281135559, -1.4377433061599731, -0.7872297167778015, 0.5499464273452759, -0.5051851868629456, 0.07014358788728714, 0.705984890460968, 0.04016437754034996, -0.9384225010871887, 0.18489766120910645, -0.28853702545166016, -0.12211182713508606, -0.7422047257423401, -1.2147223949432373, 0.7784180641174316, 0.21686261892318726, 0.5807317495346069, 0.5037329196929932, 0.06585537642240524, -0.8496786952018738, -0.5915353298187256, 0.06287013739347458, 0.16274188458919525, -0.5805637240409851, 0.43379461765289307, -0.1483187973499298, -0.15484702587127686, -0.5500184297561646, 1.309685230255127, -0.15193548798561096, -0.06157153844833374, 0.03043607994914055, -0.020858529955148697, 0.25598567724227905, 0.5856108665466309, -1.3742749691009521, 0.05678749829530716, -0.005863193888217211, 0.39668840169906616, -0.9082129597663879, 0.0699227899312973, 0.3501274883747101, -1.6878328323364258, 0.46545058488845825, 0.15186630189418793, -0.006508388090878725, 0.28794360160827637, -0.9770114421844482, 0.5580629706382751, 0.053035300225019455, -0.9892807602882385, 0.8824539184570312, 0.07560738921165466, -0.1380736231803894, 0.5882505178451538, -1.0581152439117432, 0.09439520537853241, 0.4078517556190491, -0.2866498529911041, -0.24778202176094055, 0.4839131832122803, 0.7069317698478699, -0.23618917167186737, -0.3741615414619446, -1.5240525007247925, -1.3887823820114136, -0.3113269805908203, 0.11854927241802216, 0.41788947582244873, -0.42771193385124207, -0.038140203803777695, 0.4655197262763977, -0.4170525372028351, -0.2940562069416046, 0.9853919148445129, -0.2926875948905945, 0.3884061276912689, 0.6588324308395386, 0.15685038268566132, 0.7466161847114563, 0.24875089526176453, 0.526785135269165, 0.6512763500213623, -0.0484810434281826, 0.17488771677017212, -0.9947625398635864, -0.6482846140861511, -0.22802311182022095, -0.049969229847192764, -0.9426164031028748, -0.612219512462616, 1.3408889770507812, 0.018929488956928253, 0.7691462635993958, -0.3087212145328522, -0.9033961296081543, -0.141280859708786, 0.09602948278188705, -0.12689608335494995, -0.691909670829773, 0.7979565858840942, -0.26481834053993225, 0.0652494728565216, 0.49069276452064514, 0.03817197307944298, -0.7674249410629272, 0.7620418071746826, 0.5882568955421448, 1.394944190979004, 1.842322587966919, 0.263935923576355, 0.36159199476242065, 0.39349132776260376, 0.35308390855789185, 0.9194750189781189, -1.0030306577682495, -0.3832554817199707, 0.7013968229293823, 0.29533523321151733, -0.592210590839386, -0.4853132367134094, -1.1637054681777954, -0.20428328216075897, 0.8320358395576477, -0.6643385887145996, 0.8194032311439514, -0.25231483578681946, -0.029798880219459534, 0.21006426215171814, -0.5368561148643494, -1.005805492401123, 0.7995163798332214, -0.8061008453369141, 1.9376940727233887, -0.4456970691680908, -0.9362730979919434, 0.4115035831928253, 1.5811525583267212, 0.4783921241760254, 0.15208543837070465, 1.2849351167678833, -0.35925745964050293, 0.30111896991729736, -0.9109463691711426, -0.4584696590900421, 0.8194289803504944, -0.5786706805229187, 0.5415126085281372, -0.1706923097372055, -0.36355262994766235, 1.0432788133621216, 0.07168857753276825, -0.31687435507774353, -1.2023422718048096, -0.19873449206352234, -1.7641422748565674, 0.8834814429283142, 0.02771943248808384, -0.48748642206192017, 0.2791145443916321, 0.5153829455375671, 0.8127685785293579, -0.12436521798372269, 0.8728520274162292, -0.21684573590755463, -1.8534862995147705, 0.2474329173564911, 0.22800585627555847, -1.8403897285461426, 1.5455334186553955, 0.5883762240409851, 0.1623571366071701, 0.8400952219963074, -0.47818630933761597, 0.6617993116378784, 0.4367101192474365, 0.34837019443511963, 1.0217716693878174, 1.9750081300735474, -0.06422769278287888, -0.11488912999629974, -0.4477293789386749, -0.2852877676486969, 5.227222919464111, 1.2332788705825806, -0.20507171750068665, -1.6175650358200073, -0.24144920706748962, -0.7660077214241028, 0.10551813244819641, 0.18266022205352783, 0.35676509141921997, 0.703865110874176, -0.17585474252700806, 0.6402105093002319, 0.5243719220161438, -0.6507118344306946, -0.004560627043247223, 0.35651177167892456, -0.6455525159835815, -0.38222938776016235, 1.0041481256484985, 0.18273012340068817, 0.2363753467798233, -0.22585059702396393, -1.18593430519104, -1.260141134262085, 0.7319891452789307, -0.5206058025360107, 0.21239380538463593, -1.1861907243728638, 1.394595980644226, 0.510115385055542, -0.19212868809700012, 0.4633769989013672, 0.3459808826446533, 0.34784364700317383, 0.4555894732475281, 0.44282686710357666, -0.21035538613796234, -0.0729791522026062, -0.29082825779914856, 0.20241354405879974, -0.009492048993706703, -0.27786096930503845, -0.9956518411636353, -0.36541318893432617, -0.5677565336227417, -0.26441484689712524, -1.0320465564727783, -0.6411511301994324, -0.22029167413711548, 0.1529518961906433, 0.012887914665043354, 0.276362806558609, -0.6840438842773438, -0.8636017441749573, -1.4224035739898682, 0.19581255316734314, 0.10730308294296265, 0.41567909717559814, -0.726206362247467, -0.897861897945404, 0.17785218358039856, -0.4731517434120178, 1.4003729820251465, 0.3003389835357666, 0.8562369346618652, 0.28066396713256836, -0.6451039910316467, 1.7661513090133667, -0.06107291951775551, -0.26398876309394836, -0.6275963187217712, 0.7368401288986206, -0.08475006371736526, 0.10708025842905045, 0.5456264019012451, 0.9604699015617371, -0.4664146900177002, 0.16728030145168304, -1.3994035720825195, -0.8309119343757629, -1.1597981452941895, -0.7676441073417664, -0.30961066484451294, -0.3311597406864166, -0.23159070312976837, 0.10431716591119766, 0.21108265221118927, 0.07081054896116257, 0.5935379862785339, -0.4051838517189026, -0.3582744300365448, 1.497948169708252, 0.04767390713095665, -1.6321064233779907, 0.05016966536641121, 0.7765373587608337, -0.9525110721588135, -1.0650004148483276, -1.1700425148010254, 1.79385507106781, -0.23384256660938263, -0.236923947930336, -0.41435739398002625, 1.1578340530395508, -0.4095085859298706, -0.2109011560678482, 0.5644351840019226, 0.1491464525461197, -0.3420850932598114, 0.2330213487148285, 0.5470307469367981, -0.9424343705177307, -0.5801107883453369, -0.519481360912323, -0.43059879541397095, 0.25455307960510254, -0.7498161196708679, -0.40206781029701233, 0.5843872427940369, -0.6590276956558228, -0.05130152404308319, 0.48265013098716736, 0.17456036806106567, -0.5283039808273315, -0.7184075713157654, 0.4631086587905884, 0.008343138732016087, -0.40380075573921204, 0.34743478894233704, 0.2487289011478424, -0.10563801974058151, 0.21740418672561646, -0.005045151337981224, 0.8610962629318237, -0.8433166742324829, -0.023962760344147682, -0.08355415612459183, 0.9920080900192261, -0.503480851650238, -0.2438366413116455, 0.7699345350265503, -0.8176329135894775, -0.02998150885105133, 1.298499584197998, -0.4069851338863373, -0.14858309924602509, 0.1397361308336258, 0.00893430132418871, 1.183905839920044, 0.6584163308143616, -0.929267942905426, -0.2927402853965759, 0.48035183548927307, 0.18477904796600342, 0.0008435292984358966, 0.34143856167793274, 0.2901620864868164, 0.17495805025100708, -0.47179076075553894, 0.4932185411453247, 0.0944632813334465, 0.06585730612277985, 0.417000412940979, -1.0744141340255737, 0.1932588517665863, 0.5876954197883606, -0.8199108242988586, 0.09426309913396835, -0.1704348623752594, 0.5295835733413696, -0.38994625210762024, 0.4870982766151428, 1.3626551628112793, 0.24131199717521667, -1.4934771060943604, -0.414277583360672, -0.2380736917257309, -0.7408955097198486, 0.35510560870170593, -0.8926583528518677, 0.3050245940685272, 0.6071199178695679, -1.3244266510009766, -0.336921364068985, -0.5814257264137268, 0.07060196995735168, -1.374010682106018, 0.49039703607559204, -0.6911729574203491, 0.605579137802124, 0.19189375638961792, 0.39890751242637634, 0.48900508880615234, 0.31502124667167664, -0.2363676279783249, -0.13162142038345337, 0.4559815526008606, 0.8018243312835693, 0.11562842130661011, -0.5690929889678955, 0.4138219356536865, -0.8184691667556763, -0.7487934827804565, 0.19446368515491486, -1.0035277605056763, 0.20240923762321472, -0.22978536784648895, 0.8262959122657776, 0.45594727993011475, 0.8147120475769043, 0.2771378755569458, -0.5492933392524719, -0.33994200825691223, -0.27089521288871765, -0.09801524132490158, 0.8819172978401184, -0.8714514374732971, 0.23702071607112885, -0.6020836234092712, -0.09689083695411682, -0.23468129336833954, 0.7113623023033142, -1.7569060325622559, -0.1714274287223816, 0.04613015055656433, -0.06715653836727142, 1.2265048027038574, -0.9145843982696533, -0.5236002206802368, -0.5113289952278137, 0.5057018995285034, 0.5890405178070068, 0.36780399084091187, 0.4286814033985138, 0.18255607783794403, -0.9090009331703186, -0.3853917121887207, 0.31142258644104004, 0.3902549743652344, -0.9987048506736755, 0.29059213399887085, 0.23627398908138275, -0.25306764245033264, 0.6152165532112122, 0.03009660542011261, 0.27133023738861084, -0.9133118391036987, 0.7420582175254822, -0.7255513668060303, -0.6972993612289429, -0.6169886589050293, -0.383884459733963, 0.782532811164856, -0.6232143640518188, 1.4950875043869019, 1.7065757513046265, 0.43588700890541077, -0.7561570405960083, -0.8745383024215698, 0.1867683082818985, 1.0609123706817627, 0.5085275769233704, 0.08721567690372467, -0.08866003900766373, -1.4448647499084473, 0.7521049976348877, 0.00601383950561285, 1.0400831699371338, 0.46485212445259094, -0.4936765730381012, -0.06717601418495178, 0.21828846633434296, 1.1802430152893066, 0.04886427894234657, 0.19922254979610443, 0.18530571460723877, -0.04354531690478325, 0.027437152341008186, -0.19071464240550995, -0.48952165246009827, -0.31494906544685364, 0.680851936340332, -0.37966984510421753, 1.19156014919281, -0.057876456528902054, -0.020413411781191826, 0.14014765620231628, -0.18436233699321747, -1.2578946352005005, 1.161729097366333, -0.22909700870513916, 0.4110654890537262, -0.8023809194564819, -0.3933272957801819, 0.455699622631073, -0.6572694182395935, 0.2061997503042221, 0.5854566693305969, -0.13595204055309296, 0.20819368958473206, 0.1667352020740509, 0.39980942010879517, 1.242748498916626, 0.6217284798622131, 0.7030704617500305, -0.9760605692863464, -0.4780212342739105, 0.23129211366176605, 0.38795381784439087, 0.7952730059623718, -0.0401531346142292, -0.2508802115917206, 0.7043177485466003, -0.7136014699935913, 1.3665176630020142, -0.22310559451580048, 0.9447594285011292, 0.2969803810119629, -0.06578037887811661, 0.6440352201461792, -0.7820402979850769, -1.0489720106124878, -0.15208396315574646, 0.03853549808263779, 1.8671053647994995, -0.36265870928764343, -0.03992772847414017, -1.0457744598388672, 0.4849989414215088, -0.18049873411655426, -0.672483503818512, -0.3358258605003357, 0.1369161158800125, 0.029591945931315422, -0.45711368322372437, -1.184484839439392, 0.12238306552171707, 0.9964924454689026, -0.7520341277122498, 0.1062505841255188, 0.4233202040195465, 0.4861549139022827, -0.24211910367012024, -0.33379650115966797, -1.2911235094070435, -1.1285043954849243, 0.227616086602211, -0.11926454305648804, 0.4276324510574341, -0.5105860233306885, -1.3483641147613525, -0.34087473154067993, 0.2955002784729004, -0.48756128549575806, -0.4085194766521454, -0.8012087345123291, 0.09316816926002502, 0.675995945930481, 0.9231031537055969 ] ]
{ "indices": [ 1830401145, 1939799981, 2516331022, 3299089655, 2257684172, 640124220, 3876624646, 2063350695, 2411031802, 3686012684, 3774983271, 2394752843, 1590456296, 1296924235, 2132027491, 4051235863, 1041011349, 1063320047, 2067848296, 1551089265, 3377905009, 778874514, 115441729, 3819098157, 1786548735, 3062174764, 1042626614, 1960040400, 1308276157, 1031134330 ], "values": [ 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.7287760965559752, 0.7287760965559752, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836 ] }
{ "context": "I kind of said this already, but if either one of them is used and MarMUX is only used if gate MarMUX is one, PCMUX is only used if load PC is one. So if either of those is turned on, then you have to set these three address generation MUXs. If they're not, you just don't care. You can set them to don't cares. Make sense? Good. Okay. All right. So ALU selection bits. So we have four functions." }
679037
[ [ -0.31058552861213684, -0.6629611253738403, -0.43516823649406433, -0.21669892966747284, 0.20637699961662292, 0.09049055725336075, -0.48835986852645874, 0.6052823662757874, 1.0886940956115723, -0.32019320130348206, 0.4807702600955963, 0.2791665494441986, 1.1076580286026, 0.25062069296836853, 0.6540012955665588, -0.3482317626476288, 0.892319917678833, -0.11497735977172852, -0.9508001208305359, -0.36034226417541504, 0.9166468381881714, -0.749498188495636, 0.21646101772785187, -0.30119648575782776, -0.3646355867385864, 0.4140758216381073, 0.2771044671535492, -0.45759153366088867, 0.47227931022644043, 0.28284919261932373, -0.3506650924682617, -1.3184032440185547, 0.17275197803974152, -0.188962921500206, 0.5468132495880127, 0.08885584771633148, -0.315800279378891, -0.5973160862922668, -0.4144994616508484, 0.810795783996582, -0.3224424719810486, 0.262240469455719, 0.030909249559044838, 1.4598593711853027, -0.42559951543807983, 0.39037051796913147, 0.03719067573547363, 0.2436753809452057, 0.9941404461860657, -0.9594137072563171, 1.232268214225769, -1.1387027502059937, 0.6089346408843994, 0.4089168310165405, -0.4543111324310303, 0.08893036097288132, 0.7679416537284851, 1.655036211013794, 0.04034040495753288, -0.7874497771263123, -0.5419989228248596, -0.42030584812164307, 0.49754634499549866, -0.0650404617190361, -0.6960309147834778, -0.9152734875679016, 0.3049040734767914, -0.823920726776123, 1.0912532806396484, 0.03314972296357155, -1.3681901693344116, -0.27250993251800537, 0.30862316489219666, 0.26090237498283386, 0.7952479720115662, -0.6826574206352234, 0.23092688620090485, -0.5864328145980835, 0.5077521800994873, 0.7719315886497498, -1.1697802543640137, 0.14511172473430634, -1.604634404182434, 0.8612410426139832, 0.1993998885154724, -0.7275705933570862, 2.2001869678497314, -0.12297814339399338, -0.0526607520878315, 0.6776471138000488, 0.1976887732744217, -0.22332516312599182, 1.2965284585952759, -0.19047679007053375, -0.2826356291770935, 0.25329726934432983, 0.6012894511222839, 0.7264703512191772, -0.4863814115524292, -0.9272437691688538, 0.5447636842727661, -0.8187786936759949, 0.32183972001075745, 0.9755914807319641, 0.11241424828767776, 0.4644995629787445, -0.36826711893081665, -0.35995060205459595, -0.8065540194511414, 0.4618310034275055, -1.0606532096862793, 0.7069564461708069, -0.2703315317630768, 1.0654020309448242, 0.24370358884334564, -0.5020920634269714, -0.9101602435112, -0.2087956815958023, 0.7797194719314575, 0.3821176588535309, 1.1175992488861084, 0.07163018733263016, 0.3229113519191742, -0.6583179831504822, -1.8007311820983887, 0.7598459124565125, 0.16579683125019073, 0.017278479412198067, -0.4898868203163147, 0.5670563578605652, 0.08982805162668228, -0.1804795265197754, 0.09854363650083542, -0.10657596588134766, -0.006090667098760605, 0.7037144303321838, -1.6513664722442627, -1.5634195804595947, 0.7081428170204163, 0.566118061542511, -0.0612974651157856, -1.3342543840408325, -0.03355388715863228, 0.7174507975578308, 0.7943496704101562, 0.06996658444404602, 0.6669142246246338, 0.776723325252533, -0.2080833613872528, 0.44050002098083496, -0.8655325174331665, -0.05002075061202049, -1.297898530960083, -0.6088117361068726, -0.13242678344249725, -0.25313934683799744, -0.21108520030975342, 0.41370847821235657, -0.800383448600769, -0.36677634716033936, 0.907242476940155, -0.6633489727973938, -0.18791747093200684, 0.009761773981153965, -1.0395352840423584, -1.1978317499160767, 1.8717904090881348, 0.13212727010250092, 1.0886119604110718, 0.35429468750953674, 0.2665288746356964, 0.38926681876182556, 0.11536391824483871, 0.4523440897464752, 1.5682404041290283, -0.8989903330802917, 0.6587926149368286, -0.4126296043395996, -0.3066655397415161, -0.2898518741130829, -0.3863145112991333, -0.6445730924606323, 0.14516054093837738, 0.49663013219833374, -0.003820176003500819, 0.2918510437011719, -0.4933812618255615, 0.5788383483886719, 1.5498929023742676, -1.5659656524658203, -0.5905891060829163, -0.22575241327285767, 0.8092651963233948, -0.4150325059890747, -1.502348780632019, -0.9049769639968872, -0.2823936641216278, 0.3974272906780243, -0.04613770917057991, -0.7015210390090942, -0.864444375038147, 0.18592579662799835, 0.6005125045776367, -0.8885414600372314, 0.10592501610517502, 0.4267594814300537, 0.2957908511161804, -0.32203567028045654, 0.9123939275741577, -0.8847250938415527, -0.764110267162323, 0.9092344641685486, -0.17524433135986328, 0.27248790860176086, -0.27896633744239807, 0.2259143441915512, -0.624311089515686, 1.1190261840820312, 0.40711677074432373, 0.6471295356750488, -1.4474432468414307, 0.8326464891433716, 1.92026948928833, 1.0925648212432861, 0.6388504505157471, 0.6926477551460266, -0.1321643441915512, -0.3528793454170227, 0.10761342197656631, -0.38462990522384644, -0.826097309589386, -0.8167417645454407, 0.05497465282678604, 0.06908553838729858, -0.2457505315542221, 0.060654476284980774, 1.6514451503753662, 0.4705359935760498, 0.7505123615264893, -0.09851375967264175, -0.9495022892951965, 0.5062695145606995, 0.49371376633644104, 0.9518338441848755, 0.03863634541630745, 1.1193677186965942, 0.7920593023300171, 1.446380615234375, -0.03827711194753647, -0.195193350315094, -0.4572511315345764, 0.8770475387573242, 0.19651436805725098, -0.3461938798427582, 0.1774652898311615, -1.1743109226226807, 0.9653918147087097, -0.644053041934967, -0.19398429989814758, 0.6506929397583008, -0.3804735839366913, 0.1593298465013504, 0.41092610359191895, -0.15795357525348663, -0.05324091389775276, 0.46575868129730225, 0.13400587439537048, -1.119100570678711, 1.025898814201355, -0.39439380168914795, 1.2464306354522705, 0.08143190294504166, -0.9400603771209717, -1.5776950120925903, 0.19782395660877228, 0.43366628885269165, -0.2164713591337204, 1.5197744369506836, -1.3157880306243896, -0.5458341240882874, -0.20445023477077484, 0.21010692417621613, 0.21522799134254456, -0.11266297101974487, -1.8604546785354614, 0.020667528733611107, 0.10086158663034439, -0.8517133593559265, -0.004962926730513573, 0.28329893946647644, -0.9057413935661316, 0.5101109147071838, -0.7955378890037537, -0.8627578020095825, -0.3357379138469696, -0.003920593298971653, 0.4026924669742584, -0.21033959090709686, -0.2685968577861786, 1.2390029430389404, 0.7095828652381897, -1.2892733812332153, -0.2570991814136505, -0.9392831325531006, 0.0708051547408104, 0.3164626657962799, -0.4884670078754425, -0.3642098903656006, -0.3109982907772064, 1.0207048654556274, 0.1586053967475891, -0.393390953540802, -1.0596518516540527, -0.5939648747444153, -1.344780683517456, 0.2602502405643463, 0.7136691212654114, 0.9524374008178711, -0.5403863191604614, -0.466202050447464, 0.5679223537445068, 0.2500876188278198, -0.8703249096870422, -0.5851091742515564, 0.18063564598560333, -0.577329158782959, -1.0704447031021118, -0.6647859215736389, 1.5569120645523071, 0.8009810447692871, 0.9215529561042786, -0.3867543041706085, 1.3869985342025757, 1.1494694948196411, -0.6134395599365234, -1.1030255556106567, 0.21711145341396332, 1.030215859413147, -0.6015793681144714, -0.20065753161907196, 0.7644203305244446, -0.02097914181649685, 0.8180246353149414, -0.11285459250211716, 0.6273443102836609, 0.7091907262802124, -0.31384649872779846, 0.571810245513916, -0.2753620147705078, 0.795365571975708, -0.013522746041417122, -0.5355831384658813, 0.12264097481966019, -1.266526460647583, 0.12524361908435822, 0.9140848517417908, 1.4037525653839111, -0.42508140206336975, -0.13726334273815155, 0.5100589394569397, 0.8259633183479309, -0.382819265127182, -0.28164562582969666, -0.17076556384563446, 0.05592917278409004, 0.5144498348236084, 0.10168887674808502, 0.18984517455101013, 1.1003581285476685, -0.21765534579753876, 0.46491020917892456, 0.7709368467330933, -0.9432358741760254, -0.19510428607463837, -1.7319626808166504, -0.41743823885917664, 0.7024105787277222, 0.6007264256477356, 0.39243578910827637, 0.49673858284950256, -0.25107696652412415, -0.4763091206550598, -0.5845110416412354, 0.18376773595809937, -1.8312220573425293, -0.2225159853696823, 0.41889098286628723, 0.0032318811863660812, 0.927590548992157, -0.42676642537117004, 0.32795029878616333, -0.36238741874694824, -0.6462762951850891, 0.20821921527385712, -0.3195273280143738, -0.4262901544570923, 0.3813381791114807, 0.2199941724538803, -0.34403079748153687, 0.19469952583312988, 0.7295575737953186, 1.7910557985305786, 0.507434606552124, -0.20044171810150146, 1.2074145078659058, 0.28572678565979004, -0.6326286196708679, -0.8865076899528503, 0.6170464158058167, -0.15511876344680786, 1.2299939393997192, 0.584372878074646, 0.6553243398666382, -0.8153863549232483, -0.5044753551483154, 0.22588121891021729, 0.5355415344238281, 0.2598474621772766, 0.2265508621931076, 0.6575661301612854, -0.3185867965221405, -0.6754288077354431, -0.05632339417934418, 0.8483046293258667, -0.20319615304470062, 1.5983062982559204, 0.7355188727378845, 0.5115029215812683, -0.12144823372364044, 1.1522986888885498, 0.9347436428070068, 0.8842975497245789, -0.03823413327336311, -0.45106711983680725, 0.1746598482131958, 0.9919848442077637, -0.42462295293807983, 1.0428016185760498, 1.0198127031326294, -0.0571751669049263, -0.31488651037216187, 0.2528291344642639, -0.09825877845287323, -0.4951469898223877, 0.20717599987983704, -0.6604983806610107, -0.26467767357826233, -0.23856623470783234, 0.8544129729270935, 1.091193437576294, 0.44820436835289, -0.11045277863740921, 0.23600469529628754, -0.8934982419013977, -2.6145823001861572, 0.1562022864818573, 0.31756097078323364, 0.7099344730377197, -0.22677551209926605, 0.0036932379007339478, -0.9491246938705444, -1.2051312923431396, 0.17476604878902435, -0.4405648112297058, 0.7974538207054138, -0.42564865946769714, 0.6951513886451721, -0.3648874759674072, -0.7607104778289795, -1.3275394439697266, 1.2644554376602173, -0.08085087686777115, -0.40940845012664795, -0.39205920696258545, 0.18526555597782135, 0.14365065097808838, 0.2562217116355896, -0.13562679290771484, 0.2891729176044464, -0.9875286221504211, -0.3624090254306793, 0.13731852173805237, 1.181465744972229, -1.1644724607467651, -0.7224540114402771, -0.031666263937950134, 0.000027793981644208543, -0.06992120295763016, 1.0446871519088745, -0.29795393347740173, -0.536528468132019, 0.15435418486595154, -0.42624351382255554, 0.20059262216091156, -1.063638687133789, -1.3585914373397827, 1.4106651544570923, 0.04228843376040459, 0.3928353190422058, 0.8392066955566406, 0.4137611389160156, -0.8492752909660339, -0.508057713508606, -0.46932679414749146, 0.07728088647127151, -1.0852950811386108, 0.3288120627403259, -0.38559678196907043, -0.3276253044605255, -0.699291467666626, 0.9006046652793884, 0.0627146065235138, -0.242407888174057, -0.3203369379043579, 0.06092052161693573, -0.31194883584976196, 0.6607382893562317, -1.429381012916565, 0.19680233299732208, 0.10151489824056625, -0.14447207748889923, -1.1241543292999268, -0.29513850808143616, 0.6884909272193909, -2.214268207550049, 0.30901771783828735, 0.632011353969574, 0.1071145087480545, 0.3833768963813782, -1.590162754058838, 0.1785026639699936, 0.01282747182995081, -1.2877320051193237, 0.827259361743927, 0.1379486620426178, 0.11605758219957352, -0.11148608475923538, -0.9430968761444092, 0.34177324175834656, 0.516853392124176, -0.5287351012229919, 0.24771800637245178, 0.06395465135574341, 0.6985680460929871, -0.3530624508857727, -0.5709035396575928, -1.194522738456726, -1.6221307516098022, -0.4031512439250946, 0.06768663227558136, 0.22244659066200256, -0.4020819365978241, 0.15428972244262695, 0.7742587327957153, -0.4677009582519531, 0.22455722093582153, 1.212403416633606, -0.8276532292366028, 0.5563364624977112, 0.4336659014225006, 0.3637029528617859, 0.51949143409729, 0.4575919508934021, 0.020518101751804352, 0.3169308304786682, 0.17544949054718018, 0.5937390923500061, 0.06658394634723663, -0.9152609705924988, -0.18825972080230713, 0.33145928382873535, -0.8474112153053284, -0.48725298047065735, 1.174864649772644, 0.12273713946342468, 0.24527183175086975, -0.25793689489364624, -0.8112736344337463, -0.5364896655082703, 0.21449124813079834, -0.4741846024990082, -0.49391964077949524, 0.7324030995368958, -0.4096813201904297, 0.49374932050704956, -0.2975693941116333, -0.32048189640045166, -1.0701757669448853, 0.7954192161560059, 0.023489484563469887, 1.0275484323501587, 2.046056032180786, -0.04537469148635864, 0.46194639801979065, 0.46369513869285583, 0.24243849515914917, 0.7252053618431091, -0.7863616347312927, 0.17271533608436584, 0.8543793559074402, 0.4355399012565613, -0.37816330790519714, 0.18558169901371002, -1.06294584274292, -0.11098939180374146, 1.5633161067962646, -1.1896593570709229, 0.8435357213020325, -0.07727818191051483, 0.1577097475528717, -0.24611243605613708, -0.07321044057607651, -1.1678186655044556, 0.3960135281085968, -0.958293080329895, 2.2523624897003174, -0.8572820425033569, -1.57499098777771, 0.8057130575180054, 1.6515697240829468, 0.3041139543056488, 0.3300362825393677, 0.7793727517127991, -0.8537961840629578, 0.5127719640731812, -0.6158682107925415, -0.8448218703269958, 1.0791170597076416, -0.9680091738700867, 0.9581848382949829, 0.05737131088972092, -0.675049901008606, 0.7545896172523499, -0.010956823825836182, -0.20015466213226318, -1.5543678998947144, -0.6416290402412415, -1.7309410572052002, 0.9680288434028625, 0.29833707213401794, -0.9298896193504333, 0.31879696249961853, 0.18405680358409882, 0.9965233206748962, -0.35336554050445557, 0.2854996919631958, -0.6100656390190125, -1.8476614952087402, 0.11579202115535736, 0.409666508436203, -1.3613046407699585, 1.8219184875488281, 0.9030757546424866, -0.055394094437360764, 0.6307944059371948, -1.0453557968139648, 1.2961418628692627, -0.22328978776931763, 0.6294968128204346, 0.7941043376922607, 1.9087337255477905, -0.08507233113050461, -0.14782671630382538, -0.42226114869117737, -0.6567580103874207, 4.574126243591309, 1.651848316192627, -0.045277226716279984, -1.56836998462677, -0.059229400008916855, -0.6660752296447754, 0.30901038646698, -0.26112106442451477, 0.280600905418396, 1.0970731973648071, 0.25876274704933167, 1.0029422044754028, 0.25445669889450073, -0.25953349471092224, 0.3994539976119995, 0.2452712506055832, -0.5881290435791016, -0.6603370904922485, 0.8397177457809448, 0.5011559724807739, 0.2636573016643524, 0.012960015796124935, -1.246523380279541, -1.3348579406738281, 0.6784706115722656, -0.4607706367969513, 0.295244425535202, -0.5879223346710205, 1.5852725505828857, 0.3300570845603943, -0.610511839389801, 0.1324276179075241, 0.18308548629283905, -0.07157017290592194, 0.4767083525657654, 0.5946616530418396, -0.6865789890289307, 0.3098269999027252, -0.3299711346626282, 0.10417784750461578, -0.004288952331990004, -0.12419386953115463, -0.9611451029777527, -0.1442081779241562, -0.5574964284896851, -0.11680928617715836, -0.5517683625221252, -0.9413686394691467, -0.00965312123298645, 0.011590782552957535, -0.3210523724555969, 0.46443769335746765, -0.4476723074913025, -0.8621315956115723, -1.3869656324386597, 0.2429526448249817, 0.2183731496334076, -0.04914950206875801, -0.7306567430496216, -0.9094223976135254, -0.2248104065656662, -0.21106566488742828, 1.2761873006820679, 0.5616931319236755, 0.8739180564880371, 0.2356330007314682, -1.2153648138046265, 2.0449459552764893, 0.25512242317199707, -0.5397921800613403, -0.7159688472747803, 0.4796088933944702, -0.4406126141548157, -0.6775676012039185, 0.20952211320400238, 1.1707255840301514, -1.0287585258483887, 0.6393041014671326, -0.7371692061424255, -0.5562638640403748, -0.9832333922386169, 0.2960962653160095, -0.23116903007030487, -0.17033690214157104, -0.5896208882331848, -0.03046286478638649, -0.215622678399086, 0.3620956242084503, 0.30032962560653687, 0.10732501745223999, -0.5958583950996399, 1.6221014261245728, 0.44976192712783813, -1.2477221488952637, -0.6722948551177979, 1.084919810295105, -0.8804556727409363, -0.08305759727954865, -0.9918356537818909, 1.959620714187622, -0.37778398394584656, -0.29380255937576294, -0.12795880436897278, 1.3897769451141357, -0.8380695581436157, 0.22215643525123596, 0.9355217218399048, -0.43693092465400696, -0.2366599589586258, 0.3716382086277008, 0.6703714728355408, -0.33028650283813477, -0.6471874117851257, -0.5167881846427917, -0.485644668340683, 0.03961041569709778, -1.007936954498291, -0.4550592601299286, 0.3571208119392395, -0.5449584126472473, 0.4066094756126404, 0.3076030910015106, 0.12410363554954529, -0.05042772740125656, 0.03460424765944481, 0.026402264833450317, -0.2637127637863159, -0.3379156291484833, -0.08670166879892349, 0.2187137007713318, 0.3858095705509186, -0.028330102562904358, 0.0828019306063652, 0.23266755044460297, -0.788661003112793, -0.4801957309246063, -0.19263288378715515, 0.9105791449546814, -0.5433977842330933, 0.0749935656785965, 0.7133941054344177, -1.0064769983291626, -0.6198859810829163, 1.5390974283218384, -0.7718960642814636, 0.16598248481750488, 0.042138535529375076, -0.07856473326683044, 1.073957085609436, 0.4987506568431854, -0.6697900295257568, -0.22073113918304443, 0.6614812016487122, 0.8352530598640442, -0.034709151834249496, 0.7101843357086182, 0.32074567675590515, -0.06240289285778999, -0.06733552366495132, 0.43377894163131714, 0.16746103763580322, 0.0651770830154419, 0.4315033555030823, -0.6396636366844177, 0.33163461089134216, 0.025685187429189682, -0.3194279968738556, 0.31033855676651, -0.38843148946762085, 0.45712462067604065, 0.16692204773426056, 0.4016034007072449, 1.081354022026062, 0.6387147307395935, -1.0559897422790527, -0.4331728518009186, -0.20736245810985565, -0.5272178649902344, 0.12760910391807556, -0.9230297207832336, 0.6966631412506104, 0.7598180770874023, -1.3104342222213745, 0.03703141212463379, -0.5968817472457886, -0.2475702315568924, -1.6034742593765259, 0.14442089200019836, 0.006808656733483076, 0.323354035615921, 0.2682730257511139, 0.4275384247303009, 0.529620885848999, -0.09679819643497467, -0.48436519503593445, -0.05030502378940582, 0.38100796937942505, 0.5604628324508667, -0.30206477642059326, -0.744360089302063, 0.3866193890571594, -0.7675869464874268, -0.7151357531547546, 0.07018695771694183, -0.9875853657722473, -0.22359614074230194, -0.2614469826221466, 0.5711103677749634, 0.385500967502594, 0.4679376482963562, 0.4342779219150543, -0.626939594745636, 0.4461318850517273, -0.818004310131073, -0.03489547222852707, 0.5011892914772034, -0.8465163111686707, 0.17222484946250916, -0.00006298170774243772, 0.39857348799705505, -0.468689888715744, 0.989472508430481, -1.1323624849319458, -0.1728900820016861, -0.23490771651268005, 0.08202188462018967, 0.7436317801475525, -0.9890395998954773, -0.052957214415073395, -1.4907100200653076, 1.211436152458191, -0.041965655982494354, 0.32813653349876404, 0.9211363196372986, 0.09684566408395767, -0.8629772067070007, -0.27272462844848633, -0.3878905475139618, 0.27855342626571655, -0.5126206278800964, -0.2752126455307007, -0.42335590720176697, -0.3413871228694916, 1.006845474243164, -0.06904704123735428, 0.1147456020116806, -0.4600854814052582, 1.435338020324707, -0.40169787406921387, -0.6851098537445068, -0.7175082564353943, -0.7862038016319275, 1.255159616470337, -0.3066513240337372, 1.3879625797271729, 2.4046850204467773, 0.9188011288642883, -1.1974537372589111, -1.0469459295272827, 0.054490383714437485, 0.8231886625289917, 0.6217907071113586, -0.10934280604124069, 0.1145729124546051, -1.4164929389953613, 0.4417225122451782, -0.3948984444141388, 0.7018201947212219, -0.0568167120218277, -0.4421553909778595, 0.2857716679573059, 0.3645835816860199, 0.9198434948921204, 0.31537991762161255, -0.44625046849250793, 0.4664872884750366, 0.24064397811889648, 0.8351773619651794, -0.40625283122062683, 0.0723002478480339, -0.18633389472961426, 0.3487417995929718, -0.7339571714401245, 1.0932554006576538, -0.04499582573771477, -0.34283873438835144, 0.8457722663879395, -0.39973345398902893, -1.3810700178146362, 0.9302317500114441, 0.4206090569496155, 0.8283860087394714, -0.33022797107696533, -1.057449221611023, 1.1503151655197144, -1.0741161108016968, 0.38658544421195984, 0.5387825965881348, -0.3698655366897583, 0.25379595160484314, 0.6995805501937866, -0.049005672335624695, 1.1217164993286133, 0.2695963978767395, 0.371563583612442, -0.9243308305740356, -0.4310305416584015, 0.5975682139396667, 0.5662892460823059, 0.5067555904388428, -0.27855509519577026, -0.31530290842056274, 0.2816582918167114, -0.5804008841514587, 1.345423936843872, -0.14450857043266296, 1.1851615905761719, 0.678656280040741, 0.05447467416524887, 0.18742012977600098, -0.950851321220398, -0.9296342134475708, 0.10483719408512115, 0.015673836693167686, 1.6695075035095215, -0.17959946393966675, -0.14295512437820435, -1.1179085969924927, 0.6974325776100159, 0.05623943731188774, 0.060699038207530975, 0.04694240912795067, 0.2385171502828598, -0.09050021320581436, -0.5747341513633728, -0.8605146408081055, 0.15794335305690765, 1.5780028104782104, -0.9345217347145081, 0.2208373099565506, 0.09154880791902542, 1.2329140901565552, -0.3738038241863251, -0.40143895149230957, -1.637416124343872, -0.9518429040908813, 0.32389527559280396, -0.18517760932445526, 0.23513522744178772, -0.46739616990089417, -1.47069251537323, -0.15093939006328583, 0.8066315650939941, -0.3402314782142639, -0.451055109500885, -1.481072187423706, 0.2535230815410614, 1.0644277334213257, 0.8997389078140259 ] ]
{ "indices": [ 3062174764, 1042626614, 1960040400, 1308276157, 1031134330, 2912884399, 1296924235, 192565064, 3341589273, 939215365, 1911071232, 28501148, 376415374, 72180827, 2257684172, 3215393521, 390204765, 3005552705, 3676260520, 437367475, 3541586099, 3009698197, 4186256544, 2839486718, 3928038441, 189734734, 3774983271, 3174900812, 862607732, 100532018, 2578007438, 2063350695, 2006536704, 691409538, 4082485121, 1682803238 ], "values": [ 0.7691962589732724, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593 ] }
{ "context": "So ALU selection bits. So we have four functions. You know three of them, of course, add, end, and not, we put in as part of the ISA. The fourth one is necessary again, so that we can pass values from the register file out onto the bus to go places like the MAR or the PC for control flow or other operations. So the ALU output is only put on the bus when gate ALU is one. If gate ALU is zero, it's just thrown away." }
332678
[ [ 0.07612990587949753, -0.6441845893859863, -0.23468850553035736, -0.9015944600105286, 0.028265658766031265, 0.17768852412700653, -0.18518003821372986, 0.6531336307525635, 0.7404391765594482, -1.0023452043533325, 0.7122702598571777, 0.6413879990577698, 0.7820082902908325, 0.37423044443130493, 0.6087778210639954, 0.3723682463169098, 0.8233352303504944, -0.48235705494880676, -0.8076215386390686, -0.08625203371047974, 0.5687550902366638, -0.7887709736824036, -0.19809775054454803, -0.14422209560871124, -0.7116320729255676, 0.5835106372833252, 0.35965806245803833, -0.39500683546066284, 0.7691541314125061, 1.0866867303848267, -0.555238664150238, -1.1470788717269897, 0.6930721402168274, -0.25812676548957825, 0.49053969979286194, -0.1614554077386856, 0.07271765172481537, -0.554206907749176, -0.0988692045211792, 0.875210165977478, -0.4319497346878052, 0.3271467387676239, -0.308118611574173, 1.255511999130249, -0.8465054631233215, 0.4122518002986908, -0.1465546190738678, 0.435162752866745, 0.6704161167144775, -0.5555904507637024, 1.1126714944839478, -0.9307463765144348, 0.7315050959587097, 0.2555018961429596, 0.10842957347631454, 0.28836753964424133, 0.6013742089271545, 1.4940308332443237, 0.175184428691864, -0.39476484060287476, -0.5505464673042297, -0.011580988764762878, -0.07262549549341202, -0.051392193883657455, -0.24152912199497223, -0.9901646971702576, 0.4150884747505188, -0.35908469557762146, 0.6546135544776917, 0.45670825242996216, -0.7642207741737366, -0.18482869863510132, 0.3926813304424286, 0.11136972904205322, 0.8483172059059143, -1.2357425689697266, 0.6470341086387634, -0.13841107487678528, 0.45765221118927, 0.8646392822265625, -0.8250813484191895, -0.16803376376628876, -1.5717902183532715, 0.042784757912158966, 0.066791832447052, -0.586968719959259, 1.894461989402771, 0.010569613426923752, -0.18816883862018585, 0.5712092518806458, 0.4545147120952606, -0.28708159923553467, 0.8949512839317322, -0.08723895251750946, -0.47312554717063904, 0.30828341841697693, 0.5337692499160767, 0.4188210070133209, -0.4044684171676636, -0.9867445230484009, 0.8442662358283997, -0.849515438079834, -0.013692201115190983, 0.5934352874755859, 0.16397474706172943, -0.2807482182979584, -0.30627718567848206, -0.38302263617515564, -1.0851854085922241, 0.46313416957855225, -1.0888688564300537, 0.7874210476875305, -0.34591537714004517, 0.617893636226654, 0.45438191294670105, -0.1730983406305313, -1.0871104001998901, -0.3795624375343323, 0.6970682144165039, 0.8115801215171814, 0.8967844843864441, 0.2927815616130829, 0.4097454845905304, -0.40630874037742615, -1.5416525602340698, 0.8445820808410645, 0.43617063760757446, 0.03006494604051113, -0.7044486999511719, 0.6207249164581299, -0.08349991589784622, 0.30435073375701904, -0.030171720311045647, 0.17629311978816986, 0.20151853561401367, 0.8962497711181641, -1.5121893882751465, -1.8293424844741821, 0.6667657494544983, 0.5112062096595764, -0.41470009088516235, -1.0844571590423584, -0.4293532371520996, 1.0876152515411377, 0.9484187960624695, 0.37669411301612854, 0.9440361261367798, 0.661967933177948, -0.32263001799583435, 0.38550159335136414, -0.44558414816856384, -0.07945399731397629, -1.178900122642517, -0.34723663330078125, -0.19801118969917297, -0.518454372882843, 0.14583969116210938, 0.38987115025520325, -0.9459269642829895, -0.666018009185791, 0.7840445041656494, -0.6403494477272034, -0.006304132752120495, -0.00032134386128745973, -0.9591904282569885, -1.229732632637024, 1.6431927680969238, -0.3735009729862213, 0.6528388261795044, 0.43130698800086975, 0.2771375775337219, 0.564152181148529, -0.15028882026672363, 0.2640044689178467, 1.5893638134002686, -0.6935063004493713, 0.5775221586227417, -1.0875104665756226, -0.4560912251472473, -0.46095290780067444, -0.5339040160179138, -1.0971486568450928, 0.2762557864189148, 0.2475045919418335, -0.2999598979949951, 0.37686121463775635, -0.32711347937583923, 0.3259477913379669, 1.1553958654403687, -1.254442572593689, -0.7840157747268677, -0.15009531378746033, 1.242525577545166, 0.12796232104301453, -1.0579016208648682, -0.8946120142936707, -0.3752302825450897, -0.07381235063076019, -0.2885582149028778, -0.5454223155975342, -0.6961396932601929, 0.41431188583374023, 0.29496896266937256, -0.2878027856349945, 0.1307888925075531, 0.28249767422676086, 0.7716912627220154, -0.22007110714912415, 0.6358532905578613, -0.7709251642227173, 0.0285327211022377, 0.43214067816734314, 0.012001952156424522, 0.1028786450624466, -0.07843313366174698, 0.3313254714012146, -0.685448408126831, 0.8039429783821106, 0.10725530982017517, -0.039269592612981796, -1.3262442350387573, 0.8732988238334656, 1.8159605264663696, 0.573554515838623, 1.1244713068008423, 1.0934544801712036, -0.23355279862880707, -0.736936092376709, 0.09812701493501663, -0.6813691258430481, -0.556588351726532, -0.7572906613349915, 0.28122904896736145, -0.257388710975647, -0.6470574140548706, -0.3188032805919647, 1.7723063230514526, 0.6111950278282166, 0.588844895362854, 0.03697918355464935, -1.0870773792266846, 0.943647027015686, 0.3581946790218353, 0.7755814790725708, 0.13813632726669312, 1.1976078748703003, 0.7888940572738647, 1.3588976860046387, -0.19434529542922974, 0.03726333752274513, -0.6762672662734985, 0.9510096311569214, 0.5486348867416382, -0.4259377717971802, 0.15033964812755585, -1.155556321144104, 0.7610857486724854, -0.9385532140731812, 0.22029370069503784, 0.8659185767173767, -0.6072787046432495, 0.27313661575317383, -0.1917175054550171, -0.351274698972702, 0.05569372698664665, 0.17478011548519135, -0.41064324975013733, -0.993065357208252, 1.0954647064208984, 0.14228560030460358, 1.5395653247833252, 0.25585299730300903, -1.0456126928329468, -0.5764321088790894, 0.2575877010822296, 0.825209379196167, -0.4383605122566223, 1.159409523010254, -1.2829629182815552, -0.4546709656715393, -0.16769495606422424, 0.26135239005088806, 0.32103046774864197, 0.2721487879753113, -1.6361662149429321, 0.0876363068819046, 0.1567467898130417, -1.0407915115356445, 0.4008435308933258, 0.5089075565338135, -0.6713346242904663, 0.17318615317344666, -0.19231261312961578, -1.0184670686721802, -0.42139071226119995, -0.013081640005111694, -0.05693281069397926, -0.20537309348583221, 0.020388808101415634, 1.1910830736160278, 0.38516220450401306, -1.2226451635360718, -0.1368422955274582, -0.8885549902915955, 0.46093595027923584, 0.1791388839483261, -1.1695985794067383, -0.35936856269836426, -0.38993868231773376, 0.5589780211448669, -0.09793350100517273, -0.21203024685382843, -0.7162646651268005, -0.6469663977622986, -0.7532603740692139, -0.05207275599241257, 0.6767913699150085, 0.9942606091499329, -0.23236143589019775, -0.2061239629983902, 1.2188421487808228, 0.4736715853214264, -1.4004379510879517, -0.7200930714607239, 0.35469838976860046, -0.5015947818756104, -0.8487594127655029, -0.1237979531288147, 1.0446223020553589, 0.6540510058403015, 0.6127737760543823, 0.005194689612835646, 0.9147998094558716, 0.9695366621017456, -0.42844298481941223, -1.4022692441940308, 0.20638930797576904, 0.43563833832740784, -0.5227099657058716, 0.18922393023967743, 0.8134602308273315, -0.1044706180691719, 0.4157417416572571, -0.01620307005941868, 0.5211758613586426, 0.6604641675949097, -0.718177855014801, 0.2037561982870102, -0.02941027469933033, 0.6384778022766113, 0.22086763381958008, -0.6284708976745605, 0.26707592606544495, -1.1488370895385742, -0.4189535975456238, 0.31502845883369446, 0.7059246301651001, -0.25445201992988586, 0.2337663769721985, 0.5283875465393066, 0.5634622573852539, -0.5612618327140808, -0.17541417479515076, 0.053760990500450134, 0.35022813081741333, 0.09249424934387207, -0.06597337126731873, 0.22251294553279877, 0.16842098534107208, -0.22353029251098633, 0.45972877740859985, 0.4001227915287018, -0.42683619260787964, 0.2234974056482315, -1.3759102821350098, 0.039007458835840225, 0.9441121220588684, 0.8587863445281982, 0.10086490958929062, 1.0124833583831787, 0.008911400102078915, -0.2728284001350403, -0.374033659696579, 0.12445831298828125, -1.9123669862747192, -0.014312640763819218, 0.2703326344490051, 0.1426205188035965, 0.6501355767250061, 0.15307939052581787, 0.7084243297576904, -0.7157427072525024, -0.7911791205406189, 0.12525761127471924, -0.146903395652771, -0.7573242783546448, 0.003593210130929947, -0.16644205152988434, -0.37528929114341736, 0.28097498416900635, 0.44815659523010254, 1.6440119743347168, 0.37208446860313416, -0.23145116865634918, 0.7567092776298523, 0.3354552388191223, 0.06462783366441727, -0.6330767273902893, 0.682154655456543, -0.034426771104335785, 1.4062039852142334, 0.9418671131134033, 0.6752952337265015, -0.30737102031707764, -0.050883445888757706, 0.1621694415807724, 0.43311387300491333, 0.36497214436531067, -0.28695493936538696, 0.6344609260559082, -0.3708913028240204, -0.8324010372161865, -0.28369027376174927, 0.44760653376579285, -0.016992714256048203, 1.0637240409851074, 0.7448428273200989, 0.3204761743545532, -0.4124961495399475, 1.3500460386276245, 1.3172310590744019, -0.23785339295864105, -0.2649720013141632, -0.2607554495334625, -0.23155240714550018, 0.9625098705291748, -0.17062854766845703, 0.6059026718139648, 0.628410279750824, 0.7431573867797852, -0.5622540712356567, 0.27993085980415344, 0.004293965175747871, -1.1911797523498535, 0.4818728566169739, -0.5046209096908569, -0.23990611732006073, -0.1086980551481247, 0.6893270611763, 0.8947877287864685, 0.47978514432907104, 0.13394051790237427, 0.39666420221328735, -0.9217151403427124, -2.900909900665283, -0.13083387911319733, 0.2960081696510315, 0.4443199634552002, -0.7701024413108826, 0.140547975897789, -0.46624884009361267, -1.1392035484313965, 0.948721706867218, -0.7388745546340942, 0.6613455414772034, -0.7475882768630981, 0.5200002193450928, -0.4047987461090088, -1.411226749420166, -0.8911265730857849, 0.8365924954414368, -0.5386882424354553, -0.29172223806381226, -0.2028886079788208, -0.26370954513549805, 0.5177960395812988, 0.1517396867275238, 0.1276368349790573, 0.6450673341751099, -0.14057153463363647, -0.5606259107589722, -0.24637049436569214, 1.0063936710357666, -1.171753168106079, -0.7104440331459045, 0.3189757764339447, -0.6425277590751648, -0.04557086527347565, 0.8603055477142334, 0.06379859894514084, -0.8584728240966797, 0.09994824975728989, -0.35744866728782654, -0.2881224751472473, -0.8039748072624207, -1.1057130098342896, 0.9786534905433655, 0.0842456966638565, 0.4972115159034729, 0.7407878637313843, 0.15930329263210297, -0.3960956335067749, -0.4229401648044586, -0.31074589490890503, -0.037845171988010406, -0.8682029843330383, 0.41873791813850403, -0.4796454906463623, -0.14982743561267853, -0.42577844858169556, 1.0597347021102905, -0.23600779473781586, -0.1733636111021042, 0.13198426365852356, -0.299913614988327, 0.17314830422401428, 0.7753340005874634, -1.1230475902557373, 0.47552457451820374, -0.042858023196458817, 0.4586435854434967, -0.9367602467536926, -0.08222693204879761, 0.16770336031913757, -1.514174461364746, 0.4071779251098633, 0.33260178565979004, -0.04177127778530121, 0.29194632172584534, -1.0656365156173706, 0.3639301061630249, 0.12508608400821686, -1.0106806755065918, 1.0936423540115356, 0.3330438733100891, -0.06049707904458046, 0.3452606797218323, -1.0109117031097412, 0.14220458269119263, 0.2914090156555176, -0.03868100047111511, -0.31000325083732605, 0.20091716945171356, 0.6045950651168823, -0.2631292939186096, -0.34220099449157715, -1.509483814239502, -1.504878282546997, -0.10254883021116257, 0.04890545457601547, 0.3110417425632477, -0.6787128448486328, 0.006316742859780788, 0.5800214409828186, -0.5433775186538696, 0.03464431315660477, 1.1890555620193481, -0.019868073984980583, 0.45647168159484863, 0.3978514075279236, 0.3297657072544098, 0.4862900376319885, 0.43767985701560974, 0.23877684772014618, 0.8866657614707947, 0.04578084126114845, 0.1614232361316681, -0.8368558287620544, -0.6457746028900146, -0.12709036469459534, 0.05358869954943657, -1.3367453813552856, -0.6007505059242249, 1.226082444190979, 0.023828143253922462, 0.3892863094806671, -0.1122894138097763, -0.9481428861618042, -0.3009377419948578, -0.01291944831609726, -0.1519922912120819, -0.7439790964126587, 0.8014028668403625, -0.20633497834205627, 0.2766185998916626, 0.42164701223373413, -0.029854312539100647, -0.8617202639579773, 0.7495778799057007, 0.642667293548584, 1.2357350587844849, 1.6875659227371216, 0.09985434263944626, 0.4112483263015747, 0.43294498324394226, 0.19053007662296295, 1.0159306526184082, -1.0436261892318726, 0.15486405789852142, 0.6810452938079834, 0.2806309461593628, -0.5190781354904175, -0.24392569065093994, -0.8846718668937683, -0.24563659727573395, 1.0228209495544434, -0.6396530866622925, 0.538189172744751, -0.14882303774356842, 0.12379555404186249, 0.23368193209171295, -0.5941205024719238, -0.8853283524513245, 0.05844879895448685, -1.1566648483276367, 2.212446928024292, -0.506213366985321, -1.4704393148422241, 0.8728274703025818, 1.645333170890808, 0.43634578585624695, -0.005899605341255665, 1.2323611974716187, -0.3588481545448303, 0.1551700085401535, -0.25075405836105347, -0.4517534375190735, 1.0336419343948364, -0.7749513387680054, 0.5553284287452698, 0.03630632162094116, -0.3635936379432678, 1.2250643968582153, 0.16573680937290192, 0.06437822431325912, -1.257439374923706, -0.3068299889564514, -1.8788024187088013, 0.7877085208892822, -0.05873948335647583, -0.5080255270004272, 0.24454067647457123, 0.3772173821926117, 0.7009309530258179, -0.42404428124427795, 0.8919559121131897, -0.32693901658058167, -1.7965649366378784, 0.013446500524878502, 0.33543074131011963, -1.8275552988052368, 1.6225746870040894, 0.5763959884643555, -0.0030652345158159733, 0.7023822665214539, -0.47847259044647217, 0.8566030859947205, 0.18052148818969727, 0.1857459843158722, 1.071594476699829, 1.8779311180114746, 0.17065323889255524, 0.10273633897304535, -0.3569701611995697, -0.5050883889198303, 5.310736656188965, 1.1717594861984253, -0.07300505042076111, -1.6516791582107544, -0.2330096960067749, -0.6078388690948486, 0.28933560848236084, -0.03086036443710327, 0.3965589702129364, 0.6693616509437561, 0.09732495248317719, 0.7998652458190918, 0.5044119358062744, -0.325144499540329, -0.0956156924366951, 0.3198915123939514, -0.411085307598114, -0.2847108542919159, 1.0473614931106567, 0.1891631931066513, 0.26028504967689514, -0.17793749272823334, -1.1071624755859375, -1.2744334936141968, 1.0294854640960693, -0.5442588329315186, 0.12629817426204681, -1.1527827978134155, 1.7781805992126465, 0.44098424911499023, -0.336481511592865, 0.3501633405685425, 0.6625330448150635, 0.1969812661409378, 0.7026086449623108, 0.25700080394744873, -0.6397790312767029, 0.07794875651597977, -0.2948826849460602, -0.030263829976320267, 0.0874600037932396, -0.27581027150154114, -0.7276106476783752, -0.20001016557216644, -0.35309725999832153, -0.1665133237838745, -0.8124802708625793, -0.5330944657325745, -0.21520152688026428, 0.1480325609445572, -0.20587393641471863, 0.40975233912467957, -0.34133201837539673, -0.9808842539787292, -1.348970890045166, 0.315624862909317, 0.09760406613349915, 0.4610444903373718, -0.8484647274017334, -0.7982513308525085, 0.006396440789103508, -0.33789822459220886, 1.3330024480819702, 0.38989824056625366, 0.7113755345344543, 0.17435911297798157, -0.695995569229126, 1.6391633749008179, -0.22973772883415222, -0.34064188599586487, -0.6229328513145447, 0.41670575737953186, -0.28273606300354004, 0.01406850852072239, 0.3098367154598236, 0.8259212374687195, -0.6419083476066589, 0.20622633397579193, -1.1778459548950195, -0.8822341561317444, -1.4483426809310913, -0.579328715801239, -0.01729647070169449, -0.368417352437973, -0.5036243796348572, -0.1382066309452057, -0.004742552526295185, 0.07398921996355057, 0.6613473892211914, -0.4292905032634735, -0.4272305965423584, 1.4687076807022095, 0.03034278377890587, -1.3777203559875488, -0.3207437992095947, 0.563274085521698, -0.7721640467643738, -0.8560417294502258, -1.2570043802261353, 1.7118457555770874, -0.009125207550823689, -0.1718970090150833, -0.5434735417366028, 1.124418020248413, -0.6110891699790955, 0.26456987857818604, 0.6331477761268616, 0.2009284645318985, -0.4939388334751129, 0.2413243055343628, 0.633699357509613, -0.9133057594299316, -0.47430551052093506, -0.4637965261936188, -0.28395652770996094, 0.3062683939933777, -0.9218729138374329, -0.49751365184783936, 0.37927111983299255, -0.6062297224998474, 0.2799907922744751, 0.39572346210479736, 0.19641070067882538, -0.504258930683136, -0.3003682792186737, 0.19619961082935333, 0.11075080186128616, -0.4751119017601013, 0.3038047254085541, -0.024179546162486076, -0.2757764160633087, 0.1811688393354416, 0.20934200286865234, 0.5979678630828857, -0.9606990218162537, 0.06155385822057724, -0.08449002355337143, 0.8683910369873047, -0.6155143976211548, -0.2504926323890686, 0.87717604637146, -0.8068425059318542, -0.3892308175563812, 1.319178819656372, -0.5326989889144897, -0.1378803700208664, 0.05858958512544632, 0.12474579364061356, 1.1614426374435425, 0.7314462661743164, -0.9855767488479614, -0.36013802886009216, 0.5012773275375366, 0.247676283121109, -0.07736466825008392, 0.4962949752807617, 0.42053037881851196, -0.05230116471648216, -0.4196603000164032, 0.5847732424736023, -0.18638499081134796, 0.39425140619277954, 0.78281170129776, -1.1358681917190552, 0.1935347467660904, 0.27749529480934143, -0.8240756988525391, 0.17181704938411713, -0.3337859809398651, 0.42022308707237244, -0.23159398138523102, 0.3579704761505127, 1.1359448432922363, 0.3105063736438751, -1.470745325088501, -0.42353612184524536, -0.24241913855075836, -0.32260367274284363, 0.9287834763526917, -0.803973913192749, 0.3521513044834137, 0.6197106242179871, -1.3456205129623413, -0.2814503610134125, -0.5000806450843811, -0.3130601644515991, -1.4469859600067139, 0.9738339781761169, -0.5560436248779297, 0.4143720269203186, 0.2365237921476364, 0.31933268904685974, 0.4740622937679291, 0.31612303853034973, -0.42140236496925354, -0.16223539412021637, 0.5054776072502136, 0.8203250169754028, -0.015470189973711967, -0.5650617480278015, 0.4365493655204773, -0.8989263772964478, -0.9774158596992493, 0.21523170173168182, -1.0781426429748535, 0.23597654700279236, -0.0010225787991657853, 0.8565890192985535, 0.5523290038108826, 0.7061591148376465, 0.387258917093277, -0.7428504824638367, -0.25301817059516907, -0.2099744975566864, -0.10851756483316422, 0.9082648158073425, -0.6657192707061768, 0.23961669206619263, -0.197091206908226, 0.07276366651058197, -0.06298098713159561, 0.7898373603820801, -1.567008376121521, -0.21930718421936035, 0.1130978986620903, -0.06747976690530777, 0.9713488817214966, -0.7230052351951599, -0.34859636425971985, -0.6273670196533203, 0.7043756246566772, 0.6909220218658447, 0.2228434532880783, 0.5951533913612366, 0.6311712861061096, -0.8601552248001099, -0.32264694571495056, 0.2653076648712158, 0.33287787437438965, -0.996650218963623, 0.20377258956432343, 0.2248106449842453, -0.22084057331085205, 0.7249049544334412, 0.0953068807721138, -0.029053945094347, -0.723843514919281, 0.9060631990432739, -0.4046275317668915, -0.6398318409919739, -0.6576195359230042, -0.2273927628993988, 0.9717707633972168, -0.5880771279335022, 1.503744125366211, 1.5312740802764893, 0.34763339161872864, -0.8635490536689758, -1.0638399124145508, 0.09292291104793549, 0.9812290072441101, 0.7714123129844666, -0.08179973810911179, 0.2078050673007965, -1.3955614566802979, 0.7565553188323975, 0.13233380019664764, 0.581486165523529, 0.1666240245103836, -0.38409292697906494, -0.007688047364354134, 0.035098619759082794, 0.985722005367279, 0.12471349537372589, 0.09494391828775406, 0.27233147621154785, 0.144015371799469, 0.28852811455726624, -0.1370438039302826, -0.3145162761211395, -0.25341418385505676, 0.49876442551612854, -0.37280628085136414, 1.3681148290634155, -0.16612865030765533, -0.022341454401612282, 0.19434991478919983, -0.10414662957191467, -1.354313611984253, 1.3602159023284912, 0.07404042780399323, 0.6040592193603516, -0.6657276749610901, -0.3001312017440796, 0.7190543413162231, -0.6724185347557068, 0.3136177062988281, 0.6008049845695496, -0.5592596530914307, 0.04780851677060127, 0.11568699032068253, 0.24774479866027832, 1.0027410984039307, 0.42745494842529297, 0.7025774717330933, -0.7810094952583313, -0.6256716847419739, 0.18119177222251892, 0.6014325618743896, 0.5204209089279175, -0.24984045326709747, -0.17802131175994873, 0.4979972541332245, -0.6118422746658325, 1.2940928936004639, -0.4208791255950928, 0.7804410457611084, 0.33703726530075073, -0.2458391934633255, 0.493732213973999, -0.9949207305908203, -1.0808398723602295, -0.056938108056783676, -0.21439185738563538, 2.037105083465576, -0.34234797954559326, -0.10277044028043747, -1.1099590063095093, 0.40320947766304016, -0.2816925644874573, -0.52220219373703, -0.4971366226673126, -0.05595611035823822, 0.07542671263217926, -0.4908905625343323, -1.0952321290969849, -0.1150391474366188, 1.0095728635787964, -0.908543050289154, 0.16161096096038818, 0.43901434540748596, 0.3940242528915405, -0.4821273982524872, -0.2743958532810211, -1.3237041234970093, -1.0312846899032593, 0.6878463625907898, 0.03949141129851341, 0.50155109167099, -0.4234303832054138, -1.7699778079986572, -0.3857131600379944, 0.5055935978889465, -0.3424159586429596, -0.4706099331378937, -0.8628383278846741, 0.35174560546875, 0.7743312120437622, 1.0104249715805054 ] ]
{ "indices": [ 3062174764, 2578007438, 1911071232, 3009698197, 2063350695, 2257684172, 2006536704, 691409538, 4082485121, 1682803238, 1590456296, 1031134330, 2067848296, 1551089265, 2836570682, 2391722386, 100532018, 1491351846, 358389376, 1960040400, 3174900812, 2912884399, 3476027533, 3396792551, 1786548735, 168664787, 647928480, 3741174264, 4078800703, 1404934148, 3944559304, 2895605406, 1904070401, 3685696496 ], "values": [ 0.7481134113278183, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.5975888056451725, 0.4261152563889012, 0.4261152563889012, 0.6901655924291842, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.5975888056451725, 0.4261152563889012, 0.4261152563889012, 0.7878006973706712, 0.5975888056451725, 0.5975888056451725, 0.4261152563889012, 0.4261152563889012, 0.5975888056451725, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.5975888056451725, 0.4261152563889012, 0.5975888056451725, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012 ] }
{ "context": "So the ALU output is only put on the bus when gate ALU is one. If gate ALU is zero, it's just thrown away. So we can just set the ALU function to don't cares. And then finally, the memory operations. So memory only needs two bits to control it. So it needs to know, well, do you want it to operate? Right? So there's an MIO enable for enable the memory. It's actually memory and IO, which we didn't look at the memory mapped IO implementation, but this is the control signal name." }
119310
[ [ -0.43859007954597473, -0.8614290952682495, -0.027026070281863213, -0.31546929478645325, 0.6576144099235535, -0.013001496903598309, -0.25696367025375366, 0.08506669104099274, 0.9242933392524719, -0.5994234085083008, 0.8345872759819031, 0.8145155906677246, 1.0261743068695068, 0.1479494720697403, 0.2685880959033966, -0.027886686846613884, 0.9088274240493774, -0.2582947909832001, -1.0792282819747925, -0.11141365021467209, 0.40258291363716125, -0.9853014945983887, -0.19039246439933777, -0.29414471983909607, -0.5896852016448975, -0.0456690639257431, 0.4196438789367676, -0.5945577025413513, 0.2040674090385437, 0.7986598014831543, -0.3428073823451996, -0.8442569375038147, 0.5090277791023254, -0.011857675388455391, 0.7379955053329468, 0.2677398920059204, 0.09437877684831619, -0.4512055814266205, -0.392898291349411, 0.8596307635307312, -0.7740274667739868, 0.3101317286491394, 0.20908144116401672, 0.7852746248245239, -0.4235818386077881, 0.25831571221351624, -0.2669112980365753, 0.6676217913627625, 0.9691190123558044, -0.6195033192634583, 1.010128378868103, -0.5684369802474976, 0.6582809686660767, 0.31205540895462036, -0.09986712783575058, 0.48457711935043335, 1.2937839031219482, 1.672548770904541, 0.19774894416332245, -0.9142580032348633, -0.5731360912322998, -0.45315879583358765, 0.13059912621974945, -0.4248184263706207, -0.46321865916252136, -0.9370113015174866, 0.48323509097099304, -0.5428621768951416, 0.6649028658866882, -0.24761585891246796, -1.075073003768921, -0.1007603257894516, 0.258231520652771, 0.13678357005119324, 0.9113991856575012, -0.8355436325073242, 0.8091679215431213, -0.08926419913768768, 0.5804957747459412, 1.1276577711105347, -0.5615540742874146, -0.21389852464199066, -1.4191662073135376, 0.6126196980476379, 0.33616727590560913, -0.9363997578620911, 1.7819005250930786, -0.4809300899505615, 0.06103155389428139, 0.2419554442167282, 0.26513200998306274, -0.23841862380504608, 0.9250034689903259, -0.39919623732566833, -0.572876513004303, 0.26808953285217285, 0.9112432599067688, 0.6619612574577332, -0.3846631348133087, -0.43274450302124023, 0.9597748517990112, -0.9839895963668823, 0.3633263111114502, 1.0998002290725708, 0.1909824162721634, -0.05819272994995117, -0.14191755652427673, -0.2987951338291168, -0.8936805129051208, 0.8854209780693054, -1.152632236480713, 0.911361575126648, -0.6751635074615479, 0.8696175217628479, 0.1822011023759842, -0.5912151336669922, -0.8944517374038696, -0.2536989748477936, 0.8980861902236938, 1.160080075263977, 0.8013468384742737, 0.11059924215078354, 0.3624860346317291, -0.3704361319541931, -1.5655239820480347, 0.8577227592468262, 0.28446730971336365, -0.06360673159360886, -0.7051110863685608, 0.5271692276000977, -0.28329747915267944, 0.230547696352005, 0.02082957699894905, 0.20943062007427216, 0.007410056423395872, 0.6506068706512451, -1.5819917917251587, -1.694669485092163, 0.6964566707611084, 0.22769156098365784, -0.308224081993103, -1.5017070770263672, -0.18273043632507324, 0.9043025970458984, 0.7716019153594971, 0.5276800990104675, 0.8235810995101929, 0.6782822012901306, -0.6839331388473511, 0.46097248792648315, -0.8268953561782837, 0.21178312599658966, -1.3521838188171387, -0.5390644073486328, -0.10996058583259583, -0.3949873745441437, -0.2697325050830841, 0.5530806183815002, -0.7814399003982544, -0.29058805108070374, 0.7227926850318909, -0.265824556350708, 0.11651037633419037, -0.003059647511690855, -0.5993693470954895, -1.1499061584472656, 1.5173461437225342, -0.31520700454711914, 0.6823354363441467, 0.43865394592285156, -0.21121875941753387, 0.5941537618637085, -0.1874450296163559, 0.9149041175842285, 1.5452842712402344, -0.6490127444267273, 0.4033299684524536, -1.8037002086639404, -0.403202086687088, -0.21556062996387482, -0.2860344648361206, -0.5436314344406128, 0.28760236501693726, 0.08973085880279541, -0.37901929020881653, 0.3537138104438782, -0.3745903968811035, 0.2304404228925705, 1.6111488342285156, -1.6989901065826416, -0.39132365584373474, -0.30470171570777893, 0.9720818400382996, -0.1334228217601776, -1.2613415718078613, -0.7745136618614197, 0.09218410402536392, -0.08185797184705734, 0.25051403045654297, -0.836230456829071, -0.23579005897045135, 0.38278618454933167, 0.26165682077407837, -0.5888593792915344, 0.4698340892791748, 0.24658465385437012, 0.3730929493904114, -0.31570032238960266, 0.4474579393863678, -0.7970526814460754, -0.22698301076889038, 0.8850802779197693, -0.11705102026462555, 0.2802245616912842, 0.18104499578475952, 0.47180452942848206, -0.8626208901405334, 1.12222158908844, -0.06652709096670151, 0.3852698504924774, -1.3725038766860962, 0.9367056488990784, 2.0185158252716064, 0.573045551776886, 0.6286370754241943, 0.8890841603279114, -0.4759667217731476, -0.6721577048301697, 0.21269497275352478, -0.5289832353591919, -0.2755272090435028, -0.8662022948265076, 0.22472594678401947, 0.4717092216014862, -0.16410711407661438, -0.04539048299193382, 1.649632215499878, 0.781474769115448, 1.0322742462158203, 0.3815291225910187, -1.2446192502975464, 0.526797354221344, 0.490839421749115, 1.0181920528411865, 0.1441524624824524, 1.1878411769866943, 0.6212220191955566, 1.1575161218643188, -0.07420844584703445, 0.0638919547200203, -0.5507106184959412, 0.7598257064819336, 0.48551931977272034, -0.4498010277748108, 0.1016671359539032, -0.9490499496459961, 0.7583944201469421, -0.5532695651054382, 0.018051398918032646, 1.2780001163482666, -0.18323910236358643, 0.29371461272239685, -0.008143573999404907, -0.6737602353096008, 0.3663722276687622, 0.49772295355796814, -0.5980653166770935, -0.7797543406486511, 1.0769948959350586, -0.5534610748291016, 1.3053865432739258, 0.20869359374046326, -0.5922868847846985, -1.3501334190368652, -0.08447577059268951, 0.48867470026016235, -0.5395216345787048, 1.4850960969924927, -1.5431628227233887, -0.4481445252895355, 0.05873219296336174, 0.5577682852745056, 0.7530743479728699, -0.002660423284396529, -1.9301650524139404, 0.565302848815918, -0.05398103967308998, -1.4328380823135376, 0.7468273043632507, 0.5871835350990295, -0.7325572371482849, 0.22904986143112183, 0.030124859884381294, -0.49074217677116394, -0.9352439045906067, -0.269877165555954, -0.011926346458494663, -0.06791489571332932, 0.10478710383176804, 1.1499338150024414, 0.4632301330566406, -1.2098524570465088, -0.0031613747123628855, -0.7771087884902954, -0.020624373108148575, 0.056617408990859985, -0.6874622702598572, -0.016987424343824387, -0.3325171172618866, 0.8998839259147644, -0.3155285716056824, -0.3943353593349457, -0.8239143490791321, -0.8107369542121887, -1.345721960067749, 0.23797520995140076, 0.7559880018234253, 1.142114520072937, -0.488692969083786, -0.2598474621772766, 0.7964743971824646, 0.8980211019515991, -0.9580926299095154, -0.7543743848800659, 0.18607059121131897, -0.33070653676986694, -0.6887896060943604, -0.24812081456184387, 1.1949890851974487, 0.49932846426963806, 0.9080349206924438, 0.08458652347326279, 0.8365234732627869, 1.051247477531433, -0.16864928603172302, -0.6626308560371399, 0.08007156103849411, 0.9195663332939148, -0.9837252497673035, -0.1809525340795517, 1.1804300546646118, -0.24422143399715424, 0.7534019351005554, -0.17389781773090363, 0.8109015226364136, 0.398936927318573, -0.9955872893333435, 0.46826255321502686, 0.24147965013980865, 0.9150322079658508, 0.03550150990486145, -0.1367505043745041, -0.1369173675775528, -1.259831190109253, -0.33414560556411743, 0.4378732144832611, 0.9998890161514282, -0.6335789561271667, -0.6277506351470947, 1.0885529518127441, 0.6434385180473328, -0.7133175730705261, 0.05869342014193535, 0.4239935278892517, -0.20283682644367218, 0.23894371092319489, -0.13768009841442108, -0.42764657735824585, 0.32998499274253845, -0.1965455412864685, 0.7493879199028015, 0.5309080481529236, -0.4568970501422882, -0.13063941895961761, -0.8859254717826843, -0.14934541285037994, 0.6828125715255737, 0.814005434513092, -0.14545363187789917, 0.9171352386474609, 0.12443193048238754, 0.07215656340122223, -0.29212433099746704, -0.013547680340707302, -1.5613328218460083, 0.5426690578460693, -0.2085057497024536, 0.6615949273109436, 0.17700044810771942, 0.3330647051334381, 0.5962744355201721, -0.7393227815628052, -0.8557541966438293, 0.008948459289968014, -0.5785638689994812, -0.7077755331993103, 0.19041091203689575, 0.0682809054851532, -0.38569149374961853, 0.20004284381866455, 0.6397691965103149, 1.9414702653884888, 0.616736888885498, 0.09081453084945679, 1.3206356763839722, 0.31649988889694214, -0.19707660377025604, -0.6500951051712036, 0.352800577878952, 0.13054415583610535, 1.3762723207473755, 0.2755979001522064, 0.7104821801185608, -0.6445648074150085, -0.21282288432121277, 0.38933342695236206, 0.1683451384305954, 0.10836505144834518, 0.28528377413749695, 0.5078667998313904, -0.5588704347610474, -0.7403706908226013, -0.09725828468799591, 0.6306105256080627, 0.3698587119579315, 1.2547991275787354, 0.9047532677650452, 0.14041458070278168, -0.17466288805007935, 1.164735198020935, 0.9061917066574097, 0.08546499162912369, -0.22892045974731445, -0.8944160342216492, 0.04708627611398697, 0.7977619767189026, -0.029889876022934914, 0.7434569001197815, 0.6408851146697998, 0.390595406293869, -0.30303505063056946, 0.16181474924087524, -0.5627433061599731, -0.8495535254478455, 0.7955179214477539, -0.4356765151023865, -0.24952735006809235, 0.45397552847862244, 0.8204081654548645, 1.0674045085906982, 0.6071024537086487, -0.11977086961269379, 0.11095967888832092, -0.8451675176620483, -3.1536457538604736, -0.2874981760978699, 0.17617231607437134, 0.6778720617294312, -0.7144286632537842, -0.11936286836862564, -0.5676971673965454, -1.3127633333206177, 0.5337669849395752, -0.48892706632614136, 0.9199482202529907, -0.5320917367935181, 0.4866946339607239, -0.5459624528884888, -1.1673249006271362, -1.2561678886413574, 1.1699085235595703, -0.40948712825775146, -0.2717154324054718, -0.2654106020927429, 0.5392349362373352, 0.1365729421377182, 0.6594425439834595, 0.14483246207237244, 0.21596142649650574, -0.9197471141815186, -0.2909899353981018, 0.0982501208782196, 1.006961464881897, -1.2430356740951538, -0.9104858040809631, 0.1927502155303955, -0.2944428026676178, 0.058271732181310654, 0.6576593518257141, -0.14126726984977722, -0.38600772619247437, 0.27226582169532776, -0.04669686034321785, 0.04504813253879547, -0.9252880811691284, -1.2994844913482666, 0.9746310114860535, 0.16754472255706787, 0.5586445331573486, 1.0862239599227905, 0.49524515867233276, -0.8604276180267334, -0.30324941873550415, -0.19394221901893616, 0.6563185453414917, -0.7529686093330383, 0.7028769850730896, -0.21337246894836426, 0.00904427282512188, -0.791484534740448, 1.6144280433654785, -0.40429896116256714, -0.22931282222270966, -0.03265916556119919, -0.049963947385549545, -0.21863682568073273, 1.082485318183899, -1.4722464084625244, -0.2107451856136322, -0.09340133517980576, -0.26573994755744934, -1.2826430797576904, 0.03816073760390282, 0.04433855041861534, -1.949720025062561, 0.7010239362716675, 0.26518675684928894, -0.09407510608434677, 0.11029443144798279, -1.3748342990875244, 0.6199382543563843, -0.36569133400917053, -1.174362301826477, 1.1439346075057983, 0.029426369816064835, 0.448554128408432, 0.01460112165659666, -0.8853287696838379, -0.4993968904018402, 0.48555758595466614, -0.4708726406097412, 0.19092154502868652, 0.6752861738204956, 0.886679470539093, -0.10174360871315002, -0.3898203372955322, -1.6000202894210815, -0.6644976139068604, -0.406851202249527, 0.46459317207336426, 0.14469942450523376, -0.6017672419548035, 0.2858247756958008, 0.2007869929075241, -0.7461775541305542, -0.47816726565361023, 1.2598309516906738, -0.8223252892494202, 0.7560612559318542, 0.3126102089881897, 0.317754328250885, 0.8224953413009644, 0.35368090867996216, 0.7368019223213196, 0.27872297167778015, 0.18018777668476105, 0.3164626955986023, -0.6658280491828918, -1.0232012271881104, -0.5509093999862671, -0.0313100628554821, -0.9359108209609985, -0.7355903387069702, 1.3202723264694214, -0.12993544340133667, 0.1301528364419937, 0.0027369437739253044, -0.7512496709823608, -0.13595391809940338, 0.3704809546470642, -0.6961019039154053, -0.297661155462265, 0.7791196703910828, 0.1113109141588211, 0.2899704575538635, 0.4015950858592987, -0.2850039303302765, -0.4607897996902466, 0.6771306395530701, 0.04984516650438309, 1.0715588331222534, 1.6020221710205078, 0.2775075137615204, 0.20332427322864532, 0.3771442770957947, 0.3689839243888855, 1.2366383075714111, -1.0371508598327637, 0.1218869686126709, 0.5443146824836731, 0.16602768003940582, -0.282153844833374, -0.3034803867340088, -1.3943827152252197, 0.29052257537841797, 0.9600486755371094, -1.007425308227539, 0.21686552464962006, 0.12149209529161453, 0.09702145308256149, -0.20829126238822937, -0.09480669349431992, -0.7491406202316284, 0.3799481689929962, -0.9485935568809509, 2.2465991973876953, -0.8010607361793518, -1.2216544151306152, 0.6340933442115784, 1.4420983791351318, 0.7994329333305359, 0.13699442148208618, 1.1638585329055786, -0.4794151484966278, 0.3023548424243927, -0.8664505481719971, -0.7997924089431763, 0.5247459411621094, -1.1462770700454712, 0.8589373230934143, -0.006610502023249865, -0.19944505393505096, 1.0015842914581299, 0.04252196475863457, 0.08830612897872925, -1.5207462310791016, -0.3575429916381836, -1.5973924398422241, 1.3153761625289917, 0.33498063683509827, -0.6785611510276794, 0.40167850255966187, 0.07263897359371185, 0.8094634413719177, -0.3882971704006195, 0.7376478314399719, -0.427078515291214, -1.970872402191162, 0.03562052920460701, -0.10613707453012466, -0.9873377084732056, 1.528608798980713, 0.7894943952560425, 0.12320292741060257, 0.6065280437469482, -0.516892671585083, 1.0973539352416992, 0.09603427350521088, 0.49153682589530945, 0.6673306226730347, 1.6972242593765259, -0.3266470432281494, 0.10099254548549652, -0.4287243187427521, -0.14344759285449982, 4.846704959869385, 1.5646744966506958, -0.20994676649570465, -1.7550195455551147, -0.3715750575065613, -0.7407165169715881, -0.13742437958717346, -0.27696317434310913, 0.3352143466472626, 1.1758586168289185, 0.23664651811122894, 0.6550687551498413, 0.5497443675994873, -0.4090147316455841, 0.08444621413946152, 0.19331248104572296, -0.4939406216144562, -0.6865907311439514, 0.9400821328163147, 0.4600253999233246, 0.241903617978096, -0.4497867822647095, -1.5982239246368408, -1.5789287090301514, 0.9697315692901611, -0.6234327554702759, 0.5216189026832581, -0.3533974885940552, 1.1946370601654053, 0.5434373617172241, -0.7665445804595947, 0.4403783977031708, 0.2496110051870346, 0.3650873899459839, 0.3653683662414551, 0.5995339751243591, -0.3954004645347595, -0.045758966356515884, -0.44581544399261475, 0.38873594999313354, 0.16292595863342285, -0.20810575783252716, -0.5354999899864197, 0.15763309597969055, -0.5380455851554871, -0.4326415956020355, -0.524272084236145, -1.0245718955993652, -0.09070933610200882, 0.3097892999649048, -0.17709188163280487, 0.6548805236816406, -0.42338916659355164, -0.9261801838874817, -1.3517420291900635, 0.24460066854953766, 0.347474068403244, 0.05751793459057808, -0.9054127335548401, -0.5759294629096985, 0.4574975073337555, -0.6247749924659729, 0.882114589214325, 0.6448438763618469, 0.7301977872848511, 0.16442878544330597, -0.9670302867889404, 1.8488849401474, 0.003914890810847282, -0.5719059705734253, -0.7870147824287415, 0.14561958611011505, -0.5479841232299805, 0.13681678473949432, 0.5022737979888916, 0.8165449500083923, -0.9675098657608032, 0.052105359733104706, -0.7455669641494751, -0.8220003247261047, -0.7779571413993835, -0.3823535740375519, 0.05241207778453827, -0.3914036750793457, -0.7591236233711243, -0.4588519036769867, -0.15831433236598969, 0.30239275097846985, 0.6656568050384521, -0.11070818454027176, -0.545780599117279, 1.23623526096344, 0.2702878415584564, -1.3891099691390991, 0.1487303078174591, 0.4446070194244385, -0.8331735730171204, -0.40591612458229065, -0.8196632862091064, 1.5104893445968628, -0.4070083200931549, -0.02905638888478279, 0.17960906028747559, 1.0807733535766602, -0.5117157697677612, 0.22381903231143951, 0.9533291459083557, 0.17904704809188843, -0.05956881865859032, 0.17958451807498932, 0.4872913658618927, -0.624632716178894, -1.1458446979522705, -0.3797699809074402, -0.27933570742607117, -0.02563272789120674, -0.7035401463508606, -0.10894455760717392, -0.07919919490814209, -0.37096932530403137, 0.06081944331526756, 0.4540404975414276, -0.1171015202999115, -0.4594472050666809, -0.35886844992637634, 0.15739677846431732, -0.14583832025527954, -0.35399553179740906, 0.14002437889575958, 0.03531886264681816, -0.15970472991466522, -0.028997236862778664, -0.36335986852645874, 0.8713128566741943, -1.2738206386566162, -0.7730217576026917, -0.28178611397743225, 0.8199573755264282, -0.6672619581222534, -0.01444156188517809, 1.0510108470916748, -1.0632421970367432, 0.16174040734767914, 1.5679011344909668, -0.5095365643501282, 0.16073788702487946, 0.5401880145072937, -0.2691021263599396, 1.0213106870651245, 0.34832102060317993, -1.0608195066452026, -0.03532087057828903, 0.6416955590248108, 0.463584303855896, -0.1415558010339737, 0.5021886229515076, 0.39521995186805725, -0.22723789513111115, -0.6886483430862427, 0.8467726111412048, 0.03554805740714073, 0.30167156457901, 0.278803288936615, -1.0402469635009766, 0.23517495393753052, -0.16339974105358124, -0.5301810503005981, 0.3414151668548584, -0.3504081666469574, 0.6187014579772949, -0.4459216594696045, 0.23497143387794495, 0.9288817644119263, 0.3132242262363434, -1.5963785648345947, -0.46321967244148254, -0.4199649691581726, -0.5862157940864563, 0.35116443037986755, -0.5067093372344971, 0.28665801882743835, 0.6006167531013489, -1.1860530376434326, -0.07283653318881989, -0.7299799919128418, 0.2987991273403168, -1.5588849782943726, 0.5452508926391602, -0.3901655375957489, 0.3903340697288513, 0.27261653542518616, 0.35187315940856934, 0.9748884439468384, -0.051974423229694366, -0.1257406771183014, -0.18357987701892853, 0.8500996232032776, 0.900696337223053, -0.09918538480997086, -1.015227198600769, 0.25838571786880493, -0.839796781539917, -0.7216971516609192, 0.3635210394859314, -1.0871460437774658, 0.18800437450408936, 0.03628428280353546, 0.9465420842170715, 0.18509541451931, 0.7013902068138123, 0.3265686333179474, -0.45362672209739685, 0.21512411534786224, -0.47938358783721924, 0.08358854800462723, 0.39424893260002136, -0.9488788843154907, 0.05604838952422142, 0.061980314552783966, -0.24852508306503296, -0.1999376267194748, 0.6646338701248169, -1.5103633403778076, -0.13761700689792633, 0.4296838939189911, -0.20487730205059052, 0.939277708530426, -1.0412306785583496, -0.6857280731201172, -1.2034646272659302, 0.4959138333797455, 0.07616342604160309, 0.4126567542552948, 0.8572957515716553, 0.04204736277461052, -1.4593058824539185, -0.5756714344024658, 0.25565722584724426, 0.18880212306976318, -1.16533625125885, -0.20214620232582092, -0.048225101083517075, 0.03834383189678192, 0.874461829662323, 0.25747817754745483, 0.29605332016944885, -0.6713230013847351, 0.9030816555023193, -0.37264561653137207, -0.4925793707370758, -0.3237765431404114, -0.5172344446182251, 0.8640236258506775, -1.0214169025421143, 1.4432501792907715, 1.9178797006607056, 0.4493692219257355, -1.1413263082504272, -1.2165123224258423, -0.5885551571846008, 0.7862529754638672, 0.8512239456176758, -0.22966037690639496, 0.2698838710784912, -1.27423095703125, 0.5375562310218811, -0.12538832426071167, 0.4507810175418854, 0.12232347577810287, -0.027966676279902458, -0.07334329187870026, 0.11763270199298859, 1.2578893899917603, 0.05318489670753479, -0.1539003998041153, 0.37347328662872314, -0.18396922945976257, 0.21453595161437988, -0.47157686948776245, -0.2822384834289551, 0.0012972591212019324, 0.502315878868103, -0.3321128189563751, 1.20228111743927, 0.058063387870788574, -0.21709656715393066, 0.2554316818714142, 0.00582515075802803, -1.4722360372543335, 1.12088942527771, -0.15387623012065887, 0.531562864780426, -0.40664365887641907, -0.4015425741672516, 1.0879089832305908, -0.824341893196106, 0.19698673486709595, 0.9551403522491455, -0.24455061554908752, 0.08916624635457993, 0.4576835036277771, 0.3653584122657776, 1.434395670890808, 0.1591956913471222, 0.5674272775650024, -0.3249000906944275, -0.6577369570732117, 0.19294513761997223, 0.2646965980529785, 0.5499757528305054, -0.09657184779644012, -0.28648701310157776, 0.7457773685455322, -0.4675200879573822, 1.2199732065200806, -0.31222549080848694, 1.626459002494812, 0.4255518913269043, -0.07175643742084503, 0.02103782445192337, -0.5980024337768555, -0.9563052654266357, 0.1833697110414505, -0.05083489790558815, 1.5321332216262817, -0.9309274554252625, -0.08824989199638367, -0.9938451051712036, 0.26131296157836914, -0.7091663479804993, -0.25925642251968384, -0.38608279824256897, -0.21452075242996216, -0.017346983775496483, -0.6586415767669678, -0.7495914697647095, 0.3225937485694885, 1.044670820236206, -0.9157968163490295, 0.3888561427593231, 0.3136543035507202, 0.4620165228843689, -0.284882515668869, -0.36128222942352295, -1.766734004020691, -1.0252976417541504, 0.6829625368118286, 0.06376641988754272, 0.5722670555114746, -0.5190169215202332, -1.8286057710647583, -0.46065187454223633, 0.5631105899810791, -0.4167953431606293, -0.7393669486045837, -0.8943838477134705, 0.5725951790809631, 0.9506697654724121, 1.0839052200317383 ] ]
{ "indices": [ 1491351846, 2912884399, 3476027533, 3396792551, 100532018, 1786548735, 691409538, 168664787, 647928480, 2391722386, 3741174264, 4078800703, 2067848296, 1404934148, 3944559304, 2895605406, 3174900812, 1904070401, 3685696496, 2333687351, 2257684172, 2180406531, 2006536704, 695926169, 3449948193, 3172858508, 1960040400, 3713535024, 1551089265 ], "values": [ 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.7510542103959614, 0.4299508493493549, 0.7510542103959614, 0.8407552758264037, 0.4299508493493549, 0.6013505282996094, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.6013505282996094, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.6013505282996094, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549 ] }
{ "context": "So it needs to know, well, do you want it to operate? Right? So there's an MIO enable for enable the memory. It's actually memory and IO, which we didn't look at the memory mapped IO implementation, but this is the control signal name. So when that's equal to one, memory does something. When it's equal to zero, memory does nothing. So the read write bit only matters when memory enable is one, right? When memory enable zero, read writes a don't care." }
145925
[ [ -0.15434598922729492, -0.5549046993255615, 0.11465093493461609, -1.0080066919326782, 0.13099850714206696, 0.2421748787164688, -0.3817972242832184, 0.5257689952850342, 0.6296758651733398, -0.9376348853111267, 0.4998116195201874, 0.7283309698104858, 0.7287197709083557, 0.47602593898773193, 0.5026223063468933, 0.5272130966186523, 0.8149738311767578, -0.4463273584842682, -0.7001053094863892, -0.03280118480324745, 0.40564218163490295, -0.7581170201301575, -0.06514499336481094, -0.09314078837633133, -0.6974291801452637, 0.46149277687072754, 0.3983009159564972, -0.49111154675483704, 0.6419679522514343, 1.114382266998291, -0.48325300216674805, -1.1134743690490723, 0.7392178177833557, -0.2078397125005722, 0.7710579037666321, -0.24677260220050812, 0.08684811741113663, -0.6649710536003113, 0.04896072298288345, 1.0483232736587524, -0.5521783232688904, 0.3782553970813751, -0.1504264771938324, 1.363872766494751, -0.7278805375099182, 0.5955706238746643, 0.16783806681632996, 0.6629713773727417, 0.6198211908340454, -0.4952789843082428, 1.0359984636306763, -0.9575064182281494, 0.6508915424346924, 0.27375084161758423, 0.22185634076595306, 0.4732428193092346, 0.45887821912765503, 1.4393401145935059, 0.06678975373506546, -0.3378046452999115, -0.4826546907424927, 0.15028244256973267, -0.09285235404968262, -0.2432851493358612, -0.3633769750595093, -1.003198266029358, 0.683050274848938, -0.30295294523239136, 0.6235267519950867, 0.3734264373779297, -0.48394763469696045, -0.0771913230419159, 0.42192158102989197, 0.19029048085212708, 0.6802588701248169, -1.3338630199432373, 0.47460445761680603, 0.02932901680469513, 0.5105164051055908, 0.7429432272911072, -0.5766059756278992, 0.0019564374815672636, -1.4230709075927734, -0.24421431124210358, 0.14911790192127228, -0.6927245855331421, 1.7931303977966309, -0.21697798371315002, -0.004062185063958168, 0.3471718728542328, 0.521736204624176, -0.27047985792160034, 0.7897114753723145, -0.15895669162273407, -0.5223405361175537, -0.013027534820139408, 0.6503912210464478, 0.6967391967773438, -0.4645974934101105, -0.8841553926467896, 0.9256643056869507, -0.7518693208694458, -0.12916365265846252, 0.4773420989513397, 0.355042964220047, -0.4480344355106354, -0.06398504972457886, -0.39126208424568176, -0.8777516484260559, 0.5210081338882446, -0.9600968360900879, 0.7946006059646606, -0.4774020314216614, 0.5871649384498596, 0.33673152327537537, -0.39609047770500183, -1.0585283041000366, -0.3625701069831848, 0.25910016894340515, 1.0160423517227173, 0.7886014580726624, 0.22585614025592804, 0.255630224943161, -0.41424715518951416, -1.554221272468567, 0.7552893161773682, 0.4439857304096222, 0.17689631879329681, -0.7181296944618225, 0.6395544409751892, -0.02276567369699478, 0.3293139636516571, -0.006625181995332241, 0.3035624921321869, 0.09032122790813446, 1.0129855871200562, -1.5855705738067627, -1.956475853919983, 0.5114239454269409, 0.5454813838005066, -0.4748643636703491, -1.0392206907272339, -0.4345739781856537, 1.237292766571045, 0.9371885657310486, 0.6277068257331848, 0.7752419710159302, 0.5843924880027771, -0.4887464940547943, 0.2730385363101959, -0.3799190819263458, -0.16356074810028076, -0.9354521632194519, -0.3165282607078552, -0.1833055019378662, -0.7169842720031738, -0.12368211150169373, 0.3051905333995819, -0.9883595108985901, -0.6843651533126831, 0.8960124850273132, -0.6013013124465942, 0.16859684884548187, -0.2994326949119568, -0.7910646200180054, -1.2586809396743774, 1.722834587097168, -0.5723938941955566, 0.7150022387504578, 0.4101841449737549, -0.08534315973520279, 0.6805679202079773, -0.14576217532157898, 0.4712366461753845, 1.4736948013305664, -0.5297455191612244, 0.4345850944519043, -1.4932880401611328, -0.3972988724708557, -0.5390341281890869, -0.45334967970848083, -1.125296950340271, 0.16230644285678864, 0.042780615389347076, -0.4340263605117798, 0.3536464273929596, -0.354618638753891, 0.12960213422775269, 0.9844157695770264, -1.4107842445373535, -0.6382154822349548, -0.15020683407783508, 1.2078924179077148, -0.07648766785860062, -1.0530681610107422, -0.7397347688674927, -0.2119140326976776, 0.11093080043792725, 0.1358870267868042, -0.6112315058708191, -0.4296348989009857, 0.4190601110458374, 0.15924236178398132, -0.22060751914978027, 0.3220788538455963, 0.4547872841358185, 0.7193746566772461, -0.21745645999908447, 0.7617765665054321, -0.9043422937393188, 0.05434642732143402, 0.5726921558380127, 0.029283765703439713, 0.10621840506792068, -0.16909891366958618, 0.2162693589925766, -0.7808810472488403, 0.7445919513702393, -0.12299016118049622, -0.037879958748817444, -1.3316819667816162, 0.9515632390975952, 1.8231837749481201, 0.30661922693252563, 1.0720272064208984, 1.1239666938781738, -0.3563021719455719, -0.7446495294570923, 0.1674157679080963, -0.6002002954483032, -0.4428664743900299, -0.5433579683303833, 0.31002306938171387, -0.20282642543315887, -0.7366558909416199, -0.40107324719429016, 1.7382845878601074, 0.7321142554283142, 0.6002160310745239, 0.4404684007167816, -1.0623116493225098, 0.9208627343177795, 0.1633554995059967, 0.8008487224578857, 0.041237201541662216, 1.2057634592056274, 0.526633083820343, 0.9492815732955933, -0.2947842478752136, 0.15130531787872314, -0.29044097661972046, 1.1179603338241577, 0.4431760013103485, -0.641646146774292, 0.22279994189739227, -1.015531063079834, 0.8068495392799377, -1.0859012603759766, 0.23123106360435486, 1.0804405212402344, -0.38343101739883423, 0.13348154723644257, -0.5653684139251709, -0.5291157960891724, 0.3706955909729004, 0.36891353130340576, -0.8242723941802979, -0.929218053817749, 0.6798524260520935, 0.259487509727478, 1.4512895345687866, 0.5426276326179504, -0.7163164615631104, -0.4985603988170624, 0.27546170353889465, 0.7705969214439392, -0.2591206431388855, 1.0665878057479858, -1.1970422267913818, -0.2258334904909134, 0.026340248063206673, 0.2574089467525482, 0.7029189467430115, 0.10183637589216232, -1.5313268899917603, 0.28761351108551025, 0.23261621594429016, -1.246340274810791, 0.6751222014427185, 0.6072388887405396, -0.7701504826545715, 0.16172263026237488, -0.14118845760822296, -1.311539649963379, -0.5554797649383545, 0.08684270083904266, -0.12439283728599548, -0.3283884525299072, 0.04349911957979202, 0.9486895799636841, 0.32252973318099976, -1.0560089349746704, 0.011121238581836224, -0.966593861579895, 0.6547533273696899, -0.0227656289935112, -1.2577606439590454, -0.3445269763469696, -0.4956561326980591, 0.3614906072616577, -0.12147243320941925, -0.3071068525314331, -0.6436418890953064, -0.6881794929504395, -0.7887885570526123, -0.007418778259307146, 0.6331831812858582, 0.8883581757545471, -0.2307426929473877, -0.2526843845844269, 1.2036765813827515, 0.5251438021659851, -1.3104331493377686, -0.8519310355186462, 0.5552224516868591, -0.5880187749862671, -1.0312350988388062, -0.08528146147727966, 0.9821010231971741, 0.6262820959091187, 0.5554013252258301, 0.08457504957914352, 0.7567695379257202, 0.6557911038398743, -0.4087110459804535, -1.1076350212097168, 0.0530397929251194, 0.20727185904979706, -0.7301390171051025, 0.283016175031662, 0.6956294775009155, -0.3388713300228119, 0.44568878412246704, -0.17778469622135162, 0.4355054199695587, 0.5807856321334839, -0.8606125116348267, 0.3462372422218323, 0.11070375889539719, 0.6893740892410278, 0.36578863859176636, -0.6720249056816101, 0.14109130203723907, -1.0336387157440186, -0.6318655014038086, 0.09162978827953339, 0.5977396965026855, -0.11133512854576111, 0.4140160381793976, 0.5632784962654114, 0.39797621965408325, -0.5719068646430969, -0.02010330930352211, 0.03665199503302574, 0.18352265655994415, -0.1820794939994812, 0.0345834456384182, 0.17690177261829376, 0.21457582712173462, 0.09711544960737228, 0.278827041387558, 0.03478936851024628, -0.3037942349910736, 0.34176012873649597, -1.3496356010437012, 0.1055389940738678, 1.0456937551498413, 0.8832905888557434, -0.2456139773130417, 1.0872396230697632, 0.33701321482658386, -0.19452990591526031, 0.05402474105358124, 0.010876822285354137, -1.6149026155471802, 0.10821791738271713, 0.2282896488904953, 0.5915697813034058, 0.5814064145088196, 0.17414356768131256, 0.751939594745636, -0.6179254055023193, -1.0047643184661865, 0.0420941486954689, -0.07131874561309814, -0.7712381482124329, 0.07387647032737732, -0.3166613280773163, -0.5917868614196777, 0.2835294008255005, 0.42867574095726013, 1.6179014444351196, 0.31627392768859863, -0.05454747751355171, 0.8319124579429626, 0.35133659839630127, 0.04580970108509064, -0.6952899098396301, 0.39083677530288696, 0.21048517525196075, 1.527449369430542, 0.9195085763931274, 0.8410539627075195, -0.277662456035614, 0.06169669330120087, 0.23565879464149475, 0.5351239442825317, 0.3945312798023224, -0.3540767431259155, 0.4504849910736084, -0.4494650065898895, -0.9262363910675049, -0.1124887689948082, 0.28444719314575195, -0.07859335839748383, 1.1756342649459839, 0.6643369793891907, -0.04030350223183632, -0.23369799554347992, 1.1861324310302734, 1.266598105430603, -0.5756651163101196, -0.4314224123954773, -0.29549890756607056, -0.25127583742141724, 0.6582334637641907, -0.19966775178909302, 0.2537260055541992, 0.5874649286270142, 0.8610043525695801, -0.5990992188453674, 0.33647656440734863, -0.2725318968296051, -1.1120463609695435, 0.4188382625579834, -0.4828754961490631, -0.024213669821619987, -0.08047274500131607, 0.7545608878135681, 1.083322286605835, 0.2989608347415924, 0.21626687049865723, 0.6303019523620605, -0.7269885540008545, -2.6907570362091064, -0.21600276231765747, 0.2877434492111206, 0.5033888220787048, -1.0259853601455688, 0.1035197451710701, -0.33899205923080444, -0.9258574843406677, 1.2031134366989136, -0.6955679655075073, 0.5262426733970642, -0.644443690776825, 0.20036330819129944, -0.4981815814971924, -1.3942768573760986, -0.7887413501739502, 1.1149115562438965, -0.687336266040802, -0.04832376912236214, -0.21780376136302948, -0.38582178950309753, 0.7561253905296326, 0.565567135810852, 0.39634594321250916, 0.7403920292854309, -0.301029235124588, -0.5340337157249451, -0.024948563426733017, 0.8023375868797302, -1.146484375, -0.5756123065948486, 0.6289034485816956, -0.47541481256484985, -0.06842990219593048, 1.029146671295166, 0.04990961030125618, -0.7793760299682617, 0.2822999060153961, 0.056499890983104706, -0.43155524134635925, -0.5528015494346619, -0.9653685092926025, 1.161405324935913, 0.12466804683208466, 0.45400258898735046, 0.6602005362510681, 0.00869484432041645, -0.3225119411945343, -0.3062657415866852, 0.050812918692827225, -0.02745240367949009, -0.7207431793212891, 0.6832431554794312, -0.559288501739502, 0.05798579752445221, -0.6197916269302368, 1.5172547101974487, -0.38012999296188354, -0.13709063827991486, 0.3819252848625183, -0.34649327397346497, 0.12904076278209686, 0.8885643482208252, -1.208669662475586, 0.2389598786830902, -0.008870536461472511, 0.5196425318717957, -0.9268611073493958, 0.04514895752072334, 0.01837313547730446, -1.7443227767944336, 0.3560783267021179, 0.20694783329963684, -0.2634594440460205, 0.2459748238325119, -0.8796454668045044, 0.7219253778457642, 0.2700762450695038, -0.9670203924179077, 1.1592239141464233, 0.2725740969181061, 0.21558091044425964, 0.34565234184265137, -0.8826887011528015, 0.0036969368811696768, 0.37302616238594055, -0.42978599667549133, -0.3833264112472534, 0.42647817730903625, 0.6210703253746033, -0.1249077320098877, -0.22622539103031158, -1.2979758977890015, -1.3377763032913208, -0.12046852707862854, 0.08717835694551468, 0.29803362488746643, -0.7909783720970154, -0.29434913396835327, 0.8174426555633545, -0.8101858496665955, -0.11289070546627045, 1.1042636632919312, 0.020270677283406258, 0.44126033782958984, 0.27179908752441406, 0.13173994421958923, 0.8020488023757935, 0.23663575947284698, 0.29555049538612366, 0.8750274777412415, 0.09454010426998138, 0.2432582527399063, -1.3721745014190674, -0.5989069938659668, -0.21706654131412506, -0.1693575382232666, -1.348136067390442, -0.41840603947639465, 1.2372252941131592, -0.05413905903697014, 0.2566544711589813, 0.016623342409729958, -1.2564667463302612, -0.28839510679244995, 0.13946044445037842, -0.2346842885017395, -0.7780281901359558, 0.5699814558029175, -0.08863092958927155, 0.2759227454662323, 0.6623032093048096, -0.07868803292512894, -0.7020533680915833, 0.7970876693725586, 0.8170469403266907, 1.4908428192138672, 1.7610327005386353, 0.24474214017391205, 0.32695382833480835, 0.37605148553848267, 0.010673846118152142, 0.9617487788200378, -0.9678404331207275, 0.1083565279841423, 0.618118166923523, 0.10062819719314575, -0.5938120484352112, -0.4493597745895386, -0.7980117797851562, 0.18098102509975433, 0.8317862153053284, -0.22855539619922638, 0.3345646262168884, -0.1878797560930252, 0.21974940598011017, 0.16453707218170166, -0.35335826873779297, -0.7513975501060486, 0.4584464132785797, -1.065029263496399, 2.202993869781494, -0.7990468740463257, -1.3745512962341309, 0.8332086801528931, 1.4418491125106812, 0.6389142870903015, -0.07111933082342148, 1.1283537149429321, -0.4037795960903168, 0.191666841506958, -0.3073611557483673, -0.657585859298706, 0.7673240303993225, -0.8815335035324097, 0.5417272448539734, 0.11202909052371979, -0.12024533003568649, 1.2847485542297363, 0.152076855301857, 0.023956844583153725, -1.2010279893875122, -0.4561167061328888, -1.8657011985778809, 0.7363307476043701, 0.239247664809227, -0.2543202340602875, 0.3845432996749878, 0.08228316903114319, 0.7663075923919678, -0.19047406315803528, 0.7163220047950745, -0.3603835105895996, -1.5525892972946167, 0.05428008735179901, 0.26788845658302307, -1.6369123458862305, 1.5366132259368896, 0.48425957560539246, -0.0376385822892189, 0.5987672805786133, -0.39141514897346497, 0.7920634746551514, 0.6737257242202759, 0.2534266710281372, 1.2834663391113281, 1.7376786470413208, 0.10207312554121017, -0.04529017582535744, -0.3891999125480652, -0.7624519467353821, 5.2659125328063965, 1.0208491086959839, -0.1456756889820099, -1.8975293636322021, -0.17829224467277527, -0.8618060350418091, 0.19274024665355682, 0.15942858159542084, 0.4948815107345581, 0.6264906525611877, -0.17109797894954681, 0.706970751285553, 0.6376093029975891, -0.16664212942123413, -0.20525334775447845, 0.2998984456062317, -0.6791508793830872, -0.3424546420574188, 1.1196368932724, -0.0035018979106098413, 0.17576123774051666, -0.4033608138561249, -0.9450131058692932, -1.3805228471755981, 1.0766035318374634, -0.48268190026283264, 0.2686517536640167, -1.3006727695465088, 1.6125954389572144, 0.557451069355011, -0.33450812101364136, 0.5224597454071045, 0.4753292202949524, 0.3163684606552124, 0.7376211881637573, 0.39594173431396484, -0.5597582459449768, 0.15118089318275452, -0.4498195946216583, 0.09248107671737671, 0.0042541977018117905, -0.41691163182258606, -0.8732582926750183, -0.10681281983852386, -0.47493550181388855, -0.1930757761001587, -0.9484888911247253, -0.45135271549224854, -0.050632841885089874, 0.2207081913948059, -0.090509794652462, 0.48819777369499207, -0.38002830743789673, -1.217594861984253, -1.2813479900360107, 0.34957635402679443, -0.11472899466753006, 0.6184127330780029, -0.7809420228004456, -0.3579809367656708, 0.22594080865383148, -0.5189167261123657, 1.392168641090393, 0.01722659543156624, 0.8049100637435913, 0.1621105968952179, -0.46814313530921936, 1.8297995328903198, -0.09744758158922195, -0.2191506326198578, -0.8236085772514343, 0.45613572001457214, -0.32433798909187317, 0.28499555587768555, 0.33687329292297363, 0.941361129283905, -0.4900491237640381, 0.03487718477845192, -1.219022274017334, -1.0028754472732544, -1.6624071598052979, -0.594982385635376, 0.14293481409549713, -0.40772607922554016, -0.6153335571289062, -0.21098649501800537, 0.12145963311195374, 0.26419657468795776, 0.9699051976203918, -0.5385445356369019, -0.41507571935653687, 1.2743970155715942, 0.039919402450323105, -1.3012607097625732, -0.4250123202800751, 0.5693565607070923, -0.960962176322937, -0.7264015674591064, -1.3830931186676025, 1.593143105506897, 0.010337972082197666, -0.25601550936698914, -0.6063676476478577, 1.2305307388305664, -0.45873337984085083, 0.12811657786369324, 0.4116066098213196, 0.25796425342559814, -0.614463746547699, 0.3709334135055542, 0.6789717674255371, -0.9785782694816589, -0.680261492729187, -0.628495454788208, -0.30676642060279846, 0.2942558228969574, -0.9076383113861084, -0.2127416431903839, -0.16303136944770813, -0.6000128984451294, -0.07016480714082718, 0.4079839289188385, 0.1918451189994812, -0.5465391874313354, -0.5691913962364197, -0.032771386206150055, -0.022916534915566444, -0.14589576423168182, 0.3419297933578491, -0.031483326107263565, -0.27590563893318176, 0.1392165571451187, 0.19084912538528442, 0.6169310808181763, -0.9930320978164673, -0.16826921701431274, -0.27741825580596924, 0.8108488321304321, -0.607450544834137, -0.19506247341632843, 1.070859670639038, -0.419893354177475, -0.1992570459842682, 1.3186991214752197, -0.339822381734848, -0.2338501363992691, 0.1766720414161682, 0.2236473262310028, 1.167853593826294, 0.7215244174003601, -1.041221261024475, -0.17457427084445953, 0.44684672355651855, 0.11026682704687119, 0.0033260283526033163, 0.3681389093399048, 0.26463085412979126, -0.3348105847835541, -0.5891648530960083, 0.470215767621994, -0.16137313842773438, 0.24183066189289093, 0.5331523418426514, -0.9251106381416321, 0.32636067271232605, 0.2657039761543274, -0.9731451869010925, 0.30448874831199646, -0.07354357093572617, 0.3229963779449463, -0.5005640387535095, 0.5652691125869751, 1.0035452842712402, 0.22493775188922882, -1.5298855304718018, -0.3567844331264496, -0.3458939492702484, -0.267342209815979, 1.0282173156738281, -0.6931896209716797, 0.08146470785140991, 0.5079108476638794, -1.5074528455734253, -0.03820579871535301, -0.4312678575515747, -0.026400649920105934, -1.3195937871932983, 0.8900513052940369, -0.6812828779220581, 0.5884649157524109, 0.37528133392333984, 0.17011140286922455, 0.4594820737838745, 0.36779525876045227, -0.3446405827999115, -0.07287109643220901, 0.49173709750175476, 0.8282239437103271, -0.1407778561115265, -0.7513415217399597, 0.08963615447282791, -0.9218510389328003, -1.0143072605133057, 0.2909255027770996, -1.17177152633667, 0.18633992969989777, 0.05486730858683586, 1.0970807075500488, 0.33300507068634033, 0.7235420942306519, 0.19890323281288147, -0.5435898900032043, -0.5726919174194336, -0.2406090646982193, -0.028878094628453255, 0.9952170252799988, -0.7167689800262451, 0.29857730865478516, -0.3759317696094513, 0.09137608855962753, -0.06718946248292923, 0.7497692704200745, -1.7743338346481323, -0.3613770306110382, 0.1535886824131012, -0.1582554578781128, 1.059503197669983, -0.8253238201141357, -0.3375150263309479, -0.3856843113899231, 0.7237365245819092, 0.6693708896636963, 0.3547442555427551, 0.2741245925426483, 0.416371613740921, -0.9415183663368225, -0.3799954950809479, 0.23630689084529877, 0.5385506749153137, -1.2067710161209106, 0.10408218950033188, 0.4214896261692047, -0.23659972846508026, 0.5230546593666077, 0.24696698784828186, -0.03916570916771889, -0.7996692657470703, 0.9500430822372437, -0.5431311130523682, -0.39298883080482483, -0.5580059885978699, -0.3303692042827606, 0.8403207063674927, -0.560196578502655, 1.5968250036239624, 1.3213030099868774, 0.3675520122051239, -0.9444230794906616, -1.263214349746704, 0.1972113400697708, 0.926892101764679, 0.7059832811355591, 0.0665743350982666, 0.16808463633060455, -1.5131821632385254, 0.5957117080688477, 0.06395866721868515, 0.5389931201934814, 0.06834287196397781, 0.07643893361091614, -0.21294613182544708, 0.24807967245578766, 1.1235098838806152, 0.08819344639778137, 0.3982938528060913, 0.47076699137687683, 0.19358639419078827, -0.1646607369184494, -0.1418551504611969, -0.5298129320144653, -0.34627214074134827, 0.5275795459747314, -0.04286587983369827, 1.4718334674835205, -0.1795007586479187, -0.12449369579553604, -0.07415083050727844, -0.05640951916575432, -1.4659969806671143, 1.4299790859222412, 0.11064427345991135, 0.34524771571159363, -0.4581065773963928, -0.3666558563709259, 0.7520333528518677, -0.6016547083854675, 0.24627171456813812, 0.5210022330284119, -0.45024651288986206, 0.11320290714502335, 0.018538717180490494, 0.3079850375652313, 1.1884479522705078, 0.3847538232803345, 0.9564051032066345, -0.7237987518310547, -0.5751258730888367, 0.008966672234237194, 0.26296788454055786, 0.683594822883606, -0.05255339294672012, 0.0463591068983078, 0.46135687828063965, -0.6918833255767822, 1.2467763423919678, -0.508974015712738, 0.8180744051933289, 0.4099196493625641, -0.2561967968940735, 0.6558162569999695, -0.9634738564491272, -1.275129795074463, 0.0022189822047948837, -0.12832479178905487, 1.912143349647522, -0.3162248134613037, 0.031229853630065918, -1.0205520391464233, 0.3347655236721039, -0.41369515657424927, -0.4577677249908447, -0.6912361979484558, -0.05857786163687706, 0.14872707426548004, -0.4635854959487915, -0.8875945210456848, -0.1637071669101715, 0.9673930406570435, -0.9017646312713623, 0.4765655994415283, 0.3345821797847748, 0.20470130443572998, -0.5743643045425415, -0.4054546058177948, -1.4866913557052612, -1.0890082120895386, 0.678394079208374, 0.20032116770744324, 0.38142141699790955, -0.45859846472740173, -1.5177263021469116, -0.3082890808582306, 0.6081134080886841, -0.3340410590171814, -0.6186563968658447, -0.784740686416626, 0.33061280846595764, 0.8225424289703369, 1.0214160680770874 ] ]
{ "indices": [ 691409538, 2333687351, 2006536704, 2391722386, 695926169, 3449948193, 3172858508, 1960040400, 3713535024, 647928480, 2257684172, 1786548735, 2067848296, 1551089265, 1128198904, 3551371075, 3534898626, 1520586419, 3366052509, 832098838, 3174900812, 1904070401, 1739635433 ], "values": [ 0.7600169847832969, 0.4418834306199022, 0.7037231194242516, 0.7037231194242516, 0.4418834306199022, 0.7983343202481211, 0.7983343202481211, 0.4418834306199022, 0.4418834306199022, 0.6129253186992035, 0.7600169847832969, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.6129253186992035, 0.6129253186992035, 0.6129253186992035, 0.6129253186992035, 0.4418834306199022 ] }
{ "context": "When it's equal to zero, memory does nothing. So the read write bit only matters when memory enable is one, right? When memory enable zero, read writes a don't care. When it's a one, it's defined as follows. A one is a write, read write, I'm sorry, read R.W is one means a write, R.W is zero means a read. So that's it for the control signals. So this is just a summary of all the control signals." }
777321
[ [ -0.5779849886894226, -0.6703765392303467, -0.033300116658210754, -0.24209976196289062, 0.6825823187828064, 0.1455182582139969, -0.2818883955478668, 0.17004485428333282, 0.8541153073310852, -0.5242802500724792, 0.8398129343986511, 0.7328814268112183, 1.2628874778747559, 0.1774102747440338, 0.35124871134757996, 0.03162425756454468, 0.9063761830329895, -0.4105127155780792, -1.1041688919067383, -0.2184293568134308, 0.5067654848098755, -0.818157970905304, -0.05020704120397568, -0.32008257508277893, -0.513833224773407, -0.026001358404755592, 0.23493096232414246, -0.5815386176109314, -0.006766174454241991, 0.613994836807251, -0.2717325985431671, -0.9337747693061829, 0.5014742612838745, 0.0756986141204834, 0.7432807683944702, 0.20454765856266022, 0.03628702089190483, -0.4518013298511505, -0.3459702134132385, 0.9571605324745178, -0.6801787614822388, 0.4393047094345093, 0.27252528071403503, 0.9205922484397888, -0.06390907615423203, 0.09268967062234879, -0.24151651561260223, 0.6265749931335449, 1.0235998630523682, -0.6689969301223755, 1.1430038213729858, -0.602672815322876, 0.6247960925102234, 0.3693544566631317, -0.19458426535129547, 0.46170905232429504, 1.230952262878418, 1.6315313577651978, 0.030966434627771378, -0.975917398929596, -0.3328154981136322, -0.4466707408428192, 0.1697927713394165, -0.5108285546302795, -0.5490481853485107, -0.9613362550735474, 0.6089777946472168, -0.4530934691429138, 0.727706253528595, -0.1775791496038437, -0.9771549701690674, -0.21305888891220093, 0.26546818017959595, 0.19624760746955872, 0.8227730393409729, -0.9109526872634888, 0.7858497500419617, -0.23082099854946136, 0.6651617884635925, 1.1140097379684448, -0.6760351061820984, -0.14168372750282288, -1.4672266244888306, 0.7013180255889893, 0.2551829218864441, -0.8487181067466736, 1.8657771348953247, -0.42767682671546936, 0.047897014766931534, 0.17680411040782928, 0.3030315041542053, -0.2418482005596161, 1.1038470268249512, -0.36738479137420654, -0.5423241257667542, 0.15978595614433289, 0.7374843955039978, 0.7760742902755737, -0.43231430649757385, -0.5053479075431824, 0.8997164368629456, -0.9303416013717651, 0.46340349316596985, 1.1019867658615112, 0.007590642664581537, 0.03034592606127262, -0.14369358122348785, -0.2663540244102478, -1.1042388677597046, 0.9139987826347351, -1.1585205793380737, 1.039343237876892, -0.4579446017742157, 0.8936323523521423, 0.24764394760131836, -0.5737162828445435, -0.7458565831184387, -0.3525030016899109, 0.8611576557159424, 1.1369142532348633, 1.097862720489502, 0.05100374296307564, 0.4553816616535187, -0.5070492625236511, -1.7001367807388306, 0.8716246485710144, 0.1794419288635254, -0.01073209848254919, -0.769936740398407, 0.5318482518196106, -0.22792211174964905, 0.1723199188709259, 0.12422235310077667, 0.056196607649326324, -0.028280247002840042, 0.5951998829841614, -1.7546923160552979, -1.704640507698059, 0.7137254476547241, 0.32465407252311707, -0.13955968618392944, -1.4314907789230347, -0.14868290722370148, 0.8412234783172607, 0.7250648140907288, 0.5326204299926758, 0.7965630888938904, 0.7974002957344055, -0.6045090556144714, 0.35675033926963806, -0.8985433578491211, 0.28966280817985535, -1.5286388397216797, -0.5117188096046448, -0.09917785227298737, -0.3208027482032776, -0.3697560727596283, 0.5110646486282349, -0.6939257383346558, -0.22900277376174927, 0.724054753780365, -0.2627354860305786, 0.12820665538311005, -0.09997221827507019, -0.6499335169792175, -1.123401165008545, 1.5174102783203125, -0.24386651813983917, 0.7318788170814514, 0.39338257908821106, -0.19040019810199738, 0.6778961420059204, -0.014096057042479515, 0.9864663481712341, 1.5973962545394897, -0.5835663080215454, 0.26298651099205017, -1.6080756187438965, -0.5347434282302856, -0.26066747307777405, -0.24934691190719604, -0.503905713558197, 0.41649848222732544, 0.15746425092220306, -0.3603401482105255, 0.3319525122642517, -0.4695691764354706, 0.3092105984687805, 1.653436541557312, -1.6494208574295044, -0.3138154447078705, -0.30636367201805115, 0.7952461242675781, -0.1713726669549942, -1.1856961250305176, -0.8950464725494385, 0.15892958641052246, 0.11039387434720993, 0.4033794105052948, -0.6604817509651184, -0.31269872188568115, 0.26936793327331543, 0.20951108634471893, -0.49105751514434814, 0.3228282630443573, 0.3295086920261383, 0.3307945132255554, -0.40953630208969116, 0.37955254316329956, -1.106099009513855, -0.2285398542881012, 0.7664275765419006, -0.23385845124721527, 0.3284415900707245, 0.031655799597501755, 0.38691630959510803, -0.6989579796791077, 1.1505661010742188, -0.01965414546430111, 0.3778465986251831, -1.4214705228805542, 1.0365073680877686, 2.029757022857666, 0.6981794834136963, 0.6397814750671387, 0.9849762320518494, -0.39584267139434814, -0.6119164824485779, 0.05168670788407326, -0.32905369997024536, -0.3752891421318054, -0.9439247846603394, 0.1699848771095276, 0.45669490098953247, -0.21742691099643707, -0.060891132801771164, 1.6046183109283447, 0.8765434622764587, 1.0499933958053589, 0.3167920708656311, -1.2051734924316406, 0.45416897535324097, 0.38639193773269653, 0.9319169521331787, 0.1797804832458496, 1.1790471076965332, 0.665184736251831, 1.32131028175354, -0.05507909134030342, -0.07793456315994263, -0.4785133898258209, 0.7831459641456604, 0.2958757281303406, -0.4575783908367157, 0.07306273281574249, -1.0418163537979126, 0.7795509099960327, -0.7142140865325928, 0.03789125755429268, 1.415654182434082, -0.260210782289505, 0.0987498089671135, -0.0964985266327858, -0.7059800028800964, 0.2203173190355301, 0.3540390133857727, -0.34669458866119385, -0.8385496735572815, 1.0408061742782593, -0.5817749500274658, 1.433597207069397, 0.28769832849502563, -0.6870695948600769, -1.310227870941162, -0.23131830990314484, 0.4234909415245056, -0.5185328125953674, 1.6086187362670898, -1.563819408416748, -0.48727384209632874, 0.11002989113330841, 0.44096648693084717, 0.6161901950836182, -0.07050127536058426, -1.9325788021087646, 0.5495781898498535, -0.09095707535743713, -1.2799291610717773, 0.7788887619972229, 0.4163459539413452, -0.9055558443069458, 0.3907184898853302, -0.1616944968700409, -0.5263106226921082, -0.9107232093811035, -0.31449317932128906, 0.14536786079406738, 0.04420972988009453, -0.07957565784454346, 1.1314365863800049, 0.6757485866546631, -1.1785506010055542, 0.2601538300514221, -0.9061358571052551, -0.10761584341526031, 0.11864244192838669, -0.5844724178314209, -0.09181640297174454, -0.3015853762626648, 0.7487338185310364, -0.1510014832019806, -0.49901852011680603, -0.6794986128807068, -0.8716810345649719, -1.303964376449585, 0.21320684254169464, 0.7011405229568481, 0.9553229212760925, -0.5365027189254761, -0.30980774760246277, 0.7722671031951904, 0.8143789768218994, -0.8648691177368164, -1.0110728740692139, 0.2096419334411621, -0.29800209403038025, -0.6318495273590088, -0.3739824891090393, 1.175679087638855, 0.5249229669570923, 0.8726474642753601, -0.17672578990459442, 1.0501525402069092, 1.1117970943450928, -0.11386983096599579, -0.7083810567855835, 0.07809527218341827, 0.9882072806358337, -0.8487004637718201, -0.20198221504688263, 1.0552115440368652, -0.184967041015625, 0.8408582210540771, -0.25440531969070435, 0.8846486210823059, 0.4638763964176178, -0.893903374671936, 0.5873813033103943, 0.2735257148742676, 0.9731244444847107, -0.13587184250354767, -0.4263584315776825, -0.06502688676118851, -1.3334693908691406, -0.3013218939304352, 0.46613654494285583, 1.0528442859649658, -0.5629985332489014, -0.5916772484779358, 0.9331455230712891, 0.6283621788024902, -0.5320689678192139, 0.14752137660980225, 0.2988492250442505, -0.3170943856239319, 0.2838626205921173, -0.12940160930156708, -0.4820750057697296, 0.4469793438911438, -0.17487256228923798, 0.7088207006454468, 0.5339803099632263, -0.42295607924461365, -0.13421636819839478, -0.8766988515853882, -0.3390839993953705, 0.6145897507667542, 0.7151390910148621, 0.029899202287197113, 1.030511736869812, 0.010876345448195934, 0.04102325066924095, -0.27601635456085205, 0.2824993133544922, -1.6355057954788208, 0.2813279330730438, -0.09218603372573853, 0.6592969298362732, 0.3227614760398865, 0.2446136325597763, 0.31560343503952026, -0.7168177366256714, -0.9214114546775818, 0.006870760582387447, -0.5381353497505188, -0.8569580912590027, 0.2800661623477936, 0.098702073097229, -0.4137696921825409, -0.01107651088386774, 0.8667260408401489, 1.9685519933700562, 0.7451504468917847, 0.0292047169059515, 1.4731558561325073, 0.34210404753685, -0.5257949233055115, -0.7102246880531311, 0.39656713604927063, 0.0799471065402031, 1.4413070678710938, 0.06155821308493614, 0.8225832581520081, -0.742392897605896, -0.31158021092414856, 0.4623286724090576, 0.21048560738563538, 0.06830140203237534, 0.35214295983314514, 0.6117774844169617, -0.5907504558563232, -0.6861580014228821, -0.08920932561159134, 0.5871361494064331, 0.2538912296295166, 1.2122883796691895, 0.8454845547676086, 0.1525319665670395, -0.17935369908809662, 1.1620393991470337, 0.9418331980705261, 0.1123170554637909, -0.258696585893631, -0.9299877882003784, -0.09747923165559769, 0.6982935667037964, 0.004632727708667517, 0.6235244274139404, 0.542718231678009, 0.13863764703273773, -0.23438458144664764, -0.014124789275228977, -0.5452190041542053, -0.7083364129066467, 0.7544832229614258, -0.5237330794334412, -0.2411797195672989, 0.3921279311180115, 0.8211556077003479, 1.1167970895767212, 0.5561690926551819, -0.24250468611717224, 0.24653519690036774, -0.9005455374717712, -3.0517349243164062, -0.16987432539463043, 0.33324334025382996, 0.8069119453430176, -0.7251448631286621, -0.06052687019109726, -0.4771888852119446, -1.30648934841156, 0.43431389331817627, -0.28132015466690063, 0.8492833375930786, -0.33921676874160767, 0.4030057489871979, -0.5540501475334167, -1.0208659172058105, -1.1329503059387207, 1.2616875171661377, -0.4026515483856201, -0.3738046884536743, -0.41866716742515564, 0.6593514680862427, 0.23004166781902313, 0.5805785655975342, 0.060994066298007965, 0.21204271912574768, -0.9617635011672974, -0.24576914310455322, 0.06312014162540436, 1.0569729804992676, -1.2874480485916138, -0.8274030089378357, 0.20542693138122559, -0.12445659935474396, 0.011124751530587673, 0.6833927035331726, -0.2284981906414032, -0.5163216590881348, 0.3086805045604706, -0.12502560019493103, 0.2232297658920288, -1.0300085544586182, -1.408037781715393, 0.9982755780220032, 0.28287407755851746, 0.4995799660682678, 1.216015338897705, 0.49776700139045715, -0.828984260559082, -0.26728588342666626, -0.2549613416194916, 0.7144373059272766, -0.828921377658844, 0.5732473134994507, -0.4521161913871765, 0.08505017310380936, -0.8190898895263672, 1.625709056854248, -0.41938111186027527, -0.16616171598434448, -0.12390753626823425, -0.03406095877289772, -0.23043930530548096, 1.0365697145462036, -1.4120488166809082, -0.25421807169914246, -0.05606641247868538, -0.33026185631752014, -1.427085280418396, -0.014395790174603462, 0.2335774302482605, -2.008065938949585, 0.6062427759170532, 0.32299166917800903, 0.004107880871742964, 0.18074931204319, -1.50249445438385, 0.7452059388160706, -0.1631060689687729, -1.4096606969833374, 1.0509748458862305, 0.12826450169086456, 0.37499314546585083, -0.040184032171964645, -0.8555837273597717, -0.4157429039478302, 0.4627717137336731, -0.5467616319656372, 0.23557691276073456, 0.6574454307556152, 0.9596094489097595, 0.04690777510404587, -0.45648863911628723, -1.5898138284683228, -0.7316409349441528, -0.2394784539937973, 0.5324662327766418, 0.14163713157176971, -0.5945411324501038, 0.2091074287891388, 0.3230016529560089, -0.809895396232605, -0.26616212725639343, 1.1737594604492188, -0.8273913860321045, 0.772733211517334, 0.26682689785957336, 0.27168092131614685, 0.9087725877761841, 0.49693766236305237, 0.9421060085296631, 0.18759949505329132, 0.12654398381710052, 0.3332647979259491, -0.4990493059158325, -1.1537729501724243, -0.3462529182434082, 0.038422781974077225, -0.9597471356391907, -0.7592684626579285, 1.321385383605957, -0.051479123532772064, 0.20176884531974792, -0.03131534904241562, -0.657561182975769, -0.29402610659599304, 0.24569688737392426, -0.6633211970329285, -0.28744086623191833, 0.6938946843147278, 0.04083505645394325, 0.3384779691696167, 0.3389533758163452, -0.329264760017395, -0.6720090508460999, 0.7091125845909119, 0.11034587770700455, 1.0797667503356934, 1.671936273574829, 0.22646796703338623, 0.02367423288524151, 0.45611652731895447, 0.4748515784740448, 1.0732855796813965, -1.0586999654769897, 0.17603617906570435, 0.6028623580932617, 0.24990426003932953, -0.3152100443840027, -0.2740226089954376, -1.3747673034667969, 0.29773572087287903, 1.0813977718353271, -0.9613203406333923, 0.19682104885578156, 0.12671561539173126, 0.09137420356273651, -0.3874385952949524, -0.07302003353834152, -0.8568372130393982, 0.2476620227098465, -0.8464559316635132, 2.080028772354126, -0.8903500437736511, -1.2460124492645264, 0.5047299265861511, 1.380530834197998, 0.9754712581634521, 0.13329967856407166, 0.9222657680511475, -0.4792448580265045, 0.40571120381355286, -0.8145240545272827, -0.9671983122825623, 0.5938625931739807, -1.2689015865325928, 0.967160165309906, 0.09197993576526642, -0.3112621009349823, 0.8344837427139282, 0.13649168610572815, -0.004118289798498154, -1.6380984783172607, -0.5740412473678589, -1.640777826309204, 1.291634440422058, 0.36032217741012573, -0.7720175385475159, 0.3837844431400299, 0.09819949418306351, 0.8552504777908325, -0.16456115245819092, 0.6601381897926331, -0.536571204662323, -1.8305224180221558, -0.04174021631479263, -0.2090192437171936, -1.025094747543335, 1.694718599319458, 0.7453703284263611, -0.06231923773884773, 0.6696662306785583, -0.5448850989341736, 1.1511729955673218, 0.007555486634373665, 0.5615540146827698, 0.7327460646629333, 1.682742714881897, -0.2866126000881195, 0.07993675023317337, -0.4633252024650574, -0.14653459191322327, 4.712284564971924, 1.5412427186965942, -0.2963862717151642, -1.886360764503479, -0.5619540810585022, -0.7542174458503723, -0.09875892847776413, -0.4094851315021515, 0.40519413352012634, 1.1251157522201538, 0.32960766553878784, 0.8991403579711914, 0.5886515974998474, -0.3294684588909149, 0.27567681670188904, 0.4032217264175415, -0.426482617855072, -0.6827059984207153, 0.9323908090591431, 0.4134719967842102, 0.31962892413139343, -0.43849074840545654, -1.6640132665634155, -1.8200098276138306, 0.8180651068687439, -0.6948264241218567, 0.35033467411994934, -0.2350182980298996, 1.0518969297409058, 0.6135110259056091, -0.852576732635498, 0.3666885197162628, 0.27156391739845276, 0.3101179897785187, 0.3899344503879547, 0.4810076057910919, -0.4424370527267456, 0.052206601947546005, -0.4418712854385376, 0.11518216878175735, 0.0888906866312027, -0.24642878770828247, -0.43604275584220886, 0.17147602140903473, -0.5437463521957397, -0.4714687168598175, -0.4338061213493347, -1.0715209245681763, 0.005568068940192461, 0.3245823085308075, -0.22635050117969513, 0.5672177076339722, -0.36889320611953735, -0.8354690670967102, -1.4940022230148315, 0.25218990445137024, 0.4298310875892639, 0.13856610655784607, -0.9247454404830933, -0.6837695240974426, 0.3494780957698822, -0.5477115511894226, 1.004950761795044, 0.5069237351417542, 0.7137334942817688, 0.06821189820766449, -1.1934396028518677, 1.7470778226852417, 0.03708522766828537, -0.5739850997924805, -0.8916786313056946, 0.106353759765625, -0.5443801283836365, 0.16751615703105927, 0.4597884714603424, 0.8707382678985596, -1.0381336212158203, 0.16354778409004211, -0.3737964928150177, -0.6941006779670715, -0.6772137880325317, -0.48634517192840576, -0.044421520084142685, -0.3888024687767029, -0.7764114141464233, -0.3934970200061798, -0.2936340868473053, 0.3267746567726135, 0.5966689586639404, 0.030251899734139442, -0.6397667527198792, 1.294491171836853, 0.44459816813468933, -1.428017258644104, -0.10458521544933319, 0.4929271638393402, -0.7972890138626099, -0.3254375159740448, -0.8142287731170654, 1.680617332458496, -0.564316987991333, -0.15402808785438538, 0.1618514060974121, 1.1548081636428833, -0.5893057584762573, 0.09786020964384079, 0.9631849527359009, -0.04971741884946823, 0.13079999387264252, 0.3301609456539154, 0.43079933524131775, -0.6058038473129272, -1.1406892538070679, -0.4169861376285553, -0.32990896701812744, -0.1446019858121872, -0.7586751580238342, -0.1467907726764679, -0.11837559938430786, -0.3241538107395172, 0.1394045501947403, 0.5291460156440735, -0.1827193796634674, -0.3376365005970001, -0.3866291344165802, 0.2591545879840851, -0.24074512720108032, -0.35675039887428284, 0.15155363082885742, 0.22610852122306824, -0.11283205449581146, 0.03548469766974449, -0.3104071319103241, 0.9268206357955933, -1.2196718454360962, -0.6973819136619568, -0.4015956521034241, 0.7947867512702942, -0.8453652262687683, 0.13509614765644073, 0.9450175166130066, -1.0816681385040283, 0.08221057802438736, 1.6582447290420532, -0.6320957541465759, 0.14327430725097656, 0.3250027298927307, -0.3743447959423065, 1.1368969678878784, 0.31699272990226746, -1.038341760635376, 0.0076463548466563225, 0.6063135266304016, 0.5415914058685303, -0.050526510924100876, 0.3183673620223999, 0.4488273561000824, -0.3196350038051605, -0.616708517074585, 0.7531498670578003, 0.020461540669202805, 0.21405859291553497, 0.19442857801914215, -1.014696478843689, 0.28104016184806824, -0.1351134181022644, -0.5358050465583801, 0.4529802203178406, -0.2453163117170334, 0.5121442079544067, -0.38617637753486633, 0.2115808129310608, 0.828805148601532, 0.20035099983215332, -1.4098899364471436, -0.45213398337364197, -0.1407274603843689, -0.5623892545700073, 0.31285858154296875, -0.6220024228096008, 0.22453048825263977, 0.6203453540802002, -1.187387466430664, 0.04711850732564926, -0.6996474266052246, 0.25893980264663696, -1.5422314405441284, 0.4280599355697632, -0.26001718640327454, 0.4537668824195862, 0.20006972551345825, 0.41036728024482727, 1.1433618068695068, -0.059100162237882614, -0.14657269418239594, -0.2157570719718933, 0.7820237874984741, 0.8297635912895203, -0.06851129233837128, -0.9333389401435852, 0.06759842485189438, -0.7944745421409607, -0.8475840091705322, 0.2947978973388672, -1.2701691389083862, 0.08662515133619308, -0.19734056293964386, 1.1310392618179321, 0.1675814539194107, 0.5357293486595154, 0.2988772988319397, -0.428013414144516, 0.36353054642677307, -0.47119131684303284, 0.03461656719446182, 0.26223376393318176, -0.9906619191169739, 0.011899799108505249, 0.17358064651489258, -0.16125060617923737, -0.1840430051088333, 0.6777307391166687, -1.4417779445648193, -0.05849885195493698, 0.37134164571762085, -0.06672586500644684, 0.8153162598609924, -1.0055439472198486, -0.5857340693473816, -1.3064322471618652, 0.7594541907310486, -0.20813950896263123, 0.5488933324813843, 0.7618650794029236, 0.022077351808547974, -1.351420283317566, -0.5336723327636719, 0.05553595349192619, 0.19490045309066772, -1.0543071031570435, -0.2622770071029663, -0.05196790769696236, 0.15501992404460907, 0.9688087701797485, 0.32161444425582886, 0.22757071256637573, -0.6768136620521545, 0.9436823725700378, -0.32386282086372375, -0.4927051365375519, -0.35379528999328613, -0.742494523525238, 0.9703865051269531, -1.0368739366531372, 1.290810227394104, 2.0960946083068848, 0.5940515398979187, -1.2095574140548706, -1.1304363012313843, -0.7150445580482483, 0.797199010848999, 0.8890083432197571, -0.1464100480079651, 0.26940611004829407, -1.3146519660949707, 0.25901827216148376, -0.19307969510555267, 0.4477531313896179, 0.008989316411316395, 0.04142916947603226, -0.08365244418382645, 0.19799210131168365, 1.2881863117218018, -0.013960528187453747, -0.34203699231147766, 0.41027969121932983, -0.05607641488313675, 0.23643827438354492, -0.5279446840286255, -0.027951326221227646, 0.15216322243213654, 0.47238507866859436, -0.20209746062755585, 1.329766869544983, 0.13544879853725433, -0.09116633981466293, 0.38064926862716675, 0.0543028749525547, -1.4839956760406494, 0.970822274684906, -0.2515983283519745, 0.6113583445549011, -0.26883405447006226, -0.5991886854171753, 1.1001758575439453, -0.9314950108528137, 0.33355996012687683, 0.9445064663887024, -0.11525966227054596, 0.059305910021066666, 0.5575599670410156, 0.28454047441482544, 1.3674012422561646, 0.22263944149017334, 0.5014972686767578, -0.44211888313293457, -0.7074803113937378, 0.17381854355335236, 0.473453164100647, 0.6591345071792603, -0.28645259141921997, -0.11449526995420456, 0.727509617805481, -0.37229689955711365, 1.2286678552627563, -0.11423752456903458, 1.6762605905532837, 0.4226602017879486, 0.025377465412020683, 0.00043430193909443915, -0.5562576651573181, -1.124601125717163, 0.3654182255268097, -0.0500468872487545, 1.4705332517623901, -0.9035199880599976, -0.0071291932836174965, -1.0123111009597778, 0.40886396169662476, -0.5496781468391418, -0.0738285630941391, -0.2981075048446655, -0.2327241748571396, 0.08178554475307465, -0.6306954026222229, -0.6135978102684021, 0.45382431149482727, 1.109525203704834, -0.9113805890083313, 0.2792915105819702, 0.3084966242313385, 0.7088288068771362, -0.14504939317703247, -0.3385998606681824, -1.721590280532837, -0.9598029851913452, 0.6400102972984314, 0.024575354531407356, 0.6593534350395203, -0.462999165058136, -1.9458200931549072, -0.22886985540390015, 0.634250819683075, -0.3947250247001648, -0.7590625286102295, -0.7990861535072327, 0.6755762100219727, 0.8716664910316467, 1.0443167686462402 ] ]
{ "indices": [ 691409538, 3174900812, 1904070401, 1739635433, 2669668826, 3967169986, 3676260520, 3686012684, 1308276157, 3009698197, 2063350695, 1041011349, 358389376, 1960040400, 2257684172, 3270687257, 3286166600, 1214258741, 2263091519, 1308688855, 3062174764, 1031134330, 1042626614, 1491351846, 2391722386 ], "values": [ 0.45886343419922815, 0.6290697586112285, 0.835736349078634, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.7723054894193192, 0.835736349078634, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815 ] }
{ "context": "So that's it for the control signals. So this is just a summary of all the control signals. So we had seven different register loads with four different bus gating signals. The MUXs, there are four two bit signals and two one bit signals. So that gives us a total of 10 bits. So this is number of bits. ALU function selection, we needed two bits and memory, we had two one bit signals." }
587957
[ [ -0.07622478157281876, -0.46449172496795654, 0.05654944106936455, -0.9835382699966431, 0.017107322812080383, 0.21252131462097168, -0.24390867352485657, 0.4631117880344391, 0.7664741277694702, -0.9168139100074768, 0.5132877230644226, 0.708480715751648, 0.5253303647041321, 0.4472029507160187, 0.5167766809463501, 0.5032889246940613, 0.8098247051239014, -0.3833213746547699, -0.7017084360122681, -0.018023036420345306, 0.44148191809654236, -0.7386308312416077, -0.019144978374242783, -0.05512998625636101, -0.6529496908187866, 0.45418062806129456, 0.3230738639831543, -0.470623254776001, 0.6700660586357117, 1.0032603740692139, -0.5821837782859802, -1.0565367937088013, 0.6997726559638977, -0.2500258684158325, 0.6836245656013489, -0.1926097273826599, 0.16728436946868896, -0.598223865032196, 0.09910483658313751, 0.8490822315216064, -0.32275256514549255, 0.3443397581577301, -0.2709788978099823, 1.4979608058929443, -0.7769452929496765, 0.5340307950973511, -0.01623169332742691, 0.600568950176239, 0.6516567468643188, -0.4364176392555237, 1.0953598022460938, -0.8870508074760437, 0.5524377822875977, 0.20476754009723663, 0.2106986939907074, 0.3958784341812134, 0.5557036399841309, 1.3721383810043335, -0.013983603566884995, -0.4086032211780548, -0.4456424117088318, 0.1457655280828476, -0.12717317044734955, -0.12133052945137024, -0.27407464385032654, -1.1044872999191284, 0.5942357778549194, -0.47851285338401794, 0.7519951462745667, 0.4305160939693451, -0.7361104488372803, -0.16268301010131836, 0.36086949706077576, 0.034407589584589005, 0.66170334815979, -1.2180126905441284, 0.49017542600631714, 0.012257161550223827, 0.5492846369743347, 0.8836434483528137, -0.6455031037330627, -0.026723399758338928, -1.4135721921920776, -0.07320494949817657, 0.09979037940502167, -0.5836089253425598, 1.8398288488388062, -0.0807567834854126, -0.18109756708145142, 0.3771692216396332, 0.46745365858078003, -0.34862950444221497, 0.7717669010162354, -0.026119446381926537, -0.5360730886459351, 0.13363856077194214, 0.6140068769454956, 0.5826561450958252, -0.34105634689331055, -0.9914517998695374, 0.8016208410263062, -0.918672502040863, -0.12668776512145996, 0.47238433361053467, 0.3252977728843689, -0.2884611487388611, -0.250108540058136, -0.34379416704177856, -0.9975552558898926, 0.6004499793052673, -1.0619934797286987, 0.6820818781852722, -0.2411353588104248, 0.5391737222671509, 0.4094091057777405, -0.27118536829948425, -0.9798713326454163, -0.410765141248703, 0.47217047214508057, 0.9696133136749268, 0.7992344498634338, 0.41475558280944824, 0.31881117820739746, -0.4313936233520508, -1.6096073389053345, 0.8568012714385986, 0.402108371257782, 0.15752114355564117, -0.668906569480896, 0.6833927631378174, -0.1052684336900711, 0.24001042544841766, -0.012565759010612965, 0.3218029737472534, 0.12966583669185638, 0.9857391119003296, -1.4595401287078857, -1.7848384380340576, 0.5611002445220947, 0.48226720094680786, -0.5180448889732361, -1.0825122594833374, -0.3030669093132019, 1.2841533422470093, 0.9118116497993469, 0.41406556963920593, 0.8644433617591858, 0.5117064714431763, -0.28720417618751526, 0.40071240067481995, -0.4280155301094055, -0.13483227789402008, -1.0375577211380005, -0.36409249901771545, -0.0178516935557127, -0.7424542903900146, -0.15317676961421967, 0.3731873333454132, -0.9456373453140259, -0.6322676539421082, 0.8279049396514893, -0.6455705761909485, 0.16752243041992188, -0.21555055677890778, -0.8982802033424377, -1.1902042627334595, 1.7206696271896362, -0.5792462825775146, 0.5513454675674438, 0.3857400417327881, 0.10287220776081085, 0.6553133130073547, -0.101778544485569, 0.32714203000068665, 1.4775049686431885, -0.4771704375743866, 0.4691937267780304, -1.2693274021148682, -0.41516387462615967, -0.4791494607925415, -0.5767723917961121, -1.1466172933578491, 0.1530987173318863, 0.17162646353244781, -0.4034632444381714, 0.24319125711917877, -0.3516213893890381, 0.17521513998508453, 0.8466206192970276, -1.2138060331344604, -0.6696361899375916, -0.20773451030254364, 1.1552705764770508, 0.017569033429026604, -1.040021538734436, -0.9151149988174438, -0.2883760929107666, 0.08405344188213348, -0.0903257504105568, -0.5642638206481934, -0.694315493106842, 0.3890025019645691, 0.22044387459754944, -0.4378272294998169, 0.18542848527431488, 0.41048184037208557, 0.7221077084541321, -0.22366797924041748, 0.7785330414772034, -0.9338060021400452, 0.016713330522179604, 0.5915461182594299, 0.012050068005919456, 0.006633922923356295, -0.22594600915908813, 0.26039448380470276, -0.7580968141555786, 0.7631120085716248, -0.06058067828416824, 0.08473584055900574, -1.2708680629730225, 0.838854968547821, 1.7099212408065796, 0.4760041832923889, 1.1583421230316162, 1.1083158254623413, -0.2765287160873413, -0.7645836472511292, 0.056524574756622314, -0.5874112844467163, -0.5371701717376709, -0.637554943561554, 0.17677254974842072, -0.32000553607940674, -0.7482603788375854, -0.47195377945899963, 1.695873737335205, 0.535659909248352, 0.5180925726890564, 0.35535237193107605, -1.1011757850646973, 0.8984123468399048, 0.246510848402977, 0.6670393347740173, 0.032876212149858475, 1.2871490716934204, 0.603415310382843, 1.177564024925232, -0.34024176001548767, 0.18574364483356476, -0.47212913632392883, 1.1098384857177734, 0.5555853247642517, -0.5645604729652405, 0.161202535033226, -1.0346561670303345, 0.7315006256103516, -1.024537444114685, 0.3106159567832947, 1.0000250339508057, -0.5187979936599731, 0.2156042456626892, -0.4959811866283417, -0.333271861076355, 0.16109806299209595, 0.25930285453796387, -0.43645837903022766, -0.9118801951408386, 1.0313433408737183, 0.19555595517158508, 1.628744125366211, 0.3506704866886139, -0.8417966961860657, -0.4424747824668884, 0.3506070673465729, 0.8585228323936462, -0.2466418743133545, 1.1120893955230713, -1.0502195358276367, -0.30254220962524414, -0.13925033807754517, 0.18883778154850006, 0.5614733099937439, 0.13279032707214355, -1.593704104423523, 0.11515229195356369, 0.11922561377286911, -1.2449204921722412, 0.5728545784950256, 0.587591290473938, -0.6848475933074951, 0.17425240576267242, -0.17687036097049713, -1.2343534231185913, -0.5688982009887695, 0.13645945489406586, -0.024250933900475502, -0.3545030653476715, -0.007375151850283146, 1.0010195970535278, 0.3321881592273712, -1.2668851613998413, -0.14654970169067383, -0.9630557894706726, 0.5911311507225037, 0.007024799939244986, -1.1494519710540771, -0.3203820586204529, -0.5180202126502991, 0.43552514910697937, -0.06488236039876938, -0.2787097692489624, -0.5729264616966248, -0.6039798259735107, -0.7009432315826416, -0.16585752367973328, 0.6421524286270142, 0.9813628196716309, -0.22710579633712769, -0.29551976919174194, 1.104104995727539, 0.37498095631599426, -1.4023715257644653, -0.7910542488098145, 0.5302861332893372, -0.715961217880249, -1.0776722431182861, -0.22135964035987854, 0.9382964968681335, 0.6661937236785889, 0.4906023144721985, 0.19080880284309387, 0.85704505443573, 0.7408989667892456, -0.40339067578315735, -1.295162558555603, -0.00009428701741853729, 0.24163495004177094, -0.6328202486038208, 0.30049222707748413, 0.720301628112793, -0.1479957103729248, 0.4529116153717041, -0.2097167819738388, 0.5160678029060364, 0.517503559589386, -0.7985389828681946, 0.28333643078804016, 0.06918919086456299, 0.4791143834590912, 0.2039157599210739, -0.5825448632240295, 0.22435185313224792, -1.1069860458374023, -0.5752859115600586, 0.2790415585041046, 0.6158217191696167, -0.12487346678972244, 0.39885851740837097, 0.48993152379989624, 0.4974152743816376, -0.6115182042121887, -0.1019071415066719, -0.09142667055130005, 0.3448435664176941, -0.2692795991897583, 0.02684728242456913, 0.2982890009880066, 0.11395171284675598, -0.04393627494573593, 0.1446593552827835, 0.13698261976242065, -0.49058255553245544, 0.3646177053451538, -1.3779293298721313, 0.07509973645210266, 0.9947026371955872, 0.8691201210021973, -0.1525275558233261, 1.0348950624465942, 0.14739006757736206, -0.2733398973941803, -0.06723754107952118, 0.031509604305028915, -1.613497018814087, 0.09069651365280151, 0.352054625749588, 0.4987831115722656, 0.6044368743896484, 0.09679220616817474, 0.6467775702476501, -0.5630761981010437, -0.8370089530944824, 0.19486455619335175, -0.027076054364442825, -0.8083872199058533, -0.009741351939737797, -0.17197822034358978, -0.5872789621353149, 0.3844338655471802, 0.4199136793613434, 1.5160105228424072, 0.2895703911781311, -0.10984120517969131, 0.8992456197738647, 0.3947801887989044, 0.1530981957912445, -0.7631199359893799, 0.48838934302330017, 0.19313116371631622, 1.379296898841858, 0.8542687296867371, 0.843904972076416, -0.16853906214237213, -0.0873950868844986, 0.08770762383937836, 0.3644251823425293, 0.5173521041870117, -0.37910035252571106, 0.5418997406959534, -0.5385290384292603, -0.725216805934906, -0.2762831449508667, 0.3741157054901123, -0.07747070491313934, 0.982900083065033, 0.5826221108436584, 0.10828118026256561, -0.3289779722690582, 1.2817251682281494, 1.3091272115707397, -0.4857586920261383, -0.3650861978530884, -0.20350436866283417, -0.2830687165260315, 0.7940376400947571, -0.227127805352211, 0.5289703011512756, 0.5595762729644775, 0.750199019908905, -0.5031594038009644, 0.4861435294151306, -0.16218632459640503, -1.1520919799804688, 0.5523247718811035, -0.49373409152030945, -0.2277226746082306, -0.06003522872924805, 0.7298341393470764, 0.8386614322662354, 0.3187592923641205, 0.1837490350008011, 0.5574023723602295, -0.7442342042922974, -2.767622470855713, -0.3081001043319702, 0.31079429388046265, 0.4890735447406769, -0.9443830251693726, 0.18781813979148865, -0.3490789532661438, -0.8504170179367065, 1.04600989818573, -0.6877164840698242, 0.6899366974830627, -0.7542179822921753, 0.27700570225715637, -0.4493885338306427, -1.3193761110305786, -0.9521036148071289, 1.0610884428024292, -0.5974066853523254, -0.14255967736244202, -0.33269965648651123, -0.505713939666748, 0.6982604265213013, 0.38620254397392273, 0.12873904407024384, 0.7630050182342529, -0.2115231156349182, -0.558317244052887, -0.022846607491374016, 0.8583114743232727, -1.2110694646835327, -0.5390017032623291, 0.5759754776954651, -0.40797871351242065, -0.009458975866436958, 1.015269160270691, 0.09381047636270523, -0.8439952731132507, 0.14705796539783478, -0.01715722866356373, -0.4222864508628845, -0.6464331150054932, -0.9307859539985657, 1.153299331665039, 0.06706192344427109, 0.5803597569465637, 0.8273995518684387, 0.05014706030488014, -0.3251895308494568, -0.3377622365951538, -0.07204443961381912, -0.023880375549197197, -0.8024614453315735, 0.6336288452148438, -0.6084127426147461, 0.014447944238781929, -0.5497971177101135, 1.3297725915908813, -0.2826985716819763, -0.22345052659511566, 0.32428205013275146, -0.4119970202445984, 0.18557389080524445, 0.7408351302146912, -1.0812050104141235, 0.2776484787464142, 0.016789324581623077, 0.4610319137573242, -0.9912150502204895, -0.013938521966338158, 0.06848802417516708, -1.7226417064666748, 0.3923013508319855, 0.18264229595661163, -0.236382856965065, 0.3814309537410736, -0.918393611907959, 0.6370630860328674, 0.30506616830825806, -0.9318220615386963, 1.173332691192627, 0.34263375401496887, 0.03207952529191971, 0.3328635096549988, -0.9502037167549133, 0.08742321282625198, 0.3622877895832062, -0.29001179337501526, -0.3779188394546509, 0.29239964485168457, 0.545106053352356, -0.15548256039619446, -0.2424590140581131, -1.3533319234848022, -1.374075174331665, -0.06115679070353508, -0.028549332171678543, 0.32628223299980164, -0.8201737403869629, -0.1078590676188469, 0.7244569063186646, -0.7232221364974976, 0.02038903534412384, 1.1963852643966675, 0.0755007416009903, 0.38001975417137146, 0.3326214551925659, 0.26288214325904846, 0.6333903670310974, 0.4249569773674011, 0.2762363851070404, 1.0233933925628662, 0.09638204425573349, 0.16329596936702728, -1.2564486265182495, -0.5959441065788269, -0.17441871762275696, -0.005420964676886797, -1.2841804027557373, -0.5540499091148376, 1.2094522714614868, 0.07239199429750443, 0.3258148431777954, -0.051304370164871216, -1.1957955360412598, -0.3532124161720276, 0.13564515113830566, -0.1648513674736023, -0.6916587352752686, 0.7008333802223206, -0.24317045509815216, 0.30840450525283813, 0.5777012705802917, -0.07514367997646332, -0.7435567378997803, 0.7781925797462463, 0.7572968006134033, 1.4074805974960327, 1.6501352787017822, 0.21103452146053314, 0.478258341550827, 0.4542866349220276, 0.014014574699103832, 1.0986239910125732, -0.977282702922821, 0.07849574089050293, 0.6212269067764282, -0.0067037735134363174, -0.4469777047634125, -0.3669465482234955, -0.8495839834213257, 0.03656814619898796, 0.8270207643508911, -0.39013054966926575, 0.41426846385002136, -0.2715114951133728, 0.2415885031223297, 0.21189627051353455, -0.4236575961112976, -0.910000205039978, 0.3094576895236969, -1.106449842453003, 2.2074151039123535, -0.6740492582321167, -1.3247215747833252, 0.8553363680839539, 1.629141092300415, 0.6011310815811157, -0.020885637030005455, 1.0520837306976318, -0.5250900387763977, 0.26600751280784607, -0.3013259768486023, -0.6926921010017395, 0.9932945370674133, -0.8072208166122437, 0.5487067699432373, 0.09219980984926224, -0.21256272494792938, 1.2385456562042236, 0.1537606567144394, 0.021781988441944122, -1.180951476097107, -0.4055643081665039, -1.928881287574768, 0.6518455743789673, 0.22450225055217743, -0.3722357749938965, 0.31536316871643066, 0.1513431966304779, 0.7376959919929504, -0.367918998003006, 0.7882344722747803, -0.43456223607063293, -1.7093650102615356, -0.04440682753920555, 0.327479749917984, -1.5940089225769043, 1.5575286149978638, 0.6547060012817383, 0.051582351326942444, 0.7916541695594788, -0.38607916235923767, 0.7306022047996521, 0.50461345911026, 0.30489563941955566, 1.0816763639450073, 1.8612536191940308, 0.17050935328006744, 0.11009126156568527, -0.4727640748023987, -0.6459109783172607, 5.355764389038086, 1.0738579034805298, 0.007493393495678902, -1.8808839321136475, -0.20389904081821442, -0.6764434576034546, 0.26518476009368896, 0.06611518561840057, 0.40082019567489624, 0.7052239179611206, -0.08044877648353577, 0.6910677552223206, 0.5526368021965027, -0.207741379737854, -0.17703735828399658, 0.31977468729019165, -0.5675687789916992, -0.2694999575614929, 1.199820876121521, 0.02887049876153469, 0.2438509166240692, -0.2832707166671753, -0.9914478659629822, -1.303488850593567, 1.0611696243286133, -0.40783268213272095, 0.31265854835510254, -1.2772729396820068, 1.4717671871185303, 0.3910292983055115, -0.34421461820602417, 0.40868550539016724, 0.7194057106971741, 0.21624697744846344, 0.7409489750862122, 0.2805134356021881, -0.5806726217269897, 0.18686288595199585, -0.430683434009552, -0.04426500201225281, 0.06731245666742325, -0.30253878235816956, -0.7485914826393127, -0.10973760485649109, -0.33036428689956665, -0.21989668905735016, -0.8008884191513062, -0.5208825469017029, 0.06797963380813599, 0.14481303095817566, -0.1514354646205902, 0.48305049538612366, -0.2927001416683197, -1.100989580154419, -1.2146646976470947, 0.36734539270401, -0.023261958733201027, 0.5186052322387695, -0.9304949045181274, -0.5619508624076843, 0.05874995142221451, -0.3133128583431244, 1.292568325996399, 0.18007615208625793, 0.8486508131027222, 0.15065598487854004, -0.5012224912643433, 1.8695327043533325, -0.21256352961063385, -0.28795093297958374, -0.7247806191444397, 0.5305464267730713, -0.34478238224983215, 0.203170508146286, 0.36095428466796875, 0.9051036834716797, -0.5427035689353943, 0.09125582128763199, -1.3063368797302246, -0.9157832264900208, -1.6522555351257324, -0.4776853621006012, 0.1495114117860794, -0.3740484416484833, -0.5101106762886047, -0.16932283341884613, 0.17408674955368042, 0.22203278541564941, 0.8645473122596741, -0.4768062233924866, -0.44172558188438416, 1.381878137588501, -0.037434473633766174, -1.3469374179840088, -0.5631664991378784, 0.5319399833679199, -0.8045443892478943, -0.703321099281311, -1.4127848148345947, 1.712432861328125, 0.09052743017673492, -0.16074113547801971, -0.6293811798095703, 1.1933836936950684, -0.6102684140205383, 0.19662430882453918, 0.41737741231918335, 0.04381794109940529, -0.5470616817474365, 0.3806716203689575, 0.6473245620727539, -0.9491982460021973, -0.5176762938499451, -0.6361750364303589, -0.2873058617115021, 0.39041951298713684, -0.9922962784767151, -0.4384779632091522, 0.24751712381839752, -0.6058777570724487, 0.1783464252948761, 0.34257856011390686, 0.3413182199001312, -0.46053051948547363, -0.502701461315155, -0.00224632048048079, -0.13246430456638336, -0.2744511067867279, 0.31894269585609436, -0.13258297741413116, -0.29617971181869507, 0.033852364867925644, 0.33314433693885803, 0.6712678074836731, -0.9918974041938782, -0.03060835599899292, -0.26625701785087585, 0.8248553276062012, -0.6422783136367798, -0.06382957845926285, 0.8259090781211853, -0.4638184607028961, -0.13437971472740173, 1.2585020065307617, -0.4320743680000305, -0.22030296921730042, 0.21432127058506012, 0.41742464900016785, 1.1775540113449097, 0.7029435038566589, -0.8990535140037537, -0.3695886731147766, 0.5001005530357361, 0.043289683759212494, -0.05767684057354927, 0.41171184182167053, 0.5213626027107239, -0.18536531925201416, -0.5001347661018372, 0.4898238778114319, -0.09096352010965347, 0.2760258913040161, 0.6765164732933044, -0.883050262928009, 0.2243928760290146, 0.2652149200439453, -0.9600468873977661, 0.18794600665569305, -0.1330079734325409, 0.30228888988494873, -0.38609105348587036, 0.5355585217475891, 1.0183578729629517, 0.26658931374549866, -1.5008211135864258, -0.3773820698261261, -0.3454255163669586, -0.12196990102529526, 1.1708695888519287, -0.6679434776306152, 0.21813882887363434, 0.5776199698448181, -1.617210865020752, -0.09218768775463104, -0.4037463963031769, -0.1938008815050125, -1.3250455856323242, 0.9765434265136719, -0.5973102450370789, 0.49032196402549744, 0.40446487069129944, 0.224307581782341, 0.3476850092411041, 0.3481152057647705, -0.3501336872577667, -0.17824015021324158, 0.44909727573394775, 0.9020531177520752, -0.2412116378545761, -0.6875620484352112, 0.2679607570171356, -0.952325701713562, -1.1392427682876587, 0.35844752192497253, -1.143412709236145, 0.23728370666503906, -0.10442550480365753, 0.9593493938446045, 0.3969404697418213, 0.7731677889823914, 0.25805339217185974, -0.6459105014801025, -0.39568987488746643, -0.2652929425239563, -0.2017737478017807, 1.0529783964157104, -0.7713446021080017, 0.257436066865921, -0.1784946173429489, 0.09005517512559891, -0.12120875716209412, 0.7981582283973694, -1.6225602626800537, -0.38838085532188416, 0.11111275106668472, -0.20738822221755981, 1.0568408966064453, -0.9053962230682373, -0.2794587314128876, -0.49639493227005005, 0.7568286061286926, 0.6793202757835388, 0.3607371151447296, 0.34024038910865784, 0.5853351354598999, -0.9364170432090759, -0.3081269860267639, 0.19034899771213531, 0.4568905532360077, -1.1390595436096191, 0.016792582347989082, 0.23568624258041382, -0.22442905604839325, 0.5828157067298889, 0.18992102146148682, -0.12051934748888016, -0.7416098713874817, 1.0754063129425049, -0.5035667419433594, -0.4242015480995178, -0.684725821018219, -0.2071678638458252, 0.9275107383728027, -0.4891914129257202, 1.5025399923324585, 1.379982352256775, 0.406075119972229, -0.9209190607070923, -1.2288188934326172, 0.2879643738269806, 1.032515287399292, 0.6450366973876953, 0.14075933396816254, 0.3144679367542267, -1.4603770971298218, 0.5639897584915161, 0.108265720307827, 0.5563309788703918, 0.11189039051532745, -0.24670258164405823, 0.021747304126620293, 0.0907486081123352, 1.0433902740478516, 0.1093946248292923, 0.33279770612716675, 0.3281410336494446, 0.42064401507377625, 0.0756213515996933, -0.16193020343780518, -0.5149897933006287, -0.34349408745765686, 0.3633042573928833, -0.12333617359399796, 1.2842891216278076, -0.3891848921775818, -0.10523141175508499, 0.03623958304524422, -0.17160587012767792, -1.3319357633590698, 1.284608006477356, 0.036068420857191086, 0.39252978563308716, -0.6062341928482056, -0.41595691442489624, 0.8605645298957825, -0.7402907013893127, 0.38201528787612915, 0.5550123453140259, -0.5005906224250793, 0.026128683239221573, -0.05973589047789574, 0.2548161745071411, 1.1227612495422363, 0.43847984075546265, 0.7799274921417236, -0.6489077806472778, -0.6441982984542847, 0.19527670741081238, 0.30718064308166504, 0.524319052696228, -0.026004599407315254, 0.023574985563755035, 0.4063456356525421, -0.6728699803352356, 1.2137147188186646, -0.396268367767334, 0.7040159106254578, 0.5030129551887512, -0.3516489863395691, 0.5604943037033081, -0.8483242392539978, -1.3021588325500488, 0.052926886826753616, 0.014193735085427761, 1.9617033004760742, -0.25911077857017517, -0.06648430228233337, -0.9980719685554504, 0.51678866147995, -0.2502068877220154, -0.451532244682312, -0.7779079675674438, 0.000054578398703597486, 0.15032008290290833, -0.44473883509635925, -0.9970059990882874, -0.1927119791507721, 0.9727402329444885, -0.8828056454658508, 0.3660709261894226, 0.4007244408130646, 0.1529427021741867, -0.5040913820266724, -0.32759007811546326, -1.5010381937026978, -0.9530483484268188, 0.5828675031661987, 0.22645814716815948, 0.4344104528427124, -0.4873703718185425, -1.4696990251541138, -0.40831005573272705, 0.5699892044067383, -0.28359878063201904, -0.574407696723938, -0.9303339719772339, 0.17417947947978973, 0.7818314433097839, 0.9825333952903748 ] ]
{ "indices": [ 1308688855, 1960040400, 3062174764, 1031134330, 1042626614, 1491351846, 358389376, 2391722386, 2257684172, 1904070401, 3341589273, 997012898, 1246280156, 1481737067, 2183041579, 722829366, 1260586891, 143180323, 2044745418, 3476027533, 2895605406, 3355129947, 3997133275, 2733467792, 1404934148, 2035475614, 1590456296, 2067848296, 1551089265, 1063320047, 1230423685, 2046009338, 2087367745, 3162296784, 3066577729, 1432087569, 820773949, 1911071232 ], "values": [ 0.437832984944017, 0.795674594210636, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.6090178616413705, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.6090178616413705, 0.437832984944017, 0.437832984944017, 0.7002840462635568, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.6090178616413705, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017, 0.437832984944017 ] }
{ "context": "So this is number of bits. ALU function selection, we needed two bits and memory, we had two one bit signals. So if you add them all up, you get 25. So every FSM state in the LC3, you have to specify 25 bits to say, well, how do you implement that particular state? Any questions on this before we start looking at examples? Well, you can set them to don't care. But if you're calculating logic to build a finite state machine, you've got to put bits." }
644688
[ [ -0.5012313723564148, -0.8454194664955139, -0.11316026747226715, -0.7608990669250488, 0.22589997947216034, -0.15386702120304108, -0.5429245233535767, 0.36144059896469116, 0.6728708148002625, -0.8300139904022217, 0.5917467474937439, 0.55224609375, 1.174068570137024, 0.290033221244812, 0.4603522717952728, 0.05655795708298683, 0.8511132001876831, -0.4681797921657562, -0.9015766382217407, -0.10646874457597733, 0.36349689960479736, -0.8641732931137085, -0.2287478893995285, -0.42464175820350647, -0.6120497584342957, 0.09271400421857834, 0.6108830571174622, -0.38755831122398376, 0.7116026282310486, 0.7707183361053467, -0.5179789662361145, -0.8420780301094055, 0.4189649820327759, -0.4248683750629425, 0.5664005875587463, 0.14649999141693115, -0.10751235485076904, -0.2768423855304718, -0.43638136982917786, 1.189245343208313, -0.7762959599494934, 0.3295586407184601, 0.08260293304920197, 1.0119284391403198, -0.5221209526062012, 0.5624103546142578, 0.07197029888629913, 0.615818977355957, 0.998212456703186, -0.7127213478088379, 1.151955246925354, -0.914210319519043, 0.3343466818332672, 0.4942690432071686, 0.3166728615760803, 0.32970839738845825, 0.7503078579902649, 1.9429354667663574, 0.11263946443796158, -0.7354720234870911, -0.749347448348999, -0.5558791756629944, 0.06341061741113663, -0.25772401690483093, -0.3165123462677002, -0.9658136367797852, 0.6329550743103027, -0.13748101890087128, 0.7873201370239258, -0.018327413126826286, -0.42320019006729126, -0.2974065840244293, 0.335299015045166, 0.26755520701408386, 0.8105257749557495, -0.7598967552185059, 0.47872817516326904, 0.1305718719959259, 0.7306002378463745, 0.9134467244148254, -0.7382566332817078, -0.020118022337555885, -1.2663791179656982, 0.12142215669155121, 0.2897479236125946, -1.0560801029205322, 1.9055835008621216, -0.32721641659736633, 0.4614747166633606, 0.27003714442253113, 0.4664515554904938, -0.07565339654684067, 0.8497985601425171, -0.6942529082298279, -0.34173449873924255, 0.13133041560649872, 0.9257212281227112, 0.8030261397361755, -0.3675543963909149, -0.4046769440174103, 0.8227663636207581, -0.9676715731620789, 0.07532083243131638, 1.0289679765701294, -0.014218240976333618, -0.2365427017211914, -0.4610598385334015, -0.5155794024467468, -0.822622537612915, 0.5365567207336426, -1.302378535270691, 0.8735784292221069, -0.7518832087516785, 1.0529080629348755, 0.27820852398872375, -0.3472817838191986, -1.066025972366333, -0.23028573393821716, 0.5272286534309387, 0.7687273025512695, 0.7305600047111511, -0.2287168800830841, 0.4458267390727997, -0.4043329060077667, -1.6667590141296387, 1.0550792217254639, 0.30333778262138367, 0.16970597207546234, -0.6616261601448059, 0.6486188173294067, 0.006999741308391094, 0.16619926691055298, -0.1744229942560196, 0.20519427955150604, 0.03731759265065193, 0.9559297561645508, -1.6195733547210693, -1.947519302368164, 0.8784608840942383, 0.21226631104946136, -0.24391111731529236, -1.2470194101333618, -0.14770235121250153, 0.938688337802887, 1.049673080444336, 0.5433471202850342, 0.8320552706718445, 0.5588099360466003, -0.6911696791648865, 0.4368499517440796, -0.8004510998725891, -0.017167789861559868, -1.2413685321807861, -0.35956573486328125, -0.18530504405498505, -0.24764332175254822, -0.3960729241371155, 0.14040203392505646, -0.7891025543212891, -0.6861541867256165, 0.9321062564849854, -0.2531043589115143, -0.18926416337490082, 0.3213641941547394, -0.8507483005523682, -1.3597655296325684, 1.423624038696289, -0.2223929613828659, 1.0212631225585938, 0.7212278246879578, -0.13584423065185547, 0.6353021860122681, -0.31847184896469116, 0.675350546836853, 1.7115428447723389, -0.7666549682617188, 0.30443432927131653, -1.9202913045883179, -0.4519123136997223, -0.37544843554496765, -0.3364354372024536, -0.9677713513374329, 0.02032790333032608, -0.06977587938308716, -0.23842348158359528, 0.42587578296661377, -0.2014213651418686, 0.29184889793395996, 1.6807969808578491, -1.8490744829177856, -0.5375609397888184, -0.3066459000110626, 1.0452243089675903, -0.1380017101764679, -1.2239841222763062, -0.7144008278846741, -0.11018841713666916, -0.0311750415712595, 0.30094775557518005, -0.609684944152832, -0.22765859961509705, 0.4820971190929413, 0.2355974167585373, -0.5053933262825012, 0.38379746675491333, 0.25840649008750916, 0.5686008334159851, -0.4553399384021759, 0.7249994277954102, -0.3687739670276642, -0.303618848323822, 0.8751280307769775, -0.4284485876560211, 0.5752074718475342, 0.07250877469778061, 0.3882048726081848, -0.5679960250854492, 1.1141327619552612, 0.30720433592796326, 0.2014399915933609, -1.4932199716567993, 1.311875820159912, 1.9976624250411987, 0.6168561577796936, 0.5853865742683411, 0.9687216877937317, -0.3306301236152649, -0.6694965362548828, 0.21317675709724426, -0.32046040892601013, -0.614380955696106, -0.7233953475952148, 0.3268267512321472, 0.4772917330265045, -0.5998694896697998, -0.18870465457439423, 1.9190057516098022, 0.7089337110519409, 0.8240825533866882, 0.47267889976501465, -1.239321231842041, 0.6952762007713318, 0.3435630202293396, 1.1138826608657837, 0.03982659429311752, 1.0825161933898926, 0.7364224195480347, 1.2754161357879639, -0.09915574640035629, -0.08095205575227737, -0.7019532322883606, 0.9212709069252014, 0.24266651272773743, -0.41709980368614197, -0.03553815558552742, -0.9948726296424866, 1.0884990692138672, -0.5937178134918213, -0.09862963110208511, 0.9406518936157227, -0.2736477553844452, 0.013405106961727142, -0.021607866510748863, -0.5222129821777344, 0.2667834460735321, 0.36087238788604736, -0.6017739176750183, -1.1411895751953125, 0.42054620385169983, -0.5594675540924072, 1.253548502922058, 0.4210193455219269, -0.8019505739212036, -1.3042311668395996, 0.13224826753139496, 0.4520100951194763, -0.48488226532936096, 1.1717538833618164, -1.4203752279281616, -0.5266484618186951, -0.07024191319942474, 0.3510296940803528, 0.8140853047370911, 0.06454796344041824, -1.9671351909637451, 0.39958178997039795, 0.24019089341163635, -1.1345552206039429, 0.32924360036849976, 0.3874266743659973, -0.9007807374000549, 0.14815960824489594, 0.15102745592594147, -0.9043146967887878, -0.8081730008125305, 0.07449465990066528, -0.11012442409992218, -0.23070573806762695, 0.08144731819629669, 1.219045877456665, 0.3581567704677582, -1.2084767818450928, -0.025909423828125, -1.0229798555374146, 0.13964445888996124, 0.16595055162906647, -0.8684213161468506, -0.00515245134010911, -0.43057966232299805, 0.44934508204460144, -0.3466944396495819, -0.5156450867652893, -0.6965702772140503, -0.9127142429351807, -1.1152273416519165, 0.3997282087802887, 0.6746637225151062, 1.2203643321990967, -0.16910672187805176, -0.43538615107536316, 0.9945604205131531, 0.8570750951766968, -0.8810753226280212, -0.8788449168205261, 0.31765785813331604, -0.4793650805950165, -0.8761651515960693, -0.1568698287010193, 1.432647466659546, 0.16182783246040344, 0.8610848188400269, -0.031174076721072197, 0.6728307008743286, 0.8590496182441711, -0.5190631747245789, -0.7066746354103088, 0.2633657157421112, 0.4895535409450531, -0.8855311870574951, -0.00841545220464468, 0.9905238151550293, -0.38012462854385376, 0.5140403509140015, -0.3043473958969116, 0.7056326270103455, 0.3970993161201477, -0.8950976133346558, 0.5918344855308533, -0.11994845420122147, 1.0055443048477173, 0.18560048937797546, -0.5020105838775635, 0.6126487255096436, -1.1662501096725464, -0.23584401607513428, 0.2445499300956726, 1.0750389099121094, -0.5050617456436157, -0.4297125041484833, 0.94222092628479, 0.6003555059432983, -0.5000771880149841, -0.09578900039196014, 0.0683278888463974, -0.2793327271938324, 0.3234969675540924, -0.11623220890760422, -0.2457335740327835, 0.3897382616996765, -0.23684944212436676, 0.8652932047843933, 0.3386727273464203, -0.4534633159637451, -0.03053417056798935, -1.2691978216171265, -0.03645610436797142, 1.2129271030426025, 0.7585285305976868, 0.06993982195854187, 0.8953853845596313, 0.25831878185272217, 0.1094389334321022, -0.1903609037399292, -0.3493686318397522, -1.8583335876464844, 0.05501085892319679, 0.26342594623565674, 0.4990217089653015, 0.5152522921562195, -0.17584411799907684, 0.5293824076652527, -0.8027133345603943, -0.7173731327056885, -0.06812804192304611, -0.20628565549850464, -0.6079961657524109, 0.38266339898109436, 0.028413554653525352, -0.33995282649993896, 0.45980581641197205, 0.554472029209137, 1.746384620666504, 0.2049676775932312, 0.10090845823287964, 0.9744489789009094, 0.07438327372074127, -0.25664523243904114, -0.5294135808944702, 0.5305355191230774, 0.046008095145225525, 1.2574819326400757, 0.7323643565177917, 0.3735339045524597, -0.6306800842285156, -0.14296776056289673, 0.49853262305259705, 0.3836641311645508, 0.20044539868831635, -0.22919215261936188, 0.597023606300354, -0.7107236981391907, -0.900512158870697, -0.10053952783346176, 0.5117023587226868, 0.16542494297027588, 1.606237769126892, 0.6269503235816956, 0.18358367681503296, -0.14814811944961548, 1.2850958108901978, 0.8997612595558167, 0.0016559971263632178, -0.3023527264595032, -1.0094459056854248, 0.12975500524044037, 0.7533177137374878, -0.12905578315258026, 0.3308175206184387, 0.9322859644889832, 0.9365818500518799, -0.48837313055992126, 0.08701705187559128, -0.36792510747909546, -1.0997233390808105, 0.9388631582260132, -0.478584885597229, -0.018111109733581543, 0.1667526364326477, 0.8601701259613037, 0.9428032636642456, 0.42646411061286926, 0.19584304094314575, 0.4768308699131012, -0.9157165288925171, -2.851670503616333, 0.007816724479198456, 0.27234458923339844, 0.6772668361663818, -0.8937783241271973, -0.13267157971858978, -0.6562222838401794, -1.235526204109192, 0.718535304069519, -0.5590299963951111, 0.6477922797203064, -0.547118067741394, 0.5776399374008179, -0.7130573987960815, -1.2578098773956299, -0.797979474067688, 0.8984920382499695, -0.5232787728309631, -0.2684911787509918, -0.1915653645992279, 0.19844366610050201, 0.25288304686546326, 0.8933024406433105, 0.22528406977653503, 0.46254655718803406, -0.9790036678314209, -0.5232775211334229, -0.12556315958499908, 0.8676836490631104, -1.0442427396774292, -0.5808459520339966, -0.03554590046405792, -0.45505863428115845, -0.37608474493026733, 1.07023024559021, 0.024053582921624184, -0.524863064289093, 0.26477715373039246, -0.06499370187520981, -0.2289731353521347, -0.6661192774772644, -1.1451970338821411, 1.1890106201171875, 0.2266782820224762, 0.35145410895347595, 0.7894173860549927, 0.5740581750869751, -0.622086763381958, -0.12484219670295715, -0.31411007046699524, 0.06236761808395386, -0.9543278813362122, 0.8636606335639954, -0.40234294533729553, 0.0483652763068676, -0.596697211265564, 1.4624931812286377, -0.2650250494480133, -0.15852835774421692, 0.27809765934944153, -0.13429945707321167, -0.08293970674276352, 0.7998737096786499, -1.5787718296051025, 0.16521982848644257, 0.11650154739618301, 0.02497635781764984, -0.9653194546699524, -0.04662197455763817, 0.13893534243106842, -1.699043869972229, 0.45865291357040405, 0.49888017773628235, -0.4097259044647217, 0.02009168080985546, -1.1865780353546143, 0.44761016964912415, -0.0013906885869801044, -0.9418107271194458, 1.3329168558120728, 0.2667516767978668, 0.38198599219322205, 0.1256343126296997, -0.960016131401062, 0.055256959050893784, 0.3247349262237549, -0.5379733443260193, -0.04152977466583252, 0.23689477145671844, 0.5439603328704834, -0.24870800971984863, -0.19371214509010315, -1.5568773746490479, -1.0155739784240723, -0.1495530754327774, 0.026359613984823227, -0.1402599960565567, -0.48580560088157654, -0.019219964742660522, 0.6704649329185486, -0.7378996014595032, 0.15893907845020294, 1.228140115737915, -0.7341223955154419, 0.7162829637527466, 0.32433629035949707, 0.3614378571510315, 0.7080870270729065, 0.3928092122077942, 0.02168273739516735, 0.17305618524551392, 0.16057993471622467, 0.34863632917404175, -0.8343653082847595, -0.8080524802207947, -0.4414682388305664, -0.13159362971782684, -1.2965543270111084, -0.5888999104499817, 1.0909357070922852, 0.01877017691731453, -0.12164930254220963, 0.16407251358032227, -0.9033850431442261, 0.04479121416807175, 0.5144403576850891, -0.572744607925415, -0.29407399892807007, 0.5773743391036987, 0.033862899988889694, 0.5731481909751892, 0.5769482254981995, -0.16703926026821136, -0.6254665851593018, 0.7806791067123413, 0.5997816324234009, 1.0383245944976807, 1.749938726425171, 0.3090114891529083, 0.023342877626419067, 0.3866162598133087, 0.3218899965286255, 0.9662776589393616, -0.890259861946106, 0.1910361349582672, 0.45659303665161133, 0.059134721755981445, -0.4332793354988098, -0.3825891315937042, -0.7728531360626221, 0.09381390362977982, 1.1074283123016357, -0.7241661548614502, 0.39387086033821106, 0.5046992301940918, 0.4223439395427704, -0.0038079749792814255, -0.2636108994483948, -0.7289760708808899, 0.5198190808296204, -1.1263389587402344, 2.3306524753570557, -0.8297471404075623, -1.6720129251480103, 0.8871573805809021, 1.259912371635437, 0.7452064752578735, 0.1911790519952774, 1.0877678394317627, -0.5159205794334412, 0.2123851776123047, -0.7686656713485718, -0.6471381783485413, 0.775844931602478, -1.2222821712493896, 0.7688165903091431, 0.05995682626962662, -0.19409404695034027, 1.2306853532791138, 0.1939738690853119, 0.08755717426538467, -1.430433750152588, -0.8111512660980225, -1.9504057168960571, 1.3229871988296509, 0.49106699228286743, -0.5389465093612671, 0.3463307023048401, -0.2523288428783417, 0.6222389936447144, -0.4643152058124542, 0.8929094076156616, -0.40939879417419434, -1.6231900453567505, -0.24396191537380219, 0.06889545172452927, -1.3685892820358276, 1.641445517539978, 0.6622834205627441, 0.02717815525829792, 0.3225290775299072, -0.7272048592567444, 1.130375623703003, 0.10051820427179337, 0.014279432594776154, 0.7222048044204712, 1.5982743501663208, -0.02285519242286682, -0.1492341011762619, -0.3707672357559204, -0.7064365148544312, 4.825993537902832, 1.4688220024108887, 0.06617017835378647, -1.9155722856521606, -0.14438468217849731, -0.5667789578437805, -0.24013258516788483, -0.4022165536880493, 0.40624430775642395, 1.0331419706344604, 0.2885372042655945, 0.7586894631385803, 0.6338260173797607, -0.17071843147277832, -0.09973927587270737, 0.2478896975517273, -0.5125667452812195, -0.7872969508171082, 0.8500546813011169, 0.031634606420993805, 0.09149855375289917, -0.25508251786231995, -1.2886300086975098, -1.4151005744934082, 1.1400046348571777, -0.42005157470703125, 0.2645428478717804, -0.7153777480125427, 1.4616469144821167, 0.5063928365707397, -0.6313464045524597, 0.3204050362110138, 0.28869086503982544, 0.37777426838874817, 0.7096556425094604, 0.6012295484542847, -0.3126077950000763, 0.1098923534154892, -0.40214803814888, 0.09059053659439087, 0.17353904247283936, -0.09064029157161713, -0.9140822291374207, 0.3360595107078552, -0.4149511754512787, -0.5372682213783264, -0.5158804655075073, -0.9688361883163452, -0.08141510933637619, 0.27494001388549805, -0.42768409848213196, 0.5739273428916931, -0.6925702691078186, -1.1263196468353271, -1.5592342615127563, 0.4811224043369293, 0.06777472048997879, 0.21433642506599426, -0.8148875832557678, -0.2893986105918884, 0.3629622161388397, -0.4325031638145447, 1.0476164817810059, 0.5933132171630859, 0.9191781878471375, -0.06775172799825668, -0.8681278228759766, 1.9717950820922852, 0.008254894986748695, -0.4226073622703552, -0.8953413367271423, 0.4249393939971924, -0.4535956084728241, 0.16563011705875397, 0.2725786864757538, 0.7283201813697815, -0.6452377438545227, 0.1711171716451645, -0.7083653807640076, -0.8878163695335388, -1.0784084796905518, -0.352979838848114, 0.12123026698827744, -0.3153274655342102, -0.9708839058876038, -0.3135838806629181, -0.15489231050014496, 0.2630506157875061, 0.806125283241272, -0.08534175902605057, -0.26339030265808105, 1.2397454977035522, 0.11147259175777435, -1.1473573446273804, -0.07318928092718124, 0.7905046343803406, -1.215141773223877, -0.3304484784603119, -1.271296501159668, 1.4569294452667236, -0.3669440448284149, -0.16905827820301056, -0.00038932531606405973, 1.1035257577896118, -0.6530539989471436, 0.35607391595840454, 1.0134432315826416, 0.4071693420410156, -0.2109670639038086, 0.14532624185085297, 0.5599284768104553, -0.8022026419639587, -0.5405825972557068, -0.3268915116786957, -0.5643455982208252, 0.014409815892577171, -0.8890555500984192, 0.02525772713124752, -0.3486948609352112, -0.41258150339126587, 0.13506945967674255, 0.5705785751342773, 0.01222291961312294, -0.5238195061683655, -0.03356337174773216, 0.11887143552303314, -0.24281509220600128, -0.3404146134853363, 0.015204314142465591, -0.1311395764350891, -0.3736475110054016, 0.043771203607320786, -0.30592218041419983, 0.35263535380363464, -0.9564955234527588, -0.5761890411376953, -0.11880070716142654, 0.8842682838439941, -0.6665933132171631, -0.07995869219303131, 1.2815883159637451, -0.9354734420776367, -0.46558794379234314, 1.5951755046844482, -0.3952617347240448, 0.1142074316740036, 0.3273932635784149, -0.07863340526819229, 1.2063469886779785, 0.5582394003868103, -0.9053630232810974, 0.22624999284744263, 0.47909805178642273, 0.30718231201171875, 0.15999634563922882, 0.7678322792053223, 0.33822062611579895, -0.41875967383384705, -0.5288228988647461, 0.8889864087104797, -0.3045629560947418, 0.19221067428588867, 0.25255098938941956, -0.9441101551055908, 0.24145948886871338, 0.187040776014328, -0.7509262561798096, 0.17595218122005463, 0.1445872038602829, 0.7659380435943604, -0.25267884135246277, 0.3296009302139282, 0.9573589563369751, 0.4448385238647461, -1.7417207956314087, -0.3735281825065613, -0.4424726665019989, -0.5047825574874878, 0.6092325448989868, -0.664580762386322, 0.4096566140651703, 0.7090778946876526, -1.2609697580337524, -0.07441646605730057, -0.2813832759857178, 0.01559579186141491, -1.2863703966140747, 0.8307340145111084, -0.12730342149734497, 0.30717042088508606, 0.36834824085235596, 0.30272865295410156, 0.6890660524368286, 0.20005229115486145, -0.3376774489879608, 0.051458463072776794, 0.8094226121902466, 0.8852558732032776, -0.15289108455181122, -0.8782818913459778, 0.23286841809749603, -1.0273869037628174, -0.4704878330230713, 0.37350568175315857, -1.0739749670028687, 0.077854223549366, 0.2582513689994812, 1.1744673252105713, 0.5523906350135803, 0.7629171013832092, 0.3341217637062073, -0.6656013131141663, -0.18254515528678894, -0.591772735118866, 0.23758895695209503, 0.23225896060466766, -0.8956542015075684, -0.05322221294045448, -0.22986267507076263, 0.1103801429271698, -0.090322345495224, 0.7089409232139587, -1.4652254581451416, -0.13208183646202087, 0.33727824687957764, -0.27107128500938416, 0.8200103044509888, -1.1874549388885498, -0.5425313711166382, -1.1267321109771729, 0.953529953956604, 0.23629790544509888, 0.4198243021965027, 0.8454192876815796, 0.33980488777160645, -1.2172095775604248, -0.500592827796936, -0.035196226090192795, 0.02308724820613861, -1.2149128913879395, 0.040953293442726135, -0.023419804871082306, -0.015639934688806534, 0.8243088722229004, 0.0660894587635994, 0.163259357213974, -0.34698620438575745, 1.0772401094436646, -0.3251704275608063, -0.3392048180103302, -0.1496766209602356, -0.3882808983325958, 0.8099868297576904, -0.8080884218215942, 1.5395655632019043, 1.707059383392334, 0.5259271860122681, -1.0746740102767944, -1.2122063636779785, -0.29406508803367615, 0.7763296365737915, 0.9291288256645203, 0.08027532696723938, 0.20045727491378784, -1.449544072151184, 0.4334878623485565, 0.05528395622968674, 0.534479022026062, 0.21723094582557678, 0.17409071326255798, -0.4200045168399811, 0.34042471647262573, 1.3638828992843628, 0.3132570683956146, -0.3261127769947052, 0.2920142710208893, -0.09240623563528061, -0.03928476944565773, -0.2364978939294815, -0.2989327013492584, -0.23432302474975586, 0.680922269821167, -0.4090256094932556, 1.3905024528503418, -0.054220832884311676, -0.3158330023288727, 0.3056394159793854, 0.2354077249765396, -1.4049493074417114, 1.135196566581726, 0.36571601033210754, 0.6038033366203308, -0.2917984127998352, -0.6537059545516968, 0.9730303287506104, -0.7557144165039062, 0.38265472650527954, 0.6523725390434265, -0.8340065479278564, 0.07326383888721466, 0.5885738730430603, 0.11622005701065063, 1.3160808086395264, 0.07379605621099472, 0.5484600067138672, -0.582892119884491, -0.5449323654174805, 0.30889347195625305, 0.2138615846633911, 0.47458764910697937, -0.10546381771564484, -0.25753289461135864, 0.5933687090873718, -0.5025705099105835, 1.330356240272522, -0.24876239895820618, 1.4022817611694336, 0.34088441729545593, -0.2693657875061035, 0.34589120745658875, -0.8175878524780273, -1.1024978160858154, 0.12715478241443634, -0.33836832642555237, 1.7292050123214722, -0.6841400265693665, -0.04688932001590729, -1.0816863775253296, 0.4095582067966461, -0.510409951210022, 0.006073202006518841, -0.5848637223243713, -0.040096815675497055, 0.052042119204998016, -0.7909654378890991, -0.7185258269309998, 0.11047724634408951, 1.1060787439346313, -0.8275848627090454, 0.5687325596809387, 0.26383405923843384, 0.6605836749076843, -0.29412978887557983, -0.21817633509635925, -1.6006889343261719, -1.1812946796417236, 0.99713134765625, 0.0882144346833229, 0.2171940803527832, -0.17449665069580078, -2.089677333831787, -0.577221691608429, 0.622140109539032, -0.37779614329338074, -0.6765100359916687, -1.0026191473007202, 0.6327595710754395, 1.0181431770324707, 0.914548397064209 ] ]
{ "indices": [ 1481737067, 2183041579, 722829366, 1260586891, 143180323, 1246280156, 1960040400, 2044745418, 3476027533, 2895605406, 3355129947, 3997133275, 2733467792, 1404934148, 2035475614, 1590456296, 2067848296, 1551089265, 1063320047, 1230423685, 2046009338, 2087367745, 3162296784, 3066577729, 1432087569, 820773949, 1911071232, 3928038441, 1598346136, 691409538, 4186256544, 2578007438, 3586802366, 3915229131 ], "values": [ 0.4633143131816905, 0.4633143131816905, 0.721437915187976, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.721437915187976, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905 ] }
{ "context": "So every FSM state in the LC3, you have to specify 25 bits to say, well, how do you implement that particular state? Any questions on this before we start looking at examples? Well, you can set them to don't care. But if you're calculating logic to build a finite state machine, you've got to put bits. So just like in any other logic design, it's going to output real bits, however you build it." }
566057
[ [ 0.2627551257610321, -0.6494808197021484, 0.2812754809856415, 0.07876142859458923, 0.35911381244659424, -0.12178946286439896, -0.643937885761261, 0.49022620916366577, 0.5167364478111267, -0.57436603307724, 0.7904701828956604, 0.6525049209594727, 0.27894893288612366, 0.46199125051498413, 0.21387171745300293, -0.062186069786548615, 0.7261733412742615, -0.5631568431854248, 0.09961529821157455, -0.23658430576324463, 0.7405479550361633, 0.08399619907140732, -0.22645719349384308, -0.29141169786453247, -0.7893862724304199, 0.9283226728439331, 0.0820767730474472, -0.7249413132667542, 0.08975538611412048, 1.0810593366622925, -0.4044625163078308, -1.3006203174591064, 0.6127877235412598, -0.5362610220909119, 1.8366360664367676, 0.1763755977153778, -0.2775691747665405, -0.3559207022190094, 0.28550782799720764, 0.7759054899215698, -0.3639548420906067, 0.6059855818748474, -0.3427351415157318, 0.6095576286315918, -1.0123900175094604, 0.1853124350309372, -0.3804899752140045, -0.20744860172271729, 0.9544153213500977, -0.4110617935657501, 0.7760422229766846, -0.2008075714111328, 0.8629988431930542, 0.2867403030395508, 0.14557118713855743, 0.5827248692512512, 0.9847187995910645, 1.6020931005477905, 0.3362560570240021, -1.2365096807479858, -0.02616053633391857, -0.3335278630256653, -0.12805414199829102, -0.41790860891342163, -0.02416171319782734, -0.3228437304496765, -0.5429276823997498, -1.1535041332244873, 0.8100945949554443, -0.031958095729351044, -0.8510854840278625, 0.23423117399215698, 0.35532909631729126, 0.09776093810796738, 0.4415230453014374, -0.6607200503349304, 0.15118356049060822, 0.3408217430114746, 0.4340971112251282, 0.8934917449951172, -0.751742422580719, 0.1144288033246994, -0.3958089351654053, 0.15055420994758606, 0.49737903475761414, -0.7013470530509949, 1.2393063306808472, -0.20061710476875305, -0.005748126655817032, 0.8506311178207397, 0.35100257396698, -0.415222704410553, 1.5549635887145996, 0.14635953307151794, -0.8313637375831604, -0.13021057844161987, 0.6644589304924011, 1.2196439504623413, 0.26556187868118286, -0.8169237375259399, 0.4851895868778229, -0.9445486068725586, -0.3047434687614441, 1.5756676197052002, 0.12682940065860748, 0.3067629039287567, -0.25343650579452515, -0.09831499308347702, -0.9346912503242493, 0.212162584066391, -1.0563554763793945, 0.8906375765800476, -0.6480236053466797, 0.7364928722381592, -0.14136986434459686, -0.6634557843208313, -0.5035094618797302, -0.5934239029884338, 1.2018024921417236, 0.9907951951026917, 0.721127986907959, 0.5280104875564575, 0.9023759365081787, -0.3928203284740448, -1.7474870681762695, 0.8241709470748901, 0.31536242365837097, 0.21536073088645935, -0.7055110931396484, 0.6728615164756775, 0.378273606300354, 0.19066278636455536, -0.3200981914997101, -0.04495687410235405, 0.46556556224823, 0.4201834201812744, -1.7685908079147339, -1.0215235948562622, 0.8640944361686707, 0.1287415474653244, -0.49850860238075256, -1.8115174770355225, 0.029145371168851852, 0.9801314473152161, 1.026729941368103, 0.7779649496078491, 0.5799631476402283, 0.35783669352531433, -0.3177785575389862, 0.17582058906555176, -0.8065009713172913, 0.07533209770917892, -1.179696798324585, -0.09488435834646225, -0.5498422384262085, -1.2212722301483154, 0.10045704990625381, -0.1161762997508049, -0.47848019003868103, 0.4486168920993805, 0.11988257616758347, -0.504702627658844, 0.16091053187847137, -0.37407562136650085, -0.8434877991676331, -0.5721352696418762, 1.495510220527649, -0.15968257188796997, 0.4832353889942169, 1.247835636138916, 0.2114706188440323, 0.09656861424446106, 0.18213492631912231, 0.2120787799358368, 1.1330862045288086, -0.451778382062912, 0.3597690463066101, -0.11675786972045898, -0.010908335447311401, 0.32332107424736023, -0.36739298701286316, -0.6179273724555969, 0.028534380719065666, 1.4520859718322754, 0.45071443915367126, 0.20813852548599243, -0.5756754279136658, -0.013028208166360855, 0.8960782289505005, -0.9593328237533569, -0.7761216759681702, -1.0626429319381714, 0.9023072123527527, -0.20964594185352325, -0.36925235390663147, -0.9337102770805359, -0.6395614147186279, -0.08771636337041855, 0.4256420135498047, -0.783665657043457, -1.194180965423584, 0.7131528854370117, 0.8853108286857605, -0.7893669605255127, -0.153034046292305, 0.6596153974533081, 1.3404173851013184, -0.5362884402275085, 0.7116959691047668, -0.5165115594863892, -0.3794705867767334, 0.46207207441329956, -0.3569320738315582, 0.06732822209596634, 0.5293479561805725, -0.15757636725902557, 0.2655906081199646, 0.40044307708740234, -0.3944076597690582, 0.029902638867497444, -1.1049705743789673, 0.8896976113319397, 1.9486253261566162, 1.2979629039764404, 0.412634015083313, 0.3381686806678772, -0.23333342373371124, -0.2777177393436432, 0.4221237599849701, -0.8085159063339233, -0.6343059539794922, -0.964273989200592, 0.23117724061012268, 0.05203426629304886, -0.09329162538051605, 0.16492128372192383, 1.545279622077942, 0.7301574945449829, 0.8315056562423706, 0.16068241000175476, -1.3549572229385376, 0.9733338952064514, 0.3293110728263855, -0.17208974063396454, 0.37916460633277893, 1.3276628255844116, 0.13202707469463348, 1.3215879201889038, -0.17188683152198792, 0.017338396981358528, -0.5946042537689209, 0.6531606316566467, 0.4102848172187805, -0.8185914754867554, 0.5544386506080627, -1.338576316833496, 0.24941137433052063, -0.958490788936615, -0.30374857783317566, 0.7621679902076721, -0.26728492975234985, -0.08736688643693924, -0.6512357592582703, -0.7607762217521667, 0.1561809927225113, -0.0232553631067276, -0.016423603519797325, -0.8651891946792603, 1.6361083984375, -0.1322925090789795, 0.6548197269439697, 0.8292985558509827, -0.3085646331310272, -0.6599442958831787, 0.36778804659843445, 0.9660153985023499, -0.1672201305627823, 1.1508580446243286, -0.5130177140235901, -0.2078707069158554, -0.17134805023670197, 0.18741342425346375, 0.09258080273866653, -0.48588839173316956, -1.6007466316223145, 0.34452688694000244, -0.6578618288040161, -0.79097980260849, 0.5160499811172485, 0.4495842754840851, -0.872715175151825, 0.3445533514022827, -0.3268096446990967, -0.9530494809150696, 0.39653661847114563, 0.35435599088668823, 0.20991191267967224, 0.4086282253265381, -0.2733958959579468, 0.7636204361915588, 0.14138416945934296, -1.3839139938354492, 0.1599370539188385, -1.1746797561645508, -0.34718891978263855, 0.30485811829566956, -0.9366401433944702, -0.8071461915969849, -0.5649288892745972, 0.11135708540678024, -0.1414269357919693, -0.18149305880069733, -0.17394715547561646, -1.1222591400146484, -1.4498074054718018, 0.011406377889215946, 0.3163359761238098, 0.857406497001648, -0.2028227299451828, -0.48587027192115784, 1.1475436687469482, 0.9530617594718933, -0.9691632986068726, -0.3864891529083252, 0.14107537269592285, -0.2109512984752655, -0.8359577655792236, -0.2669259011745453, 0.7921867370605469, 0.4260532259941101, 0.2658686935901642, -0.5707769393920898, 1.184421181678772, 1.1488301753997803, -0.2329232543706894, -1.2890973091125488, 0.18782539665699005, 0.10566828399896622, -0.6437630653381348, -0.032472867518663406, 0.7683368921279907, 0.6097005009651184, 0.48308107256889343, 0.2235046625137329, 0.9927612543106079, 0.28781747817993164, -0.6029465794563293, 0.7412991523742676, 0.036146558821201324, 0.4912048280239105, -0.14514365792274475, -0.0637815073132515, -0.039833974093198776, -0.45049309730529785, -1.0793126821517944, 0.6568354964256287, 0.7525287866592407, -0.6221545934677124, 0.023394683375954628, 0.8210829496383667, 0.8074142336845398, -0.027511892840266228, -0.3104707598686218, -0.7763659358024597, 0.446579247713089, 0.47497090697288513, -0.5673744678497314, -0.012709511443972588, 0.7949030995368958, 0.12514221668243408, 0.5425707697868347, 0.5105065703392029, -0.5584271550178528, -0.6977865695953369, -1.8625760078430176, -0.6638085842132568, 0.05966748297214508, 1.0368359088897705, 0.4782889187335968, 0.8268249034881592, -0.1756332516670227, 0.12044396996498108, -0.22814223170280457, -0.0728435143828392, -1.6151978969573975, 0.35801059007644653, 0.5240066647529602, 0.15803687274456024, 0.2599015235900879, -0.36434030532836914, 0.37165960669517517, -0.20868204534053802, -0.635820746421814, -0.23368439078330994, -0.11479908972978592, -1.1254353523254395, 0.5324494242668152, -0.0661875456571579, -0.04031289368867874, 0.5872323513031006, 0.2921016812324524, 1.9889400005340576, 0.8261601328849792, 0.5534202456474304, 0.09039214998483658, -0.04690992832183838, -0.3873082399368286, -0.24781976640224457, 0.581089198589325, 0.3104057013988495, 0.7057682275772095, 0.8154717683792114, 0.5525919198989868, -0.6025975942611694, -0.35582900047302246, 0.2854040265083313, 0.9915151000022888, 0.6537526845932007, 0.4306948781013489, -0.4594736099243164, -0.4301687777042389, -0.05274512618780136, 0.21216005086898804, 0.9483439922332764, -0.7316004037857056, 1.2990179061889648, 0.6337947249412537, 0.08707676082849503, 0.11374538391828537, 1.2524116039276123, 1.0955144166946411, -0.27333948016166687, -0.425991952419281, -0.8318556547164917, -0.36272749304771423, -0.020926108583807945, -0.36513182520866394, 0.19120925664901733, 0.9963319301605225, 0.5245085954666138, -0.6517179608345032, 0.35232943296432495, 0.025333346799016, -1.5073025226593018, 0.1792919784784317, -0.33203983306884766, -0.59511399269104, -0.6315569877624512, 0.8246560096740723, 0.09420054405927658, 0.4225143790245056, -0.10270902514457703, -0.06230612471699715, -0.67826908826828, -2.6642420291900635, -0.5025042295455933, 0.3942667841911316, 0.567280650138855, -0.6738389134407043, -0.015567566268146038, -0.5440402626991272, -0.7715193033218384, 0.7475986480712891, -0.8967413902282715, 0.8506725430488586, -0.8707990646362305, 0.25769150257110596, -0.3749248683452606, -1.707022786140442, -1.0438194274902344, 0.9904561638832092, -0.0511651411652565, 0.3105463683605194, 0.07623191177845001, -0.15557566285133362, 0.050811778753995895, 0.44487693905830383, 0.3685406744480133, 0.32902437448501587, -0.0941239669919014, -0.6714445948600769, 0.18605002760887146, 0.5464944839477539, -1.2961891889572144, -0.8961941003799438, 0.5559157133102417, -0.9237123131752014, -0.8029791116714478, 0.7888515591621399, -0.4555974006652832, -0.43271225690841675, 0.4917117953300476, -0.1917877346277237, -0.7435108423233032, -0.7061823010444641, -1.0800988674163818, 0.8727420568466187, -0.5131321549415588, 0.6126940846443176, 0.46505317091941833, 0.15437784790992737, -0.4449279308319092, -0.2845728099346161, -0.3170225918292999, 0.24447371065616608, -0.5025931596755981, 0.621812105178833, -0.7834458351135254, -0.6230124831199646, -0.6234888434410095, 0.8504156470298767, 0.1045583039522171, -0.13194811344146729, -0.135595440864563, -0.21322891116142273, 0.00048183841863647103, 1.3745015859603882, -1.0012810230255127, 0.43736696243286133, -0.1677422672510147, 0.2905324697494507, -0.6635042428970337, 0.08798857778310776, 0.5325641632080078, -1.5289907455444336, 0.4283493757247925, 0.7404330372810364, 0.11747628450393677, 1.014277696609497, -0.4752556085586548, 0.15300777554512024, 0.3228079378604889, -1.0765888690948486, 0.9428262114524841, 0.6837066411972046, 0.7226948142051697, -0.021367579698562622, -1.0662345886230469, -0.31413689255714417, 0.8071680665016174, -0.02963119186460972, 0.33712804317474365, -0.39642250537872314, 0.695650041103363, 0.6065466403961182, -0.15814843773841858, -0.5006842613220215, -1.3261545896530151, -0.4347406029701233, 0.337558776140213, 0.3644721806049347, -0.8525339961051941, -0.054701805114746094, 0.2739146649837494, -1.0175575017929077, 0.5313783884048462, 1.566663384437561, 0.341694176197052, 0.20976655185222626, 0.14587298035621643, 0.05129999667406082, 1.164687156677246, 0.6804269552230835, 0.31232982873916626, 0.03649945929646492, -0.3010644018650055, -0.6509295105934143, -0.6811314821243286, -0.995823085308075, -0.4350346624851227, -0.08745254576206207, -1.056062936782837, -0.5003700256347656, 0.9029076099395752, 0.03437591716647148, 0.6092474460601807, 0.07904906570911407, -0.7287883758544922, -0.48640090227127075, -0.652662456035614, -0.6309502124786377, -0.3121897578239441, 0.23372524976730347, 0.08832724392414093, 0.27041512727737427, 0.5678281784057617, -0.5429630875587463, -0.13862644135951996, 0.312782883644104, 0.24934372305870056, 0.28084275126457214, 1.6582412719726562, 0.23762622475624084, 0.6946714520454407, 1.3495146036148071, 0.4781791567802429, 0.9434924125671387, -0.34294313192367554, 0.2529241740703583, 0.6186478137969971, 0.48806923627853394, -0.015926901251077652, 0.13391344249248505, -0.7206407189369202, 0.4983278810977936, 1.0864938497543335, -0.7880660891532898, 0.6473240256309509, -0.47857722640037537, 0.4580082297325134, -0.2935307025909424, 0.5534619688987732, -0.8472994565963745, 0.43606603145599365, -0.34568268060684204, 1.795804500579834, -1.2481920719146729, -0.3033634424209595, 1.423879861831665, 0.989919126033783, 0.47193166613578796, 0.10117799043655396, 0.2611016035079956, -0.27071550488471985, -0.4281950294971466, -0.6139546632766724, -0.5051725506782532, 1.27969491481781, -1.3203120231628418, 0.80405592918396, -0.27901026606559753, -0.5759366154670715, 0.40307947993278503, 0.6046357154846191, 0.16767072677612305, -1.140138864517212, -0.7766110301017761, -1.062321424484253, 0.340812087059021, 0.5959022641181946, -0.47389471530914307, -0.03131047636270523, 0.22765009105205536, 0.7539644241333008, -0.3316943645477295, 0.8407436013221741, -0.6524397134780884, -2.1018331050872803, -0.133825421333313, -0.5055399537086487, -1.2234703302383423, 1.6133527755737305, 0.20546399056911469, -0.41775214672088623, 1.0700442790985107, -0.41666364669799805, 0.627945065498352, 0.3845387399196625, 0.3389642536640167, 0.03822222352027893, 1.4571577310562134, -0.2176344394683838, -0.2863125801086426, -0.3815511465072632, -0.7082662582397461, 5.265070915222168, 1.2995164394378662, -0.194016233086586, -1.9072569608688354, 0.1010005846619606, -1.0565537214279175, 0.11474481225013733, -0.2868647575378418, 0.1547599583864212, -0.05563918128609657, 0.6090596914291382, 1.1405224800109863, 0.5064488053321838, -0.06354233622550964, 0.7797207832336426, 0.7097011804580688, -0.2979241907596588, -0.6548121571540833, 0.9281518459320068, -0.14902998507022858, -0.03410060331225395, -0.4367258846759796, -1.1057838201522827, -0.9063071608543396, 1.1279727220535278, -0.05479256436228752, 0.8524464964866638, -0.802250325679779, 0.9860842823982239, -0.24749618768692017, -0.4824008047580719, 0.882500171661377, 0.6190788745880127, 0.864058256149292, 0.5485464334487915, 0.153334379196167, -0.22570279240608215, -0.3843655586242676, -0.4191608428955078, 0.3296218812465668, 0.5175711512565613, -0.3229416012763977, -0.3280552327632904, -0.512982964515686, -0.9880322813987732, 0.09830736368894577, -0.5427171587944031, -0.677934467792511, 0.5489916801452637, -0.18921953439712524, -0.06622034311294556, 0.33696669340133667, -0.6999664306640625, -1.0709164142608643, -0.6052737236022949, 0.8494962453842163, 0.013318688608705997, -0.3532097339630127, -0.17219309508800507, -0.8592826724052429, 0.15009908378124237, -0.009389596991240978, 0.6967979073524475, -0.000571350334212184, 0.6760434508323669, 0.16212794184684753, 0.42309996485710144, 2.078667402267456, -0.37394705414772034, -0.6680413484573364, -0.9006265997886658, 0.7141791582107544, -0.15006428956985474, -0.5541365146636963, -0.5796098709106445, 1.2341668605804443, -0.5863270163536072, 0.3854558765888214, -0.8447308540344238, -0.5383398532867432, -1.3547297716140747, 0.29089710116386414, -0.33654725551605225, 0.3976379334926605, -0.46730297803878784, -0.707258939743042, -0.23485784232616425, 0.3215939700603485, -0.07278145104646683, -0.2098987102508545, 0.27000540494918823, 1.5762470960617065, 0.4222791790962219, -0.6185932159423828, -0.35182759165763855, 0.1351807564496994, -1.8722951412200928, -0.34675246477127075, -1.2467211484909058, 1.2938170433044434, 0.3670516014099121, -0.29359060525894165, -0.12265795469284058, 0.9432260990142822, -1.0231297016143799, 0.08284982293844223, 0.5604050755500793, -0.9391335844993591, -0.42699235677719116, -0.1326155662536621, 0.740486204624176, -1.5364389419555664, -0.9058598279953003, -0.5400412678718567, -0.12009626626968384, 0.23467600345611572, -0.436481237411499, -0.5681536197662354, 0.07218199968338013, -0.37713950872421265, 0.7044591307640076, 0.4755209684371948, 0.47461217641830444, -0.49937453866004944, -0.5104537606239319, 0.23152434825897217, -0.9234655499458313, -0.36477771401405334, 0.18271756172180176, -0.8207393884658813, 0.47596701979637146, 0.14231760799884796, -0.13988171517848969, 0.47981196641921997, -0.7499378323554993, 0.24916988611221313, -0.0893070325255394, 0.6029934883117676, -0.9588624835014343, -0.19481629133224487, 0.5944907069206238, -0.16323943436145782, -0.5357572436332703, 1.1261401176452637, -0.6217852234840393, 0.1307036578655243, 0.3062207102775574, 0.31271159648895264, 1.0796163082122803, 0.47197115421295166, -1.0066958665847778, -0.6729089617729187, 0.5216203331947327, 0.39054930210113525, 0.21008066833019257, 0.022985776886343956, -0.06580566614866257, 0.2249222993850708, -0.24748186767101288, 0.25005435943603516, 0.7943584322929382, 0.9578102231025696, -0.0400998592376709, -0.7115762829780579, -0.2317625880241394, -0.039760369807481766, -0.5338037014007568, 0.5773190855979919, -0.4424019455909729, 0.32957783341407776, 0.4749120771884918, 0.08460137248039246, 0.1393732875585556, 0.5662856698036194, -1.142196536064148, -0.23631685972213745, -0.11290768533945084, 0.5897231698036194, 0.9453890323638916, -0.6254511475563049, 0.35991308093070984, 0.564000129699707, -1.766287922859192, 0.5280835032463074, -0.0062233502976596355, -0.9707598090171814, -0.5901047587394714, 1.1269989013671875, -0.3021344244480133, 0.21315471827983856, 0.06186002865433693, -0.3133179545402527, 0.9126065373420715, 0.23322705924510956, -0.5781772136688232, -0.3737657368183136, 0.6246874332427979, 0.6646866798400879, -0.18401870131492615, -0.23686854541301727, 0.6305575370788574, -0.691020131111145, -1.3010807037353516, -0.04307236149907112, -1.2775579690933228, 0.6601265668869019, 0.03321743756532669, 1.3188360929489136, 0.14243164658546448, 0.486386775970459, -0.22507275640964508, 0.18362189829349518, -0.37658464908599854, 0.03404838219285011, 0.1333172619342804, 0.9870851039886475, -0.2651367485523224, -0.2370506376028061, 0.47657510638237, -0.22419793903827667, -0.43313732743263245, 0.4223875403404236, -1.4849803447723389, -0.1810864508152008, -0.05808882415294647, -0.067768394947052, 0.2197783887386322, -0.857631504535675, -0.19386251270771027, -1.3294392824172974, 0.2518649101257324, 0.41594424843788147, 0.7749752402305603, 0.16815826296806335, 0.1584216207265854, -0.6638132333755493, -0.3594934940338135, 0.5528939366340637, 0.3159545063972473, -1.3207011222839355, -0.7134909629821777, -0.10737408697605133, -0.24185696244239807, 0.9200729727745056, 0.5462281107902527, -0.26524126529693604, -0.7843523025512695, 0.7136728763580322, -0.30444636940956116, 0.0764821395277977, -0.6480037569999695, 0.4920380115509033, 1.1213059425354004, -0.37308043241500854, 0.8663671016693115, 0.793979823589325, 0.7145969271659851, -0.5826892852783203, -0.9974833130836487, -0.16055911779403687, 1.083696722984314, 0.3978213965892792, -0.22042372822761536, 0.10339928418397903, -1.6062623262405396, 0.08275121450424194, 0.5355960130691528, 0.4551747739315033, 0.057659491896629333, -0.5425112247467041, -0.3750370144844055, 0.6074309945106506, 0.2391059696674347, 0.03799550235271454, 0.3285115361213684, 0.5730619430541992, 0.6801751255989075, 0.5498279333114624, -0.12991108000278473, -0.11684651672840118, -0.29577335715293884, 0.512902557849884, 0.16686208546161652, 1.4820054769515991, -0.48676586151123047, 0.2384834736585617, 0.4215600788593292, -0.5223989486694336, -0.9969824552536011, 1.5362120866775513, 0.24473778903484344, -0.1430242508649826, -0.9096294045448303, -0.7894196510314941, 0.9316977262496948, -1.4734959602355957, -0.07886204868555069, 0.6275425553321838, -0.10404159128665924, -0.09943690896034241, 0.13549594581127167, 0.1739310771226883, 0.10975983738899231, 0.6855390071868896, 0.6449821591377258, -1.0351173877716064, -0.6067078113555908, 0.29015448689460754, 0.8799428343772888, 0.6018058657646179, -0.47943973541259766, 0.4460621178150177, 0.934608519077301, -0.48293009400367737, 1.5516884326934814, 0.23100021481513977, 0.2862706780433655, 0.2184474617242813, 0.060343097895383835, 0.4185050427913666, -0.7283885478973389, -1.0112017393112183, -0.34584522247314453, 0.41029092669487, 1.4266499280929565, -0.6056339740753174, 0.553398072719574, -0.7132336497306824, 0.5794078707695007, -0.893957793712616, -0.0068773566745221615, -0.42646524310112, 0.36524492502212524, -0.06194060668349266, -0.3914410471916199, -1.5410168170928955, 0.06527625769376755, 1.0401259660720825, -1.1944197416305542, -0.050176288932561874, -0.3455715775489807, 0.07320059090852737, 0.10442434996366501, -0.4942310154438019, -1.6126232147216797, -0.75617915391922, 0.8103322386741638, 0.35223644971847534, -0.24144288897514343, -1.071151614189148, -1.2682336568832397, -0.19629870355129242, 1.2072501182556152, -0.4681611657142639, -0.053117696195840836, -0.9435901045799255, -0.036282189190387726, 0.19323432445526123, 0.4158394932746887 ] ]
{ "indices": [ 1063320047, 1230423685, 2046009338, 2087367745, 3162296784, 722829366, 3066577729, 1432087569, 820773949, 1911071232, 1960040400, 3928038441, 1598346136, 691409538, 4186256544, 2578007438, 3586802366, 3915229131, 2067848296, 1551089265, 3076736765, 3377905009, 2006536704, 2257684172, 82883857, 2633291327, 3857971353, 1062750627, 2874966370, 1765639896, 1041011349, 1308276157, 358389376 ], "values": [ 0.4418834306199022, 0.4418834306199022, 0.6129253186992035, 0.7037231194242516, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.6129253186992035, 0.7600169847832969, 0.4418834306199022, 0.6129253186992035, 0.6129253186992035, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.6129253186992035, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.6129253186992035, 0.4418834306199022, 0.6129253186992035, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.4418834306199022, 0.6129253186992035 ] }
{ "context": "But if you're calculating logic to build a finite state machine, you've got to put bits. So just like in any other logic design, it's going to output real bits, however you build it. So in your design, you can put don't cares. The thing you build will make zeros and ones. I don't think so. I compared them before. I compared those sheets with my notes and with this. Yeah. So again, there's six MUXs, four of them are two bits and two of them are one bit." }
212503
[ [ 0.13616760075092316, -0.6378566026687622, 0.39467179775238037, 0.47077226638793945, 0.5045047402381897, 0.08866599202156067, -0.4995807707309723, 0.6137905716896057, 0.38493597507476807, -0.7757514119148254, 0.9129506349563599, 0.6074449419975281, 0.5190295577049255, 0.4071142077445984, 0.34051138162612915, -0.16022899746894836, 0.7159285545349121, -0.23199456930160522, 0.13716594874858856, -0.19648133218288422, 0.8570684194564819, -0.47832614183425903, -0.5357517600059509, -0.16066402196884155, -0.6433790326118469, 0.687793493270874, 0.0540744848549366, -0.5593326091766357, 0.14723515510559082, 0.7528162598609924, -0.5526436567306519, -1.0738309621810913, 0.45177599787712097, -0.26960891485214233, 1.5643519163131714, -0.013008086942136288, -0.4607180953025818, -0.5034945607185364, 0.15214937925338745, 0.5299192070960999, 0.007307249121367931, 0.31778019666671753, -0.6264601349830627, 0.8002038598060608, -1.2256443500518799, 0.23779545724391937, -0.6390783786773682, -0.1225162073969841, 1.1986572742462158, -0.39290544390678406, 0.7356340885162354, -0.2361292690038681, 0.7164313793182373, 0.10884661972522736, 0.25672581791877747, 0.3494093120098114, 1.095454454421997, 1.4466485977172852, 0.2902594208717346, -1.5999020338058472, -0.08220264315605164, -0.3986492455005646, 0.30340370535850525, -0.31442442536354065, -0.17559057474136353, -0.4765913784503937, -0.47853001952171326, -0.9093554615974426, 0.9207673668861389, -0.3092440664768219, -0.8925751447677612, 0.43368130922317505, 0.41628000140190125, 0.19225755333900452, 0.3915693461894989, -0.6305578947067261, 0.2872156500816345, 0.513782262802124, 0.7633704543113708, 1.04716956615448, -1.030702829360962, 0.3499215245246887, -0.48664310574531555, 0.3907738924026489, 0.4780077040195465, -0.5599703192710876, 1.3291648626327515, -0.5008531212806702, 0.12532508373260498, 0.9362924098968506, 0.34540989995002747, -0.6348972916603088, 1.6143039464950562, 0.5651024580001831, -0.5472231507301331, -0.07947802543640137, 0.20526689291000366, 1.2611361742019653, 0.4698382019996643, -0.6652625203132629, 0.1025896668434143, -1.399268388748169, 0.004720289725810289, 1.2635242938995361, 0.06133653596043587, 0.36605769395828247, -0.22215481102466583, -0.03920063003897667, -0.2654600739479065, 0.249028280377388, -1.093549132347107, 1.02456533908844, 0.02976393513381481, 0.6907776594161987, -0.38073253631591797, -0.48589104413986206, -0.7203729748725891, -0.19562610983848572, 1.1058180332183838, 0.47020938992500305, 0.8549628257751465, 0.49149981141090393, 0.7644471526145935, -0.7730746269226074, -2.0877795219421387, 0.6028996109962463, 0.518791139125824, -0.23702745139598846, -0.9672466516494751, 0.8563862442970276, 0.7186880707740784, 0.14011533558368683, -0.045992836356163025, 0.05114530771970749, 0.6425971984863281, 0.8157526254653931, -2.0657618045806885, -1.0527042150497437, 1.1084563732147217, 0.13705973327159882, -0.4371360242366791, -1.3509767055511475, 0.3702579736709595, 1.0267075300216675, 1.2981021404266357, 0.3568931818008423, 0.8039690256118774, 0.16790162026882172, -0.16294598579406738, 0.41208961606025696, -0.706947386264801, 0.19420768320560455, -1.1172277927398682, -0.5061079859733582, -0.6408454775810242, -0.9315866231918335, 0.0685657411813736, 0.02455023117363453, -0.5495377779006958, 0.2516849637031555, 0.4943074882030487, -0.2940791845321655, 0.20360229909420013, -0.11629313975572586, -0.9787377715110779, -0.9481856822967529, 1.8200269937515259, -0.09235799312591553, 0.5629504919052124, 1.2927197217941284, 0.12109652161598206, -0.2434883415699005, 0.45355501770973206, 0.37221142649650574, 1.0496337413787842, -0.6452136039733887, 0.1817076951265335, -0.4266503155231476, 0.019464796409010887, 0.27801457047462463, -0.5365630984306335, -0.6930170059204102, 0.041789889335632324, 1.1019200086593628, 0.4092012345790863, -0.06019749119877815, -0.6317504048347473, -0.02584097906947136, 1.101630687713623, -1.0808175802230835, -0.7276358008384705, -0.8975345492362976, 0.6538091897964478, -0.05562615022063255, -0.5150619149208069, -0.9358725547790527, -0.8374900221824646, 0.36924508213996887, 0.304507315158844, -0.6899119019508362, -1.3056923151016235, 0.6190779805183411, 0.7928988337516785, -1.0652707815170288, -0.29272589087486267, 0.6452991366386414, 1.215663194656372, -0.8058551549911499, 0.8319065570831299, -0.6415126919746399, -0.3498368561267853, 0.6881915330886841, -0.033648110926151276, 0.2758001685142517, 0.5482579469680786, 0.1581989973783493, 0.22265106439590454, 0.4512438178062439, -0.3012833893299103, 0.4275338649749756, -0.8849918246269226, 1.004756212234497, 1.938340663909912, 1.1453524827957153, -0.0020579632837325335, 0.26586228609085083, 0.14018340408802032, -0.12710218131542206, 0.11288082599639893, -0.47944310307502747, -0.6099441051483154, -1.1933470964431763, 0.16315530240535736, 0.3534703552722931, -0.3163820207118988, 0.3150871992111206, 1.8441107273101807, 0.7658782601356506, 0.802272379398346, -0.3277552127838135, -1.2570996284484863, 0.68393874168396, 0.3201083242893219, 0.2720845341682434, 0.5513910055160522, 1.3016139268875122, 0.4349457323551178, 1.4407328367233276, -0.6344383358955383, 0.4391224980354309, -0.6235931515693665, 0.4325661361217499, 0.2728751003742218, -0.6759032011032104, 0.16362406313419342, -1.095117211341858, 0.29672759771347046, -0.7154995203018188, -0.49486395716667175, 0.32281383872032166, -0.3079231381416321, -0.1849212646484375, -0.22090893983840942, -0.6874769330024719, 0.027029158547520638, -0.07579830288887024, -0.05046858265995979, -0.662609875202179, 1.470766305923462, -0.45010486245155334, 0.48175615072250366, 0.48173782229423523, -0.5334460735321045, -0.7630705237388611, 0.4874321222305298, 0.897870659828186, -0.42628172039985657, 1.0509001016616821, -0.7553018927574158, -0.5591910481452942, -0.3892638087272644, 0.3747538924217224, 0.5290317535400391, -0.04138173907995224, -1.6221909523010254, 0.4751497507095337, -0.6012393236160278, -0.8356503844261169, 0.5147490501403809, 0.29557210206985474, -0.9707813858985901, 0.21479664742946625, -0.26120802760124207, -0.8546358346939087, 0.1397707462310791, -0.014280680567026138, 0.042769547551870346, 0.08153551816940308, -0.025782540440559387, 0.3579222857952118, 0.0993909165263176, -1.5147886276245117, -0.06438301503658295, -1.0136905908584595, -0.15411193668842316, 0.12788046896457672, -0.7256630063056946, -0.5142280459403992, -0.40390172600746155, 0.5051580667495728, 0.07327984273433685, -0.3277573883533478, -0.4110359251499176, -1.0057586431503296, -1.5174192190170288, 0.10324957966804504, 0.4533554017543793, 1.0809996128082275, -0.3429963290691376, -0.41184478998184204, 1.1029154062271118, 0.6894570589065552, -0.7442613244056702, -0.42897942662239075, 0.26369813084602356, 0.043275896459817886, -1.2781201601028442, -0.15308865904808044, 1.2535051107406616, 0.49938860535621643, 0.5600979924201965, -0.2971161901950836, 0.8476546406745911, 1.5775458812713623, -0.2860373854637146, -0.9813374876976013, -0.02419864945113659, -0.16435697674751282, -0.7788743376731873, -0.08166451007127762, 0.5381823778152466, 0.5600782036781311, 0.9448805451393127, 0.14025862514972687, 1.1419456005096436, 0.34826985001564026, -0.45808666944503784, 0.7170934081077576, 0.18355418741703033, 0.23355987668037415, -0.265954852104187, 0.25990334153175354, 0.2376139611005783, -0.5544244647026062, -0.8328925967216492, 0.633532702922821, 0.9422076940536499, -0.6390887498855591, -0.20169946551322937, 0.8412641286849976, 0.7926194071769714, 0.24915499985218048, -0.46491578221321106, -0.4334366023540497, 0.2228926122188568, 0.481457382440567, -0.4185344874858856, 0.1879408061504364, 0.6612401008605957, -0.1583353877067566, 0.5346652865409851, 0.6301636099815369, -0.735936164855957, -0.5745957493782043, -1.5883132219314575, -0.7845696806907654, 0.312866747379303, 0.9076359272003174, 0.5634483695030212, 0.4104200005531311, -0.00826776772737503, -0.02073102630674839, -0.17057910561561584, -0.11316660791635513, -1.6346992254257202, 0.3926785886287689, 0.29789069294929504, -0.35708537697792053, 0.6300334930419922, -0.41242125630378723, 0.3717215061187744, -0.34856241941452026, -0.7938677072525024, -0.0825885757803917, -0.28883281350135803, -1.111250638961792, 0.7877217531204224, 0.3460828959941864, -0.6842758655548096, 0.7089648842811584, 0.6843950152397156, 2.1923177242279053, 0.528749406337738, 0.374018132686615, 0.23934300243854523, 0.2653299570083618, -0.4347445070743561, -0.22021307051181793, 0.3989170789718628, 0.3159137964248657, 1.1439021825790405, 0.8921672105789185, 0.4250568449497223, -0.8130139112472534, -0.0017857239581644535, 0.07900986075401306, 1.1522969007492065, 0.11181605607271194, 0.2578895688056946, -0.18602102994918823, -0.33004871010780334, -0.5066702961921692, 0.13073281943798065, 1.0044070482254028, -0.6231106519699097, 1.77786386013031, 0.7961315512657166, 0.20669731497764587, 0.04677519574761391, 1.3225654363632202, 1.6197998523712158, 0.018212448805570602, -0.5762202143669128, -0.4636313021183014, -0.8934624195098877, -0.22426994144916534, -0.4717504382133484, 0.5207310318946838, 1.4399542808532715, 0.7879686951637268, -0.36098676919937134, 0.5306993126869202, 0.3374762237071991, -1.3814622163772583, 0.23803046345710754, -0.5263201594352722, -0.47900983691215515, -0.4307257831096649, 0.5551841855049133, 0.10321299731731415, 0.40516167879104614, -0.44722774624824524, 0.2693242132663727, -0.6959474682807922, -2.579589366912842, -0.3536463975906372, 0.28077077865600586, 0.8238597512245178, -0.5934003591537476, 0.2892225682735443, -0.8889244794845581, -1.031751036643982, 0.4964641034603119, -0.739354133605957, 1.1731617450714111, -0.8903064131736755, 0.678458034992218, -0.32967641949653625, -1.2986284494400024, -1.218711018562317, 0.8141675591468811, -0.024518165737390518, 0.20041929185390472, -0.1938403993844986, -0.2538527548313141, -0.25077396631240845, 0.18727311491966248, 0.3063473403453827, 0.3459550142288208, -0.40717366337776184, -0.6599447727203369, 0.080673448741436, 0.7178177833557129, -0.9322327971458435, -0.6307414174079895, 0.38167113065719604, -1.0469024181365967, -0.8152531385421753, 0.7070797681808472, -0.6460116505622864, -0.6911183595657349, 0.6227099895477295, -0.19241057336330414, -0.5443436503410339, -0.782174825668335, -1.5071319341659546, 0.8052385449409485, -0.48768100142478943, 0.43872499465942383, 0.3129308521747589, 0.676937460899353, -0.7121641039848328, 0.12365708500146866, -0.5981449484825134, -0.03607803210616112, -0.6285591125488281, 0.7617305517196655, -0.7300129532814026, -0.4491614103317261, -0.8494292497634888, 0.8544870018959045, 0.057558562606573105, 0.11413773149251938, -0.387786328792572, -0.6164146065711975, -0.12514440715312958, 1.0787147283554077, -1.0662639141082764, 0.6015410423278809, 0.12393190711736679, -0.1022695004940033, -0.6917394995689392, 0.27271100878715515, 0.3398096263408661, -1.3826558589935303, 0.5772885680198669, 0.6074408292770386, -0.08030553162097931, 1.3691637516021729, -0.6753702759742737, -0.563989520072937, 0.6116850972175598, -1.144548773765564, 0.7230643033981323, 0.5246057510375977, 0.6980200409889221, -0.2198876142501831, -0.9756323099136353, -0.1592036932706833, 0.5917237401008606, -0.11084024608135223, 0.1288764774799347, -0.21971982717514038, 0.6917400360107422, 0.28655171394348145, -0.4339958727359772, -0.7901589274406433, -1.3395277261734009, -0.7667460441589355, 0.17030084133148193, 0.020525531843304634, -0.6115326881408691, -0.09612733125686646, 0.1444593369960785, -0.5436047911643982, 0.2347746193408966, 1.201488971710205, -0.13062256574630737, 0.571598470211029, 0.21153946220874786, 0.5469478964805603, 0.9760708212852478, 0.9287512302398682, 0.30388593673706055, -0.17596057057380676, -0.09483668953180313, 0.042416997253894806, -0.3316577970981598, -0.9880420565605164, -0.6957308650016785, -0.049392763525247574, -1.0489003658294678, -0.45070159435272217, 1.225608229637146, -0.3280031085014343, 0.5260141491889954, -0.11285457015037537, -0.5397589206695557, -0.527434229850769, -0.48800602555274963, -0.6303169131278992, -0.33560580015182495, -0.01595337502658367, -0.12669280171394348, 0.638096809387207, 0.017585624009370804, -0.8759301900863647, -0.5592008829116821, -0.04381725192070007, 0.2523297667503357, 0.25007686018943787, 2.321841239929199, 0.5226578712463379, 0.6898372769355774, 1.008940577507019, 0.0980224609375, 0.8797371983528137, -0.6745652556419373, 0.2715170085430145, 0.759468674659729, 0.7462958097457886, -0.2511042356491089, 0.3800753057003021, -0.814693808555603, 0.22298941016197205, 1.206199049949646, -0.709223210811615, 0.7361992001533508, -0.30190208554267883, 0.12100663781166077, -0.07444396615028381, 0.18627946078777313, -0.6124439239501953, 0.6043042540550232, -0.772465705871582, 1.9356968402862549, -1.2595442533493042, -0.9013095498085022, 1.4144614934921265, 1.4269747734069824, 0.5284685492515564, 0.05441627278923988, 0.5541382431983948, -0.5518615245819092, -0.22715212404727936, -0.8270063996315002, -0.5654730796813965, 1.5296061038970947, -1.0963544845581055, 0.8723046779632568, -0.24248242378234863, -0.4744088053703308, 0.5784833431243896, 0.590423047542572, 0.3459281325340271, -1.3508793115615845, -0.7521827816963196, -1.492243766784668, 0.5671511888504028, 0.4113960862159729, -0.1568136215209961, -0.2268102616071701, 0.1649829000234604, 0.6583492159843445, -0.44186845421791077, 0.7287614941596985, -0.5473939180374146, -1.9085912704467773, -0.10751266032457352, -0.18580038845539093, -1.136046051979065, 1.4289695024490356, 0.09336705505847931, -0.3231724500656128, 1.0214794874191284, -0.4876476526260376, 0.8093417882919312, 0.054945122450590134, 0.18914644420146942, 0.2897493541240692, 1.6483749151229858, -0.4831511080265045, -0.06545545905828476, -0.5940716862678528, -0.26057836413383484, 5.037915229797363, 1.5457309484481812, 0.148069366812706, -1.8058782815933228, 0.1250825971364975, -0.7725213170051575, 0.09980941563844681, -0.33101320266723633, 0.31379854679107666, -0.005743496119976044, 0.7625304460525513, 1.071178913116455, 0.6515510678291321, -0.14681541919708252, 0.47822946310043335, 0.9190040826797485, -0.31526413559913635, -0.6660425066947937, 0.6521791219711304, 0.25320425629615784, -0.0858827754855156, -0.3241014778614044, -0.9109153151512146, -1.0708099603652954, 1.1997480392456055, -0.32789549231529236, 0.47910621762275696, -0.5755278468132019, 1.2290092706680298, 0.2718117833137512, -0.5235848426818848, 0.9640493988990784, 0.7083521485328674, 0.6585726141929626, 0.6385136246681213, 0.12606334686279297, -0.7834609746932983, -0.6673358678817749, -0.5317544341087341, 0.5469004511833191, 0.1992967277765274, -0.020486071705818176, -0.22231276333332062, -0.5803745985031128, -0.77079176902771, -0.0510985367000103, -0.6552300453186035, -0.8883832097053528, 0.5386244058609009, -0.29081660509109497, -0.20384271442890167, 0.24563203752040863, -0.46452853083610535, -0.9806915521621704, -0.9902012944221497, 0.8673547506332397, 0.22523528337478638, -0.7575198411941528, -0.47223585844039917, -0.5596949458122253, 0.07128578424453735, -0.41326284408569336, 0.9313784837722778, 0.31375253200531006, 0.499716192483902, 0.22436296939849854, -0.29616284370422363, 1.8680026531219482, 0.13321539759635925, -0.8440390825271606, -1.0523782968521118, 0.4254239797592163, -0.2876439392566681, -0.16895562410354614, -0.5329115390777588, 1.094146966934204, -0.38317063450813293, 0.546777069568634, -0.7479609251022339, -0.41164106130599976, -0.8975813984870911, 0.43451404571533203, -0.19384124875068665, -0.0746840387582779, -0.1989770382642746, -1.0304629802703857, -0.3463438153266907, 0.4853077530860901, 0.2853763699531555, 0.04390745982527733, 0.5580504536628723, 1.619971513748169, 1.00369131565094, -0.945442259311676, 0.12052647024393082, 0.46375784277915955, -1.4851826429367065, -0.27515748143196106, -0.7704302072525024, 1.1082125902175903, 0.3582535684108734, -0.33090654015541077, -0.11958108842372894, 1.058158040046692, -0.9010254144668579, -0.021991897374391556, 0.5123819708824158, -1.3151203393936157, -0.23584496974945068, 0.07520820200443268, 1.004404067993164, -1.3977311849594116, -0.9173212051391602, -0.5079188942909241, -0.24014218151569366, 0.0669085830450058, -0.45895642042160034, -0.6118854284286499, 0.6663913130760193, -0.44670340418815613, 0.7897014617919922, 0.21005234122276306, 0.5076629519462585, -0.22570715844631195, -0.32438600063323975, 0.16505859792232513, -1.0115749835968018, 0.16117976605892181, -0.08745543658733368, -0.43415766954421997, 0.20973476767539978, 0.19346681237220764, -0.08360379189252853, 0.4330860376358032, -0.7507549524307251, 0.02375079318881035, -0.1129017248749733, 0.6172193884849548, -0.9964108467102051, 0.10021276026964188, 0.1722201704978943, -0.0668625459074974, -1.1168527603149414, 1.1136126518249512, -0.6303222179412842, 0.09092643111944199, 0.3688480257987976, 0.08138248324394226, 0.7492769360542297, 0.4642189145088196, -0.809145450592041, 0.05232879891991615, 0.6938264966011047, 0.6114645600318909, -0.022210989147424698, -0.16345393657684326, -0.19466891884803772, 0.017673470079898834, 0.07932854443788528, 0.17205336689949036, 0.4706471860408783, 1.1031917333602905, -0.18997453153133392, -0.33454155921936035, -0.06674141436815262, 0.10741489380598068, -0.7862837314605713, 0.5949026942253113, -0.4787413477897644, 0.608561098575592, 0.48122861981391907, -0.08551905304193497, 0.4690468907356262, 0.7795457243919373, -1.4382270574569702, -0.07331223785877228, -0.3107320964336395, 0.3204856514930725, 0.6224167943000793, -0.8621940016746521, 0.7404230237007141, 1.0100046396255493, -1.865752100944519, 0.34140336513519287, -0.48198050260543823, -0.9823322296142578, -1.0419929027557373, 0.869807243347168, 0.13965576887130737, 0.3426479697227478, 0.16797325015068054, -0.15889710187911987, 0.5058685541152954, -0.17484985291957855, -0.5657153725624084, -0.4760025441646576, 0.2799268960952759, 0.5194064974784851, -0.1796109974384308, -0.6651702523231506, 0.8765659332275391, -1.064294695854187, -1.2211476564407349, -0.40869054198265076, -1.503741979598999, 0.4053333103656769, 0.17841319739818573, 1.1756107807159424, 0.28527459502220154, 0.9418214559555054, -0.0744442567229271, -0.18491077423095703, -0.4212202727794647, -0.5857118964195251, 0.2274143099784851, 0.6077890396118164, -0.47935056686401367, -0.3812544047832489, 0.6972926259040833, 0.0274247657507658, -0.8191641569137573, 0.7822266221046448, -1.2778315544128418, -0.14547672867774963, -0.13104689121246338, -0.09983374923467636, 0.29029151797294617, -0.9526782035827637, 0.18171638250350952, -1.0905077457427979, 0.407042533159256, 0.37867864966392517, 0.4839380085468292, 0.2984703481197357, 0.21701179444789886, -0.4109956622123718, -0.22243481874465942, 0.05832301452755928, -0.02183258906006813, -1.3484470844268799, -0.8626680374145508, -0.1055060401558876, -0.3410123884677887, 1.2136943340301514, 0.5220441222190857, -0.13103576004505157, -0.42521336674690247, 0.6465210914611816, -0.003516812575981021, -0.1438993513584137, -0.6261822581291199, -0.07044917345046997, 0.7821710705757141, -0.4139086902141571, 0.7811163067817688, 1.3366156816482544, 0.6151860356330872, -0.6899667978286743, -1.2018218040466309, -0.16463403403759003, 1.1411958932876587, 0.7541036009788513, -0.2008233219385147, 0.045832447707653046, -1.4760946035385132, 0.1142086610198021, 0.10805223882198334, 0.6132935285568237, 0.038224153220653534, -0.40473276376724243, -0.43176600337028503, 0.31079429388046265, 0.21805424988269806, 0.21105685830116272, 0.431612104177475, 0.6861888766288757, 0.29180988669395447, 0.24075563251972198, -0.5945743918418884, -0.1176653653383255, -0.1900949627161026, 0.5102036595344543, -0.5084844827651978, 1.6103929281234741, -0.3036311864852905, 0.12129063159227371, 0.3676876425743103, -0.01766275428235531, -1.3443231582641602, 1.5880787372589111, 0.24371537566184998, 0.30869635939598083, -0.8365491032600403, -0.7557731866836548, 1.4748411178588867, -1.307628870010376, -0.3393561840057373, 0.8694247603416443, -0.1510986089706421, -0.07954110205173492, 0.1621343195438385, 0.32992133498191833, 0.15564978122711182, 0.3197277784347534, 0.6525421738624573, -0.6103917360305786, -0.49427005648612976, 0.4943690896034241, 1.1447068452835083, 0.8406760692596436, -0.22854307293891907, 0.19782114028930664, 0.7400253415107727, -0.6091805100440979, 1.5180188417434692, 0.014537072740495205, 0.24723689258098602, 0.1296577900648117, 0.2441416084766388, 0.09185565263032913, -0.885038435459137, -1.1530661582946777, -0.08543474227190018, 0.15246780216693878, 1.4147948026657104, -0.4625016748905182, 0.22751304507255554, -0.9082006812095642, 0.2343306690454483, -1.0838173627853394, -0.080773264169693, -0.40209344029426575, 0.6282431483268738, 0.31774187088012695, -0.5037477612495422, -1.0570515394210815, -0.009719531051814556, 1.4299768209457397, -1.4130162000656128, -0.18757040798664093, -0.13904370367527008, 0.38025200366973877, 0.14656652510166168, -0.6202444434165955, -1.7019282579421997, -0.6376731395721436, 0.4425845444202423, 0.3757683336734772, -0.5868480205535889, -1.145856499671936, -1.5484578609466553, -0.05947936326265335, 1.472225546836853, -0.10074485093355179, -0.5396497845649719, -0.6506418585777283, 0.21652817726135254, 0.38889434933662415, 0.4817790389060974 ] ]
{ "indices": [ 2874966370, 691409538, 1765639896, 1041011349, 1308276157, 358389376, 1960040400, 2257684172, 2236453805, 1200021954, 2263091519, 3819098157, 4075116728, 4068491112, 1181726436, 1438597426 ], "values": [ 0.5361174930809088, 0.7761438688098343, 0.5361174930809088, 0.5361174930809088, 0.6980162591673201, 0.8221549769018303, 0.6980162591673201, 0.6980162591673201, 0.6980162591673201, 0.5361174930809088, 0.5361174930809088, 0.6980162591673201, 0.6980162591673201, 0.5361174930809088, 0.5361174930809088, 0.5361174930809088 ] }
{ "context": " Yeah. So again, there's six MUXs, four of them are two bits and two of them are one bit. So four times two plus two times one is 10. Okay. Okay, so let's see. So let's do fetch and decode" }
211297
[ [ 0.3819815516471863, -0.3125668168067932, 0.22201883792877197, 0.795533299446106, 0.453700989484787, -0.07046746462583542, -0.3175792098045349, 0.6860238909721375, 0.5713584423065186, -0.5087817311286926, 0.8745290040969849, 0.7648189067840576, 0.8250554800033569, 0.1422533392906189, 0.050700657069683075, 0.13748882710933685, 0.4224127233028412, -0.009382273070514202, -0.2189776748418808, -0.4766991138458252, 0.8875760436058044, -0.572868824005127, -0.38549119234085083, 0.08939460664987564, -0.8824446797370911, 0.7601190209388733, -0.048590801656246185, -1.0116521120071411, 0.47521597146987915, 0.8442545533180237, -0.565123438835144, -1.2122373580932617, 0.74033522605896, 0.1172112300992012, 1.4616131782531738, -0.15143164992332458, -0.468038409948349, -0.419748991727829, 0.1478697955608368, 0.7119568586349487, 0.02206464298069477, -0.04605882614850998, -0.11859844624996185, 0.665448784828186, -1.2911587953567505, 0.21545375883579254, -0.27182477712631226, -0.34663909673690796, 1.0653733015060425, -0.8167074918746948, 1.0539413690567017, -0.38864725828170776, 0.8470317721366882, 0.34380167722702026, 0.043526388704776764, 0.32151511311531067, 1.2993675470352173, 1.1528722047805786, 0.47886013984680176, -1.2239727973937988, -0.023324597626924515, -0.210185244679451, 0.6571151614189148, -0.3482551574707031, -0.4799972474575043, 0.01255401223897934, -0.48964518308639526, -0.49734026193618774, 0.43254661560058594, -0.19033725559711456, -0.6891875267028809, 0.14847825467586517, 0.3811662495136261, 0.6051386594772339, 0.800500750541687, -0.5419736504554749, 0.3729839026927948, -0.03524789959192276, 0.4783027768135071, 0.9312930107116699, -1.0996817350387573, 0.4614233374595642, -0.8808777332305908, 0.8042398691177368, 0.48207634687423706, -0.9007720351219177, 1.0338696241378784, -0.4042399525642395, -0.29760435223579407, 0.6330258250236511, 0.5040110945701599, -0.708922803401947, 1.3274803161621094, 0.21465805172920227, -0.6393948197364807, 0.5253914594650269, 0.004082140512764454, 1.0973984003067017, 0.48880627751350403, -0.7629885077476501, 0.0672704428434372, -1.3188292980194092, 0.29780158400535583, 1.6609081029891968, -0.2681363523006439, 0.6148764491081238, 0.15630009770393372, 0.14651554822921753, -0.510210394859314, 0.7568159699440002, -0.5796301364898682, 0.836907148361206, -0.22260096669197083, 0.7209267616271973, -0.08059658110141754, -0.4321896731853485, -1.1086323261260986, -0.2547560930252075, 1.176328182220459, 0.7310234308242798, 1.0033849477767944, 0.5644964575767517, 0.8798811435699463, -0.9595035910606384, -1.5100898742675781, 0.7066182494163513, 0.14171582460403442, -0.2987459599971771, -1.3034565448760986, 0.6393392086029053, 0.5387583374977112, 0.1919133961200714, -0.11843400448560715, -0.2600361108779907, 0.4473358392715454, 0.840813159942627, -1.7797448635101318, -1.5865998268127441, 0.7716176509857178, 0.4170926809310913, -0.6324049830436707, -1.6529322862625122, 0.422335684299469, 0.8352104425430298, 1.2736345529556274, 0.5882633924484253, 0.532852828502655, 0.15477609634399414, -0.21305419504642487, 0.6379625201225281, -0.911982536315918, -0.11483798921108246, -1.6028803586959839, -0.39933696389198303, -0.3296637237071991, -0.13651007413864136, 0.3397789001464844, 0.10065776109695435, -0.6641917824745178, -0.017544861882925034, 0.6109805107116699, -0.3301934599876404, -0.3042003810405731, -0.23164917528629303, -0.7362803220748901, -0.8546521067619324, 2.0699243545532227, -0.2769051790237427, 0.33808401226997375, 1.1820063591003418, -0.07622446119785309, -0.07235953956842422, 0.094882071018219, 0.029490841552615166, 1.4491395950317383, -0.6248445510864258, -0.02444964274764061, -0.3674003481864929, -0.24250033497810364, -0.0035379205364733934, -0.5322540998458862, -0.517522394657135, 0.28493833541870117, 0.8562492728233337, 0.47792670130729675, 0.25487053394317627, -0.47527867555618286, -0.27573633193969727, 1.0615793466567993, -0.7819045782089233, -0.6330339908599854, -1.2692208290100098, 0.5709477663040161, 0.42923566699028015, -0.822535514831543, -0.9414868950843811, -0.443083792924881, 0.39640557765960693, 0.6396576166152954, -0.6382095813751221, -0.9849245548248291, 0.19738107919692993, 0.7277677059173584, -0.9712907671928406, 0.11986462026834488, 0.892774760723114, 0.8339715600013733, -0.8488487601280212, 0.7725882530212402, -0.43016505241394043, -0.33829009532928467, 0.5635280013084412, 0.15039438009262085, 0.20500636100769043, 0.09671876579523087, 0.1849278062582016, 0.3133564293384552, 0.6429365873336792, -0.07970712333917618, 0.15843476355075836, -0.8455260992050171, 1.2032948732376099, 2.376178741455078, 0.885904848575592, 0.2715354561805725, 0.4903304874897003, 0.05897019803524017, 0.17975853383541107, 0.6384071707725525, -0.47664955258369446, -0.8198580145835876, -1.5491434335708618, -0.07873136550188065, 0.3128357529640198, -0.45962822437286377, -0.09258321672677994, 1.7515610456466675, 0.5139206051826477, 0.8826306462287903, -0.20717954635620117, -1.3584537506103516, 0.5225987434387207, 0.4721679985523224, 0.5189712643623352, 0.2934645414352417, 1.3505830764770508, 0.2440345138311386, 1.1854732036590576, -0.27969545125961304, 0.14046411216259003, -0.7320417761802673, 0.49951085448265076, 0.26000988483428955, -0.4167577624320984, -0.3232623040676117, -1.3146183490753174, 0.4837544858455658, -1.2783622741699219, -0.8291985392570496, 0.7597483396530151, -0.441814124584198, -0.6181447505950928, -0.3707485496997833, -0.3898487687110901, 0.021863164380192757, 0.14481408894062042, -0.3546050190925598, -0.40484943985939026, 1.6690917015075684, -1.0670592784881592, 0.011898161843419075, 0.565833568572998, -0.5066266059875488, -1.080822467803955, 0.19403395056724548, 0.4514189064502716, -0.5523867607116699, 1.160127878189087, -1.008510947227478, -0.8254785537719727, -0.53970867395401, 0.13774098455905914, 0.43887758255004883, -0.16142433881759644, -1.9550265073776245, 0.20895445346832275, -0.5340885519981384, -0.5723019242286682, 0.8780191540718079, -0.0053405617363750935, -1.135941743850708, 0.4273951053619385, -0.4068126082420349, -0.8070374727249146, -0.005965482909232378, -0.3306596875190735, 0.16624923050403595, 0.02839548885822296, -0.09277012944221497, 0.23348714411258698, 0.18802930414676666, -1.4233797788619995, -0.024138642475008965, -0.9326807856559753, -0.2548033595085144, -0.20418164134025574, -0.387921541929245, -0.5814245939254761, -0.04190083220601082, 0.4410829544067383, 0.12195038050413132, -0.23459704220294952, -0.5148985981941223, -1.0771074295043945, -1.5553356409072876, 0.12386918812990189, 0.6176375150680542, 0.9404689073562622, -0.6745134592056274, -0.37336912751197815, 1.4274526834487915, 0.6437715291976929, -0.7238020300865173, -0.418350487947464, 0.5968179106712341, -0.052928466349840164, -0.9646298289299011, -0.11556876450777054, 0.511935293674469, 0.08126528561115265, 0.05399736016988754, -0.34343573451042175, 0.7559923529624939, 2.0505237579345703, -0.4947424829006195, -0.7081723213195801, 0.049575723707675934, 0.3065127432346344, -0.7868357300758362, 0.14722780883312225, 0.25030845403671265, 0.37657806277275085, 1.125892996788025, -0.08659893274307251, 1.0245691537857056, 0.264864981174469, -0.5409788489341736, 0.90682452917099, 0.38944345712661743, 0.4804815649986267, -0.3247607350349426, 0.16372345387935638, 0.3937062621116638, -0.7527029514312744, -0.8681561946868896, 0.3197503089904785, 0.9489951133728027, -1.0783487558364868, 0.46672147512435913, 0.8774133324623108, 0.7515193819999695, 0.007723451592028141, -0.06013362109661102, -0.017327725887298584, 0.2144230753183365, 0.4585476815700531, -0.23230643570423126, 0.08716996759176254, 0.35126614570617676, -0.06301584839820862, 0.180350199341774, 0.6713589429855347, -0.669099748134613, -0.5978949069976807, -1.5820859670639038, -1.244917631149292, 0.5939217209815979, 1.107764482498169, 0.41195279359817505, 0.8809685111045837, 0.256620854139328, -0.24631735682487488, -0.7585409283638, 0.1703903079032898, -1.342431902885437, 0.3608301281929016, -0.036727067083120346, 0.13676676154136658, 0.6753673553466797, -0.08611788600683212, 0.4128168225288391, -0.7174862027168274, -1.4029335975646973, 0.14285090565681458, -0.19187606871128082, -1.2445286512374878, 0.6764088869094849, 0.21146142482757568, -0.7075724005699158, 0.2478785365819931, 0.7980367541313171, 1.818064570426941, 0.7385944128036499, 0.8216603994369507, 0.814275860786438, 0.6644617915153503, -0.5766518115997314, -0.018930325284600258, 0.25089287757873535, 0.07382532954216003, 0.8792471289634705, 0.3281751871109009, 0.8796963691711426, -1.1421419382095337, 0.23139575123786926, 0.5766716003417969, 0.9419844746589661, 0.057926300913095474, 0.23507550358772278, -0.44184061884880066, -0.3271501362323761, -1.100206971168518, 0.44628506898880005, 1.3232883214950562, -0.23424652218818665, 1.6146221160888672, 0.948420524597168, 0.40101009607315063, -0.49978557229042053, 1.1358288526535034, 1.643300175666809, -0.15045422315597534, -0.04474445804953575, -0.6846907138824463, -1.078342080116272, -0.6594201326370239, -0.43134766817092896, 0.49046099185943604, 0.7704917192459106, 0.5750944018363953, -0.6707682013511658, 0.6099405288696289, 0.13338489830493927, -1.124562382698059, 0.16128771007061005, -0.8486654758453369, -0.33830636739730835, 0.19603683054447174, 0.5577418804168701, 0.5124834775924683, 0.447685569524765, -0.6180843710899353, -0.08264435082674026, -1.1390833854675293, -1.9295696020126343, -0.09381143748760223, 0.5048238039016724, 1.2947953939437866, -0.6466007232666016, 0.3290148377418518, -0.8162376880645752, -1.0989885330200195, 0.4626231789588928, -0.33806338906288147, 1.590212106704712, -1.0643105506896973, 0.8141025900840759, -0.35389387607574463, -0.8833022713661194, -1.2131996154785156, 0.9726007580757141, -0.19888019561767578, -0.09889437258243561, -0.24085231125354767, 0.30239951610565186, -0.4027068316936493, 0.4813416600227356, 0.09410339593887329, 1.178442120552063, -0.648961067199707, -0.4584842026233673, 0.12016508728265762, 1.1737669706344604, -0.8655024170875549, -0.2702508866786957, 0.2156270295381546, -0.9499807357788086, -0.7456589937210083, 0.6502642035484314, -0.09502171725034714, -0.17004719376564026, 0.32114508748054504, -0.13626663386821747, -0.17386840283870697, -0.06634688377380371, -1.4516342878341675, 0.8365364074707031, 0.09403074532747269, 0.5816729664802551, 1.0091570615768433, 0.8071863651275635, -0.7954028844833374, 0.15954501926898956, -0.5985010862350464, 0.22445695102214813, -0.3980388939380646, 1.0755317211151123, -0.8939598202705383, -0.18654082715511322, -0.988606870174408, 1.009791374206543, -0.29079774022102356, 0.1975700706243515, -0.2772061228752136, -0.3402186930179596, -0.3747640550136566, 0.8494684100151062, -1.1435270309448242, 0.21837042272090912, -0.46691709756851196, 0.1312655508518219, -0.8350408673286438, -0.0032988328021019697, 0.5531439781188965, -1.5820282697677612, 0.3892471492290497, 0.3799494206905365, -0.07114692032337189, 1.3794766664505005, -0.9506856799125671, -0.3997470438480377, 0.47615522146224976, -1.208256721496582, 0.8728762865066528, -0.033637482672929764, 0.07898034155368805, -0.42344507575035095, -0.9910765886306763, -0.3638123869895935, 0.3526120185852051, -0.5458678603172302, 0.1964699625968933, -0.21228571236133575, 1.0204607248306274, -0.05157913267612457, -0.5303240418434143, -0.8507452607154846, -0.9930396676063538, -0.5104308128356934, 0.5976322889328003, -0.019633693620562553, -0.4292839467525482, 0.049052730202674866, 0.06378686428070068, -0.5085626244544983, 0.07936055213212967, 1.081454873085022, -0.24122071266174316, 0.3941349685192108, 0.4344777762889862, 0.6483719348907471, 0.9944093823432922, 1.2362539768218994, 0.6170603632926941, -0.0343598909676075, 0.02893139235675335, 0.1318517029285431, -0.5873326063156128, -1.6430059671401978, -0.6513230204582214, -0.18786902725696564, -1.133861780166626, -0.5531406402587891, 1.5494073629379272, -0.16695860028266907, 0.4574182331562042, 0.24050728976726532, -0.6021351218223572, -0.5503897666931152, -0.025487300008535385, -0.4768897294998169, -0.546764075756073, 0.720978319644928, -0.6036266684532166, 0.2004801332950592, 0.04317077249288559, -0.5035149455070496, -0.7829810380935669, 0.06327211856842041, 0.5320817828178406, 0.4024679362773895, 2.055148124694824, 0.4554828405380249, 0.1270800232887268, 0.5889943242073059, 0.7708501815795898, 0.24002286791801453, -0.10241258889436722, 0.5920901298522949, 1.021704912185669, 0.49105769395828247, -0.29745182394981384, -0.001776292221620679, -0.9890444278717041, 0.4044131338596344, 1.4384137392044067, -0.8307467699050903, 0.46735844016075134, -0.3720434010028839, -0.04997352138161659, -0.35645198822021484, -0.30909600853919983, -0.6549118757247925, 0.7844967246055603, -0.07603103667497635, 2.2983686923980713, -1.309851050376892, -1.5974421501159668, 1.1022673845291138, 1.3655885457992554, 0.6695513129234314, 0.008194942958652973, 0.6337882280349731, -0.02725677192211151, -0.15257063508033752, -0.7967685461044312, -0.5416203141212463, 0.8809294700622559, -1.1507251262664795, 1.0435268878936768, 0.01322279591113329, -0.3420775532722473, 0.6190704107284546, 0.5284494757652283, 0.29587486386299133, -1.5377566814422607, -1.321018099784851, -1.3997620344161987, 0.9465683102607727, 0.20892561972141266, -0.1997326910495758, -0.05602681636810303, -0.021563304588198662, 0.6175254583358765, -0.20600806176662445, 0.9034088253974915, -0.6499813795089722, -1.49295175075531, -0.14936190843582153, -0.515627384185791, -0.9688567519187927, 1.4780203104019165, 0.31734004616737366, -0.219551220536232, 1.28873610496521, -0.39695411920547485, 1.0674288272857666, 0.5447676181793213, 0.5454330444335938, 0.0019465951481834054, 1.3510195016860962, -0.5737152695655823, -0.021262405440211296, -0.5667815208435059, 0.11940693855285645, 4.717116832733154, 1.3239459991455078, -0.5668782591819763, -2.0958964824676514, -0.07126396149396896, -0.6356208920478821, 0.4396347999572754, -0.07529090344905853, 0.5188290476799011, 0.3298744261264801, 0.6118614077568054, 0.6880060434341431, 0.3081536889076233, -0.21971495449543, 0.15216360986232758, 0.6751684546470642, -0.5351266860961914, -0.9851281642913818, 0.5856044292449951, 0.34697166085243225, 0.37425243854522705, -0.17472140491008759, -0.6938296556472778, -1.0449423789978027, 0.9941909313201904, -0.41590410470962524, 0.6702617406845093, -0.49546948075294495, 1.0581594705581665, 0.19568483531475067, -0.539953351020813, 1.075535535812378, 0.43302038311958313, 0.5755906105041504, 0.6527237892150879, 0.2401806116104126, -0.4216487407684326, -0.5373613834381104, -0.5871068835258484, 0.891212522983551, 0.33941978216171265, -0.09703730046749115, -0.03285639360547066, -0.4016650915145874, -0.6532585024833679, -0.24034921824932098, -0.5853937268257141, -1.0600337982177734, 0.15421293675899506, -0.5727078318595886, 0.7138209342956543, 0.04200137034058571, -0.2639562487602234, -1.0762619972229004, -0.7939947843551636, 0.8634768128395081, 0.204731285572052, -0.4049747884273529, -0.6933852434158325, -0.5666366815567017, 0.4329184889793396, -0.18122565746307373, 0.814444363117218, 0.7611294388771057, 0.5376328229904175, 0.6084522008895874, -0.4756650924682617, 2.0370583534240723, 0.25613051652908325, -0.41645416617393494, -0.7112962603569031, 0.011559775099158287, -0.2672232389450073, -0.3662624955177307, -0.6504485011100769, 0.9314129948616028, -0.6615915894508362, 0.42084044218063354, -0.9188205003738403, -0.4033432602882385, -0.9416767358779907, 0.5537849068641663, -0.08491410315036774, 0.04322434216737747, -0.2984238564968109, -0.5328341722488403, 0.13110262155532837, 0.6369326114654541, 0.3522163927555084, 0.3700786828994751, 0.15526515245437622, 1.4787534475326538, 1.081238031387329, -1.1720672845840454, 0.10262826085090637, 0.24565590918064117, -1.1203596591949463, 0.08948910236358643, -0.4597371518611908, 1.309738039970398, -0.10005858540534973, -0.29258623719215393, -0.37539368867874146, 0.8711644411087036, -0.8823028206825256, 0.0048612020909786224, 0.8692187666893005, -1.4149937629699707, 0.029595062136650085, -0.05756442993879318, 1.0647779703140259, -0.8367809653282166, -0.5297935009002686, -0.673265814781189, 0.056699901819229126, -0.2750513255596161, -0.366449773311615, -0.526002049446106, 0.6154829859733582, -0.5631774663925171, 0.4413093328475952, 0.11459387838840485, 0.34391096234321594, -0.12895750999450684, -0.02577553316950798, 0.3037213385105133, -0.9084645509719849, -0.21217700839042664, -0.1992427259683609, 0.011305607855319977, 0.19701242446899414, 0.08950754255056381, -0.49281951785087585, 0.8102592825889587, -0.8525962829589844, -0.43470078706741333, 0.04369378089904785, 0.5884642004966736, -1.429158329963684, 0.29740405082702637, 0.22274444997310638, -0.2520500123500824, -1.1557717323303223, 1.367282509803772, -0.5293553471565247, -0.21297253668308258, 0.4966634213924408, -0.193673238158226, 1.1469707489013672, 0.7101690769195557, -1.0430353879928589, -0.1136670708656311, 0.3996129631996155, 0.5807082653045654, 0.21850918233394623, -0.2757706046104431, -0.04511560872197151, 0.17348109185695648, 0.24773402512073517, 0.5373912453651428, 0.5045821070671082, 1.0577470064163208, 0.11050250381231308, -0.566842794418335, 0.07755434513092041, 0.6186790466308594, -0.5342012643814087, 0.7018304467201233, -0.8303635120391846, 0.7326076030731201, 0.05685398355126381, -0.5071825385093689, 0.15876437723636627, 0.6966389417648315, -1.2979975938796997, -0.4773184061050415, -0.4399344325065613, 0.09133836627006531, 0.7202928066253662, -0.5760709047317505, 0.533004641532898, 1.052526593208313, -1.7098535299301147, 0.056824445724487305, -0.6475204229354858, -1.0540369749069214, -1.0758960247039795, 0.7461485862731934, 0.1384011209011078, 0.6335250735282898, -0.16536031663417816, 0.020009199157357216, 1.008979082107544, -0.28607460856437683, -0.5470885634422302, -0.8932601809501648, 0.3480870723724365, 0.5746058225631714, -0.11840464919805527, -0.36964353919029236, 0.6898454427719116, -0.7720821499824524, -1.0668047666549683, -0.3739597797393799, -1.2087578773498535, -0.32567039132118225, -0.3358335793018341, 0.7840401530265808, 0.1673307865858078, 0.6766617894172668, -0.2953815460205078, 0.18610475957393646, 0.09775451570749283, -0.7809009552001953, 0.48744305968284607, 0.3373708724975586, -0.5888742804527283, 0.21271486580371857, 0.5089148283004761, 0.42377325892448425, -0.4007934331893921, 0.8357388377189636, -1.2890862226486206, -0.33172470331192017, 0.41223669052124023, -0.3578687012195587, 0.37390661239624023, -0.960082471370697, -0.23503875732421875, -1.1610969305038452, 0.525982677936554, -0.07534591853618622, 0.10472998023033142, 0.022630078718066216, 0.24766306579113007, -0.6260528564453125, -0.30239155888557434, 0.4774284064769745, 0.18354249000549316, -1.0706442594528198, -0.7879248857498169, -0.20424284040927887, -0.5474100708961487, 1.1586356163024902, 0.34241554141044617, -0.36710959672927856, -0.7018594741821289, 0.7146521806716919, -0.18169330060482025, -0.44427862763404846, -0.58597332239151, -0.28267472982406616, 0.5962677001953125, -0.5430181622505188, 1.3957560062408447, 1.6942038536071777, 0.4590275287628174, -0.6865388751029968, -1.2327759265899658, -0.17167994379997253, 1.2545967102050781, 1.0125857591629028, -0.266584575176239, 0.0870596319437027, -1.5075547695159912, -0.18687622249126434, -0.07058262079954147, 0.7661210298538208, -0.18802501261234283, -0.29010680317878723, -0.10921184718608856, -0.13547097146511078, -0.08010152727365494, 0.21582260727882385, 0.8759763836860657, 0.7893410921096802, 0.1867944896221161, 0.5155692100524902, -0.8159522414207458, -0.1881152242422104, -0.20015011727809906, 0.33562585711479187, -0.21166931092739105, 1.6174248456954956, 0.1684645712375641, 0.09585534781217575, 0.12831546366214752, -0.16376520693302155, -1.5227562189102173, 1.339684009552002, -0.038420628756284714, 0.25102657079696655, -0.6787843108177185, -0.2148294895887375, 1.1955852508544922, -1.6763962507247925, -0.6183750033378601, 0.7614753246307373, -0.11559414118528366, 0.12993763387203217, 0.7669787406921387, 0.5752010941505432, 0.7761890888214111, 0.20595672726631165, 0.30702120065689087, -0.3835638761520386, -0.730391800403595, 0.6539444923400879, 0.7350479364395142, 0.8126668334007263, -0.4803532063961029, 0.13779446482658386, 0.8494503498077393, -0.4165867269039154, 1.2462637424468994, -0.14388024806976318, 0.08227526396512985, -0.19817300140857697, 0.19629068672657013, -0.7761924266815186, -1.014772891998291, -1.3514196872711182, 0.019167248159646988, -0.18622414767742157, 1.3256340026855469, -0.8404881358146667, 0.34396299719810486, -1.0825681686401367, 0.1424833983182907, -1.4442754983901978, 0.032856158912181854, 0.039300695061683655, 0.33813419938087463, -0.18079406023025513, -0.31866949796676636, -0.6807467937469482, 0.303855836391449, 1.5251126289367676, -1.2176276445388794, 0.12785691022872925, 0.17032572627067566, 0.3158109188079834, 0.33806872367858887, -0.7703615427017212, -1.6542179584503174, -0.4799589216709137, 0.7311398983001709, 0.17962966859340668, -0.38803115487098694, -1.2330385446548462, -1.4896401166915894, 0.2160915583372116, 1.3635873794555664, -0.07347814738750458, -0.15307965874671936, -0.9046576619148254, 0.45378655195236206, 0.5755897760391235, 0.41412657499313354 ] ]
{ "indices": [ 1598346136, 1911071232, 2067848296, 1551089265, 3076736765, 2087367745, 3377905009, 2006536704, 2257684172, 82883857, 2633291327, 3857971353, 1062750627, 2874966370, 691409538, 1765639896, 1041011349, 1308276157, 358389376, 1960040400, 2236453805, 1200021954, 2263091519, 3819098157, 4075116728, 4068491112, 1181726436, 1438597426, 488165615, 3162296784, 722829366, 3066577729, 1284918442, 818459139, 4275397581, 3471378517, 633909302, 1316082470, 2094005352 ], "values": [ 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.6803353783123919, 0.4150083828869232, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.6803353783123919, 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.739427588389358, 0.586580811684262, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232 ] }
{ "context": "So in your design, you can put don't cares. The thing you build will make zeros and ones. I don't think so. I compared them before. I compared those sheets with my notes and with this. Yeah. So again, there's six MUXs, four of them are two bits and two of them are one bit. So four times two plus two times one is 10. Okay. Okay, so let's see. So let's do fetch and decode. So this was the top of the finite state machine diagram is figure C2 in the back appendix in Pat and Patel." }
488165
[ [ 0.1897406280040741, -0.6637415885925293, 0.3086411654949188, 0.5136495232582092, 0.5813005566596985, -0.011059613898396492, -0.6314671039581299, 0.6604814529418945, 0.6544355750083923, -0.9338878393173218, 0.9861862063407898, 0.6353816986083984, 0.49018508195877075, 0.3916432857513428, 0.4028243124485016, -0.3657919764518738, 0.7683271169662476, -0.24381518363952637, -0.10059396177530289, -0.13744701445102692, 1.1874616146087646, -0.17999860644340515, -0.6203123927116394, -0.15715156495571136, -0.5862265229225159, 0.8234767317771912, -0.09967439621686935, -0.6114506721496582, 0.20916250348091125, 0.7292532324790955, -0.4268697202205658, -1.3456366062164307, 0.5883890986442566, -0.2460392713546753, 1.7365357875823975, 0.19994258880615234, -0.3897435665130615, -0.5433756709098816, 0.2600487470626831, 0.6464263796806335, -0.07798346132040024, 0.46446695923805237, -0.5043683052062988, 0.8451707363128662, -1.1952506303787231, 0.3166956603527069, -0.5012126564979553, -0.20105606317520142, 1.1443642377853394, -0.5988878607749939, 0.806195855140686, -0.265815794467926, 0.9126531481742859, 0.2201259732246399, 0.29069605469703674, 0.39699849486351013, 1.018613338470459, 1.447149634361267, 0.36795365810394287, -1.6703181266784668, -0.0427229180932045, -0.4841213822364807, 0.40117236971855164, -0.46885189414024353, -0.12043444812297821, -0.3475712835788727, -0.5577486753463745, -0.8925848603248596, 0.7509457468986511, -0.3900656998157501, -0.6613020300865173, 0.43578049540519714, 0.4972957670688629, 0.1095556765794754, 0.5081366896629333, -0.6753342151641846, 0.2156711220741272, 0.582979679107666, 0.6935678720474243, 0.9816277027130127, -1.2009021043777466, 0.32572680711746216, -0.6596291065216064, 0.22365035116672516, 0.46941453218460083, -0.5902241468429565, 1.231692910194397, -0.6565237045288086, 0.30584073066711426, 1.0023595094680786, 0.46860161423683167, -0.8213993310928345, 1.6180652379989624, 0.25927481055259705, -0.6157463192939758, -0.025935616344213486, 0.303501158952713, 1.428950548171997, 0.2932143211364746, -0.9272720217704773, 0.36638468503952026, -1.38437819480896, 0.12892450392246246, 1.4758222103118896, 0.05757520720362663, 0.531666100025177, -0.10321541875600815, -0.19123584032058716, -0.4931621551513672, 0.2537802457809448, -1.1764938831329346, 1.0395169258117676, -0.08864272385835648, 0.6917338967323303, -0.3517675995826721, -0.5733416080474854, -0.645682156085968, -0.45066797733306885, 1.1769037246704102, 0.3144121468067169, 0.8635042309761047, 0.4510476291179657, 0.6523287296295166, -0.6261153817176819, -2.083284616470337, 0.7187431454658508, 0.34998345375061035, -0.260250061750412, -1.1090424060821533, 0.8861238360404968, 0.4861963987350464, 0.05728360265493393, -0.016362546011805534, 0.01651647873222828, 0.725985586643219, 0.9090948104858398, -2.07357120513916, -1.2261279821395874, 1.1214114427566528, 0.24115225672721863, -0.6885004043579102, -1.5896003246307373, 0.4779338538646698, 1.0659935474395752, 1.3500539064407349, 0.552860677242279, 0.8095794320106506, 0.3122335374355316, -0.1930447369813919, 0.34204065799713135, -0.7826488018035889, 0.04069466516375542, -1.1299827098846436, -0.5239908695220947, -0.6292012929916382, -0.8396879434585571, -0.05341926962137222, 0.040453530848026276, -0.7154566049575806, 0.19324049353599548, 0.5114895701408386, -0.22691576182842255, 0.17516741156578064, -0.007175135891884565, -0.9265987873077393, -0.8800124526023865, 1.9396381378173828, -0.1567782461643219, 0.5525903701782227, 1.3417882919311523, -0.06827662140130997, -0.2927689254283905, 0.385297954082489, 0.3420715630054474, 1.3819336891174316, -0.6337178945541382, 0.08881081640720367, -0.6103135347366333, 0.07536818832159042, 0.24576660990715027, -0.38520973920822144, -0.5554717779159546, 0.004435427952557802, 1.284117341041565, 0.38799726963043213, 0.016810819506645203, -0.5438928008079529, 0.029373064637184143, 1.1989240646362305, -1.133962631225586, -0.958748996257782, -1.1695388555526733, 0.6694889664649963, 0.1947839856147766, -0.5325581431388855, -0.9096757173538208, -0.6142037510871887, 0.31598392128944397, 0.4960894286632538, -0.631230890750885, -1.2029627561569214, 0.6739338636398315, 0.7841750383377075, -1.091810941696167, -0.2675338387489319, 0.7060431241989136, 1.262016773223877, -0.7400248646736145, 0.8982866406440735, -0.5576409697532654, -0.27544108033180237, 0.5615381002426147, 0.00041915252222679555, 0.3791714310646057, 0.673701822757721, 0.2792927920818329, 0.18335634469985962, 0.45622918009757996, -0.05709770321846008, 0.4108085036277771, -1.092247486114502, 1.0290019512176514, 2.1764142513275146, 1.1065834760665894, 0.10043357312679291, 0.398391455411911, 0.08376697450876236, -0.09872403740882874, 0.2708875834941864, -0.6110043525695801, -0.6852235794067383, -1.223436713218689, 0.09355910122394562, 0.44215884804725647, -0.3762550950050354, 0.27208253741264343, 1.927375316619873, 0.7279003858566284, 0.999474048614502, -0.283798485994339, -1.2844438552856445, 0.7033616304397583, 0.48291969299316406, 0.3358501195907593, 0.6644712686538696, 1.4281392097473145, 0.3856785297393799, 1.380887746810913, -0.7403377294540405, 0.5309985876083374, -0.7309669852256775, 0.5652890205383301, 0.22885212302207947, -0.924177885055542, 0.18143031001091003, -1.0795862674713135, 0.4747103750705719, -0.7967747449874878, -0.4838065803050995, 0.40849339962005615, -0.3275211453437805, -0.303836464881897, -0.19165320694446564, -0.7679922580718994, -0.10519839078187943, -0.14576999843120575, -0.08678121864795685, -0.657502293586731, 1.5510584115982056, -0.5006885528564453, 0.4079878330230713, 0.6975069642066956, -0.5230118036270142, -0.8069769740104675, 0.3546900749206543, 0.9710227847099304, -0.5051963329315186, 1.0544350147247314, -0.8208946585655212, -0.5785472393035889, -0.33806777000427246, 0.379608690738678, 0.6047592759132385, -0.09718755632638931, -1.7149877548217773, 0.5026722550392151, -0.5225006937980652, -0.7004473805427551, 0.44368112087249756, 0.1961902678012848, -1.0626872777938843, 0.23606228828430176, -0.4038214385509491, -0.9261518716812134, 0.21358484029769897, -0.10929088294506073, -0.11154722422361374, 0.07793927937746048, 0.0503607913851738, 0.4025752544403076, -0.030604001134634018, -1.668884038925171, 0.028010983020067215, -1.1077711582183838, -0.22450946271419525, 0.03601722791790962, -0.6595203876495361, -0.6390326023101807, -0.339913547039032, 0.34018442034721375, 0.016207629814743996, -0.3643737733364105, -0.2835947573184967, -1.2147611379623413, -1.5931192636489868, 0.11738669127225876, 0.6856483817100525, 1.121287226676941, -0.2819521427154541, -0.5578756928443909, 1.0772267580032349, 0.8474871516227722, -0.7734928727149963, -0.4161171317100525, 0.31635430455207825, 0.13776586949825287, -1.2602256536483765, -0.21990066766738892, 1.068272352218628, 0.1957852691411972, 0.7468191981315613, -0.3678802251815796, 0.8893113732337952, 1.692999005317688, -0.4144684076309204, -1.0344406366348267, 0.12262950837612152, -0.10270967334508896, -0.85404372215271, -0.03003748133778572, 0.49326637387275696, 0.6774704456329346, 1.0723381042480469, 0.4607277512550354, 1.2044373750686646, 0.3463215231895447, -0.5779829621315002, 0.7382329702377319, 0.38316360116004944, 0.3619668781757355, -0.4237821102142334, 0.1669643372297287, 0.23817434906959534, -0.5281776785850525, -0.9973812699317932, 0.8530310988426208, 0.7382029294967651, -0.6769613027572632, -0.1509135514497757, 1.0235224962234497, 0.710033118724823, 0.35529273748397827, -0.3607625365257263, -0.3429747223854065, 0.2197929322719574, 0.5642796158790588, -0.5061888694763184, 0.1568409949541092, 0.6914491653442383, -0.1469876766204834, 0.5823920369148254, 0.5230051279067993, -0.6364006400108337, -0.7514803409576416, -1.8076025247573853, -0.8659272789955139, 0.3007577657699585, 0.9906091690063477, 0.5806212425231934, 0.45152363181114197, -0.07932651042938232, 0.09866715222597122, -0.25424253940582275, -0.17668011784553528, -1.7860757112503052, 0.4338551461696625, 0.5741697549819946, -0.33593133091926575, 0.6383405327796936, -0.4795481264591217, 0.4779708683490753, -0.22540351748466492, -0.8192264437675476, -0.12282542884349823, -0.2764818072319031, -1.2108906507492065, 0.7265010476112366, 0.33803609013557434, -0.621146559715271, 0.7107009291648865, 0.7589382529258728, 2.285114049911499, 0.7388737797737122, 0.47467389702796936, 0.3438098132610321, 0.09999740868806839, -0.5577834844589233, -0.2264605313539505, 0.4064832925796509, 0.49363237619400024, 1.032801628112793, 0.9673258662223816, 0.6709981560707092, -0.8239807486534119, 0.02564394474029541, 0.2536817491054535, 1.1904480457305908, 0.10306909680366516, 0.25377288460731506, -0.24059411883354187, -0.6171136498451233, -0.512067437171936, 0.06265495717525482, 1.02284574508667, -0.4793235659599304, 1.6973316669464111, 1.018410325050354, 0.11115091294050217, 0.010370336472988129, 1.4334771633148193, 1.8847311735153198, -0.16868603229522705, -0.5935652256011963, -0.7400789856910706, -0.910382866859436, -0.3981374502182007, -0.3157510757446289, 0.5537506341934204, 1.497313141822815, 0.8131266236305237, -0.5101239681243896, 0.5855230093002319, 0.29304078221321106, -1.3831181526184082, 0.17154817283153534, -0.5345245599746704, -0.5734944939613342, -0.30566346645355225, 0.4689323902130127, 0.16602903604507446, 0.45065543055534363, -0.550130307674408, 0.31849387288093567, -0.6743283867835999, -2.5388104915618896, -0.5106315612792969, 0.42400309443473816, 0.7530267834663391, -0.7347474098205566, 0.405629962682724, -0.8985062837600708, -1.1338008642196655, 0.6704842448234558, -0.6672379970550537, 1.4770456552505493, -0.8514778017997742, 0.5923241376876831, -0.2999391257762909, -1.386350154876709, -1.0273220539093018, 0.9204955697059631, 0.02796158939599991, 0.17372022569179535, -0.05034181475639343, -0.3039032816886902, -0.24662043154239655, 0.21014617383480072, 0.4461795687675476, 0.4471541941165924, -0.5185244679450989, -0.5107393860816956, -0.0007795708370395005, 0.7264506816864014, -1.1032248735427856, -0.7811487913131714, 0.1731647402048111, -1.1941381692886353, -0.8218656778335571, 0.842787504196167, -0.574417233467102, -0.8079710006713867, 0.7463785409927368, -0.1274629384279251, -0.6789127588272095, -1.0214065313339233, -1.5312466621398926, 0.8796843886375427, -0.5113848447799683, 0.5434418320655823, 0.4222630560398102, 0.7076879143714905, -0.8186131715774536, 0.003028099425137043, -0.6576889753341675, -0.1451275795698166, -0.5777162313461304, 0.755937397480011, -0.7899097800254822, -0.3137524724006653, -0.8610124588012695, 0.8472892045974731, 0.14454206824302673, 0.08871724456548691, -0.3570837080478668, -0.6051099300384521, -0.2359326183795929, 1.1932530403137207, -1.088423490524292, 0.6824127435684204, -0.07890590280294418, 0.02162119746208191, -0.6516485810279846, 0.29146653413772583, 0.46612748503685, -1.4588603973388672, 0.6864151954650879, 0.5579522848129272, -0.0751132220029831, 1.424545168876648, -0.6350776553153992, -0.6305868625640869, 0.6958021521568298, -1.1339863538742065, 0.8672690987586975, 0.5226190090179443, 0.6562560796737671, -0.1562684327363968, -0.9211664199829102, -0.11689523607492447, 0.540291428565979, -0.34629297256469727, 0.09190355986356735, -0.3215407431125641, 0.45654216408729553, 0.25061434507369995, -0.48231226205825806, -0.7992154955863953, -1.4862488508224487, -0.7330402731895447, 0.18274395167827606, -0.006048180162906647, -0.6910336017608643, -0.06302820146083832, 0.1924835443496704, -0.6069647669792175, 0.30133774876594543, 1.3054442405700684, 0.00005412704194895923, 0.6270739436149597, 0.2614784836769104, 0.43391749262809753, 1.172052025794983, 0.9673227667808533, 0.32894229888916016, -0.24904783070087433, -0.23461949825286865, -0.22085529565811157, -0.40604251623153687, -1.1388070583343506, -0.8126354813575745, 0.024258166551589966, -0.9828057289123535, -0.3536011874675751, 1.3051398992538452, -0.34123679995536804, 0.6723564267158508, -0.11276222765445709, -0.5987858772277832, -0.467856764793396, -0.3449404835700989, -0.6634722352027893, -0.22159427404403687, 0.10750006884336472, -0.048272278159856796, 0.5274936556816101, 0.027904029935598373, -0.9657392501831055, -0.7592868804931641, 0.03441793844103813, 0.3081726133823395, 0.19206778705120087, 2.4450836181640625, 0.5995950102806091, 0.6386253833770752, 1.0077009201049805, 0.19161386787891388, 0.7015283703804016, -0.6609292030334473, 0.3806982934474945, 0.7756479382514954, 0.730682373046875, -0.28494101762771606, 0.40104028582572937, -0.865139901638031, 0.20958377420902252, 1.2357428073883057, -0.742445170879364, 0.7815867066383362, -0.41144049167633057, 0.20790085196495056, -0.21280264854431152, 0.22359517216682434, -0.6336271166801453, 0.7262130975723267, -0.5658756494522095, 1.9857853651046753, -1.2828900814056396, -0.8888081312179565, 1.4320544004440308, 1.3189653158187866, 0.33114710450172424, -0.04209958016872406, 0.5128923058509827, -0.6280077695846558, -0.19820281863212585, -0.7933123707771301, -0.5261388421058655, 1.5415376424789429, -1.288345456123352, 0.6861905455589294, -0.061806611716747284, -0.46960872411727905, 0.692844569683075, 0.7377188205718994, 0.13175071775913239, -1.526980996131897, -0.6768291592597961, -1.3302931785583496, 0.5215234160423279, 0.4712933897972107, -0.2590140700340271, -0.16161251068115234, 0.23863813281059265, 0.6149760484695435, -0.41066718101501465, 0.6442714333534241, -0.45922303199768066, -1.890771746635437, -0.0015027206391096115, -0.47024333477020264, -1.156891107559204, 1.5121382474899292, 0.31882357597351074, -0.4608886241912842, 1.2733269929885864, -0.4868096113204956, 0.9240370392799377, 0.15528133511543274, 0.3535888195037842, 0.31031399965286255, 1.6492782831192017, -0.36155614256858826, -0.18387208878993988, -0.5057646036148071, -0.30242982506752014, 4.294142723083496, 1.481873631477356, 0.08129771053791046, -1.8162530660629272, 0.22539900243282318, -0.8825944066047668, 0.261104017496109, -0.2556864321231842, 0.37458163499832153, 0.05883500352501869, 0.9735775589942932, 0.9371028542518616, 0.6113441586494446, -0.25693944096565247, 0.4817895293235779, 0.8986892700195312, -0.3845106363296509, -0.5886618494987488, 0.8002815246582031, 0.21816910803318024, 0.03424825519323349, -0.18105213344097137, -1.0072039365768433, -1.1726865768432617, 1.1991753578186035, -0.3873196840286255, 0.5611319541931152, -0.7108786106109619, 1.2204580307006836, 0.3044871687889099, -0.5091897249221802, 0.9908336400985718, 0.6359532475471497, 0.8763301968574524, 0.6407541632652283, 0.176442489027977, -0.7641388177871704, -0.7160444855690002, -0.500895082950592, 0.6152341365814209, 0.23559601604938507, -0.2082393914461136, -0.16830404102802277, -0.6694555282592773, -1.0188301801681519, 0.04544283449649811, -0.6802127361297607, -1.031693935394287, 0.5595201849937439, -0.10120447725057602, -0.3418221175670624, 0.328957736492157, -0.6840505599975586, -0.8969921469688416, -0.9596949815750122, 0.8439188003540039, 0.3274126350879669, -0.900541365146637, -0.2382710874080658, -0.3657796382904053, 0.17718330025672913, -0.3176794648170471, 0.8032686114311218, 0.5713954567909241, 0.35700398683547974, 0.28020191192626953, -0.28313249349594116, 1.9345299005508423, 0.017342478036880493, -0.9337706565856934, -1.1870912313461304, 0.39020830392837524, -0.19649343192577362, -0.14641711115837097, -0.4216815233230591, 0.9159796237945557, -0.4153216779232025, 0.5852266550064087, -0.9006955027580261, -0.3872266709804535, -1.2845416069030762, 0.35712558031082153, -0.4573367238044739, -0.10242684930562973, -0.34510934352874756, -1.0262997150421143, -0.3538632392883301, 0.6846508383750916, 0.4213736653327942, 0.3382101058959961, 0.6414089202880859, 1.6736011505126953, 1.104012370109558, -0.9742909073829651, 0.06770409643650055, 0.654766857624054, -1.9211571216583252, -0.2022155076265335, -0.825843870639801, 1.1178656816482544, 0.45356085896492004, -0.44658252596855164, -0.23683089017868042, 1.0278164148330688, -1.08588445186615, -0.06991377472877502, 0.5114988088607788, -1.118788242340088, -0.24648700654506683, -0.02335740625858307, 1.1180248260498047, -1.3824020624160767, -0.7185661196708679, -0.31191614270210266, -0.27852657437324524, -0.012996826320886612, -0.4493322968482971, -0.5877341628074646, 0.9429235458374023, -0.41487622261047363, 0.871252715587616, 0.30811142921447754, 0.57956862449646, -0.298777312040329, -0.2284621298313141, 0.15443077683448792, -1.0727657079696655, 0.13401637971401215, -0.0459052212536335, -0.5404879450798035, 0.23649857938289642, 0.3056262135505676, -0.20207005739212036, 0.6302857995033264, -0.8771187663078308, -0.011895272880792618, -0.028994886204600334, 0.6631984710693359, -1.08722722530365, 0.10339393466711044, 0.20760521292686462, -0.3295058012008667, -1.0251089334487915, 1.2221182584762573, -0.7125645279884338, 0.024371087551116943, 0.39123204350471497, 0.08764149993658066, 0.9337685108184814, 0.3500695824623108, -0.7789269089698792, -0.004221121780574322, 0.6789987683296204, 0.6420406103134155, 0.13344578444957733, 0.06603211164474487, -0.2281809002161026, 0.01480536162853241, 0.03408973291516304, 0.06899669021368027, 0.4300948977470398, 1.2381014823913574, -0.13897790014743805, -0.3857320249080658, -0.15632027387619019, -0.057062629610300064, -0.7840279936790466, 0.6289116740226746, -0.52555912733078, 0.4554119110107422, 0.7976856231689453, -0.3880767822265625, 0.36996638774871826, 0.8272650241851807, -1.514702320098877, -0.21749284863471985, -0.32613104581832886, 0.21709264814853668, 0.6770090460777283, -0.8621159195899963, 0.5613587498664856, 0.9157811403274536, -1.9530425071716309, 0.47622978687286377, -0.5736558437347412, -0.8294826149940491, -0.8908701539039612, 0.9689421653747559, 0.03921631723642349, 0.4108310043811798, 0.1296042501926422, -0.1926838606595993, 0.7944608330726624, -0.344387948513031, -0.5951434373855591, -0.5040026307106018, 0.38990548253059387, 0.5793940424919128, -0.12394751608371735, -0.6719280481338501, 0.8218982815742493, -1.1745638847351074, -1.4692591428756714, -0.3221380412578583, -1.5933022499084473, 0.5634133219718933, 0.10742443799972534, 1.3352000713348389, 0.22857196629047394, 0.9659562110900879, -0.12198035418987274, -0.08744620531797409, -0.3115732967853546, -0.5796202421188354, 0.2836582660675049, 0.7334523797035217, -0.6074223518371582, -0.3889397382736206, 0.525773286819458, 0.0837644711136818, -0.9302567839622498, 0.7328217029571533, -0.988550066947937, -0.13141535222530365, 0.17870910465717316, -0.09360964596271515, 0.3355051577091217, -0.9179718494415283, 0.18385355174541473, -1.1251561641693115, 0.36738255620002747, 0.3421894311904907, 0.5406383872032166, 0.41125279664993286, 0.010995732620358467, -0.5018395781517029, -0.2725110650062561, 0.18650953471660614, 0.15841776132583618, -1.42086660861969, -0.7357451915740967, 0.021955352276563644, -0.34640932083129883, 1.0906320810317993, 0.4574184715747833, 0.04329848662018776, -0.4450630843639374, 0.8693233728408813, -0.024703122675418854, -0.10191662609577179, -0.6774588823318481, -0.2379911243915558, 0.8146645426750183, -0.3771904408931732, 0.9369705319404602, 1.3443747758865356, 0.7983353137969971, -0.5394960641860962, -1.1078038215637207, -0.1489582061767578, 1.0405205488204956, 0.47048646211624146, -0.16381490230560303, 0.2220481038093567, -1.5791547298431396, 0.17179621756076813, 0.11206092685461044, 0.6701706647872925, -0.015548641793429852, -0.6241306662559509, -0.5563724040985107, 0.28088629245758057, 0.20014671981334686, -0.052291084080934525, 0.5044621229171753, 0.7395435571670532, 0.3960185647010803, 0.21718139946460724, -0.5134474635124207, -0.1027066707611084, -0.2964494526386261, 0.6114763617515564, -0.5668119788169861, 1.6432560682296753, -0.33294838666915894, 0.07347862422466278, 0.04666980355978012, 0.09218411147594452, -1.4657069444656372, 1.5611233711242676, 0.3312991261482239, 0.27053433656692505, -0.8339856863021851, -0.7798811197280884, 1.3823976516723633, -1.4669930934906006, -0.33325859904289246, 0.9122699499130249, -0.13866659998893738, -0.07819013297557831, 0.33129432797431946, 0.280626505613327, 0.046660877764225006, 0.260217547416687, 0.8849762082099915, -0.7636308670043945, -0.40129414200782776, 0.49495983123779297, 1.1227352619171143, 0.8642253279685974, -0.38104552030563354, 0.4383013844490051, 0.7947700023651123, -0.5492786169052124, 1.4692018032073975, -0.06374650448560715, 0.3686959147453308, 0.257578045129776, 0.22539635002613068, 0.10211624950170517, -0.9098438024520874, -1.2015345096588135, -0.10380689054727554, 0.08517992496490479, 1.210023045539856, -0.5258312225341797, 0.38381412625312805, -1.013917326927185, 0.2874522805213928, -1.3424811363220215, -0.06916806846857071, -0.5398125648498535, 0.6295263767242432, 0.13130147755146027, -0.66365647315979, -1.071578860282898, -0.07884573191404343, 1.5095492601394653, -1.4970173835754395, -0.058638960123062134, -0.13235801458358765, 0.3698674142360687, 0.36405521631240845, -0.5697770714759827, -1.885662317276001, -0.8657670617103577, 0.4804255962371826, 0.5554359555244446, -0.45618247985839844, -1.1360695362091064, -1.605061650276184, -0.1618366539478302, 1.4349992275238037, -0.19135335087776184, -0.622982919216156, -0.8024948835372925, 0.23358798027038574, 0.43746066093444824, 0.4136130213737488 ] ]
{ "indices": [ 4075116728, 691409538, 1181726436, 1438597426, 488165615, 3162296784, 722829366, 3066577729, 1284918442, 818459139, 4275397581, 3471378517, 633909302, 1316082470, 2094005352, 28501148, 1296924235, 4186256544, 629871972, 2673623443, 2257684172, 189262593, 3174900812, 1904070401, 1491351846 ], "values": [ 0.6549466811756126, 0.6549466811756126, 0.7400676094394503, 0.7400676094394503, 0.4869299023387813, 0.4869299023387813, 0.791501851540453, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813 ] }
{ "context": "So let's do fetch and decode. So this was the top of the finite state machine diagram is figure C2 in the back appendix in Pat and Patel. But this is the fetch part up here, these three states, and then this is decode down here. And then after that, you go into instruction execution. So let's go through these one by one and work out the control signals that we need for each of the fetch states and for the decode state down here." }
663476
[ [ 0.17940425872802734, -0.7819247245788574, 0.3173721432685852, 0.22079838812351227, 0.17577986419200897, 0.05368021875619888, -0.8483816981315613, 0.369604229927063, 0.3109450936317444, -0.08005677908658981, 0.4594627916812897, 0.53592449426651, 0.40932679176330566, 0.25045785307884216, 0.14766202867031097, -0.20394466817378998, 0.6111936569213867, -0.5950238108634949, -0.17087212204933167, -0.41951340436935425, 0.2542845606803894, 0.43459874391555786, -0.1581582874059677, -0.36785805225372314, -0.8596934676170349, 1.0760245323181152, 0.2073460966348648, -0.8764959573745728, -0.1051429957151413, 0.7858771085739136, -0.3357260525226593, -1.2607319355010986, 0.8779767155647278, -0.5718313455581665, 2.0255520343780518, 0.22566020488739014, -0.1624317616224289, -0.362011581659317, 0.3944408893585205, 0.7350226044654846, -0.6199876070022583, 0.6683164834976196, -0.36732518672943115, 0.3284263014793396, -0.8787526488304138, 0.3459100127220154, -0.26410844922065735, -0.022217130288481712, 1.1557798385620117, -0.6590434312820435, 0.9433386921882629, -0.28266116976737976, 1.0110070705413818, -0.05382968485355377, 0.02735053561627865, 0.5776553153991699, 0.9794749617576599, 1.9154902696609497, 0.3219682276248932, -1.2043479681015015, 0.02585279941558838, -0.37517666816711426, -0.5350804328918457, -0.5643717050552368, -0.096798837184906, 0.00552454823628068, -0.5370963215827942, -1.3187886476516724, 0.5366774201393127, -0.0237394031137228, -0.609263002872467, 0.48736047744750977, 0.45848003029823303, 0.06339167803525925, 0.44975942373275757, -0.7328811287879944, 0.35210078954696655, 0.5316627025604248, 0.23737508058547974, 0.8196423053741455, -0.3961714506149292, 0.38031601905822754, -0.5968255400657654, 0.04158910736441612, 0.521517813205719, -0.9259732365608215, 1.4100393056869507, -0.6036952137947083, 0.3414110839366913, 0.6979469060897827, 0.6062654256820679, -0.4086097478866577, 1.6189097166061401, 0.17691467702388763, -0.8458496332168579, -0.16590365767478943, 0.46553999185562134, 1.060999870300293, 0.16313254833221436, -0.9446153044700623, 0.550723135471344, -0.7740345001220703, -0.25147438049316406, 1.749245524406433, 0.21211381256580353, 0.42539089918136597, 0.054522547870874405, -0.3103945553302765, -0.9849607944488525, 0.525447428226471, -0.8425909280776978, 1.1236720085144043, -0.7549210786819458, 0.6360677480697632, 0.10178855806589127, -0.7589203119277954, -0.4702332317829132, -0.5474621653556824, 1.0252844095230103, 1.1984033584594727, 0.5653314590454102, 0.5212668776512146, 0.8330324292182922, -0.4228522479534149, -1.601582407951355, 0.8890302181243896, 0.2818114161491394, -0.02079019881784916, -0.46340441703796387, 0.7193582057952881, 0.1291867345571518, 0.10747093707323074, -0.2344813048839569, 0.14589937031269073, 0.2958665192127228, 0.19069203734397888, -2.0073859691619873, -1.0538763999938965, 0.7684427499771118, 0.10325177013874054, -0.010733937844634056, -2.0181195735931396, 0.15936286747455597, 0.8577531576156616, 1.039348840713501, 0.8274897336959839, 0.5871808528900146, 0.13041694462299347, -0.36814242601394653, 0.21346601843833923, -0.6494781970977783, 0.12092728167772293, -0.9999206066131592, 0.19928191602230072, -0.733963668346405, -1.5651806592941284, 0.32754096388816833, -0.4054415822029114, -0.476329505443573, 0.4318668842315674, 0.13103146851062775, -0.28731822967529297, 0.12139524519443512, -0.3056229054927826, -1.026318907737732, -0.780085563659668, 1.424505591392517, -0.19135792553424835, 0.3372604548931122, 1.238532543182373, 0.00001717530540190637, 0.20734906196594238, 0.2550053894519806, 0.25534263253211975, 1.273280143737793, -0.5675933361053467, 0.4659646451473236, -0.15590639412403107, -0.26835140585899353, 0.2856377363204956, 0.11937464773654938, -0.5958730578422546, -0.052297402173280716, 1.4149518013000488, 0.1252824366092682, 0.25727152824401855, -0.5058997869491577, -0.6680499911308289, 0.9770869016647339, -0.8196914196014404, -0.5929561853408813, -0.8951654434204102, 0.8169090151786804, -0.18346019089221954, -0.350992351770401, -0.6929584741592407, -0.6717535853385925, -0.21094946563243866, 0.42689770460128784, -0.8391135334968567, -0.9240424036979675, 0.6746182441711426, 0.4056939482688904, -0.4213177561759949, 0.1772175133228302, 1.2239019870758057, 0.9868122339248657, -0.5598436594009399, 0.8689224123954773, -0.6307669878005981, -0.5192907452583313, 0.3335264027118683, -0.5168588757514954, 0.12556137144565582, 0.43699270486831665, -0.38032230734825134, 0.10422832518815994, 0.27571994066238403, -0.5480531454086304, -0.36685314774513245, -1.328173041343689, 0.7675449848175049, 1.8108584880828857, 1.0453752279281616, 0.5230324268341064, 0.21426288783550262, -0.34037908911705017, -0.15329240262508392, 0.47508928179740906, -0.6438245177268982, -0.26189690828323364, -0.9518479108810425, 0.46833115816116333, 0.3031086027622223, 0.15543387830257416, 0.032005906105041504, 1.3340823650360107, 0.8416441082954407, 0.9499434232711792, 0.5929826498031616, -1.140697956085205, 1.1955797672271729, 0.34997883439064026, -0.03779568523168564, 0.3306506872177124, 1.3544782400131226, -0.0316794291138649, 1.1063101291656494, -0.4436078369617462, 0.068996362388134, -0.8653268814086914, 0.7395957708358765, 0.4374423623085022, -0.867469310760498, 1.0067532062530518, -1.4541436433792114, 0.12069390714168549, -0.9603248834609985, -0.027215352281928062, 1.0150227546691895, -0.2786131203174591, -0.25578415393829346, -0.8661091923713684, -0.6512362360954285, 0.2810143530368805, 0.4102211594581604, -0.24349796772003174, -0.8418670296669006, 1.563547134399414, -0.27468809485435486, 0.7944791913032532, 0.7986820340156555, 0.16756217181682587, -0.5738886594772339, 0.20204715430736542, 0.8774985074996948, -0.44671177864074707, 1.1448066234588623, -0.36094754934310913, -0.2705453634262085, -0.10386832803487778, 0.15837258100509644, 0.20004384219646454, -0.6923640966415405, -1.6371943950653076, 0.4769996106624603, -0.5388170480728149, -1.0084971189498901, 0.6939144134521484, 0.3570873439311981, -0.7143872380256653, 0.6392847895622253, -0.03059319220483303, -0.677882730960846, 0.06386300921440125, 0.5100378394126892, 0.20029622316360474, 0.514960527420044, -0.34875431656837463, 0.7922446131706238, 0.09866611659526825, -1.1162450313568115, 0.3553740680217743, -1.1553926467895508, -0.7441505789756775, 0.1587783247232437, -1.0651981830596924, -0.4473339319229126, -0.33378127217292786, 0.11883905529975891, -0.019646432250738144, -0.6741008758544922, -0.27265793085098267, -1.2936749458312988, -1.558211088180542, 0.22977395355701447, 0.17522571980953217, 1.104720115661621, -0.33210474252700806, -0.5957112908363342, 1.0158841609954834, 0.9104567766189575, -0.8056590557098389, -0.5438864231109619, -0.0766759142279625, -0.16912312805652618, -0.6802487969398499, -0.3328835070133209, 0.6082956790924072, 0.6284496188163757, -0.013934326358139515, -0.35939204692840576, 1.0648936033248901, 1.0160795450210571, -0.0594966858625412, -0.8456903100013733, 0.41537100076675415, 0.19608531892299652, -0.8929010033607483, 0.07195380330085754, 0.47275352478027344, 0.40988999605178833, 0.4896560311317444, 0.20990301668643951, 0.7426942586898804, 0.4009332060813904, -0.5351424217224121, 0.291979044675827, -0.10527012497186661, 0.5044652819633484, -0.02532937191426754, -0.08843450248241425, -0.055962104350328445, -0.46325209736824036, -0.896345853805542, 0.43693768978118896, 0.7343843579292297, -0.37250539660453796, 0.037232618778944016, 0.9114149808883667, 0.6631599068641663, -0.34045034646987915, -0.369100421667099, -0.6877622008323669, 0.10009689629077911, 0.20268614590168, -0.6272044777870178, -0.04113117977976799, 0.9085224270820618, 0.3345319926738739, 0.42774495482444763, 0.525434672832489, -0.1323065459728241, -0.7408475875854492, -1.4762617349624634, -0.6626038551330566, 0.09681487083435059, 1.1555047035217285, 0.31227409839630127, 1.1421947479248047, -0.18291327357292175, 0.06920243799686432, -0.2504955530166626, 0.25959497690200806, -1.3847136497497559, 0.4637084901332855, 0.4553638994693756, 0.3276839852333069, 0.1089865192770958, -0.05782533064484596, 0.42094886302948, -0.10075169056653976, -0.7754846215248108, -0.29675793647766113, -0.2828618884086609, -0.8674944639205933, 0.3058318793773651, -0.35892805457115173, -0.043792661279439926, 0.34070032835006714, 0.22344481945037842, 1.7825500965118408, 0.7208120226860046, 0.495464026927948, 0.1092696413397789, 0.17179971933364868, -0.3990170955657959, -0.39230936765670776, 0.7424201369285583, 0.39593109488487244, 0.7534935474395752, 0.9007275700569153, 0.630172848701477, -0.8235198855400085, -0.12908591330051422, 0.03475731238722801, 0.8063914179801941, 0.8661599159240723, 0.4642920196056366, -0.46872562170028687, -0.4909948408603668, 0.090187668800354, 0.410684734582901, 0.8934593796730042, -0.9509105086326599, 1.0058616399765015, 0.5613558292388916, -0.307717502117157, 0.4722411632537842, 1.2787134647369385, 1.030296802520752, -0.0706690177321434, -0.6817355751991272, -0.8400270342826843, -0.13089771568775177, 0.24224619567394257, -0.3966647982597351, 0.2845091223716736, 0.707845151424408, 0.23085597157478333, -0.8591543436050415, 0.5876281261444092, -0.5107003450393677, -1.6546868085861206, 0.18489424884319305, -0.4113512933254242, -0.6820494532585144, -0.4351462423801422, 0.92304527759552, 0.27426913380622864, 0.7217832207679749, -0.17372649908065796, -0.06903063505887985, -0.45187047123908997, -2.6415390968322754, -0.17646588385105133, 0.47325897216796875, 0.3585363030433655, -0.43526098132133484, 0.10662337392568588, -0.6581098437309265, -0.8261746168136597, 0.6532922387123108, -1.1491416692733765, 0.9446700811386108, -0.9994766116142273, -0.12555187940597534, -0.2325839400291443, -1.7675907611846924, -1.190248966217041, 1.3791871070861816, 0.011088777333498001, 0.25166815519332886, 0.15964531898498535, -0.12728458642959595, 0.1811474859714508, 0.8132688999176025, 0.4036373198032379, 0.3378143906593323, -0.060965750366449356, -0.5239658355712891, 0.262739360332489, 0.7704254984855652, -1.5696769952774048, -0.8658621907234192, 0.40704232454299927, -0.8840391635894775, -0.6405900716781616, 0.5461744070053101, -0.5018925070762634, -0.32716286182403564, 0.5286443829536438, -0.13595661520957947, -0.7282370924949646, -0.5059294700622559, -1.404063105583191, 0.6683765053749084, -0.25344863533973694, 0.6435939073562622, 0.7498890161514282, 0.19793105125427246, -0.43887341022491455, -0.05001691356301308, -0.00418796157464385, 0.39191505312919617, -0.4011796712875366, 0.6522656679153442, -0.754461944103241, -0.7573217749595642, -0.41000425815582275, 0.9477497935295105, -0.2457689791917801, -0.05081719905138016, -0.08449707925319672, -0.0755152553319931, -0.2370160073041916, 0.961952805519104, -0.9966832399368286, 0.3105272650718689, -0.27145567536354065, 0.2190808802843094, -0.7694138884544373, 0.18699829280376434, 0.42710229754447937, -1.4172457456588745, 0.41792720556259155, 0.622409462928772, 0.5768629312515259, 0.49881795048713684, -0.607134997844696, 0.7178092002868652, 0.09702783077955246, -1.1153289079666138, 0.8469336032867432, 0.19996777176856995, 0.870582103729248, 0.1797305941581726, -0.8285019397735596, -0.5894896984100342, 0.7039855718612671, 0.22375398874282837, 0.23187194764614105, 0.02519599162042141, 0.582504153251648, 0.8439393639564514, 0.05878153443336487, -0.5213198661804199, -1.1936222314834595, -0.18637897074222565, 0.28935500979423523, -0.006975522730499506, -0.8861657977104187, 0.13317063450813293, 0.4170398712158203, -1.3026350736618042, 0.49911707639694214, 1.6613917350769043, 0.3837715983390808, 0.09328877180814743, 0.35953789949417114, 0.00830093678086996, 1.1342750787734985, 0.5349608063697815, 0.4948182702064514, 0.21292251348495483, -0.13143675029277802, -0.8540806770324707, -0.9385961294174194, -0.917985200881958, -0.4772261679172516, -0.05040264502167702, -0.7939779162406921, -0.5727870464324951, 0.9828704595565796, 0.1257491260766983, 0.7693331837654114, 0.04384081810712814, -1.1938632726669312, -0.4679175913333893, -0.8962261080741882, -0.5910441279411316, -0.2648836374282837, 0.5538358688354492, 0.4162866175174713, 0.28348174691200256, 0.9826257228851318, -0.6294941902160645, 0.3951892554759979, 0.29241010546684265, 0.3823447525501251, 0.36350515484809875, 1.7851072549819946, 0.21524547040462494, 0.6065490245819092, 1.2450342178344727, 0.5818899273872375, 0.889003336429596, -0.5758507251739502, 0.14599022269248962, 0.740990936756134, 0.27226272225379944, -0.1739855706691742, -0.11240480840206146, -1.0299973487854004, 0.7473868131637573, 1.1305557489395142, -0.7406477928161621, 0.647620677947998, -0.3996996581554413, 0.4608745574951172, -0.33747991919517517, 0.6542531251907349, -0.8452783823013306, 0.5344997048377991, -0.3697910010814667, 1.7913674116134644, -1.371975302696228, -0.44863080978393555, 1.147985577583313, 0.962824285030365, 0.6607739925384521, 0.379694402217865, 0.47503387928009033, -0.19986777007579803, -0.49620363116264343, -0.509268581867218, -0.6332582235336304, 1.0357475280761719, -1.9949394464492798, 0.8747790455818176, 0.09016191214323044, -0.21076883375644684, 0.46831944584846497, 0.5341278910636902, 0.06844326853752136, -1.0764302015304565, -0.6492373943328857, -0.8542882800102234, 0.224821075797081, 0.3524174392223358, -0.44679951667785645, 0.0069541968405246735, 0.13659168779850006, 1.0125706195831299, -0.2683480978012085, 1.047289252281189, -0.7132696509361267, -1.9276776313781738, -0.08697164803743362, -0.669053316116333, -1.032218337059021, 1.5598517656326294, 0.24844808876514435, -0.571628749370575, 1.146393060684204, -0.3831424117088318, 0.7776598334312439, 0.6816677451133728, 0.5850116014480591, -0.022199949249625206, 1.285848617553711, -0.19226521253585815, -0.27207306027412415, -0.35822242498397827, -0.7896695733070374, 5.1167097091674805, 1.378444790840149, -0.20034052431583405, -1.7721483707427979, 0.031863193958997726, -1.3216707706451416, 0.04678216204047203, -0.08389214426279068, -0.024781085550785065, 0.032746572047472, 0.7264936566352844, 1.3536983728408813, 0.7654295563697815, -0.18668773770332336, 0.7332779765129089, 0.919815182685852, -0.6822443008422852, -0.341105192899704, 1.0971283912658691, -0.15435916185379028, -0.06394830346107483, -0.6989315748214722, -0.9096283316612244, -0.8869346380233765, 1.2326549291610718, 0.12457194924354553, 0.8659018874168396, -0.7438279390335083, 0.959674060344696, -0.33024856448173523, -0.4283653199672699, 0.8389299511909485, 0.4409164786338806, 0.9615197777748108, 0.22054556012153625, 0.15911614894866943, -0.09813924133777618, -0.1421496868133545, -0.4443199932575226, 0.27615827322006226, 0.45039427280426025, -0.46417129039764404, -0.500534176826477, -0.3648018538951874, -1.1029033660888672, 0.10277408361434937, -0.6878595948219299, -0.6869004368782043, 0.593963623046875, -0.1527339518070221, -0.2636679410934448, 0.5373758673667908, -0.5621485710144043, -0.9089271426200867, -0.6441250443458557, 0.6531078815460205, 0.3570023775100708, -0.09220647811889648, -0.315945565700531, -0.8926315307617188, 0.2285827100276947, 0.10130687803030014, 0.34677979350090027, -0.0039089941419661045, 0.6273228526115417, 0.06613906472921371, 0.3283619284629822, 1.5913978815078735, -0.21112194657325745, -0.4737703204154968, -0.8312823176383972, 0.39208975434303284, -0.422225683927536, -0.6215919256210327, -0.38553357124328613, 1.1805185079574585, -0.39692234992980957, 0.1790640503168106, -0.6439448595046997, -0.498806357383728, -1.260168194770813, 0.1334661841392517, -0.24076688289642334, 0.3072437345981598, -0.597131073474884, -0.6509131789207458, -0.3455113172531128, 0.3786593973636627, -0.42357131838798523, 0.07411988824605942, 0.035648565739393234, 1.4789131879806519, 0.5943701267242432, -0.6094940900802612, -0.4426497519016266, 0.20699387788772583, -1.7430574893951416, -0.16125108301639557, -1.3077198266983032, 1.4153211116790771, 0.6454824209213257, -0.3692581057548523, -0.19982367753982544, 0.7716027498245239, -1.0917942523956299, 0.1465466022491455, 0.693824052810669, -0.8505429029464722, -0.19507411122322083, -0.010070591233670712, 0.6665712594985962, -1.5109378099441528, -0.7422088980674744, -0.5535167455673218, -0.12040789425373077, 0.13821817934513092, -0.20905421674251556, -0.6400760412216187, -0.17335230112075806, -0.5916246771812439, 0.5981170535087585, 0.6391223073005676, 0.4456368684768677, -0.7046855092048645, -0.2550937831401825, 0.16620618104934692, -1.0959928035736084, -0.7512984871864319, 0.291824609041214, -0.9475798010826111, 0.2058979719877243, 0.029208611696958542, -0.44869884848594666, 0.5742518901824951, -0.8762103319168091, 0.06240304931998253, 0.17603851854801178, 0.47317203879356384, -1.122288703918457, -0.07413862645626068, 0.4184431731700897, -0.26881954073905945, -0.387577623128891, 1.0424120426177979, -0.3010592758655548, 0.20481805503368378, 0.3144058287143707, 0.0969371497631073, 1.14712393283844, 0.9151395559310913, -1.0984134674072266, -0.9418582320213318, 0.2377888411283493, 0.4635516107082367, 0.18156303465366364, 0.23011580109596252, 0.010338225401937962, -0.10164302587509155, -0.602839469909668, 0.3299364149570465, 0.7592803835868835, 0.6904824376106262, -0.12397899478673935, -1.0339618921279907, -0.2546081840991974, 0.013041812926530838, -0.28266578912734985, 0.7433897852897644, 0.0037340845447033644, 0.1671796292066574, 0.4569994807243347, -0.13262306153774261, -0.013226930052042007, 0.37358909845352173, -0.9793162941932678, -0.22674860060214996, -0.19943580031394958, 0.8597182035446167, 0.9788949489593506, -0.6413829326629639, -0.04929124191403389, 0.4475506544113159, -1.674923062324524, 0.8669604063034058, 0.09600202739238739, -1.0861948728561401, -0.4288747310638428, 1.3150994777679443, -0.24132363498210907, 0.1703728586435318, 0.20647305250167847, -0.4508056044578552, 0.905963122844696, 0.6034078001976013, -0.5817598104476929, -0.6690182685852051, 1.064903736114502, 0.68532395362854, 0.15542182326316833, -0.4568036198616028, 0.21118712425231934, -0.4828684329986572, -1.4343516826629639, -0.08216167241334915, -1.5479894876480103, 0.6597912311553955, 0.12328552454710007, 1.0287178754806519, -0.24173985421657562, 0.5240846276283264, -0.24422569572925568, 0.21548590064048767, -0.03044004552066326, -0.0897148996591568, -0.07589712738990784, 0.9565111994743347, -0.587363064289093, -0.2179143726825714, 0.4025602340698242, -0.09765802323818207, -0.4017927348613739, 0.09624078869819641, -1.6587408781051636, -0.21055245399475098, 0.10776335000991821, -0.11376140266656876, 0.21233519911766052, -0.7565242052078247, -0.33454328775405884, -1.2257678508758545, 0.039936795830726624, 0.4073668420314789, 0.9441441893577576, 0.24222666025161743, -0.0004259370907675475, -0.869025468826294, -0.29935815930366516, 0.2628684639930725, 0.46487829089164734, -1.3284263610839844, -0.980158805847168, 0.029751282185316086, 0.06838168203830719, 0.36747097969055176, 0.9179872274398804, -0.21798767149448395, -0.8865991830825806, 0.7816537022590637, -0.281002014875412, 0.06431758403778076, -1.0113792419433594, 0.3324124813079834, 1.1574968099594116, -0.6332334280014038, 0.8997967839241028, 0.5750529766082764, 0.8823928833007812, -0.2685540020465851, -0.49736490845680237, -0.3136167526245117, 1.0840685367584229, 0.5519444942474365, -0.0656251609325409, 0.30400416254997253, -1.5976086854934692, 0.1552537977695465, 0.4065846800804138, 0.07553337514400482, 0.08838270604610443, -0.4442599415779114, -0.29928645491600037, 0.3722456991672516, 0.5361732244491577, -0.09191709011793137, 0.630243718624115, 0.44421640038490295, 0.6259174942970276, 0.5301507115364075, -0.298875629901886, -0.010661106556653976, -0.10388310253620148, 0.6001684665679932, 0.17741146683692932, 1.0705649852752686, -0.626921534538269, 0.32631242275238037, 0.5571478605270386, -0.4948813319206238, -0.8720347881317139, 1.0259331464767456, 0.1702767014503479, -0.4195325970649719, -0.8906521201133728, -0.6277376413345337, 1.0137864351272583, -1.721647024154663, -0.04363556206226349, 0.720452070236206, 0.06263843923807144, -0.18383541703224182, 0.4166015386581421, 0.1102520301938057, 0.2972514033317566, 0.7919101715087891, 0.6855177283287048, -1.1467469930648804, -1.0990245342254639, 0.25805261731147766, 0.5114908814430237, 0.2772247791290283, -0.7453632950782776, 0.699929416179657, 1.1149917840957642, -0.2993775010108948, 1.4791418313980103, 0.11022164672613144, 0.8544162511825562, 0.14405037462711334, 0.3487641513347626, 0.3895220160484314, -0.4188874661922455, -0.8094664216041565, -0.08407087624073029, 0.42668601870536804, 1.7708826065063477, -0.6624453663825989, 0.40153416991233826, -0.6287226676940918, 0.3427276313304901, -0.8224723935127258, 0.2953271269798279, -0.6940574049949646, 0.30841633677482605, -0.09891927242279053, -0.5051978230476379, -1.3961331844329834, 0.014313890598714352, 0.6993311047554016, -1.094854712486267, -0.15145191550254822, -0.2064284086227417, -0.01859453320503235, -0.2810092270374298, -0.41940662264823914, -1.5040836334228516, -0.5941436290740967, 0.9474455118179321, 0.3725133240222931, -0.12107596546411514, -1.3698428869247437, -1.1394942998886108, -0.31314656138420105, 1.3725436925888062, -0.4420611560344696, -0.0209506805986166, -0.8233449459075928, -0.1463535726070404, 0.24408502876758575, 0.17966678738594055 ] ]
{ "indices": [ 4186256544, 629871972, 2673623443, 4075116728, 691409538, 2257684172, 189262593, 3174900812, 1904070401, 1491351846, 1181726436, 722829366, 1438597426, 3191043472, 4094582072, 2912884399, 2836570682, 832098838, 3688822001, 1284918442, 876124137, 189734734, 997012898, 3774983271, 1200021954 ], "values": [ 0.6594679073562161, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.743909605600537, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.743909605600537, 0.49194488589648017, 0.6594679073562161, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.6594679073562161, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.6594679073562161, 0.743909605600537, 0.49194488589648017 ] }
{ "context": "And then after that, you go into instruction execution. So let's go through these one by one and work out the control signals that we need for each of the fetch states and for the decode state down here. And so hopefully, you remember, you will need to know this on the final, I mean, you'll have the diagram, but you needed to know it on the midterm too. So MAR gets PC, PC gets PC plus one." }
660430
[ [ 0.060617201030254364, -0.47540125250816345, -0.09785868227481842, 0.6207788586616516, 0.35810068249702454, 0.44052332639694214, -0.39957189559936523, 1.2735353708267212, 0.6391213536262512, -0.5627222657203674, 0.9673311114311218, 1.0047880411148071, 0.7712613344192505, 0.38181716203689575, 0.30875566601753235, -0.15480706095695496, 0.6035193204879761, 0.028633031994104385, -0.5220521092414856, -0.3421313166618347, 0.9761565327644348, -0.6723002791404724, -0.05395001918077469, 0.19902269542217255, -0.7164270281791687, 0.9433773756027222, 0.16873322427272797, -1.046872854232788, 0.10629716515541077, 0.37337547540664673, -0.6877377033233643, -1.2287617921829224, 0.8323605060577393, 0.051651109009981155, 0.9299638867378235, -0.20923331379890442, -0.6102877855300903, -0.14173516631126404, 0.41756460070610046, 0.687891960144043, -0.057578686624765396, -0.000544207519851625, -0.6721277236938477, 0.46432945132255554, -1.2405537366867065, 0.36374253034591675, -0.40197890996932983, -0.4210708737373352, 1.1320167779922485, -0.6153202652931213, 1.0300607681274414, -0.6410771608352661, 0.9137193560600281, 0.5942413210868835, 0.13575701415538788, 0.3914858400821686, 1.5031890869140625, 1.3814030885696411, 0.422931432723999, -1.2548638582229614, 0.06035064160823822, -0.29590392112731934, 0.8753606081008911, -0.04303941875696182, -0.47060680389404297, -0.011128414422273636, -0.17710277438163757, -0.14469389617443085, 0.08245740830898285, -0.34811651706695557, -0.5543105602264404, 0.1118844673037529, 0.2544398605823517, 0.7040501832962036, 1.1787123680114746, -0.8747981190681458, 0.24917033314704895, -0.006071958225220442, -0.153114914894104, 0.4346633851528168, -1.0732229948043823, 0.18566805124282837, -0.7666915655136108, 1.1356407403945923, 0.1540202498435974, -0.991461455821991, 1.1413819789886475, -0.6561275124549866, -0.6466068029403687, 0.8685835599899292, 0.29373860359191895, -0.47254541516304016, 1.4723913669586182, 0.24480533599853516, -0.3932374119758606, 0.36642882227897644, -0.4238971471786499, 0.7656879425048828, 0.34649530053138733, -0.6111913323402405, -0.258882075548172, -1.2435888051986694, 0.30972281098365784, 1.8406847715377808, -0.6204132437705994, 0.2201198935508728, 0.09801868349313736, 0.18894615769386292, -0.16188442707061768, 0.4497326910495758, -0.6520074605941772, 0.5034692883491516, -0.018099084496498108, 0.8586354851722717, -0.4070703983306885, -0.5837053656578064, -0.8754851818084717, -0.09186768531799316, 1.4196619987487793, 0.36595046520233154, 1.3188674449920654, 0.1630285084247589, 1.0067623853683472, -0.8994480967521667, -2.205246686935425, 0.6347238421440125, 0.10243810713291168, 0.05834323540329933, -1.0356488227844238, 0.6497291326522827, 0.34012699127197266, -0.3475196659564972, -0.06140034273266792, -0.13067427277565002, 0.5291566252708435, 0.9300538301467896, -1.9568898677825928, -1.3738270998001099, 0.6616610288619995, 0.25969552993774414, -0.38007184863090515, -1.26749587059021, 0.5568357110023499, 0.7493687272071838, 1.5325138568878174, 0.02084292657673359, 0.6765816807746887, 0.3160690665245056, 0.1985812932252884, 0.6146798133850098, -1.0145916938781738, -0.4388033449649811, -1.5847833156585693, -0.32269778847694397, -0.3121800720691681, -0.02159716747701168, 0.4731143116950989, 0.5252685546875, -0.10613949596881866, -0.1245289221405983, 0.8677309155464172, -0.23254813253879547, -0.4267590641975403, 0.37844541668891907, -1.005374789237976, -1.290416955947876, 2.3183577060699463, -0.23315516114234924, 0.2568448483943939, 1.0153011083602905, -0.15305016934871674, -0.44682639837265015, 0.33197247982025146, -0.23466649651527405, 1.2088537216186523, -0.9194781184196472, -0.2341630458831787, -0.3771159052848816, 0.20637072622776031, -0.10701872408390045, -0.8247936964035034, -0.575660228729248, 0.5034388899803162, 0.9134624600410461, 0.42899289727211, 0.09521117806434631, -0.2932054400444031, -0.035398684442043304, 1.1503932476043701, -0.6519467234611511, -0.8486408591270447, -1.1777015924453735, 0.7716216444969177, -0.0798751562833786, -0.850317656993866, -0.895250141620636, -0.7687224745750427, 0.13542194664478302, 0.33451494574546814, -0.8249699473381042, -1.4337947368621826, 0.45602381229400635, 1.0385022163391113, -0.8077597618103027, -0.05969516187906265, 0.5255331993103027, 0.874254584312439, -0.8706144094467163, 0.7880231142044067, -0.8158507347106934, -0.22790931165218353, 0.46403786540031433, 0.1033928170800209, -0.05472594499588013, 0.3110443651676178, -0.16247937083244324, 0.1096808910369873, 0.1340056210756302, -0.0820295512676239, 0.5026588439941406, -0.7019726037979126, 1.0502768754959106, 2.1191341876983643, 1.0238151550292969, 0.04389691725373268, 0.5923081636428833, 0.18027827143669128, 0.6475597023963928, 0.47544556856155396, 0.090189129114151, -0.8819084167480469, -1.8838151693344116, -0.2879202365875244, 0.3070674240589142, -0.48420825600624084, 0.19217449426651, 1.6345908641815186, 0.5046305060386658, 0.2849133610725403, -0.5600336194038391, -1.351794719696045, 0.8033980131149292, 0.44329652190208435, 0.8405620455741882, 0.4905395805835724, 1.72200608253479, 0.32544368505477905, 1.4150785207748413, -0.5239198803901672, 0.321123868227005, -0.7742254137992859, 0.40756556391716003, 0.2825932204723358, -0.492166668176651, 0.10314401239156723, -1.0437406301498413, 0.3540566563606262, -0.9016443490982056, -0.1687886118888855, 0.4133386015892029, -0.6834391951560974, -0.5229198932647705, 0.044642794877290726, -0.3298603296279907, -0.10035674273967743, 0.15445451438426971, -0.4087543487548828, -0.6990554928779602, 1.235906958580017, -1.254064917564392, 0.16098515689373016, 0.09740491956472397, -0.318428099155426, -1.0125482082366943, 0.44087129831314087, 0.3992537558078766, -0.3604586124420166, 1.0706959962844849, -0.9154154658317566, -0.5912387371063232, -1.040132999420166, 0.03929413482546806, 0.4009111225605011, -0.3487224280834198, -1.7285085916519165, 0.3646007776260376, -0.33645427227020264, -0.7662343382835388, 0.8444799780845642, -0.05007874220609665, -1.1149787902832031, 0.5896095037460327, 0.16938680410385132, -0.5548202991485596, 0.14304131269454956, -0.15492002665996552, 0.19881336390972137, -0.08799759298563004, -0.4241224527359009, 0.3862759470939636, -0.062089625746011734, -1.555118203163147, -0.024517906829714775, -0.6972365379333496, -0.2774343490600586, -0.3899264633655548, -0.3611108064651489, -0.4162295162677765, 0.22304846346378326, 0.8817874193191528, 0.3335455358028412, -0.20741523802280426, -0.318915456533432, -1.0630398988723755, -1.367222785949707, -0.40033379197120667, 0.64923495054245, 1.1400049924850464, -0.9549429416656494, -0.22558501362800598, 1.581331729888916, 0.5442693829536438, -0.7183606028556824, -0.2006039023399353, 0.9307485818862915, 0.4482514262199402, -0.8786219358444214, -0.0072456952184438705, 0.8527464270591736, 0.3004210889339447, 0.10356119275093079, -0.5996642112731934, 0.7351602911949158, 2.081045627593994, -1.0316226482391357, -1.0507352352142334, 0.35051751136779785, 0.31374871730804443, -0.4919915795326233, 0.2649119198322296, 0.09965020418167114, -0.09169331938028336, 1.3358120918273926, -0.3228066861629486, 0.7114000916481018, 0.49717360734939575, -0.40599673986434937, 0.7870036363601685, -0.11964695155620575, 0.3054291903972626, 0.22327826917171478, 0.21991097927093506, 0.5829549431800842, -1.0371193885803223, -0.39202436804771423, 0.5003376007080078, 1.1560083627700806, -1.0407205820083618, 0.44800662994384766, 0.8893543481826782, 0.8799901604652405, 0.24397195875644684, -0.300480455160141, 0.2075449377298355, -0.08326610177755356, 0.8789792060852051, -0.27284809947013855, 0.18201228976249695, 0.0813012346625328, -0.3553539514541626, 0.15353868901729584, 0.5911613702774048, -0.8114746809005737, -0.0011010795133188367, -1.5489658117294312, -1.2056301832199097, 0.7199772596359253, 1.1627918481826782, 0.6773354411125183, 0.2822031080722809, 0.0005834425101056695, -0.14080333709716797, -0.8699400424957275, -0.2366224229335785, -1.361306071281433, 0.24425284564495087, 0.16556568443775177, -0.42038798332214355, 0.6654264330863953, -0.2648611068725586, 0.4407869875431061, -0.48406389355659485, -1.7259694337844849, 0.06105657294392586, -0.4490729868412018, -1.2107330560684204, 0.7034785151481628, 0.078287273645401, -0.678722620010376, 0.7464666962623596, 1.1714427471160889, 2.0040900707244873, 0.1761227399110794, 0.4637354016304016, 1.024212121963501, 0.0686531662940979, -0.043476540595293045, 0.06678072363138199, -0.002838765736669302, 0.08697588741779327, 1.0658336877822876, 0.40402159094810486, 0.5662296414375305, -0.8708757162094116, -0.0093675646930933, 0.4538276195526123, 1.0667235851287842, 0.18952403962612152, -0.25865593552589417, 0.03332366794347763, 0.15178918838500977, -0.7376633882522583, 0.40455591678619385, 1.2791913747787476, -0.1794736236333847, 1.3568297624588013, 0.8844037652015686, 0.860200047492981, -0.5183672308921814, 1.1336791515350342, 1.461028814315796, -0.46143847703933716, 0.048364344984292984, -0.6376482248306274, -0.9857680797576904, -0.7029116749763489, -0.11397821456193924, 0.5161651968955994, 0.9040655493736267, 0.24710990488529205, -0.25450390577316284, 0.8362136483192444, -0.1526506543159485, -0.704829216003418, -0.23264390230178833, -0.8782989382743835, -0.10952935367822647, 0.06970347464084625, 0.6828411817550659, 0.7446524500846863, 0.30774474143981934, -1.0252288579940796, -0.8041718602180481, -0.7608838081359863, -1.9874558448791504, 0.14992667734622955, -0.019027063623070717, 1.8507920503616333, -0.4113810658454895, 0.37061357498168945, -1.1814824342727661, -1.7511730194091797, 0.5714717507362366, -0.5493119955062866, 1.6507809162139893, -0.9165244102478027, 1.0412304401397705, -0.1558169275522232, -0.6802392601966858, -1.527376413345337, 0.9294884204864502, -0.08007577061653137, -0.25086185336112976, -0.3742784559726715, 0.10077684372663498, -0.621383547782898, 0.2883493900299072, -0.14205852150917053, 1.200690746307373, -0.7330906391143799, -0.3665655553340912, 0.2652767598628998, 1.2796874046325684, -0.9463428854942322, -0.10741698741912842, 0.09569542109966278, -0.9236548542976379, -0.7885772585868835, 0.718002438545227, -0.2162853181362152, -0.3361722528934479, 0.4547981917858124, -0.30963775515556335, -0.027700969949364662, -0.03640751540660858, -1.2081760168075562, 1.4923311471939087, 0.00026104209246113896, -0.12221499532461166, 0.4296744763851166, 0.8067159056663513, -0.7020355463027954, 0.1533355563879013, -1.381942629814148, 0.1908074915409088, -0.9881420731544495, 1.2008781433105469, -0.812827467918396, 0.006024131551384926, -1.0762141942977905, 0.770560085773468, -0.3369680941104889, 0.21856601536273956, -0.18930065631866455, -0.08741660416126251, -0.2933219373226166, 0.6830048561096191, -1.0309315919876099, 0.4030243754386902, -0.22317032516002655, 0.01768931746482849, -0.30921050906181335, -0.46890023350715637, 0.2906627655029297, -1.649786114692688, 0.3810848593711853, 0.3687695562839508, 0.3262490928173065, 1.5153402090072632, -0.8609528541564941, -0.7231262922286987, 0.5365727543830872, -0.8903142809867859, 0.8374067544937134, 0.1763138473033905, -0.1998768448829651, -0.4580405652523041, -0.8085150122642517, -0.01773018017411232, 0.37146928906440735, -0.0028251835610717535, 0.023490838706493378, 0.04731668159365654, 0.7845900654792786, -0.08143186569213867, -0.6692439913749695, -0.6226426959037781, -0.9672717452049255, -0.6611327528953552, 0.48040395975112915, 0.3137551546096802, -0.23871715366840363, -0.07269272208213806, 0.5930103063583374, -0.46318289637565613, 0.11681821197271347, 1.2384461164474487, -0.2985062301158905, 0.6918636560440063, 0.5440429449081421, 0.6964106559753418, 0.8497244119644165, 1.0033209323883057, 0.5729606747627258, -0.18258023262023926, -0.028569914400577545, 0.5765454769134521, -0.5046940445899963, -1.3569999933242798, -0.5796313881874084, 0.11648336052894592, -1.0215320587158203, -0.4291442036628723, 1.5622950792312622, -0.4717791676521301, 0.38434138894081116, 0.009046398103237152, -0.21301519870758057, -0.6686434149742126, -0.0001426394155714661, -0.48840245604515076, -0.7002108693122864, 0.9551118016242981, -0.6336953639984131, 0.3172297477722168, -0.2643035352230072, -0.5360531806945801, -0.9112321734428406, 0.2302844524383545, 0.049387555569410324, 0.2225019633769989, 2.232614755630493, 0.605588972568512, 0.4333091974258423, 0.7494049668312073, 0.7364761829376221, -0.09355342388153076, -0.36705803871154785, 0.7426702380180359, 1.335528016090393, 0.4500752091407776, -0.46023592352867126, 0.21345920860767365, -0.9293997883796692, 0.5817780494689941, 1.5738847255706787, -0.9150933027267456, 0.4706706404685974, 0.1871410459280014, 0.11454686522483826, -0.7403017282485962, -0.24456584453582764, -0.9180642366409302, 0.5650906562805176, -0.47056302428245544, 2.481328248977661, -1.2133913040161133, -2.1312053203582764, 1.0876517295837402, 2.0291552543640137, -0.0058333659544587135, -0.31780797243118286, 0.4872687757015228, -0.30318552255630493, -0.2556121349334717, -0.9088340997695923, -0.39681318402290344, 1.1335824728012085, -1.1271958351135254, 0.8846501111984253, -0.1341434121131897, -0.4993342161178589, 0.3477247357368469, 0.8441072702407837, 0.3021465539932251, -1.4603129625320435, -1.43804132938385, -1.4115214347839355, 0.6766186952590942, 0.1323084533214569, -0.19796204566955566, 0.05268465355038643, -0.161094069480896, 0.5255192518234253, -0.36679330468177795, 0.7724940180778503, -0.48486578464508057, -1.530002236366272, -0.8019313216209412, -0.6476857662200928, -0.9869779944419861, 1.4563747644424438, 0.28305333852767944, -0.48006972670555115, 0.9716587662696838, -0.45078015327453613, 1.2835204601287842, 0.25384700298309326, 0.7747303247451782, 0.5676499605178833, 1.3425153493881226, -0.6480703949928284, 0.250862181186676, -0.5176221132278442, 0.017769433557987213, 4.2943949699401855, 1.038489818572998, -0.015948720276355743, -2.0391905307769775, -0.21022097766399384, -0.5594438910484314, 0.482755571603775, -0.15612401068210602, 0.5913282632827759, 0.2851952314376831, 0.7559553980827332, 0.5649385452270508, 0.5644529461860657, -0.19436651468276978, 0.19240333139896393, 0.9033977389335632, -0.6860689520835876, -0.9435312747955322, 0.3733649253845215, 0.5051832795143127, -0.04517048969864845, -0.34630298614501953, -0.9879001975059509, -1.0195667743682861, 1.0715128183364868, -0.18158100545406342, 0.18364720046520233, -0.538386881351471, 1.0194673538208008, 0.1707025170326233, -0.5260013937950134, 0.4448200762271881, 0.7312444448471069, -0.019572952762246132, 0.7594122886657715, 0.2943499982357025, -0.8221635818481445, -0.4178464412689209, -0.6509795784950256, 0.6390763521194458, 0.18865126371383667, -0.38591378927230835, 0.021844906732439995, -0.3734853267669678, -0.5255872011184692, -0.5072466731071472, -0.6131841540336609, -0.7877817153930664, -0.06836752593517303, -0.2583238184452057, 0.6311805844306946, 0.12980930507183075, 0.022601595148444176, -0.8558042645454407, -0.619913637638092, 1.044228196144104, 0.35184791684150696, -0.5768114924430847, -0.781066358089447, -0.5676454305648804, 0.4294148087501526, -0.42637884616851807, 0.5647233724594116, 0.9296925663948059, 0.471701979637146, 0.7094588875770569, -0.7268090844154358, 1.852004885673523, -0.029323134571313858, -0.5555185675621033, -0.44275984168052673, 0.11667699366807938, -0.030163338407874107, -0.4302874207496643, -0.5689545273780823, 0.7194952964782715, -0.8180188536643982, 0.5304876565933228, -1.0166994333267212, -0.36962729692459106, -0.606299877166748, 0.6419976353645325, 0.21896184980869293, 0.04720589891076088, -0.14024141430854797, -0.23490028083324432, -0.07322115451097488, 0.4400140047073364, -0.0867902934551239, -0.08233240246772766, 0.22314761579036713, 1.5167635679244995, 1.0495284795761108, -1.2022385597229004, 0.15202735364437103, 0.12426445633172989, -0.5364322066307068, 0.09314672648906708, -0.32854679226875305, 1.4811912775039673, 0.16226010024547577, -0.6232150197029114, -0.4239804744720459, 0.7908498048782349, -0.4970751106739044, 0.28791776299476624, 0.6111447215080261, -1.5102993249893188, 0.03272741660475731, -0.11353158205747604, 0.7921527028083801, -0.5561680793762207, -0.6276085376739502, -0.18001142144203186, 0.3379087746143341, -0.22872307896614075, -0.46443384885787964, -0.8647526502609253, 0.9270713925361633, -0.2689298987388611, 0.48307356238365173, -0.10570002347230911, 0.0561995692551136, -0.02723432518541813, -0.0773264691233635, 0.1393684446811676, -0.7944748401641846, 0.2813108563423157, -0.6276954412460327, -0.11255767196416855, 0.039590466767549515, -0.025849316269159317, 0.06248855963349342, 0.5913121700286865, -1.1150164604187012, -0.4280930757522583, 0.00699467770755291, 0.5213854908943176, -1.4210706949234009, 0.011045273393392563, 0.22340230643749237, -0.35986900329589844, -0.6762139797210693, 2.011672019958496, -0.759028434753418, -0.11451376229524612, 0.6805455684661865, -0.3950188457965851, 0.5972025394439697, 0.8687328696250916, -0.9736985564231873, -0.07780233770608902, 0.5948050022125244, 0.4639103412628174, 0.4919344186782837, -0.111207015812397, -0.250969260931015, 0.0042792316526174545, 0.25581449270248413, 0.5992251038551331, 0.4064142107963562, 0.7273827791213989, 0.17863358557224274, -0.2435608059167862, 0.19276101887226105, 0.9278759956359863, -0.40398135781288147, 0.4252454936504364, -0.8291138410568237, 0.7053574919700623, 0.3664173185825348, -0.11854173243045807, 0.41109728813171387, 0.826787531375885, -1.4366118907928467, -0.5369570255279541, -0.04154568165540695, 0.09857021272182465, 1.1127005815505981, -1.1594792604446411, 0.2693599462509155, 1.1208279132843018, -1.1325373649597168, 0.17428551614284515, -0.7424238324165344, -0.6814739108085632, -1.3628895282745361, 0.4308164417743683, 0.27894362807273865, 0.232076957821846, 0.02542322687804699, -0.07300729304552078, 0.7499191164970398, -0.2752383053302765, -0.6756250262260437, -0.9751940965652466, -0.03311818838119507, 0.6416649222373962, -0.7029482126235962, -0.5574613809585571, 0.8024659156799316, -1.3769550323486328, -1.0935349464416504, -0.47503599524497986, -1.185362458229065, 0.03326140344142914, 0.24223259091377258, 0.664857029914856, 0.024393931031227112, 0.792461633682251, -0.2658696472644806, -0.03552181273698807, 0.07963234931230545, -0.7803760766983032, 0.4720459580421448, 0.16325686872005463, -0.5540674328804016, -0.023817623034119606, 0.7759242057800293, 0.7796017527580261, -0.7583966851234436, 0.637633204460144, -0.9478428363800049, -0.18761257827281952, 0.19971004128456116, 0.3272247314453125, 0.4558000862598419, -1.2949395179748535, -0.06908413767814636, -0.9122417569160461, 0.6686812043190002, 0.4034147560596466, -0.27989840507507324, -0.07296688854694366, 0.21733711659908295, -0.7429596185684204, -0.00832591112703085, 0.2340458333492279, 0.06425576657056808, -1.211727499961853, -0.553176760673523, -0.3488544523715973, -0.1243838295340538, 1.2465813159942627, 0.19777922332286835, 0.02044089324772358, -0.4161509871482849, 1.156772494316101, -0.34085628390312195, -0.5050321221351624, -0.5879058837890625, -0.5017215013504028, 0.6929608583450317, -0.48722225427627563, 1.3058905601501465, 1.5900202989578247, 0.4188635051250458, -0.451821893453598, -0.8921212553977966, 0.056493133306503296, 1.4983067512512207, 0.9701075553894043, -0.07432262599468231, -0.1835034340620041, -1.6125000715255737, -0.11453000456094742, -0.37260475754737854, 0.7558369636535645, -0.22161255776882172, -0.8991989493370056, -0.0960351824760437, 0.010418001562356949, -0.2270973175764084, 0.34996965527534485, 0.5915123224258423, 0.7262109518051147, 0.1306004375219345, 0.6697138547897339, -0.9651619791984558, 0.10825178027153015, -0.2121620774269104, 0.11264121532440186, -0.5364636182785034, 1.6996322870254517, 0.06331810355186462, -0.3406038284301758, 0.34480318427085876, -0.17851753532886505, -1.4027173519134521, 1.4814532995224, 0.27014780044555664, 0.3316490650177002, -0.865625262260437, -0.7128987908363342, 1.5269834995269775, -0.9834988713264465, -0.7385520935058594, 0.6283011436462402, 0.31374457478523254, 0.1878514587879181, 0.5262261629104614, 0.21832531690597534, 0.7895918488502502, 0.306490421295166, 0.49862998723983765, -0.8653738498687744, -0.5285843014717102, 0.8140588402748108, 0.7129588723182678, 0.5014334321022034, -0.14695484936237335, 0.04911248758435249, 1.0994778871536255, -0.9004881381988525, 1.0412172079086304, -0.13148395717144012, 0.4198625385761261, -0.14354124665260315, 0.5245909690856934, -0.8013349771499634, -0.49610745906829834, -1.3793319463729858, 0.0372546948492527, -0.11272673308849335, 1.2329695224761963, -0.9316147565841675, 0.19799818098545074, -1.6743407249450684, 0.43640390038490295, -0.6346399188041687, -0.383306086063385, -0.07393348962068558, 0.6087065935134888, -0.2600843906402588, -0.5548914670944214, -0.7741956114768982, 0.007526507135480642, 1.7688981294631958, -1.3418049812316895, 0.1710502952337265, 0.35027042031288147, 0.6693904995918274, 0.21800318360328674, -0.6650213003158569, -1.4350969791412354, -0.3845258355140686, 1.0826166868209839, 0.29347413778305054, -0.17697729170322418, -1.0208845138549805, -1.3421456813812256, 0.4638848602771759, 1.4087597131729126, 0.3673919141292572, -0.11924628913402557, -1.1545196771621704, 0.7056328654289246, 0.529242753982544, 0.47341683506965637 ] ]
{ "indices": [ 3191043472, 4094582072, 1491351846, 2912884399, 2836570682, 832098838, 3688822001, 1284918442, 876124137, 189734734, 997012898, 3774983271, 1200021954, 2257684172, 691409538, 2851137560, 1181726436, 722829366, 4075116728, 4186256544, 82883857, 3476027533, 3174900812, 1904070401, 3377905009, 1975257448, 2733467792, 3676260520, 3677720983, 3005552705, 2874966370, 1432595233, 1786548735, 3396792551 ], "values": [ 0.4299508493493549, 0.4299508493493549, 0.7510542103959614, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.693505763745749, 0.6013505282996094, 0.693505763745749, 0.4299508493493549, 0.6013505282996094, 0.693505763745749, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549 ] }
{ "context": "And so hopefully, you remember, you will need to know this on the final, I mean, you'll have the diagram, but you needed to know it on the midterm too. So MAR gets PC, PC gets PC plus one. That's the first fetch state. So let's go think about, well, what do we need to do for the control signals to make that happen? So let's start with the registers. So which of the registers need to change? Which of these values should be one? Yeah, so LD MAR, right? Because we want MAR to change." }
383379
[ [ 0.1923394650220871, -0.5140640735626221, -0.09237600117921829, 0.532794713973999, 0.6254515051841736, -0.17035052180290222, -0.21844635903835297, 0.4116961359977722, 0.802610456943512, -0.407304972410202, 0.8851486444473267, 0.3475513160228729, 0.8726292252540588, 0.2498645782470703, 0.31493303179740906, -0.20718708634376526, 0.46568939089775085, 0.09184171259403229, -0.014391670003533363, -0.5650100111961365, 1.074517011642456, -0.3142206072807312, -0.5688120126724243, 0.0815102756023407, -1.0030523538589478, 0.6909299492835999, -0.1329871267080307, -0.6759347319602966, 0.07024113088846207, 0.9716770052909851, -0.2555287182331085, -1.3455277681350708, 0.49727290868759155, 0.21566987037658691, 1.5244090557098389, -0.04025707393884659, -0.6303825974464417, -0.4204334020614624, -0.043170612305402756, 0.7404016256332397, 0.1422019600868225, 0.0954665020108223, 0.20561733841896057, 0.944636881351471, -1.3681201934814453, 0.4106292724609375, -0.10593315213918686, -0.44161179661750793, 1.2785276174545288, -0.5780302882194519, 1.1680219173431396, -0.41065269708633423, 0.8283694982528687, 0.6103125810623169, 0.13833455741405487, 0.31913596391677856, 1.107155203819275, 1.1329731941223145, 0.47767549753189087, -1.308952808380127, -0.1584671586751938, -0.34293293952941895, 0.8228053450584412, -0.3638138175010681, -0.33715060353279114, -0.19330188632011414, -0.7135114669799805, -0.6871520280838013, 0.7288733720779419, -0.6169540286064148, -0.9092857837677002, 0.23787394165992737, 0.49954548478126526, 0.31942787766456604, 0.9946494102478027, -0.23005865514278412, 0.0661792904138565, 0.047902289777994156, 0.7288104891777039, 0.9804884195327759, -1.1581650972366333, 0.3918648362159729, -0.8179150223731995, 0.8961179852485657, 0.6736580729484558, -0.6976289749145508, 1.1374443769454956, -0.20735912024974823, 0.025675605982542038, 0.8673198819160461, 0.09238210320472717, -0.7194012403488159, 1.7316060066223145, 0.4159919321537018, -0.5952094793319702, 0.173640638589859, 0.20337319374084473, 1.1676961183547974, 0.5123233199119568, -0.6377644538879395, -0.019633950665593147, -1.321186900138855, 0.1297720968723297, 1.590079426765442, 0.16516327857971191, 0.595966637134552, -0.348884254693985, 0.0065536475740373135, -0.469801664352417, 0.6666070222854614, -0.2861422598361969, 0.8989762663841248, -0.24566760659217834, 0.38640227913856506, 0.03179274499416351, -0.5779522657394409, -0.9347041845321655, -0.12408223003149033, 0.8806170225143433, 0.44298237562179565, 0.6688665747642517, 0.5301212072372437, 0.5755957961082458, -0.7720517516136169, -1.8747284412384033, 0.5876027941703796, 0.27909526228904724, -0.47407203912734985, -1.0310144424438477, 0.6949975490570068, 0.10204137861728668, -0.18043099343776703, 0.2894325256347656, -0.16800333559513092, 0.3075392246246338, 1.0297679901123047, -1.6583932638168335, -1.4118342399597168, 0.7514764666557312, 0.09744551032781601, -0.4146029055118561, -1.4765774011611938, 0.3804369866847992, 0.8789846897125244, 1.3902008533477783, 0.6615939736366272, 0.5257737636566162, 0.04491250962018967, -0.02008255198597908, 0.423424631357193, -0.5800013542175293, -0.09278880804777145, -1.4872832298278809, -0.317257821559906, -0.5751172304153442, -0.3146085739135742, 0.1494724154472351, 0.04517129808664322, -0.7738065719604492, 0.058316394686698914, 0.2925335466861725, -0.3869107961654663, -0.3174799978733063, -0.07639703154563904, -0.6488744020462036, -0.8405100703239441, 1.7876091003417969, 0.01142979972064495, 0.22105945646762848, 1.2342489957809448, -0.34317636489868164, -0.31490856409072876, 0.4539661109447479, 0.1974947154521942, 1.252485752105713, -0.8394864797592163, 0.13935743272304535, -0.5089896321296692, -0.06903538852930069, 0.31788206100463867, -0.8118845820426941, -0.5681359767913818, 0.17607596516609192, 0.9194132089614868, 0.6563795804977417, 0.13688495755195618, -0.4794465899467468, 0.23402553796768188, 1.237420916557312, -1.1128913164138794, -0.6635597348213196, -1.335649847984314, 0.1779911369085312, 0.42735588550567627, -0.8788318037986755, -1.147983193397522, -0.4115612506866455, 0.06189960241317749, 0.7172926664352417, -0.4104008078575134, -0.739892303943634, 0.31488534808158875, 0.8451167941093445, -0.884419322013855, 0.09922099113464355, 0.6268115043640137, 0.6062808036804199, -0.45020219683647156, 0.636164128780365, -0.4210825264453888, -0.4228517413139343, 0.7021921873092651, 0.09644834697246552, 0.38480061292648315, 0.33659279346466064, 0.2875854969024658, 0.20845572650432587, 1.0752182006835938, -0.18601052463054657, 0.5537325143814087, -1.0384215116500854, 0.9754665493965149, 2.3855338096618652, 0.9407919049263, 0.40085846185684204, 0.6384959816932678, 0.003240397898480296, 0.005982428789138794, 0.2138289511203766, -0.8466044664382935, -0.896797239780426, -1.343246579170227, 0.281690776348114, 0.3990672826766968, -0.34766680002212524, -0.058250002562999725, 1.9749157428741455, 0.5932796001434326, 0.9384252429008484, -0.14171163737773895, -1.4446051120758057, 0.45209941267967224, 0.7813963294029236, 0.5221242904663086, 0.16234590113162994, 1.3546713590621948, 0.29394397139549255, 1.2682712078094482, -0.30404719710350037, 0.0924449935555458, -0.6030884981155396, 0.5416083931922913, 0.295732319355011, -0.5651798844337463, -0.2549716830253601, -1.4923951625823975, 0.685154139995575, -1.123984456062317, -0.9657062292098999, 0.44968950748443604, -0.45189955830574036, -0.4387175142765045, -0.15639618039131165, -0.5674018859863281, 0.2189360409975052, -0.0329538956284523, -0.37443116307258606, -0.572345495223999, 1.4802932739257812, -0.975969672203064, 0.15146255493164062, 0.5568897128105164, -0.4293493926525116, -1.2797579765319824, 0.2903512716293335, 0.7811192274093628, -0.39048975706100464, 1.4099072217941284, -0.8846333026885986, -1.0749061107635498, -0.6572041511535645, 0.3490205407142639, 0.30751463770866394, 0.07928915321826935, -1.9597914218902588, 0.12194673717021942, -0.5705881714820862, -0.8754833936691284, 0.8522409796714783, 0.10337043553590775, -0.8173437714576721, 0.27082768082618713, -0.4042523503303528, -1.1716426610946655, 0.36803969740867615, -0.3504113256931305, 0.16210143268108368, -0.4721818268299103, 0.02219972014427185, 0.39194804430007935, 0.10378537327051163, -1.5033713579177856, -0.11378612369298935, -1.253089189529419, -0.14602750539779663, 0.05232248082756996, -0.15307416021823883, -0.4070722758769989, -0.47821950912475586, 0.4501994550228119, 0.0896015539765358, -0.32156744599342346, -0.5686483979225159, -1.1983511447906494, -1.8258543014526367, 0.20525015890598297, 0.8560120463371277, 0.9579243659973145, -0.43307748436927795, -0.6257375478744507, 1.3285406827926636, 0.5927050709724426, -0.6627179980278015, -0.25855377316474915, 0.7314108610153198, 0.13191722333431244, -1.0854264497756958, -0.2859436571598053, 0.6529121398925781, 0.3014572262763977, 0.25793880224227905, -0.25161853432655334, 1.0167205333709717, 1.8830536603927612, -0.5628349184989929, -0.8858230113983154, 0.3435763418674469, 0.3066365718841553, -0.7612103223800659, -0.021821020171046257, 0.49361348152160645, 0.33539941906929016, 1.3806718587875366, -0.031293775886297226, 0.8651344776153564, 0.24589502811431885, -0.47349587082862854, 0.712740421295166, 0.5837483406066895, 0.3706667423248291, -0.3039076030254364, 0.2308884859085083, 0.40741288661956787, -0.5988326668739319, -0.9051657319068909, 0.6968204975128174, 1.0717717409133911, -1.0834472179412842, 0.4256476163864136, 0.8261184692382812, 0.8598116040229797, 0.18613013625144958, -0.0035315672867000103, -0.28188395500183105, 0.3859119415283203, 0.467424213886261, -0.39958447217941284, 0.1766279935836792, 0.5208614468574524, 0.12404435873031616, 0.1343410164117813, 0.6856765747070312, -0.5356194376945496, -0.7578377723693848, -1.8698372840881348, -0.8829149007797241, 0.41167041659355164, 1.0711076259613037, 0.5052060484886169, 0.68262779712677, 0.046140942722558975, 0.2546268403530121, -0.7206133604049683, -0.011453956365585327, -1.3460068702697754, 0.41409528255462646, 0.34142741560935974, 0.17361831665039062, 0.5683901906013489, -0.2240510731935501, 0.19145488739013672, -0.3621719479560852, -1.355542778968811, 0.13809341192245483, -0.5271554589271545, -1.064041256904602, 0.5344618558883667, 0.2536357641220093, -0.391989141702652, 0.7212364077568054, 0.4816052317619324, 1.747672438621521, 0.8668805956840515, 0.47451141476631165, 0.8324058055877686, 0.3207647502422333, -0.6432661414146423, -0.3743528723716736, 0.2740216553211212, 0.26518476009368896, 0.8545057773590088, 0.5565270185470581, 0.6380554437637329, -1.053412914276123, 0.1266668140888214, 0.5130248665809631, 1.0660691261291504, 0.16060876846313477, 0.3819407522678375, -0.355734646320343, -0.3190213441848755, -1.3068784475326538, 0.2713299095630646, 1.3139927387237549, -0.27659085392951965, 2.0990872383117676, 0.804913341999054, 0.44443821907043457, -0.36015599966049194, 0.9996426701545715, 1.6871289014816284, -0.26109376549720764, 0.33595630526542664, -0.7738855481147766, -1.0588668584823608, -0.4802771210670471, -0.23552626371383667, 0.5671007037162781, 0.9543728828430176, 0.6321866512298584, -0.7301604747772217, 0.5298774838447571, 0.08465345203876495, -0.8597106337547302, 0.2736760079860687, -0.537894606590271, -0.5461570620536804, -0.22237937152385712, 0.4519590735435486, 0.25856441259384155, 0.18182511627674103, -0.6248416304588318, 0.10361327230930328, -1.1493206024169922, -2.103163957595825, -0.07454515248537064, 0.20371969044208527, 1.2826015949249268, -0.6804918050765991, 0.06710350513458252, -0.6825533509254456, -0.9896522760391235, 0.5716419816017151, -0.10349095612764359, 1.4805750846862793, -1.0932393074035645, 0.8358529210090637, -0.3856319487094879, -0.9370244145393372, -0.9023396968841553, 1.0309351682662964, -0.2337319403886795, -0.0021001454442739487, -0.29872602224349976, -0.030854668468236923, -0.47432419657707214, 0.24469605088233948, 0.4101634919643402, 0.8402022123336792, -0.5969142317771912, -0.5293958783149719, 0.003417770843952894, 0.7283731698989868, -1.1833012104034424, -0.3840453624725342, 0.332640141248703, -0.9907052516937256, -0.6264009475708008, 0.7874669432640076, -0.6813772916793823, -0.1795341670513153, 0.522504448890686, -0.15982721745967865, -0.20607402920722961, -0.2554970383644104, -1.457275629043579, 0.7500492930412292, 0.13710319995880127, 0.5625283122062683, 0.6198173761367798, 1.1041678190231323, -0.9021860957145691, -0.04034699872136116, -0.5160706639289856, -0.21216322481632233, -0.4160931706428528, 0.9747371077537537, -1.0635805130004883, -0.1952672302722931, -0.8792811036109924, 0.6837667226791382, -0.00020989503536839038, 0.1300649642944336, -0.36387500166893005, -0.5465174317359924, -0.42381906509399414, 1.1650896072387695, -1.0651414394378662, 0.21195632219314575, -0.41768044233322144, 0.1502753645181656, -0.720657229423523, 0.3125697672367096, 0.6326115131378174, -1.4850155115127563, 0.44886958599090576, 0.44973742961883545, 0.08943270891904831, 0.9299391508102417, -0.9247894883155823, -0.11595852673053741, 0.3765003979206085, -1.3451517820358276, 0.8038008809089661, -0.04068019241094589, 0.18411336839199066, -0.4005622863769531, -0.9399418830871582, -0.41716626286506653, 0.33794689178466797, -0.625586748123169, 0.37770241498947144, -0.6190381050109863, 0.6720768213272095, -0.194263756275177, -0.41183581948280334, -0.7534595131874084, -1.1171252727508545, -0.6235498189926147, 0.6529890298843384, 0.45332032442092896, -0.13358764350414276, -0.23755566775798798, 0.11818937957286835, -0.530994176864624, 0.20657888054847717, 1.1808911561965942, -0.37664443254470825, 0.3774750828742981, 0.5723006725311279, 0.612755537033081, 0.8592286705970764, 1.0244100093841553, 0.6884453296661377, -0.030782070010900497, 0.07853668183088303, -0.12577730417251587, -0.32584917545318604, -1.1851032972335815, -0.6272289752960205, -0.1677091270685196, -0.9070848822593689, -0.5339499115943909, 1.4234509468078613, -0.14943237602710724, 0.2909213900566101, 0.18741369247436523, -0.4096371829509735, -0.31790801882743835, 0.0987502783536911, -0.4973592162132263, -0.5811395645141602, 0.72831791639328, -0.6861072182655334, 0.2920205295085907, 0.1600893884897232, -0.30630362033843994, -0.7841050624847412, 0.17278951406478882, 0.5058627128601074, 0.5077376365661621, 2.235598087310791, 0.17443516850471497, 0.43403923511505127, 0.6559827923774719, 0.7411855459213257, 0.32667502760887146, -0.2826674282550812, 0.5063498616218567, 0.787881076335907, 0.6750667095184326, -0.4686230421066284, 0.17597641050815582, -0.9925488233566284, 0.15897512435913086, 1.6201050281524658, -0.8903268575668335, 0.7429272532463074, -0.5266472697257996, 0.0794629380106926, -0.38299259543418884, 0.024614619091153145, -1.0154500007629395, 1.0676558017730713, -0.15402013063430786, 2.147632598876953, -1.2595831155776978, -1.1017632484436035, 1.344711184501648, 1.051665186882019, 0.6513529419898987, 0.23472318053245544, 0.545382022857666, -0.49075645208358765, -0.06379929929971695, -1.223559856414795, -0.8318387269973755, 1.0349586009979248, -1.1419718265533447, 0.9061656594276428, -0.12192753702402115, -0.6078070998191833, 0.8849875926971436, 0.3106020390987396, 0.0835014209151268, -1.3610339164733887, -0.9322854280471802, -1.4594110250473022, 1.0845304727554321, 0.28807637095451355, -0.22212937474250793, -0.0647471472620964, -0.057202503085136414, 0.8594464659690857, -0.08897092193365097, 0.8125318884849548, -0.4687213897705078, -1.5459316968917847, 0.17180544137954712, -0.5367993116378784, -1.1300276517868042, 1.4460787773132324, 0.43104982376098633, -0.4339853823184967, 1.0986363887786865, -0.2363486886024475, 1.1346461772918701, 0.005649267230182886, 0.3257167339324951, -0.07945290207862854, 1.340145468711853, -0.45803239941596985, -0.613131046295166, -0.5079383850097656, -0.3221660852432251, 4.716029644012451, 1.5660771131515503, -0.4616783559322357, -1.9854588508605957, -0.0609644390642643, -0.4149402379989624, 0.4651137590408325, 0.07806094735860825, 0.5999516844749451, 0.2170330286026001, 0.7054888010025024, 0.8847002387046814, 0.23038777709007263, -0.2687416672706604, 0.6104381084442139, 0.7454119920730591, -0.37276360392570496, -0.5650584697723389, 0.6886906623840332, 0.5694534182548523, 0.7052900195121765, 0.007882023230195045, -1.0083084106445312, -1.0749489068984985, 0.8717774748802185, -0.3932788670063019, 0.43005481362342834, -0.4557223916053772, 1.0730456113815308, -0.13268792629241943, -1.006068468093872, 1.105136513710022, 0.6527069211006165, 0.7414838075637817, 0.5196337103843689, 0.3634042739868164, -0.34513387084007263, -0.4992826282978058, -0.5058763027191162, 0.9323872327804565, 0.22846202552318573, -0.40658360719680786, -0.19092757999897003, -0.32479074597358704, -0.9507534503936768, -0.21653695404529572, -0.6821345090866089, -1.042236089706421, -0.12572193145751953, -0.5042935609817505, 0.5342658758163452, 0.09590628743171692, -0.32756122946739197, -1.1513398885726929, -0.6361245512962341, 0.943313717842102, -0.09327396750450134, -0.6539467573165894, -0.6086949110031128, -0.4286787211894989, 0.41446810960769653, -0.2959286570549011, 0.8972935676574707, 0.8687545657157898, 0.7087690234184265, 0.398061066865921, -0.7408286929130554, 2.1462910175323486, 0.19257010519504547, -0.5585669279098511, -0.7236135005950928, 0.11690064519643784, -0.5263128876686096, -1.080601453781128, -0.38303524255752563, 1.0317034721374512, -0.7265967726707458, 0.4116474986076355, -0.9985426068305969, -0.7275036573410034, -1.0590014457702637, 0.5320641994476318, -0.3532868027687073, -0.03558974340558052, -0.566863477230072, -0.6986128687858582, -0.11414477229118347, 0.6315231919288635, 0.46297651529312134, 0.2882455587387085, 0.3132339417934418, 1.5238171815872192, 0.9071874618530273, -0.9978184700012207, 0.24024765193462372, 0.2255469113588333, -1.2061008214950562, -0.0381079763174057, -0.7983696460723877, 1.152174711227417, 0.20998810231685638, -0.4930541217327118, -0.3155728876590729, 0.8851252794265747, -1.088767170906067, -0.009365635924041271, 0.8752140998840332, -1.344338059425354, -0.4380691647529602, 0.0792660117149353, 1.0498250722885132, -1.027282476425171, -0.45932596921920776, -0.703763484954834, -0.27642303705215454, -0.2633453607559204, -0.29345688223838806, -0.42870184779167175, 0.579052746295929, -0.37469443678855896, 0.08905582875013351, 0.24880602955818176, 0.4554084837436676, -0.37197941541671753, -0.39860957860946655, 0.23209060728549957, -1.0438768863677979, -0.009339794516563416, -0.20845991373062134, 0.12812502682209015, 0.5504095554351807, 0.05627666413784027, -0.2598043382167816, 0.9130146503448486, -0.7893295288085938, -0.490292489528656, -0.00153466803021729, 0.42719364166259766, -0.89056396484375, 0.2319333553314209, -0.08785289525985718, -0.409766286611557, -1.0524177551269531, 1.26805579662323, -0.6104535460472107, -0.11463259905576706, 0.6092001795768738, -0.29193174839019775, 0.8440797924995422, 0.4402967393398285, -0.7410914897918701, -0.023726046085357666, 0.3218197226524353, 0.5101442337036133, 0.11314453929662704, 0.16807258129119873, 0.05289814993739128, 0.21874016523361206, 0.14852097630500793, 0.5786139369010925, 0.44832757115364075, 0.8135582208633423, -0.044246308505535126, -0.5092883110046387, -0.03067214973270893, -0.05330662056803703, -0.4992316961288452, 0.4077513813972473, -0.3904750943183899, 0.7381933331489563, 0.2478414922952652, 0.0584711879491806, 0.41591036319732666, 0.784157931804657, -1.394747257232666, -0.4862700402736664, -0.6120349764823914, 0.04823017120361328, 0.26399195194244385, -0.47078457474708557, 0.6517243981361389, 1.0182545185089111, -1.4788075685501099, 0.33361032605171204, -0.5899574160575867, -0.8587789535522461, -0.99728924036026, 0.23608183860778809, 0.32693782448768616, 0.45800670981407166, -0.31312111020088196, -0.08639329671859741, 1.0066839456558228, -0.029102720320224762, -0.5826678276062012, -0.7749470472335815, 0.3265102505683899, 0.5714888572692871, 0.07743680477142334, -0.22699256241321564, 0.6049680709838867, -0.7318767309188843, -1.1452840566635132, -0.1638045459985733, -1.5943686962127686, -0.25178301334381104, -0.2575225234031677, 1.114890217781067, 0.35537928342819214, 0.9583662748336792, -0.34832295775413513, -0.05091184750199318, 0.015176728367805481, -0.4062488079071045, 0.41276732087135315, 0.3405647873878479, -0.4690372943878174, 0.4255070090293884, 0.5067705512046814, 0.4125658869743347, -0.7952251434326172, 0.7455205917358398, -0.6972662806510925, -0.024491874501109123, 0.180356964468956, -0.2317652702331543, 0.3857234716415405, -1.205713152885437, 0.20433203876018524, -0.9547107815742493, 0.65214604139328, 0.15105026960372925, 0.5293769836425781, 0.3233737051486969, 0.10808965563774109, -0.6501157879829407, -0.32876452803611755, 0.11283083260059357, 0.14583422243595123, -0.8879532814025879, -0.650809645652771, 0.017282787710428238, -0.43458038568496704, 1.1846168041229248, 0.3411920666694641, -0.1913154423236847, -0.7241537570953369, 0.8278879523277283, -0.34007528424263, -0.4766668677330017, -0.638267993927002, -0.37586918473243713, 0.5241561532020569, -0.4730299711227417, 1.4128233194351196, 1.8566383123397827, 0.4729878902435303, -0.6384741067886353, -1.1957517862319946, -0.15504266321659088, 1.019921064376831, 0.916356086730957, -0.3702623248100281, 0.016753261908888817, -1.10300874710083, -0.037899792194366455, -0.46020808815956116, 1.0413647890090942, -0.1294531524181366, -0.3244752585887909, -0.25799310207366943, -0.13041633367538452, 0.09957092255353928, 0.22306358814239502, 0.5111584067344666, 0.5111414194107056, 0.5565663576126099, 0.44541552662849426, -0.7416844367980957, -0.19911551475524902, -0.3698779344558716, 0.5979141592979431, -0.20504911243915558, 1.0301990509033203, 0.16434429585933685, 0.12139631062746048, -0.08533395081758499, -0.2944905757904053, -1.7895981073379517, 1.5315141677856445, 0.22494260966777802, 0.14968475699424744, -0.7124214172363281, -0.21584481000900269, 0.8993399143218994, -1.7132176160812378, -0.47653263807296753, 0.598275363445282, -0.3498355746269226, -0.06099517270922661, 0.7965108156204224, 0.442648708820343, 0.9572197794914246, 0.16185437142848969, 0.8009653091430664, -0.42506083846092224, -0.42733073234558105, 0.7521008253097534, 0.9097374081611633, 0.5807698369026184, -0.2488655298948288, -0.05369481071829796, 0.8268949389457703, -0.5548900961875916, 1.3074069023132324, 0.11234129965305328, 0.08640702813863754, 0.12076713144779205, 0.5555314421653748, -0.30820080637931824, -1.2476519346237183, -1.3827624320983887, 0.0866815373301506, -0.24282361567020416, 1.2882094383239746, -0.6458542943000793, 0.46462076902389526, -1.2838945388793945, -0.20919471979141235, -1.0969749689102173, -0.2001410275697708, 0.04506374150514603, 0.6404573917388916, -0.0999586209654808, -0.20955035090446472, -0.7576475143432617, -0.0299528781324625, 1.529460072517395, -1.1147321462631226, 0.08415492624044418, 0.1485348343849182, 0.5352032780647278, 0.23980969190597534, -0.4592297673225403, -1.832069993019104, -0.584223747253418, 0.4140126705169678, 0.2313075214624405, -0.47626328468322754, -0.9920642375946045, -1.4615435600280762, 0.05196293443441391, 1.1033650636672974, -0.3558259606361389, -0.35960230231285095, -1.112488865852356, 0.1841454803943634, 0.47679403424263, 0.5143337845802307 ] ]
{ "indices": [ 4075116728, 691409538, 4186256544, 82883857, 3476027533, 1491351846, 3174900812, 1904070401, 3377905009, 1975257448, 2733467792, 3676260520, 3677720983, 3005552705, 2257684172, 2874966370, 1432595233, 189734734, 1786548735, 3396792551, 1286856114, 3774983271, 115441729, 3819098157, 1866681274, 2006536704, 2067848296, 1551089265, 3686012684, 2150507160, 1960040400, 3796669908 ], "values": [ 0.6013505282996094, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.693505763745749, 0.6013505282996094, 0.4299508493493549, 0.6013505282996094, 0.6013505282996094, 0.4299508493493549, 0.6013505282996094, 0.7510542103959614, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.693505763745749, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549 ] }
{ "context": "So let's go think about, well, what do we need to do for the control signals to make that happen? So let's start with the registers. So which of the registers need to change? Which of these values should be one? Yeah, so LD MAR, right? Because we want MAR to change. So make that a one. What else? The PC, right? Good. Okay, what about the rest of them? Zeroes? Not don't care? Yeah, zeroes, right? We don't want the registers to just load random bits, right? So they better all be zeroes." }
918883
[ [ 0.4245710074901581, -0.8305570483207703, 0.2581503689289093, 0.49125105142593384, 0.7405256032943726, -0.1403225064277649, -0.45919930934906006, 0.8075529932975769, 0.7244276404380798, -0.8639506101608276, 1.1481999158859253, 0.36883383989334106, 0.5082153677940369, 0.3444098234176636, 0.20888710021972656, -0.1739073544740677, 0.46038496494293213, -0.07128480076789856, 0.1916850358247757, -0.4952086806297302, 1.2740334272384644, 0.06713560223579407, -0.4046923518180847, -0.21047596633434296, -0.7461663484573364, 0.7919650673866272, 0.2332085520029068, -0.5165808200836182, 0.33263158798217773, 0.8508415818214417, -0.12871229648590088, -1.448306918144226, 0.5387417674064636, -0.5201297998428345, 1.8345756530761719, 0.1830267608165741, -0.5066792964935303, -0.6051090359687805, 0.504482626914978, 0.7224571108818054, -0.3022460639476776, 0.41387805342674255, -0.3328137993812561, 1.0123108625411987, -1.1020495891571045, 0.03512735292315483, -0.4045061767101288, -0.00986627209931612, 1.0804686546325684, -0.6663036942481995, 0.8763768076896667, -0.5011094212532043, 0.858893096446991, 0.2058873176574707, 0.18435439467430115, 0.5034952759742737, 0.9389445185661316, 1.2708126306533813, 0.6145949363708496, -1.415242075920105, -0.004153924062848091, -0.614679753780365, 0.511365532875061, -0.3499929904937744, -0.18084481358528137, -0.468067467212677, -0.4772091805934906, -0.812200665473938, 0.8372337818145752, -0.2624148428440094, -0.8018618226051331, 0.6091414093971252, 0.6102558970451355, 0.1405937671661377, 0.5444047451019287, -0.6633998155593872, -0.10540274530649185, 0.2679081857204437, 0.8965574502944946, 1.0403937101364136, -0.7674946188926697, 0.45063939690589905, -0.7842397689819336, 0.5910785794258118, 0.510202169418335, -0.7089188694953918, 1.3004244565963745, -0.49891000986099243, 0.255733460187912, 1.0032777786254883, 0.10031069070100784, -0.7127689719200134, 1.4244991540908813, 0.33458101749420166, -0.6526245474815369, 0.07414314150810242, 0.4621178209781647, 1.4355617761611938, 0.17427942156791687, -0.8908527493476868, 0.47676098346710205, -1.1281859874725342, -0.13287191092967987, 1.5579402446746826, 0.13848182559013367, 0.47583356499671936, -0.010443245992064476, -0.1850414127111435, -0.8146368265151978, 0.17823544144630432, -0.8493124842643738, 0.9993144869804382, -0.3630867004394531, 0.8529956936836243, -0.13705185055732727, -0.5371105670928955, -0.5051041841506958, -0.43689998984336853, 1.0567772388458252, 0.6096649169921875, 0.7105346322059631, 0.49593043327331543, 0.7180382013320923, -0.4584541916847229, -1.883241057395935, 0.7635032534599304, 0.28551384806632996, 0.09110400825738907, -1.0200103521347046, 0.7031369805335999, 0.32853755354881287, 0.024217786267399788, 0.10589603334665298, -0.3548811674118042, 0.824023425579071, 0.7945849895477295, -1.872165560722351, -1.1432822942733765, 1.0491721630096436, 0.1530115157365799, -0.36367473006248474, -1.7261111736297607, 0.5469309091567993, 1.2228209972381592, 1.1732417345046997, 0.5135626196861267, 0.561129093170166, 0.4596138000488281, -0.31542184948921204, 0.3469597101211548, -0.6462875008583069, 0.3619685769081116, -1.0097051858901978, -0.33570539951324463, -0.8373891115188599, -0.8544279336929321, 0.09474556893110275, -0.09958464652299881, -0.8826573491096497, 0.1897752434015274, 0.3116108477115631, -0.10699180513620377, 0.2679135203361511, -0.1747847944498062, -0.91811603307724, -0.5601663589477539, 1.9698253870010376, -0.19067296385765076, 0.7675016522407532, 1.4098150730133057, -0.032904889434576035, -0.19316735863685608, 0.07687443494796753, 0.3322782814502716, 1.392922043800354, -0.6753793358802795, 0.46703875064849854, -0.3473905622959137, -0.01283216755837202, 0.16811493039131165, -0.23441347479820251, -0.5806775093078613, -0.14460787177085876, 1.1884278059005737, 0.38415268063545227, -0.2583296000957489, -0.7411834001541138, -0.15450266003608704, 1.39298677444458, -0.96071857213974, -0.44218480587005615, -1.1678872108459473, 0.46890556812286377, 0.12268491089344025, -0.5271439552307129, -1.2126141786575317, -0.6366127133369446, 0.193467915058136, 0.7753833532333374, -0.5850211977958679, -1.0549687147140503, 0.5889937877655029, 0.9382565021514893, -1.2165580987930298, -0.0027917076367884874, 0.8225120306015015, 0.9251571893692017, -0.610083281993866, 0.4986029863357544, -0.4993605315685272, -0.36392325162887573, 0.5825256705284119, -0.35813766717910767, 0.4040924906730652, 0.7307567596435547, 0.05452166870236397, 0.3685245215892792, 0.5498129725456238, -0.14889676868915558, 0.5034077167510986, -1.2436853647232056, 1.193346381187439, 2.170830726623535, 1.3768351078033447, 0.35878339409828186, 0.37552937865257263, -0.0853114128112793, -0.24373213946819305, 0.016610121354460716, -0.6544458866119385, -0.8719474673271179, -0.9558784365653992, 0.16874566674232483, 0.13117317855358124, -0.25333207845687866, -0.05140609294176102, 1.8201466798782349, 0.8620437383651733, 1.0494115352630615, -0.07397341728210449, -1.3796015977859497, 0.8309300541877747, 0.3169635832309723, 0.21532976627349854, 0.4449406564235687, 1.5272958278656006, 0.32983702421188354, 1.199467658996582, -0.46393781900405884, 0.2969273328781128, -0.4528683125972748, 0.33920609951019287, 0.2071956843137741, -1.214522361755371, 0.29212790727615356, -1.2892353534698486, 0.26087242364883423, -0.8425992727279663, -0.5858165621757507, 0.6123345494270325, -0.19418296217918396, -0.2791328728199005, -0.17555391788482666, -0.21342246234416962, -0.1690228432416916, -0.18800096213817596, -0.05703781917691231, -0.773189127445221, 1.3959823846817017, -0.5114474892616272, 0.5591492056846619, 0.9108681678771973, -0.37527579069137573, -0.692632257938385, 0.27948707342147827, 0.9321733117103577, -0.3825891613960266, 1.0899357795715332, -0.8332057595252991, -0.5776801705360413, -0.08435434103012085, 0.5932554006576538, 0.44704437255859375, -0.13722394406795502, -1.4890773296356201, 0.4429769814014435, -0.40801188349723816, -0.7401392459869385, 0.30635687708854675, 0.19414500892162323, -1.0889095067977905, 0.23952193558216095, -0.6543261408805847, -0.972693681716919, 0.03602226823568344, -0.03827835991978645, -0.06447288393974304, -0.01463320478796959, 0.19702017307281494, 0.45819273591041565, 0.20587149262428284, -1.6285427808761597, 0.11800174415111542, -1.2179690599441528, -0.11804307997226715, 0.15066340565681458, -0.3884219229221344, -0.6898396015167236, -0.4663306474685669, 0.3249954581260681, -0.13723987340927124, -0.2773517072200775, -0.3328673243522644, -1.0253909826278687, -1.6949708461761475, 0.0890284925699234, 0.6716027855873108, 1.028684377670288, -0.20801274478435516, -0.6463497877120972, 0.8881953954696655, 1.0470800399780273, -0.533637523651123, -0.30666109919548035, 0.089267797768116, 0.211229145526886, -1.3140355348587036, -0.15618526935577393, 0.9544949531555176, 0.3914411664009094, 0.3922044336795807, -0.28257235884666443, 1.154099464416504, 1.4448199272155762, -0.28162702918052673, -1.3523238897323608, 0.33382871747016907, -0.09836535155773163, -0.8879462480545044, -0.41068965196609497, 0.6891559362411499, 0.7336437702178955, 1.0827813148498535, 0.26578232645988464, 1.1339443922042847, 0.3031492531299591, -0.7628219723701477, 0.4809340238571167, 0.5154126286506653, 0.31192365288734436, -0.10761753469705582, 0.1778080016374588, 0.011284349486231804, -0.31300830841064453, -1.1373510360717773, 0.9275144338607788, 0.8877227902412415, -0.7848795056343079, -0.16820745170116425, 0.8420738577842712, 0.9170672297477722, 0.09333585947751999, -0.23940728604793549, -0.3970315754413605, 0.3989691734313965, 0.6426639556884766, -0.4071060121059418, 0.08337574452161789, 0.6806024312973022, -0.00796122569590807, 0.46049705147743225, 0.72585529088974, -0.5051966905593872, -0.838875412940979, -1.8899177312850952, -0.7246840596199036, 0.5169054865837097, 0.9533004760742188, 0.4561123549938202, 0.5686920881271362, 0.007905375212430954, 0.15947172045707703, -0.17741596698760986, 0.043628841638565063, -1.5807287693023682, 0.25891172885894775, 0.35664787888526917, -0.3820948898792267, 0.5766822695732117, -0.19420690834522247, 0.07511556893587112, -0.2627478539943695, -0.8250253200531006, -0.13318786025047302, -0.2605200707912445, -0.9113461971282959, 0.5170472860336304, 0.15532977879047394, -0.5261141061782837, 0.6830012798309326, 0.6898394227027893, 2.0810279846191406, 0.8306647539138794, 0.37449127435684204, 0.21211670339107513, -0.012782391160726547, -0.6942821145057678, -0.22084563970565796, 0.5059016346931458, 0.19527965784072876, 0.9004145264625549, 0.4887523651123047, 0.792471170425415, -1.0995426177978516, -0.003870096057653427, 0.40894070267677307, 1.21225905418396, 0.34819677472114563, 0.43709614872932434, -0.24894773960113525, -0.8130301833152771, -0.5538240075111389, 0.08165878802537918, 1.1447982788085938, -0.41692960262298584, 1.6920350790023804, 0.8798823952674866, 0.23303306102752686, 0.4491519629955292, 0.9563062191009521, 1.43638277053833, 0.2613326609134674, -0.4108954071998596, -0.5965813398361206, -0.8788267970085144, -0.1494811326265335, -0.5397387146949768, 0.4130290448665619, 1.1536794900894165, 0.7369607090950012, -0.5877733826637268, 0.3761805593967438, 0.5095014572143555, -1.1467275619506836, 0.1552177518606186, -0.43506506085395813, -0.28869685530662537, -0.47819584608078003, 0.883895754814148, 0.1999286711215973, 0.3268639147281647, -0.3129088580608368, 0.38291624188423157, -0.5607804656028748, -2.4834988117218018, -0.6413044929504395, 0.07137920707464218, 0.9538878202438354, -0.8960996866226196, -0.02145572192966938, -0.6845167279243469, -0.8404561281204224, 0.5995030403137207, -0.48547738790512085, 1.4044992923736572, -0.5032824873924255, 0.426771879196167, -0.30215996503829956, -1.422340750694275, -0.8347412347793579, 1.0463757514953613, -0.34672489762306213, 0.009414268657565117, -0.022955631837248802, -0.27969813346862793, -0.26822277903556824, 0.17063845694065094, 0.2985868752002716, 0.3810918927192688, -0.51413893699646, -0.729849636554718, -0.007298566866666079, 0.5044912099838257, -1.2216414213180542, -0.7743564248085022, 0.40104377269744873, -1.2241123914718628, -0.9077273607254028, 0.852969229221344, -0.7175452709197998, -0.36091241240501404, 0.6126572489738464, -0.28193241357803345, -0.7190432548522949, -0.8188667297363281, -1.2848310470581055, 0.8022782802581787, -0.4655856788158417, 0.8618031144142151, 0.37203022837638855, 0.6798216700553894, -0.7181109189987183, -0.1816677749156952, -0.08724862337112427, -0.12068157643079758, -0.7538736462593079, 0.563401997089386, -0.6705576777458191, -0.6555854082107544, -0.4759245216846466, 0.9724328517913818, 0.18668249249458313, -0.030041957274079323, -0.3799465000629425, -0.3519667983055115, -0.3153829276561737, 1.417396903038025, -1.0733094215393066, 0.32043883204460144, -0.06921157985925674, 0.10543880611658096, -0.8295637965202332, 0.25958678126335144, 0.4738812744617462, -1.8093211650848389, 0.5011408925056458, 0.37124404311180115, 0.1954483687877655, 1.2583550214767456, -0.47878819704055786, -0.024020535871386528, 0.5718585848808289, -1.042662262916565, 0.6269651055335999, 0.3548928499221802, 0.7318407297134399, -0.11700484901666641, -1.0594203472137451, -0.09348855912685394, 0.694758415222168, -0.4208875000476837, 0.16267448663711548, -0.548473060131073, 0.6306569576263428, 0.1068584993481636, -0.431337833404541, -0.7517275214195251, -1.3409260511398315, -0.9126496911048889, 0.2505330741405487, 0.16324907541275024, -0.6631588339805603, -0.10499295592308044, 0.14807488024234772, -0.3893093168735504, 0.10923396050930023, 1.3408619165420532, -0.07787980884313583, 0.39779824018478394, 0.3675690293312073, 0.3529295325279236, 1.159009575843811, 0.7239906787872314, 0.5163013339042664, -0.2578035891056061, -0.1523454338312149, -0.25908222794532776, -0.33619028329849243, -1.0399861335754395, -0.5139439105987549, -0.20597417652606964, -0.8427636027336121, -0.3585542142391205, 1.0939786434173584, -0.42114895582199097, 0.5753036737442017, -0.20238907635211945, -0.6445140838623047, -0.5087694525718689, -0.3210168182849884, -0.8196972012519836, -0.3466266989707947, 0.09604723751544952, -0.23448973894119263, 0.49117690324783325, -0.08908942341804504, -0.681338906288147, -0.8476500511169434, 0.13596414029598236, 0.21653947234153748, 0.11705256998538971, 2.2315332889556885, 0.4503979980945587, 0.5872105360031128, 1.1236424446105957, 0.2030826061964035, 0.8598893284797668, -0.6799120306968689, 0.2316237986087799, 0.8550741672515869, 0.9365860223770142, -0.0705040767788887, 0.4423789381980896, -1.0333616733551025, 0.06330710649490356, 1.2611645460128784, -0.4778469502925873, 0.6199113130569458, -0.7173580527305603, 0.3488786816596985, -0.19966670870780945, 0.549962043762207, -0.5609675049781799, 1.2620134353637695, -0.4103611409664154, 2.0705766677856445, -1.2261815071105957, -0.37439391016960144, 1.4110685586929321, 1.0865263938903809, 0.5083772540092468, -0.045857563614845276, 0.6281419992446899, -0.4287307858467102, -0.18584276735782623, -1.1039702892303467, -0.814838171005249, 1.4041404724121094, -1.2657487392425537, 0.7576900720596313, -0.015552950091660023, -0.8244404196739197, 0.6426865458488464, 0.45619696378707886, 0.12610207498073578, -1.5704377889633179, -0.7393981218338013, -1.091681957244873, 0.6089704632759094, 0.5272114872932434, -0.24448825418949127, 0.056603267788887024, 0.3879907429218292, 0.5659768581390381, -0.12624754011631012, 0.9539951682090759, -0.45557332038879395, -1.8862273693084717, 0.04866645112633705, -0.39394405484199524, -1.2765607833862305, 1.3734750747680664, 0.1735312044620514, -0.45804622769355774, 1.1727198362350464, -0.3926662504673004, 0.8572359681129456, 0.34556272625923157, 0.32367953658103943, -0.03591538593173027, 1.5299314260482788, -0.7087485194206238, -0.3681562840938568, -0.7986952662467957, -0.6662498712539673, 4.524889945983887, 1.5698027610778809, -0.1399553418159485, -2.0346410274505615, 0.22984865307807922, -0.9107411503791809, 0.12203145027160645, 0.03394124656915665, 0.2057153731584549, 0.14484021067619324, 0.759667158126831, 1.0791161060333252, 0.42139801383018494, -0.183388352394104, 0.6750677227973938, 0.658973217010498, -0.5535424947738647, -0.6477495431900024, 0.7092065215110779, 0.004289732780307531, 0.32018983364105225, -0.2163330465555191, -0.9734030365943909, -1.187618374824524, 1.3106247186660767, -0.24971255660057068, 0.6559067964553833, -0.7920499444007874, 1.2727142572402954, 0.08658041059970856, -0.5366007089614868, 0.7142035365104675, 0.3419925570487976, 1.2173501253128052, 0.5982139706611633, 0.30552226305007935, -0.508566677570343, -0.4874395430088043, -0.4365163743495941, 0.4185428321361542, 0.43818581104278564, -0.212588831782341, -0.3666037917137146, -0.548908531665802, -1.2208638191223145, 0.12243907153606415, -0.5705227851867676, -0.8500903844833374, 0.5215704441070557, -0.18967585265636444, 0.11016286164522171, 0.15270952880382538, -0.5958120822906494, -0.9346932172775269, -0.9624477624893188, 0.8720055222511292, 0.2529473304748535, -0.9516852498054504, -0.3815661668777466, -0.5927380919456482, 0.41071566939353943, -0.4789269268512726, 0.8307551145553589, 0.17463064193725586, 0.6326316595077515, 0.23911550641059875, -0.062339846044778824, 2.28385329246521, -0.07355593889951706, -0.8011444211006165, -1.2418845891952515, 0.36307576298713684, -0.1968710571527481, -0.782503068447113, -0.324348509311676, 1.0395983457565308, -0.594136118888855, 0.24972328543663025, -1.0951567888259888, -0.5621312856674194, -1.1983882188796997, 0.23744270205497742, -1.1616127490997314, 0.19063788652420044, -0.29990389943122864, -0.8141061067581177, -0.0196603424847126, 0.17483539879322052, 0.043374091386795044, 0.2191362828016281, 0.4742925465106964, 1.6566249132156372, 0.8044636845588684, -0.7745662927627563, 0.1913880556821823, 0.5568452477455139, -1.7362823486328125, -0.24412943422794342, -1.1450591087341309, 0.9770005941390991, 0.44263800978660583, -0.4929077625274658, -0.2979615032672882, 0.808199405670166, -0.9139721989631653, -0.42600148916244507, 0.48605120182037354, -1.1011618375778198, -0.3019025921821594, -0.014655012637376785, 1.2234594821929932, -1.714801549911499, -0.8684005737304688, -0.30644816160202026, -0.31223905086517334, 0.30879202485084534, -0.26741090416908264, -0.5755904316902161, 0.4429510533809662, -0.10340502858161926, 0.7116453647613525, 0.40780138969421387, 0.6275274157524109, -0.5451411008834839, -0.555025577545166, 0.16660279035568237, -1.0345847606658936, -0.07941834628582001, -0.06385652720928192, -0.01621202751994133, 0.4135522246360779, 0.09377186745405197, -0.0063929250463843346, 0.6905084252357483, -0.7438181042671204, 0.057348497211933136, -0.07363245636224747, 0.5983000993728638, -0.9983498454093933, 0.06953542679548264, 0.2166949063539505, -0.49517926573753357, -0.8845180869102478, 1.046227216720581, -0.4154147505760193, 0.07871376723051071, 0.1630115509033203, 0.1574162393808365, 1.032814621925354, 0.5118566155433655, -0.6478738188743591, -0.038232576102018356, 0.6324647068977356, 0.6861904263496399, 0.06894966959953308, 0.2566312551498413, 0.05537949874997139, 0.20116592943668365, 0.036683354526758194, -0.13681726157665253, 0.5169042348861694, 1.181929111480713, -0.38454335927963257, -0.505591869354248, -0.2880932688713074, 0.15895281732082367, -0.6519644260406494, 0.824920654296875, -0.47991737723350525, 0.6275864839553833, 0.14189282059669495, -0.11111406981945038, 0.3743659257888794, 0.9698411822319031, -1.5382732152938843, -0.010811653919517994, -0.2931472361087799, 0.32775434851646423, 0.517354428768158, -0.909524142742157, 0.3487927317619324, 0.8629913330078125, -1.7613961696624756, 0.12034854292869568, -0.39149409532546997, -1.1896181106567383, -0.9893724918365479, 0.802889883518219, 0.020033899694681168, 0.8049727082252502, 0.10980729758739471, -0.1113947406411171, 0.8627204298973083, 0.04326792061328888, -0.46746566891670227, -0.587134838104248, 0.20925332605838776, 0.5763846635818481, 0.06678203493356705, -0.52021324634552, 0.6281875371932983, -0.9955586194992065, -1.265023112297058, -0.2495357096195221, -1.5340672731399536, 0.4396713674068451, 0.03107639029622078, 1.3341885805130005, 0.03654021397233009, 0.7723084092140198, -0.15495017170906067, 0.012435730546712875, -0.37711089849472046, -0.38771578669548035, 0.18576796352863312, 0.8082782626152039, -0.6267442107200623, -0.3271488845348358, 0.25677400827407837, 0.049058787524700165, -0.9774293303489685, 0.5006020069122314, -1.176314353942871, -0.07298112660646439, 0.30346447229385376, -0.08149018883705139, 0.2310372143983841, -0.9880467057228088, 0.1221277117729187, -1.3708596229553223, 0.2899642884731293, 0.16554078459739685, 0.7153754830360413, 0.2711436450481415, -0.05145471915602684, -0.48632603883743286, -0.5349253416061401, 0.13038185238838196, 0.30323129892349243, -1.283013105392456, -0.7542714476585388, 0.012270248495042324, -0.4958531856536865, 1.1633228063583374, 0.5633087158203125, -0.03299928084015846, -0.5696884989738464, 0.6900392174720764, -0.27812695503234863, -0.10139811038970947, -0.41094470024108887, -0.029964914545416832, 0.7452552914619446, -0.3218950629234314, 0.9994126558303833, 1.4295235872268677, 1.1680320501327515, -0.4697903096675873, -1.1254948377609253, -0.11674380302429199, 1.1552350521087646, 0.6610888242721558, -0.28565219044685364, -0.023401770740747452, -1.6709436178207397, 0.23121435940265656, 0.27907881140708923, 1.0318087339401245, 0.16653117537498474, -0.38745754957199097, -0.3116529881954193, 0.20787793397903442, 0.3065980076789856, -0.09662296622991562, 0.3501772880554199, 0.4351987838745117, 0.6430099010467529, 0.12049809098243713, -0.700147271156311, -0.32054421305656433, -0.234796941280365, 0.803203284740448, -0.29166755080223083, 1.3701035976409912, 0.016804078593850136, -0.08693460375070572, 0.15470930933952332, -0.2235575169324875, -1.5012922286987305, 1.6562296152114868, 0.2589660584926605, 0.014467068947851658, -0.8456025719642639, -0.23418059945106506, 1.0434237718582153, -1.4279922246932983, -0.3785436749458313, 0.923183798789978, 0.2251671552658081, -0.10293034464120865, 0.4247274398803711, 0.29485344886779785, 0.0729958638548851, 0.06070510298013687, 0.837818443775177, -0.6703593730926514, -0.41700276732444763, 0.5488576889038086, 0.9402100443840027, 0.6555306911468506, -0.2948187291622162, 0.27209770679473877, 0.9443930983543396, -0.625210702419281, 1.7345526218414307, -0.08200743794441223, 0.024468423798680305, 0.052380070090293884, 0.3900224566459656, 0.027363140136003494, -1.245375394821167, -0.9878235459327698, -0.08486908674240112, 0.09329107403755188, 1.4936662912368774, -0.7599791884422302, 0.594613254070282, -0.7940759658813477, -0.18482419848442078, -1.1670489311218262, -0.0974518433213234, 0.040183696895837784, 0.5979058146476746, 0.07107867300510406, -0.38396358489990234, -1.2318100929260254, -0.1875997930765152, 1.6396700143814087, -1.3508508205413818, -0.3538214862346649, -0.28165438771247864, 0.2929409146308899, 0.5114571452140808, -0.4024538993835449, -2.3259785175323486, -0.8549608588218689, 0.448880672454834, 0.16024690866470337, -0.3489878177642822, -1.0570791959762573, -1.6990810632705688, 0.04355493187904358, 1.4261434078216553, -0.05475925654172897, -0.5854213833808899, -0.7608704566955566, -0.2694834768772125, 0.41207826137542725, 0.5721815228462219 ] ]
{ "indices": [ 1286856114, 3774983271, 1786548735, 115441729, 3819098157, 1866681274, 2006536704, 2067848296, 1551089265, 2874966370, 3396792551, 3676260520, 3686012684, 2150507160, 1960040400, 3796669908, 4075116728, 691409538, 4146668087, 1404934148, 3743430521, 2895605406, 3076736765, 4068491112, 189734734, 997012898, 1432087569, 820773949, 1647276219, 1432619228, 3009698197, 1911071232, 4186256544 ], "values": [ 0.4150083828869232, 0.6803353783123919, 0.780081111694592, 0.4150083828869232, 0.586580811684262, 0.4150083828869232, 0.6803353783123919, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.6803353783123919, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.6803353783123919, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.586580811684262, 0.4150083828869232, 0.6803353783123919 ] }
{ "context": "What else? The PC, right? Good. Okay, what about the rest of them? Zeroes? Not don't care? Yeah, zeroes, right? We don't want the registers to just load random bits, right? So they better all be zeroes. Okay. All right. So now let's take a look at how we would implement this thing. So we want, let's see, MAR gets PC. So PC is up here. So we've got to send it across the bus down here, and then put it in the MAR, right? So it's going to have to go across the bus and go down into MAR." }
572126
[ [ 0.03426259383559227, -0.5342167615890503, -0.10966964066028595, 0.7578886151313782, 0.22608791291713715, 0.424007385969162, -0.23028823733329773, 1.2779285907745361, 0.5747538805007935, -0.4980386793613434, 0.8676412105560303, 0.7787652611732483, 0.7558504343032837, 0.12836192548274994, 0.24910740554332733, -0.06625538319349289, 0.6059708595275879, 0.15515826642513275, -0.5937830209732056, -0.45109862089157104, 0.8578899502754211, -0.7700412273406982, 0.059490978717803955, 0.15450938045978546, -0.6898466348648071, 0.7308468222618103, 0.17542298138141632, -1.063571810722351, 0.13866959512233734, 0.39311668276786804, -0.6510258316993713, -1.287174940109253, 0.8755185008049011, 0.09831094741821289, 0.9379876852035522, -0.2191370278596878, -0.5491779446601868, -0.21698492765426636, 0.39464548230171204, 0.62887042760849, -0.06600289791822433, -0.04413723945617676, -0.6163398623466492, 0.39717480540275574, -1.2165536880493164, 0.41600340604782104, -0.36881500482559204, -0.5691150426864624, 0.9883463978767395, -0.7036373615264893, 1.1158097982406616, -0.5813257098197937, 0.8733842968940735, 0.5919497013092041, 0.15940319001674652, 0.465232253074646, 1.5805675983428955, 1.2347688674926758, 0.31302863359451294, -1.3128165006637573, -0.016174841672182083, -0.3487381637096405, 0.7971299290657043, -0.02558540552854538, -0.4628029465675354, -0.05199894309043884, -0.19536766409873962, -0.13469624519348145, -0.035907916724681854, -0.3877781927585602, -0.533714771270752, 0.07509368658065796, 0.3118940591812134, 0.8106938004493713, 1.1887173652648926, -0.7455840110778809, 0.30787473917007446, -0.06373843550682068, -0.09328565746545792, 0.5820322632789612, -1.0277214050292969, 0.28281134366989136, -0.6861770153045654, 1.1713676452636719, 0.17988114058971405, -0.803295910358429, 1.0073654651641846, -0.6595160365104675, -0.47984054684638977, 0.7948632836341858, 0.5645894408226013, -0.6333444714546204, 1.5088253021240234, 0.23059777915477753, -0.453396737575531, 0.4522617757320404, -0.43737027049064636, 0.7417038083076477, 0.36883386969566345, -0.4877406060695648, -0.1681019812822342, -1.214545726776123, 0.5114209651947021, 1.7437331676483154, -0.6871297955513, 0.24672117829322815, 0.11708807945251465, 0.19581887125968933, -0.3257875442504883, 0.324781209230423, -0.6280471086502075, 0.3501448333263397, -0.02732526883482933, 0.781359076499939, -0.32653695344924927, -0.7665162682533264, -0.8030024170875549, -0.021508365869522095, 1.3267433643341064, 0.5199059247970581, 1.251193642616272, 0.21295174956321716, 0.9796095490455627, -0.8788254261016846, -2.1461939811706543, 0.4964545667171478, 0.055684614926576614, -0.08286096900701523, -0.9963361620903015, 0.6946051716804504, 0.35697704553604126, -0.24365757405757904, -0.010202178731560707, -0.260088175535202, 0.5873823761940002, 0.7957584261894226, -1.9459590911865234, -1.336010456085205, 0.5081032514572144, 0.2949255108833313, -0.39208856225013733, -1.176978588104248, 0.5399989485740662, 0.9408389925956726, 1.673102617263794, 0.13183823227882385, 0.7109003067016602, 0.34527671337127686, 0.0697377547621727, 0.6127141118049622, -0.9133472442626953, -0.4523809850215912, -1.6054893732070923, -0.41350269317626953, -0.5540774464607239, 0.06073779612779617, 0.5012827515602112, 0.39689120650291443, -0.11945203691720963, -0.19149011373519897, 0.861487865447998, -0.3034343123435974, -0.4562024772167206, 0.35680311918258667, -0.7696085572242737, -1.2024832963943481, 2.224208354949951, -0.21501079201698303, 0.19897915422916412, 0.865303635597229, -0.22424937784671783, -0.6542359590530396, 0.27285036444664, -0.20090065896511078, 1.1338040828704834, -0.8654100894927979, -0.24169649183750153, -0.4749913513660431, 0.01750923879444599, -0.12489857524633408, -0.7641804814338684, -0.506223738193512, 0.4347977042198181, 1.0722211599349976, 0.2994339168071747, 0.2349492758512497, -0.28727370500564575, 0.1559358388185501, 1.087562918663025, -0.4871706962585449, -0.6714622974395752, -1.173996925354004, 0.7180106043815613, -0.11519724130630493, -0.9721381664276123, -0.6951316595077515, -0.8458539247512817, 0.19068241119384766, 0.3302326798439026, -0.7331529855728149, -1.416990876197815, 0.3327616751194, 0.9164549708366394, -0.8428083062171936, -0.24645833671092987, 0.6239677667617798, 0.9452536702156067, -0.9954769611358643, 0.877321720123291, -0.724056601524353, -0.1957530677318573, 0.5013371706008911, 0.017781822010874748, -0.002300359308719635, 0.2293284386396408, -0.1825445592403412, 0.1961570382118225, 0.0652894526720047, -0.10026004165410995, 0.3956053555011749, -0.772665798664093, 1.1794298887252808, 2.115260601043701, 0.9591357111930847, 0.152703657746315, 0.5814376473426819, 0.30728769302368164, 0.671231746673584, 0.39600616693496704, 0.1907416731119156, -0.9385690689086914, -2.00007963180542, -0.3607544004917145, 0.16551050543785095, -0.3621368408203125, 0.3273789882659912, 1.598783016204834, 0.47906628251075745, 0.23546694219112396, -0.5102096199989319, -1.351889967918396, 0.843193769454956, 0.44779863953590393, 0.691187858581543, 0.3437117040157318, 1.622997522354126, 0.37210768461227417, 1.422022819519043, -0.4689345061779022, 0.25680699944496155, -0.8010827302932739, 0.39574944972991943, 0.2705203890800476, -0.5144817233085632, 0.0037970689591020346, -1.1340434551239014, 0.26079702377319336, -0.8071237802505493, -0.1862027496099472, 0.30969539284706116, -0.8109222650527954, -0.6670482158660889, -0.05901313200592995, -0.3364030420780182, -0.12351174652576447, 0.35920432209968567, -0.32640305161476135, -0.7454098463058472, 1.2249867916107178, -1.2523945569992065, 0.11379952728748322, 0.10100971907377243, -0.4139934778213501, -0.8672047257423401, 0.22137601673603058, 0.4914614260196686, -0.39987635612487793, 1.1021132469177246, -0.8754340410232544, -0.4092647433280945, -1.1003437042236328, 0.04571829363703728, 0.3929814398288727, -0.2761213779449463, -1.8038914203643799, 0.41740119457244873, -0.2762753963470459, -0.7195847034454346, 0.9054295420646667, 0.028680238872766495, -0.9636452198028564, 0.5663197040557861, 0.25275906920433044, -0.5355668067932129, -0.057777322828769684, -0.1510780155658722, 0.1678396463394165, 0.011093582957983017, -0.36182084679603577, 0.22377684712409973, -0.11253643780946732, -1.6251977682113647, 0.10319118201732635, -0.6621023416519165, -0.22736360132694244, -0.34207451343536377, -0.24491478502750397, -0.3460533618927002, 0.12356747686862946, 0.8948035836219788, 0.38598498702049255, -0.17228277027606964, -0.3287000358104706, -1.012485146522522, -1.5575605630874634, -0.3130519688129425, 0.5014466643333435, 1.0109989643096924, -0.8868153095245361, -0.23692186176776886, 1.7042145729064941, 0.5973922610282898, -0.6760216951370239, -0.18295598030090332, 0.9086037278175354, 0.5315908789634705, -0.8081189393997192, 0.13561345636844635, 0.9407061338424683, 0.35452455282211304, 0.10461285710334778, -0.766176164150238, 0.6875509023666382, 2.1389825344085693, -1.0607874393463135, -0.7821493744850159, 0.14067891240119934, 0.4236595928668976, -0.5654079914093018, 0.1621086448431015, 0.25707969069480896, -0.08433216065168381, 1.327854037284851, -0.28460487723350525, 0.6834518313407898, 0.44417712092399597, -0.32486048340797424, 0.711107075214386, -0.08998232334852219, 0.22981271147727966, 0.13604995608329773, 0.28612035512924194, 0.4090326130390167, -1.018628478050232, -0.44830629229545593, 0.48614341020584106, 1.2399415969848633, -1.066271185874939, 0.49698764085769653, 0.8916232585906982, 0.8469507098197937, 0.18794001638889313, -0.2515311539173126, 0.1615373194217682, -0.25491634011268616, 0.7600798010826111, -0.2590486407279968, 0.20533354580402374, 0.1854701042175293, -0.3762710988521576, 0.1316821277141571, 0.6042072772979736, -0.7792061567306519, -0.05038614571094513, -1.4520683288574219, -1.1796807050704956, 0.7366062998771667, 1.3904088735580444, 0.6592338681221008, 0.5245295763015747, -0.047889936715364456, -0.17935314774513245, -0.8841138482093811, -0.2903836965560913, -1.3339048624038696, 0.2927716374397278, 0.06337866932153702, -0.24801407754421234, 0.5604346990585327, -0.30285152792930603, 0.5030503273010254, -0.6740445494651794, -1.9287654161453247, 0.15951649844646454, -0.22915048897266388, -1.184098720550537, 0.7387040257453918, 0.2861274182796478, -0.6079721450805664, 0.6798521280288696, 1.163834810256958, 2.0143909454345703, 0.2939036786556244, 0.49139347672462463, 0.8594009280204773, 0.2616862654685974, -0.07275518774986267, 0.3043510317802429, -0.0380609892308712, -0.056739214807748795, 1.0116106271743774, 0.2881602942943573, 0.5864567160606384, -0.8720197677612305, -0.066532664000988, 0.4440426826477051, 1.1747732162475586, 0.2748139500617981, -0.12855735421180725, -0.11870548874139786, 0.12341687083244324, -0.7723914384841919, 0.47413817048072815, 1.2279953956604004, -0.15233878791332245, 1.3186120986938477, 0.8683042526245117, 0.8562446236610413, -0.41472041606903076, 0.9350724816322327, 1.4935334920883179, -0.266604483127594, 0.031358759850263596, -0.4875643253326416, -1.011886477470398, -0.640330970287323, -0.07007424533367157, 0.6105595827102661, 0.8458753228187561, 0.3356504738330841, -0.16908834874629974, 0.8530026078224182, -0.07240025699138641, -0.7365039587020874, -0.32897257804870605, -0.7802173495292664, -0.08526358753442764, -0.009227180853486061, 0.7065325379371643, 0.783309280872345, 0.3963836133480072, -0.9517954587936401, -0.8646381497383118, -0.7187533378601074, -1.9676474332809448, 0.2009390890598297, 0.09703539311885834, 1.8392033576965332, -0.46647873520851135, 0.3856481611728668, -1.0290454626083374, -1.604519248008728, 0.4389984607696533, -0.5721871852874756, 1.7383185625076294, -0.934837281703949, 1.1367707252502441, 0.06439408659934998, -0.7209075093269348, -1.2931853532791138, 0.9409858584403992, -0.12642879784107208, -0.3872705399990082, -0.5000144243240356, 0.2940926253795624, -0.669758677482605, 0.2930411100387573, -0.2226743847131729, 1.1723676919937134, -0.7290407419204712, -0.3905884027481079, 0.2591155171394348, 1.384644627571106, -0.7845972776412964, -0.2390957623720169, 0.16806121170520782, -0.9945123791694641, -0.9367106556892395, 0.6051510572433472, -0.19057698547840118, -0.31200507283210754, 0.5287352204322815, -0.3060591220855713, -0.05020471289753914, -0.10180842876434326, -1.3390579223632812, 1.270771861076355, 0.11287620663642883, -0.03290939703583717, 0.569959819316864, 0.7843148708343506, -0.6357953548431396, 0.21464742720127106, -1.351677417755127, 0.18565578758716583, -0.9112541079521179, 1.3752917051315308, -0.8318735361099243, 0.09152189642190933, -0.984186589717865, 0.8602933883666992, -0.3534427583217621, 0.19443818926811218, -0.1811438351869583, -0.16972191631793976, -0.3239191174507141, 0.7500006556510925, -0.8134729862213135, 0.3677382469177246, -0.22019733488559723, 0.0366545170545578, -0.4349762499332428, -0.4473137855529785, 0.31390661001205444, -1.6528308391571045, 0.32129594683647156, 0.47543784976005554, 0.24362097680568695, 1.5192188024520874, -0.8781094551086426, -0.5060126185417175, 0.5507752299308777, -0.9431390166282654, 0.8605812788009644, 0.19836173951625824, -0.21240466833114624, -0.4525095820426941, -0.8714052438735962, 0.04416268318891525, 0.27205732464790344, -0.05730050057172775, 0.1594845950603485, 0.24303825199604034, 0.7744691371917725, -0.17991520464420319, -0.719789981842041, -0.722324013710022, -0.9488109946250916, -0.7231302857398987, 0.5754967331886292, 0.32604238390922546, -0.24026812613010406, -0.10604305565357208, 0.5853723287582397, -0.45514756441116333, 0.22573964297771454, 1.1313368082046509, -0.14550121128559113, 0.6751799583435059, 0.5428590178489685, 0.7846570611000061, 0.679735541343689, 1.032881498336792, 0.4773499071598053, -0.2388758808374405, 0.14890524744987488, 0.6200219392776489, -0.4512346088886261, -1.379469871520996, -0.5422248840332031, 0.10006804764270782, -1.066043734550476, -0.42645445466041565, 1.524115800857544, -0.42189040780067444, 0.4045087397098541, -0.009380446746945381, -0.26049038767814636, -0.6854586005210876, 0.003539248602464795, -0.4162038564682007, -0.7025932669639587, 0.8151522278785706, -0.6505888104438782, 0.5204123854637146, -0.1447940617799759, -0.4863754212856293, -0.9742887020111084, 0.23587651550769806, 0.00919040385633707, 0.1609424650669098, 2.3211629390716553, 0.48753345012664795, 0.43826186656951904, 0.8054963946342468, 0.7080004811286926, -0.06423527002334595, -0.44043105840682983, 0.766534686088562, 1.3234103918075562, 0.3795453906059265, -0.4355253577232361, 0.2387077808380127, -0.8401349782943726, 0.6531659960746765, 1.5630100965499878, -0.8936470746994019, 0.4119514226913452, 0.11804373562335968, -0.11654312163591385, -0.7807873487472534, -0.20392483472824097, -0.9189251661300659, 0.24881374835968018, -0.37702038884162903, 2.400794267654419, -1.3570561408996582, -2.0635242462158203, 1.080452799797058, 2.0027377605438232, -0.08969496935606003, -0.3567816913127899, 0.5890960693359375, -0.2401464432477951, -0.33118870854377747, -0.9390048980712891, -0.22756893932819366, 1.0701427459716797, -1.201306939125061, 0.8952656388282776, -0.177468940615654, -0.5362029075622559, 0.33368876576423645, 0.7851895689964294, 0.4616880416870117, -1.5295636653900146, -1.5084357261657715, -1.4218183755874634, 0.5797079801559448, 0.188597172498703, -0.2173880934715271, 0.04118049144744873, -0.2589930295944214, 0.5083816647529602, -0.32849541306495667, 0.7249962687492371, -0.5010880827903748, -1.4704439640045166, -0.8943411111831665, -0.6189208030700684, -1.091381549835205, 1.4717515707015991, 0.20076704025268555, -0.4641881287097931, 0.9156160950660706, -0.4314303398132324, 1.1851575374603271, 0.20804540812969208, 0.7105826139450073, 0.4370291829109192, 1.3681385517120361, -0.6442148089408875, 0.21387630701065063, -0.5034945607185364, 0.15382294356822968, 4.299002170562744, 1.0412631034851074, -0.13352544605731964, -2.0914723873138428, -0.42048338055610657, -0.6297634840011597, 0.4311588406562805, -0.09240774065256119, 0.6783866286277771, 0.192226842045784, 0.8406485319137573, 0.6496409773826599, 0.5177710652351379, -0.15287929773330688, 0.21794132888317108, 0.9507098197937012, -0.7165628671646118, -1.0357403755187988, 0.39889076352119446, 0.6525664925575256, 0.012590202502906322, -0.3166474401950836, -1.0713536739349365, -1.0459107160568237, 1.0057209730148315, -0.28014180064201355, 0.20876668393611908, -0.23663824796676636, 1.012939691543579, 0.011447615921497345, -0.7669822573661804, 0.5401381850242615, 0.8703687787055969, 0.1461179256439209, 0.917280912399292, 0.36865347623825073, -0.7276343107223511, -0.3711303770542145, -0.6332757472991943, 0.6319754123687744, 0.27871379256248474, -0.36638057231903076, -0.06993832439184189, -0.14815017580986023, -0.5096830129623413, -0.41177430748939514, -0.7466153502464294, -0.8452501893043518, -0.04784873127937317, -0.1455707848072052, 0.6713014245033264, 0.14016695320606232, 0.07558352500200272, -0.7906304597854614, -0.6489707231521606, 1.2297123670578003, 0.4163867235183716, -0.5266553163528442, -0.710616409778595, -0.6724706292152405, 0.5620415806770325, -0.42975470423698425, 0.5190061926841736, 0.6430297493934631, 0.4935365617275238, 0.7253186702728271, -0.7783342003822327, 1.7553455829620361, -0.05551512911915779, -0.5290428996086121, -0.46875035762786865, 0.19153940677642822, 0.11977960169315338, -0.5642702579498291, -0.5983392000198364, 0.8847798109054565, -0.7942801713943481, 0.6858914494514465, -0.9380723237991333, -0.4149770140647888, -0.6321530938148499, 0.6173118352890015, 0.18701452016830444, 0.16031520068645477, -0.10290158540010452, -0.2605624198913574, -0.09207607060670853, 0.3822917938232422, 0.024578699842095375, -0.05607328191399574, 0.30592575669288635, 1.6209486722946167, 1.0629241466522217, -1.2688572406768799, 0.20791156589984894, 0.0643746554851532, -0.3966883718967438, 0.11705148220062256, -0.33427074551582336, 1.5052788257598877, 0.07963114976882935, -0.6893536448478699, -0.48162126541137695, 0.9016042947769165, -0.5486351847648621, 0.30746182799339294, 0.8314678072929382, -1.3946967124938965, 0.04972675070166588, -0.09112885594367981, 0.7948040962219238, -0.5935804843902588, -0.5763843059539795, -0.24284280836582184, 0.26379358768463135, -0.1572527140378952, -0.3158571422100067, -0.8135078549385071, 0.9047237038612366, -0.36326053738594055, 0.33736103773117065, -0.07987328618764877, -0.05660829693078995, 0.06948092579841614, -0.005949345882982016, 0.1446831077337265, -0.8847680687904358, 0.21430173516273499, -0.6241917014122009, -0.17866557836532593, 0.07196094840765, -0.05439857393503189, 0.0005266704247333109, 0.706740140914917, -1.0570602416992188, -0.3634949326515198, -0.005445410497486591, 0.48361483216285706, -1.504714012145996, 0.014632761478424072, 0.3812359869480133, -0.4350658357143402, -0.563218891620636, 2.0122287273406982, -0.735701858997345, 0.015287213027477264, 0.6369329690933228, -0.4131086766719818, 0.5585346817970276, 0.8083217144012451, -1.156540036201477, -0.12345246970653534, 0.5606511831283569, 0.3464922606945038, 0.4644554853439331, -0.1479308307170868, -0.25374332070350647, 0.06975647807121277, 0.3675204813480377, 0.6741464138031006, 0.16477103531360626, 0.8346776366233826, 0.3583393692970276, -0.41526681184768677, 0.13444623351097107, 0.7605404853820801, -0.3526584804058075, 0.5237217545509338, -0.7558202147483826, 0.8068861961364746, 0.30409422516822815, -0.29782459139823914, 0.38531190156936646, 0.7710895538330078, -1.29544997215271, -0.5713367462158203, -0.046121206134557724, 0.07494471967220306, 1.0570073127746582, -1.0135962963104248, 0.3912886679172516, 1.298122525215149, -1.3287725448608398, 0.26040399074554443, -0.791132390499115, -0.5179539918899536, -1.3502352237701416, 0.5087620615959167, 0.19482596218585968, 0.20886816084384918, 0.13173601031303406, -0.09295473247766495, 0.71945720911026, -0.2148342728614807, -0.6312896013259888, -1.0472975969314575, 0.07826442271471024, 0.5815742611885071, -0.6474443674087524, -0.4372035264968872, 0.9093067049980164, -1.2666648626327515, -1.2173646688461304, -0.45657941699028015, -1.0198436975479126, -0.0005423706024885178, 0.10860809683799744, 0.795137345790863, 0.10533657670021057, 0.8498076796531677, -0.18739032745361328, -0.005680378060787916, -0.08108653128147125, -0.8669520020484924, 0.518839418888092, 0.15279611945152283, -0.4201502799987793, 0.007487793453037739, 0.8503491282463074, 0.7360689043998718, -0.958417534828186, 0.7511048913002014, -0.9878079891204834, -0.19068457186222076, 0.27581292390823364, 0.29290685057640076, 0.4521551728248596, -1.376889705657959, -0.320303738117218, -1.021890640258789, 0.5394493341445923, 0.4628991186618805, -0.33299946784973145, -0.21054305136203766, 0.21745365858078003, -0.8940495848655701, 0.09628035873174667, 0.35649484395980835, 0.16908811032772064, -1.310765266418457, -0.5433520078659058, -0.4471447765827179, -0.13497312366962433, 1.1762282848358154, 0.25258079171180725, -0.1391546130180359, -0.42271530628204346, 1.0065243244171143, -0.15922613441944122, -0.5824726223945618, -0.6258056163787842, -0.5017638206481934, 0.5814980268478394, -0.35599297285079956, 1.1893988847732544, 1.4477628469467163, 0.3821258842945099, -0.48196715116500854, -1.001224160194397, -0.11373908072710037, 1.563561201095581, 1.0832479000091553, 0.030126946046948433, -0.18269500136375427, -1.7485346794128418, -0.057391609996557236, -0.5260618925094604, 0.6803640723228455, -0.30617567896842957, -0.9583733081817627, -0.0886099636554718, 0.05120585858821869, -0.24808655679225922, 0.27744823694229126, 0.6200229525566101, 0.7588403224945068, 0.0545797124505043, 0.6781485676765442, -0.8866648077964783, 0.015074266120791435, -0.0832730084657669, 0.06455019116401672, -0.4854629933834076, 1.7647150754928589, 0.11036118865013123, -0.21980884671211243, 0.30127009749412537, -0.4211980998516083, -1.4873061180114746, 1.506506323814392, 0.18613961338996887, 0.39636072516441345, -0.7487631440162659, -0.4419969618320465, 1.3883628845214844, -1.0185459852218628, -0.7227039337158203, 0.6861476302146912, 0.22053462266921997, -0.023038359358906746, 0.41139766573905945, 0.16646170616149902, 0.8363667130470276, 0.22876900434494019, 0.4580647051334381, -0.8281787037849426, -0.638427734375, 0.7572335600852966, 0.7139111161231995, 0.4751175045967102, -0.23549771308898926, 0.0015486297197639942, 1.070544958114624, -0.9144266843795776, 1.1171422004699707, -0.26244303584098816, 0.46936488151550293, -0.11715158075094223, 0.42530128359794617, -0.821179986000061, -0.6925401091575623, -1.37083101272583, 0.08753342926502228, -0.12495207786560059, 1.274563193321228, -0.994566798210144, 0.238252654671669, -1.6887542009353638, 0.31589746475219727, -0.7192469835281372, -0.5616328120231628, -0.036424893885850906, 0.5638764500617981, -0.41757482290267944, -0.55042564868927, -0.8385791778564453, 0.1625584065914154, 1.7804182767868042, -1.294329285621643, 0.2815144658088684, 0.5897083282470703, 0.7187283039093018, 0.3284713327884674, -0.6757667660713196, -1.4374710321426392, -0.28819379210472107, 1.0773825645446777, 0.2558090090751648, -0.11623979359865189, -0.9043474793434143, -1.403445839881897, 0.6137683987617493, 1.4487165212631226, 0.17256686091423035, -0.040890343487262726, -1.1979925632476807, 0.5780236124992371, 0.44074106216430664, 0.4198088049888611 ] ]
{ "indices": [ 3774983271, 1432087569, 820773949, 1647276219, 1432619228, 3009698197, 1911071232, 189734734, 1786548735, 691409538, 4186256544, 28501148, 997012898, 1200021954, 2257684172, 3882113197, 2853636491, 3076736765, 4146668087, 1041011349, 3471378517, 3905155331, 2072964797, 489905694, 1296157733 ], "values": [ 0.835736349078634, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.7178239640284703, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815 ] }
{ "context": "So PC is up here. So we've got to send it across the bus down here, and then put it in the MAR, right? So it's going to have to go across the bus and go down into MAR. And then the other part was PC gets PC plus one. So here's PC, it goes through this little plus one thing here. We've got to take it through the PC mux and then put it back into PC and do both the blue arrow and the green arrow in the same cycle." }
607009
[ [ 0.3623853027820587, -0.5919351577758789, -0.2661549746990204, 0.6092469692230225, 0.5741710662841797, 0.08159321546554565, -0.1403484344482422, 0.3374911844730377, 1.0843476057052612, -0.5992233753204346, 0.9430760145187378, 0.6705881953239441, 0.8827371597290039, 0.2147182822227478, 0.1560753881931305, -0.21296913921833038, 0.4713178873062134, -0.01749342866241932, -0.4430389404296875, -0.27644407749176025, 1.2081913948059082, -0.4587222933769226, -0.39440110325813293, 0.010198292322456837, -0.8215268850326538, 0.9637983441352844, -0.10414191335439682, -0.8913068771362305, 0.3144543468952179, 0.8525676131248474, -0.6330714821815491, -1.4271612167358398, 0.5402976870536804, 0.002817438915371895, 1.3911880254745483, -0.1478392630815506, -0.5869190096855164, -0.2755887806415558, 0.2363157570362091, 0.6742112040519714, 0.09815827757120132, 0.2644536793231964, -0.425602525472641, 0.7144304513931274, -1.3841605186462402, 0.3330298066139221, -0.1530858278274536, -0.6059477925300598, 1.1625970602035522, -0.781615674495697, 0.991571843624115, -0.4788431227207184, 0.7120721936225891, 0.3290054202079773, 0.2846827805042267, 0.2310192584991455, 0.9452124834060669, 1.4113795757293701, 0.6047998070716858, -1.0821412801742554, -0.1010156124830246, -0.20328037440776825, 0.9037702083587646, -0.41310736536979675, -0.1767214834690094, -0.2187930792570114, -0.5691108107566833, -0.7626931667327881, 0.672627866268158, -0.6987829208374023, -0.9343398213386536, 0.14050443470478058, 0.4890974760055542, 0.36469241976737976, 0.6555056571960449, -0.3094947636127472, -0.06892988085746765, 0.11475765705108643, 0.5832450985908508, 0.9984768033027649, -1.2443552017211914, 0.30042481422424316, -0.7232192754745483, 0.202874556183815, 0.43982434272766113, -0.8877394199371338, 1.2755483388900757, -0.48039162158966064, -0.046250879764556885, 0.8176674246788025, 0.2443859875202179, -0.7878409028053284, 1.5896916389465332, 0.2545476257801056, -0.45542168617248535, -0.0028044008649885654, 0.04938175156712532, 1.1956456899642944, 0.7535941004753113, -0.7190909385681152, -0.08407396823167801, -1.2661904096603394, 0.36566224694252014, 1.521491289138794, -0.05335830897092819, 0.5849428176879883, -0.07005586475133896, 0.03630857542157173, -0.2799532115459442, 0.4496506452560425, -0.4422379732131958, 0.9571942090988159, 0.03629140183329582, 0.4986722469329834, -0.1944957971572876, -0.7016087770462036, -0.8845189809799194, -0.09539834409952164, 1.0033044815063477, 0.2571336627006531, 0.9988017082214355, 0.7387957572937012, 0.5861365795135498, -1.089516043663025, -2.1263909339904785, 0.5362372398376465, 0.1664757877588272, -0.5120185613632202, -1.0662453174591064, 0.8136388063430786, 0.41115227341651917, -0.16466492414474487, 0.24178387224674225, -0.09127423912286758, 0.667016863822937, 1.1036922931671143, -1.8642889261245728, -1.5078792572021484, 0.9788603186607361, 0.1028018444776535, -0.6037418842315674, -1.349102258682251, 0.3566727042198181, 0.9442505240440369, 1.606793999671936, 0.39425957202911377, 0.7473388910293579, -0.025145351886749268, -0.060467034578323364, 0.6142005920410156, -0.5031009316444397, -0.06251461058855057, -1.5735386610031128, -0.4561351239681244, -0.4795234501361847, -0.0733029842376709, 0.1542150378227234, 0.06907268613576889, -0.763196587562561, -0.16441208124160767, 0.4702926576137543, -0.28715991973876953, -0.42067718505859375, -0.06359724700450897, -0.7531906366348267, -0.7858362793922424, 1.8431892395019531, 0.03348756954073906, -0.02313302271068096, 1.2007955312728882, -0.29707685112953186, -0.7178457379341125, 0.1078043282032013, 0.3365587294101715, 0.8857921957969666, -0.8071486353874207, 0.29324713349342346, -0.15617048740386963, 0.027687031775712967, 0.25246256589889526, -0.7306092381477356, -0.377250999212265, 0.25024324655532837, 0.9701087474822998, 0.7170004844665527, 0.21475470066070557, -0.444730669260025, 0.056972455233335495, 1.4228103160858154, -1.187700867652893, -0.7509171366691589, -1.3152893781661987, 0.4654757082462311, 0.4572293758392334, -0.8302319645881653, -1.0492404699325562, -0.5496716499328613, 0.40584391355514526, 0.49663183093070984, -0.5179800391197205, -1.066967487335205, 0.25160354375839233, 0.9690779447555542, -1.3015307188034058, 0.08226250857114792, 0.7198867797851562, 1.0759695768356323, -0.4440993666648865, 0.7476347088813782, -0.4688348174095154, -0.47861775755882263, 0.8351606130599976, 0.08266030251979828, 0.13758011162281036, 0.11054091900587082, 0.35964953899383545, -0.024076206609606743, 0.788747251033783, -0.15107807517051697, 0.5904698967933655, -0.9309744834899902, 0.998119592666626, 2.4751341342926025, 1.0551514625549316, 0.41045764088630676, 0.6958224177360535, 0.2583012580871582, 0.15501153469085693, 0.26337650418281555, -0.7823734283447266, -0.9525255560874939, -1.1692124605178833, 0.16765263676643372, 0.41424477100372314, -0.4176624119281769, -0.06176600605249405, 1.797619104385376, 0.5267941355705261, 0.7524410486221313, -0.1652817577123642, -1.3846186399459839, 0.7664633393287659, 0.5572894811630249, 0.533718466758728, 0.44712263345718384, 1.4726790189743042, 0.15249693393707275, 1.5613816976547241, -0.4634796977043152, 0.10934232920408249, -0.6082231998443604, 0.48297661542892456, 0.3861459195613861, -0.7478916049003601, -0.41886937618255615, -1.4023786783218384, 0.6027358770370483, -0.9828526973724365, -0.7010475397109985, 0.348715215921402, -0.33985137939453125, -0.5281097888946533, -0.35941082239151, -0.5035064220428467, 0.05427318066358566, 0.1611763834953308, -0.1911800056695938, -0.533888041973114, 1.5717853307724, -0.923431396484375, 0.11940934509038925, 0.36329638957977295, -0.463060200214386, -1.3501553535461426, 0.27583831548690796, 0.5818028450012207, -0.47976893186569214, 1.1915335655212402, -1.0241060256958008, -1.0224716663360596, -0.760250449180603, 0.36108818650245667, 0.39017248153686523, -0.07406370341777802, -1.9592562913894653, 0.09398970752954483, -0.6409375667572021, -0.6717389225959778, 0.6265590786933899, 0.2687916159629822, -1.176085352897644, 0.42356711626052856, -0.424338698387146, -1.0085488557815552, 0.1938295215368271, -0.2260119765996933, 0.2687132954597473, 0.13969767093658447, -0.021685613319277763, 0.26621752977371216, 0.2245223969221115, -1.5953913927078247, -0.2917797863483429, -0.8760625123977661, 0.10894809663295746, -0.12464234232902527, -0.1901957094669342, -0.49885568022727966, -0.2601662278175354, 0.5619527101516724, 0.20576198399066925, -0.2561688721179962, -0.4716644585132599, -1.0568851232528687, -1.7922557592391968, 0.0862436592578888, 0.6893118619918823, 1.0730736255645752, -0.6016998887062073, -0.403229296207428, 1.2230465412139893, 0.47200894355773926, -0.7269952297210693, -0.24137002229690552, 0.6461799740791321, 0.2641866207122803, -1.4616317749023438, 0.0012824435252696276, 0.9091326594352722, 0.3404233157634735, 0.26276710629463196, -0.38085684180259705, 0.9042254686355591, 1.7663838863372803, -0.5193619728088379, -0.9603521227836609, 0.06458178162574768, 0.38891515135765076, -0.7448973655700684, -0.08372876048088074, 0.5205847024917603, 0.24549007415771484, 1.3547310829162598, -0.10767880082130432, 1.143118977546692, 0.26501724123954773, 0.02373744174838066, 0.5899273157119751, 0.4648260176181793, 0.45326662063598633, -0.2978920042514801, 0.17368808388710022, 0.2266169935464859, -0.6830868124961853, -0.780051589012146, 0.7791016697883606, 1.0783134698867798, -0.9433313608169556, 0.25871700048446655, 0.6296390295028687, 0.5139477849006653, 0.4141788184642792, -0.15601079165935516, 0.15173862874507904, 0.40811821818351746, 0.4371834397315979, -0.1914292573928833, 0.18522347509860992, 0.387871652841568, -0.0025939904153347015, 0.02937339060008526, 0.7742757797241211, -0.7788758873939514, -0.8294190764427185, -1.746529221534729, -1.052452564239502, 0.47906437516212463, 1.1505866050720215, 0.44047677516937256, 0.6455863118171692, 0.09769628942012787, 0.07036148756742477, -0.604117214679718, -0.08692453056573868, -1.4974620342254639, 0.34884408116340637, -0.17057907581329346, -0.09757524728775024, 0.5701651573181152, -0.28418341279029846, 0.05833103135228157, -0.4209672808647156, -1.3237881660461426, 0.21045750379562378, -0.3752184510231018, -0.9860173463821411, 0.6151922345161438, 0.3327460289001465, -0.4794272184371948, 0.7112606167793274, 0.5773036479949951, 1.8764108419418335, 0.5746758580207825, 0.2404274195432663, 0.8354377746582031, 0.2614976465702057, -0.37787437438964844, -0.3348475694656372, -0.006897506304085255, 0.22775773704051971, 0.9212491512298584, 0.5770004987716675, 0.6501640677452087, -0.942481279373169, 0.004740594886243343, 0.5145353674888611, 1.0384104251861572, 0.025632383301854134, 0.3082966208457947, -0.043333377689123154, -0.05791899561882019, -1.1184972524642944, 0.32410186529159546, 1.1395046710968018, -0.31885826587677, 1.8033196926116943, 0.7706757187843323, 0.4824371337890625, -0.3840462267398834, 0.8399031758308411, 1.7954914569854736, 0.05886281654238701, 0.03307223320007324, -0.5806766152381897, -0.9304929971694946, -0.6572317481040955, -0.5821220278739929, 0.29956158995628357, 1.060123324394226, 0.7346711158752441, -0.7201405167579651, 0.5987889766693115, 0.017190147191286087, -0.9045985341072083, 0.21355073153972626, -0.6346130967140198, -0.5137417912483215, 0.10487662255764008, 0.6276252865791321, 0.12309149652719498, 0.5293647050857544, -0.5876469016075134, 0.22244487702846527, -1.153367519378662, -2.1551547050476074, -0.06352705508470535, 0.1795150339603424, 1.200751781463623, -0.7519327402114868, -0.001198186189867556, -0.8188117742538452, -1.0781514644622803, 0.5296491980552673, -0.4301113486289978, 1.6761667728424072, -1.073500633239746, 0.8350429534912109, -0.4218166768550873, -0.6665222644805908, -1.088208556175232, 0.8873644471168518, -0.17166782915592194, 0.05114925280213356, -0.10184773802757263, 0.09912396222352982, -0.31095433235168457, 0.3600746691226959, 0.4230624735355377, 0.8953824639320374, -0.8181601762771606, -0.39970389008522034, 0.00991513580083847, 0.800236701965332, -1.0834975242614746, -0.3187072277069092, 0.4738805592060089, -0.8266471028327942, -0.8439192175865173, 0.766522228717804, -0.7257629036903381, -0.14654380083084106, 0.4906530976295471, -0.10273001343011856, -0.2756652534008026, -0.2166612595319748, -1.2316718101501465, 0.789682924747467, -0.07083210349082947, 0.5329231023788452, 0.5285813808441162, 1.0662964582443237, -0.8583927154541016, 0.009068534709513187, -0.6185243129730225, 0.08708038181066513, -0.5138081312179565, 0.9930598139762878, -1.1192837953567505, -0.10300977528095245, -1.3150920867919922, 0.617956817150116, 0.12581132352352142, 0.42024368047714233, -0.16306452453136444, -0.5667911171913147, -0.20475603640079498, 0.9046169519424438, -1.078451156616211, 0.12780624628067017, -0.39943215250968933, 0.19239209592342377, -0.605750560760498, 0.011944779194891453, 0.39763057231903076, -1.6580690145492554, 0.7719084620475769, 0.21172000467777252, -0.01897459849715233, 1.3977850675582886, -0.8729687333106995, -0.4463067650794983, 0.5351507067680359, -1.2951902151107788, 0.7781503200531006, 0.24713946878910065, 0.13172300159931183, -0.5000490546226501, -0.9223911166191101, -0.11288921535015106, 0.41116487979888916, -0.6064081788063049, 0.23506885766983032, -0.2508409917354584, 0.737587034702301, -0.19571910798549652, -0.36335885524749756, -0.8045650124549866, -1.423514723777771, -0.6407732963562012, 0.5455768704414368, 0.6213632822036743, -0.19281819462776184, -0.11051499098539352, 0.43520599603652954, -0.5784841179847717, 0.16225233674049377, 0.9960110187530518, -0.29173505306243896, 0.5731708407402039, 0.3980349600315094, 0.8330285549163818, 0.9007589221000671, 1.5333584547042847, 0.18441681563854218, 0.018466059118509293, -0.020711924880743027, 0.18334805965423584, -0.21103496849536896, -1.4085581302642822, -0.5853957533836365, -0.006008249707520008, -0.97556072473526, -0.34730812907218933, 1.2662290334701538, -0.22960436344146729, 0.216232568025589, 0.18037256598472595, -0.22193586826324463, -0.527238130569458, 0.0016300411662086844, -0.46835920214653015, -0.38558873534202576, 0.5833298563957214, -0.7593599557876587, 0.30160656571388245, 0.2712545692920685, -0.7456796765327454, -0.8125744462013245, 0.003666916862130165, 0.3684433698654175, 0.47749242186546326, 2.2259469032287598, 0.2588515877723694, 0.5389378666877747, 0.7383049726486206, 0.7639815807342529, 0.11728088557720184, -0.05390237644314766, 0.7074151635169983, 1.044560432434082, 0.6414850354194641, -0.4153027832508087, 0.20140404999256134, -1.0211293697357178, 0.612859308719635, 1.6085883378982544, -1.0110725164413452, 0.7983633279800415, -0.181508406996727, -0.11148697882890701, -0.4033593237400055, 0.04626491665840149, -0.9146389365196228, 0.9501125812530518, -0.34666308760643005, 2.1743016242980957, -1.29014253616333, -1.213622808456421, 1.4233086109161377, 1.3813923597335815, 0.47160422801971436, -0.010376658290624619, 0.38779133558273315, -0.28909918665885925, 0.026900602504611015, -1.2627745866775513, -0.4192284047603607, 1.1169040203094482, -1.0205092430114746, 0.5941315293312073, -0.3797343075275421, -0.6094425320625305, 0.731214165687561, 0.35153019428253174, 0.25221991539001465, -1.4934914112091064, -0.9502522945404053, -1.623246669769287, 0.8402947783470154, 0.30732378363609314, -0.22716005146503448, -0.1789812296628952, 0.010809466242790222, 0.6917374730110168, -0.3239051401615143, 0.7315677404403687, -0.6447969079017639, -1.7046294212341309, -0.05738924443721771, -0.23817327618598938, -1.2708898782730103, 1.4010931253433228, 0.47197067737579346, -0.4464167654514313, 1.1887859106063843, -0.28666970133781433, 1.1982401609420776, 0.096254862844944, 0.579164981842041, 0.1840638965368271, 1.0460706949234009, -0.7652447819709778, -0.3636787235736847, -0.7314981818199158, -0.32806795835494995, 4.567604064941406, 1.3367915153503418, -0.3283630311489105, -2.029205322265625, 0.07210619002580643, -0.5418717861175537, 0.45947328209877014, 0.07940873503684998, 0.5493787527084351, 0.4031885862350464, 0.6082659363746643, 0.6642327308654785, 0.21321040391921997, -0.26957207918167114, 0.16904544830322266, 0.8024689555168152, -0.5554010272026062, -0.516151487827301, 0.4995351731777191, 0.47755181789398193, 0.41821035742759705, -0.08493295311927795, -0.6894387006759644, -1.1784287691116333, 0.7479798793792725, -0.47041749954223633, 0.6588966250419617, -0.6182426810264587, 1.0487078428268433, -0.0008145265746861696, -0.6824342608451843, 0.9204763770103455, 0.6564019918441772, 0.4784793257713318, 0.730104386806488, 0.11726949363946915, -0.5997512936592102, -0.3656562864780426, -0.5465920567512512, 0.8777089715003967, 0.37279871106147766, -0.2259100377559662, -0.2406667321920395, -0.3012062907218933, -0.9514164328575134, -0.26797547936439514, -0.7911778688430786, -0.7782018780708313, -0.12841837108135223, -0.4125206470489502, 0.4065055251121521, 0.3782816231250763, -0.5916048884391785, -1.1458971500396729, -0.8624185919761658, 0.7139101028442383, -0.0934409350156784, -0.7089851498603821, -0.8261563777923584, -0.5186870694160461, 0.39894863963127136, -0.3132953941822052, 0.8628642559051514, 0.6020500063896179, 0.8845760226249695, 0.4612848162651062, -0.7845462560653687, 2.021113395690918, 0.40852195024490356, -0.5276003479957581, -0.9036388397216797, 0.27144286036491394, -0.5082734823226929, -0.6237529516220093, -0.6390997767448425, 1.0365464687347412, -0.4680820405483246, 0.169528529047966, -1.0884325504302979, -0.5311328172683716, -0.8717693090438843, 0.6758447289466858, -0.44194328784942627, -0.14872127771377563, -0.19206589460372925, -0.5811082720756531, 0.012724016793072224, 0.6667648553848267, 0.17458905279636383, 0.0053706057369709015, 0.542279064655304, 1.4519634246826172, 0.984771192073822, -1.1191046237945557, 0.1967209279537201, 0.4083363711833954, -0.9989855885505676, 0.03192419558763504, -0.7046675086021423, 1.1373214721679688, 0.4892675280570984, -0.5532236695289612, -0.22818523645401, 1.2146093845367432, -0.9311711192131042, -0.1135600283741951, 0.9435875415802002, -1.611006498336792, -0.3589472472667694, 0.10603558272123337, 1.0403093099594116, -0.8361302614212036, -0.7242927551269531, -0.6870285272598267, -0.40560001134872437, -0.31685763597488403, -0.4774605333805084, -0.5724772810935974, 0.8152135014533997, -0.5791646242141724, 0.2683427631855011, 0.015401023440063, 0.4733203649520874, -0.15715739130973816, -0.17106510698795319, 0.25413933396339417, -0.7237221002578735, 0.3504481017589569, -0.18750154972076416, 0.05045421048998833, 0.4178633689880371, 0.13923050463199615, 0.014016980305314064, 0.7549112439155579, -0.8391120433807373, -0.25094279646873474, 0.07869121432304382, 0.3708348870277405, -0.9550176858901978, 0.1902349889278412, -0.08123398572206497, -0.5135299563407898, -0.7563778758049011, 1.4433494806289673, -0.39758384227752686, -0.20152127742767334, 0.6933992505073547, -0.17294134199619293, 0.9765332937240601, 0.6798802018165588, -0.6726846098899841, -0.11470241099596024, 0.3576830327510834, 0.7590975165367126, 0.4276289939880371, -0.09856352210044861, -0.04945101588964462, 0.1885361522436142, 0.26703235507011414, 0.4178018271923065, 0.5437746644020081, 1.201147437095642, 0.13093215227127075, -0.4833739697933197, -0.1095142588019371, 0.2891087532043457, -0.5424973964691162, 0.7086420655250549, -0.9905084371566772, 0.7047508955001831, 0.5068060159683228, -0.022538037970662117, 0.6211153268814087, 0.6862252950668335, -1.2089111804962158, -0.45139259099960327, -0.6981509327888489, 0.061434850096702576, 0.5804424285888672, -0.5499067902565002, 0.8479790091514587, 1.2834311723709106, -1.531835675239563, 0.09154261648654938, -0.8064942359924316, -0.86234450340271, -0.9799662828445435, 0.43864572048187256, 0.15940384566783905, 0.48462170362472534, 0.0022206087596714497, 0.08181042224168777, 0.8465229272842407, 0.0745658352971077, -0.6875863075256348, -0.6438131332397461, 0.2545652985572815, 0.48965686559677124, 0.013201434165239334, -0.2664034962654114, 0.7575678825378418, -0.9905470013618469, -1.0831979513168335, -0.21379241347312927, -1.4196833372116089, -0.35744866728782654, 0.043394703418016434, 1.1084628105163574, 0.24979108572006226, 0.9143827557563782, -0.01580076850950718, -0.19279487431049347, -0.017479250207543373, -0.6354061365127563, 0.5944433808326721, 0.346068799495697, -0.7380539774894714, 0.3483899235725403, 0.556260883808136, 0.4114200472831726, -0.8816438317298889, 0.8894472718238831, -0.8780038952827454, -0.1337413340806961, 0.03822213411331177, -0.18312092125415802, 0.39183640480041504, -1.1795841455459595, 0.09484025835990906, -1.1796644926071167, 0.7106077075004578, 0.233892023563385, 0.3502107262611389, 0.19213199615478516, -0.22206845879554749, -0.4179517924785614, -0.15268847346305847, 0.13950854539871216, 0.14284206926822662, -1.0047918558120728, -0.6247907280921936, -0.21096405386924744, -0.5163009762763977, 1.2294598817825317, 0.4207127094268799, -0.3160568177700043, -0.8670899271965027, 0.9802687168121338, -0.3203245997428894, -0.311443954706192, -0.5379453897476196, -0.39754608273506165, 0.633151650428772, -0.3046931028366089, 1.3901593685150146, 1.5775816440582275, 0.7129117250442505, -0.7231891751289368, -0.9529955387115479, 0.117595374584198, 1.148278832435608, 0.8079400062561035, -0.328742116689682, -0.024326395243406296, -1.3221911191940308, -0.023541804403066635, -0.2777887284755707, 1.1462444067001343, -0.019732458516955376, -0.6972496509552002, -0.26747044920921326, 0.11827719956636429, 0.023074306547641754, 0.4889433979988098, 0.6902763843536377, 0.6463952660560608, 0.5053711533546448, 0.47914478182792664, -0.6610173583030701, -0.01074539590626955, -0.49284273386001587, 0.28240275382995605, -0.35159066319465637, 1.4504187107086182, 0.17265287041664124, -0.2335289716720581, 0.13770094513893127, -0.3991006016731262, -1.4742788076400757, 1.5479438304901123, 0.41016340255737305, 0.1096927672624588, -0.7552152872085571, -0.31684979796409607, 1.1223247051239014, -1.4287855625152588, -0.7565010786056519, 0.6336822509765625, -0.28340762853622437, 0.1170545369386673, 0.7535503506660461, 0.35878488421440125, 0.6446616053581238, -0.006622956600040197, 0.8046532869338989, -0.38597041368484497, -0.3966538906097412, 0.8258723020553589, 0.9210598468780518, 0.5514475703239441, -0.2861231565475464, 0.12417729943990707, 0.6256093382835388, -0.6056280136108398, 1.4205750226974487, -0.08132673054933548, 0.02720472775399685, 0.02852996252477169, 0.4068376123905182, -0.3832964301109314, -1.2564715147018433, -1.1159411668777466, -0.0677785649895668, -0.058066871017217636, 1.3567076921463013, -0.7580352425575256, 0.2899831533432007, -0.9061896800994873, -0.24737802147865295, -0.8863502144813538, -0.17794641852378845, -0.12749812006950378, 0.7241749167442322, 0.07582493871450424, -0.4700387418270111, -1.0247730016708374, -0.026755716651678085, 1.5899933576583862, -1.2768404483795166, -0.09081558138132095, -0.008378040045499802, 0.5558713674545288, 0.08627904951572418, -0.48918119072914124, -1.549026370048523, -0.5468803644180298, 0.5837539434432983, 0.25198471546173096, -0.5617073178291321, -1.1019823551177979, -1.330941081047058, -0.04661620408296585, 1.1413174867630005, -0.20321118831634521, -0.29639163613319397, -1.315934658050537, -0.12833844125270844, 0.5591142177581787, 0.5544209480285645 ] ]
{ "indices": [ 691409538, 3774983271, 3882113197, 2853636491, 1200021954, 2257684172, 3076736765, 1432087569, 820773949, 4146668087, 1041011349, 1911071232, 3471378517, 3905155331, 2072964797, 489905694, 1296157733, 3084820283, 1063320047, 806976768, 818459139, 1590456296, 1404934148, 989116115, 448220673, 500517981, 2296602335, 3476027533, 1960040400, 4186256544, 3457618965, 2839486718, 4015327650, 3377905009, 1236542976, 262840313, 4219882198, 2035475614 ], "values": [ 0.6013505282996094, 0.693505763745749, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.6013505282996094, 0.6013505282996094, 0.4299508493493549, 0.693505763745749, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549 ] }
{ "context": "So here's PC, it goes through this little plus one thing here. We've got to take it through the PC mux and then put it back into PC and do both the blue arrow and the green arrow in the same cycle. So it's worth when you're trying to figure out how to set things up, just looking at the data path and maybe sketching out, well, how are the bits going to move from place to place? Because anytime you go through a mux, you've got to make sure the mux is configured properly, for example." }
951696
[ [ 0.2935580909252167, -0.7403170466423035, -0.2808573246002197, 0.5297706127166748, 0.37106853723526, 0.3344727158546448, -0.22654543817043304, 0.9299458861351013, 0.6638609766960144, -0.6970254182815552, 0.9501425623893738, 0.6496700644493103, 0.5889807343482971, 0.4020501375198364, 0.35405582189559937, 0.019596699625253677, 0.656810998916626, 0.07880168408155441, -0.1282380074262619, -0.42111876606941223, 0.9343196749687195, -0.5980306267738342, 0.01282148901373148, 0.1819155365228653, -0.5325918793678284, 0.838361918926239, -0.011272061616182327, -1.0108100175857544, 0.32742804288864136, 0.19077828526496887, -0.7450128197669983, -1.3754113912582397, 0.6194438338279724, 0.29090625047683716, 0.9731338620185852, -0.3282783329486847, -0.7270208597183228, -0.28419625759124756, 0.4949287176132202, 0.8729821443557739, -0.10150841623544693, 0.16042560338974, -0.6185044646263123, 0.7370873093605042, -1.4287761449813843, 0.2609240412712097, -0.42262008786201477, -0.7525144815444946, 0.9069204330444336, -0.9114285111427307, 1.0202041864395142, -0.6002744436264038, 0.6583027839660645, 0.506047248840332, -0.10434342175722122, 0.19722624123096466, 1.314536452293396, 1.3755501508712769, 0.5385594367980957, -1.2859300374984741, -0.18273699283599854, -0.31663018465042114, 0.7506920695304871, -0.23902158439159393, -0.21953770518302917, -0.15074196457862854, -0.1959046572446823, -0.4123694896697998, 0.36054185032844543, -0.5151296257972717, -0.6138772964477539, 0.04091973975300789, 0.33092281222343445, 0.5951738357543945, 0.8053830862045288, -0.7297847867012024, 0.09390038251876831, -0.002974530914798379, 0.13215599954128265, 0.8001238107681274, -1.2122833728790283, 0.05652601644396782, -0.6734926104545593, 0.7067356109619141, 0.2085002213716507, -1.111154556274414, 1.1003460884094238, -0.6354812383651733, -0.4071238338947296, 0.7330507040023804, 0.2747475504875183, -0.6878681778907776, 1.4177017211914062, 0.23314732313156128, -0.3313731849193573, 0.19619916379451752, -0.26049181818962097, 1.024714708328247, 0.6557129621505737, -0.6686336994171143, -0.1825011819601059, -1.1333011388778687, 0.2867635488510132, 1.83124577999115, -0.6233288049697876, 0.47764697670936584, 0.2482839971780777, -0.09290052205324173, -0.2500183582305908, 0.5772668719291687, -0.9843724966049194, 0.48204660415649414, 0.4998984932899475, 0.7712443470954895, -0.32171016931533813, -0.5542909502983093, -1.072421908378601, -0.10199566185474396, 1.2063777446746826, 0.3930865526199341, 1.1526648998260498, 0.24720516800880432, 0.6146318316459656, -1.048746109008789, -1.9208908081054688, 0.6388295888900757, 0.22526060044765472, -0.046882759779691696, -1.0679512023925781, 0.3669150769710541, 0.34271228313446045, -0.5069961547851562, 0.02710082195699215, -0.05756523087620735, 0.8256571292877197, 0.9067313075065613, -2.0196969509124756, -1.3606464862823486, 0.8404792547225952, 0.176685631275177, -0.6014043688774109, -1.3607593774795532, 0.5004178881645203, 1.0827827453613281, 1.5512707233428955, 0.09767141938209534, 0.6062431931495667, 0.13581086695194244, 0.029350601136684418, 0.4859180450439453, -0.7007609605789185, -0.1329440325498581, -1.4587801694869995, -0.4479246437549591, -0.1584126204252243, 0.0930880606174469, 0.14823512732982635, 0.39355728030204773, -0.3359917104244232, 0.009666631929576397, 0.6959364414215088, -0.16770479083061218, -0.580512523651123, 0.4112890958786011, -0.9710161685943604, -1.1209275722503662, 2.5220787525177, -0.21377070248126984, 0.3278452455997467, 1.220834732055664, -0.3344093859195709, -0.16868746280670166, 0.04874672740697861, 0.24194274842739105, 0.9418553113937378, -0.8742254972457886, -0.1705135554075241, -0.29695066809654236, -0.01362867746502161, 0.11416462808847427, -0.7820602655410767, -0.7748597860336304, 0.38501566648483276, 1.1190258264541626, 0.483242928981781, 0.061414893716573715, -0.3626899719238281, 0.2039637565612793, 1.156207799911499, -0.880135178565979, -0.8498098850250244, -1.168662667274475, 1.0251623392105103, 0.19414381682872772, -0.896366536617279, -0.8762657642364502, -0.655937910079956, 0.4466075301170349, 0.33976271748542786, -1.0259813070297241, -1.8036681413650513, 0.6544888019561768, 0.6445285677909851, -1.1319420337677002, -0.3151806592941284, 0.6045761704444885, 0.9365801215171814, -0.9002228379249573, 0.8892275094985962, -0.8659238815307617, -0.26794254779815674, 0.6839789748191833, 0.23332765698432922, 0.09479077160358429, 0.2952313721179962, 0.08066337555646896, 0.10909688472747803, 0.35563358664512634, 0.031741220504045486, 0.3247953951358795, -0.6742398738861084, 1.0775601863861084, 2.350924253463745, 1.3228191137313843, 0.1418750286102295, 0.5990970730781555, 0.2740940451622009, 0.41621920466423035, 0.29905539751052856, -0.2593694031238556, -1.050797700881958, -1.5712348222732544, -0.09781812876462936, 0.26936763525009155, -0.5552157759666443, 0.0557541586458683, 1.727369785308838, 0.3980688154697418, 0.3979063034057617, -0.7253889441490173, -1.156689167022705, 0.9684624671936035, 0.4187532663345337, 0.597909152507782, 0.7128720879554749, 1.6638226509094238, 0.4084080457687378, 1.5403344631195068, -0.5614131689071655, 0.5126230716705322, -0.5705738663673401, 0.4658120572566986, 0.2831701338291168, -0.6156296730041504, -0.383943647146225, -1.5138771533966064, 0.35737910866737366, -0.8699432015419006, -0.2586597204208374, 0.37121251225471497, -0.3845618665218353, -0.32990768551826477, -0.18143050372600555, -0.3691957890987396, -0.12817007303237915, 0.33865514397621155, -0.04706859216094017, -0.7557560801506042, 1.430151343345642, -1.1692821979522705, 0.04904010519385338, 0.3132884204387665, -0.31324467062950134, -1.028164029121399, 0.5911335349082947, 0.49529242515563965, -0.4835028350353241, 0.9155099987983704, -0.9401196241378784, -0.9119722247123718, -0.6357101798057556, 0.33923402428627014, 0.39879491925239563, -0.1643608808517456, -1.6558481454849243, 0.2367449253797531, -0.3117270767688751, -0.7183347344398499, 0.4492037892341614, 0.18689729273319244, -1.0818675756454468, 0.40771111845970154, -0.16614478826522827, -0.8461612462997437, 0.13874821364879608, -0.36811068654060364, 0.10874190181493759, -0.18027181923389435, 0.022192539647221565, 0.5850650072097778, 0.2549329400062561, -1.536653757095337, -0.2005932778120041, -0.6853461265563965, 0.09955260902643204, -0.47358542680740356, -0.12751591205596924, -0.5463794469833374, 0.028718918561935425, 0.8311383724212646, 0.33908864855766296, -0.19368383288383484, -0.4372131824493408, -0.8175153136253357, -1.6605987548828125, -0.1600639373064041, 0.8646898865699768, 1.192909598350525, -0.7303898334503174, -0.27451425790786743, 1.1847056150436401, 0.46138155460357666, -0.7531850934028625, -0.09226132929325104, 0.9095474481582642, 0.3727693557739258, -1.1831289529800415, -0.0026405754033476114, 1.0382949113845825, 0.5513793230056763, 0.27968505024909973, -0.6498830318450928, 0.6846762895584106, 1.8380258083343506, -1.1247278451919556, -1.0257503986358643, 0.14288261532783508, 0.29423797130584717, -0.5670490264892578, 0.43404021859169006, 0.3904350996017456, -0.06021818146109581, 1.1644070148468018, -0.07582013309001923, 0.9373334646224976, 0.548698365688324, -0.2694564163684845, 0.6715226769447327, -0.0037239750381559134, 0.2662309408187866, 0.1919213980436325, 0.04356122761964798, 0.4085625410079956, -1.008907437324524, -0.4946596622467041, 0.8020475506782532, 0.9208367466926575, -0.9752184152603149, 0.29197773337364197, 0.8619813919067383, 0.8848941922187805, 0.4028303325176239, -0.29924219846725464, 0.26309624314308167, 0.20957785844802856, 0.6529794931411743, -0.2584022581577301, 0.2572963535785675, 0.1024727001786232, -0.17731201648712158, 0.2762918472290039, 0.7654326558113098, -0.987999439239502, -0.4345387816429138, -1.4988269805908203, -1.073129653930664, 0.6790647506713867, 1.127190113067627, 0.5702946782112122, 0.3263395428657532, 0.06307053565979004, 0.05953940749168396, -0.48087072372436523, -0.24944503605365753, -1.5192720890045166, 0.12711776793003082, -0.0846128761768341, -0.4970225393772125, 0.7453697323799133, -0.2970624268054962, 0.6302804350852966, -0.819869875907898, -1.2625147104263306, 0.03957719728350639, -0.5461364984512329, -1.1702451705932617, 0.7110113501548767, 0.4621601700782776, -0.785449206829071, 0.6752472519874573, 0.7465746998786926, 2.003967761993408, 0.43551167845726013, 0.5203062295913696, 0.8190057873725891, 0.2633064091205597, -0.11959953606128693, 0.026335302740335464, 0.010420308448374271, 0.2703041434288025, 0.8960610032081604, 0.8196487426757812, 0.5127349495887756, -0.9034918546676636, 0.07314331084489822, 0.38674166798591614, 1.3599543571472168, 0.2146064043045044, -0.07277652621269226, -0.021735120564699173, 0.2030508816242218, -0.8904853463172913, 0.5929313898086548, 1.1702109575271606, -0.42978838086128235, 1.7041101455688477, 0.7266969084739685, 0.8221210837364197, -0.5018754005432129, 1.024355411529541, 1.4771342277526855, -0.46338599920272827, -0.13178972899913788, -0.7640599012374878, -1.0529403686523438, -0.4983493387699127, -0.5015634894371033, 0.5083415508270264, 1.050502061843872, 0.34573376178741455, -0.12846019864082336, 0.8189834356307983, -0.12246488034725189, -0.8683007955551147, -0.2817971408367157, -0.9381273984909058, -0.32524022459983826, 0.026999128982424736, 0.42499831318855286, 0.38357916474342346, 0.27974405884742737, -0.8915020227432251, -0.18184004724025726, -1.001320719718933, -2.1538729667663574, 0.49838048219680786, 0.10671121627092361, 1.5243964195251465, -0.39305201172828674, -0.05619554594159126, -1.0945287942886353, -1.6766096353530884, 0.6037024259567261, -0.4638674855232239, 1.557549238204956, -0.9451214671134949, 0.7851853966712952, -0.2780429422855377, -0.6527049541473389, -1.3396509885787964, 0.9398047924041748, 0.005739180371165276, -0.12421528995037079, -0.3239295482635498, -0.03714072331786156, -0.31677865982055664, 0.11259867995977402, 0.12669521570205688, 0.9953553080558777, -0.8560881018638611, -0.31801077723503113, 0.10192816704511642, 1.1234703063964844, -0.862411379814148, -0.05210781469941139, 0.16325721144676208, -0.9428313374519348, -0.9330139756202698, 0.5553939938545227, -0.16580291092395782, -0.14266330003738403, 0.47359585762023926, -0.30036354064941406, 0.10668939352035522, -0.1315346211194992, -1.0019726753234863, 1.2973288297653198, -0.2930316627025604, 0.2057037204504013, 0.3680438697338104, 0.8058342933654785, -0.7927400469779968, 0.29245421290397644, -1.1590825319290161, 0.29881054162979126, -0.8980266451835632, 0.9582182168960571, -0.8266406059265137, 0.13365447521209717, -1.0457608699798584, 0.7583532929420471, -0.020106017589569092, 0.5458182096481323, -0.3770127296447754, -0.4491429328918457, -0.12379654496908188, 0.735527753829956, -1.1835747957229614, 0.4105338454246521, -0.30782079696655273, 0.17822673916816711, -0.7006788849830627, -0.4180794656276703, 0.20105725526809692, -1.8467050790786743, 0.4616837501525879, 0.20252704620361328, 0.0681174024939537, 1.778710126876831, -1.1265877485275269, -0.41067302227020264, 0.5666349530220032, -0.8391221165657043, 1.052306056022644, 0.3502146899700165, -0.35052788257598877, -0.4562552273273468, -0.7736588716506958, 0.15630942583084106, 0.5123776793479919, -0.04687606543302536, -0.11595048755407333, -0.18235793709754944, 0.6948673725128174, -0.2469911277294159, -0.4423518180847168, -0.840365469455719, -1.5238451957702637, -0.6002621054649353, 0.4944501221179962, 0.4669516980648041, -0.31671789288520813, -0.19512593746185303, 0.7458399534225464, -0.4879436492919922, 0.19515296816825867, 1.2084779739379883, 0.024893078953027725, 0.8863189816474915, 0.3871701657772064, 0.6575601100921631, 1.1159871816635132, 1.5088067054748535, 0.1385861039161682, -0.1721615046262741, -0.006228922866284847, 0.6388088464736938, -0.3206268548965454, -1.2680851221084595, -0.7168557643890381, 0.2207476794719696, -1.3478546142578125, -0.5903612375259399, 1.4049243927001953, -0.3994423449039459, 0.5332251191139221, -0.18206417560577393, -0.36106476187705994, -0.6376976370811462, 0.010081030428409576, -0.2901045083999634, -0.7791699171066284, 0.9921092987060547, -0.5464380383491516, 0.018520712852478027, -0.11471150815486908, -0.6674397587776184, -0.9352256655693054, -0.04772062599658966, 0.017416276037693024, 0.31585168838500977, 2.5486061573028564, 0.4549125134944916, 0.8573715686798096, 0.6329283714294434, 0.6822984218597412, 0.15780514478683472, -0.4973852336406708, 0.7318115234375, 1.192603588104248, 0.49632102251052856, -0.49063581228256226, 0.14927227795124054, -0.8693974018096924, 0.34549444913864136, 1.512932538986206, -0.6605086922645569, 0.5250158905982971, 0.15046219527721405, -0.03937316685914993, -0.6384756565093994, -0.20056556165218353, -0.6211427450180054, 0.47922483086586, -0.3983467221260071, 2.2530109882354736, -1.254163384437561, -1.5971559286117554, 1.3258657455444336, 1.9885482788085938, 0.11432187259197235, -0.3189355134963989, 0.2665899097919464, -0.2768217623233795, -0.1475873589515686, -0.6760024428367615, -0.5378819108009338, 1.3801758289337158, -1.0357064008712769, 0.8708856701850891, -0.34293898940086365, -0.4493718445301056, 0.4520075023174286, 0.48077699542045593, 0.25571686029434204, -1.3226346969604492, -1.0883790254592896, -1.626580834388733, 0.474567174911499, 0.32837316393852234, -0.41026386618614197, -0.05174676701426506, 0.030554980039596558, 0.5991090536117554, -0.40575191378593445, 0.7781153917312622, -0.7233129739761353, -1.6640650033950806, -0.3069112300872803, -0.22675934433937073, -1.052191972732544, 1.539997935295105, 0.31325116753578186, -0.2926635146141052, 0.934921145439148, -0.48194292187690735, 1.271289587020874, 0.31561729311943054, 0.951310932636261, 0.5283026695251465, 1.2974472045898438, -0.8158513307571411, 0.26131919026374817, -0.5138227343559265, -0.1776297241449356, 4.203269958496094, 1.173679232597351, 0.048046261072158813, -1.8463267087936401, 0.18898530304431915, -0.6362085938453674, 0.4872174561023712, -0.295327365398407, 0.31969207525253296, 0.3816927671432495, 0.40305182337760925, 0.5263485908508301, 0.4016365706920624, -0.3496256172657013, -0.047832638025283813, 0.9387416839599609, -0.4425671100616455, -0.7394485473632812, 0.5217579007148743, 0.458670437335968, -0.08188699185848236, -0.23739813268184662, -0.8026933073997498, -1.0829565525054932, 0.9640624523162842, -0.40040624141693115, 0.346499502658844, -0.8372393250465393, 1.004516839981079, 0.06313855946063995, -0.5504699945449829, 0.7847063541412354, 0.7096866369247437, 0.04105158522725105, 0.6000405550003052, 0.08994247019290924, -0.6708440780639648, -0.3817685842514038, -0.5716079473495483, 0.7769309878349304, 0.31348296999931335, -0.3363078534603119, 0.1448458880186081, -0.32730230689048767, -0.5374007821083069, -0.3825967013835907, -0.9247479438781738, -0.8313584327697754, 0.0726514533162117, -0.2702261805534363, 0.26692402362823486, 0.1019691675901413, -0.41105544567108154, -0.9353610277175903, -0.8430099487304688, 1.0693172216415405, -0.059594981372356415, -0.629946231842041, -0.6912555694580078, -0.2265464812517166, 0.2990914583206177, -0.3101063072681427, 0.6842833161354065, 0.8052546381950378, 0.4765080511569977, 0.7600176334381104, -0.42393600940704346, 2.107372760772705, 0.3074182868003845, -0.526168942451477, -0.6658456325531006, 0.01577375829219818, -0.07407379150390625, -0.33332958817481995, -0.501112163066864, 0.9460302591323853, -0.5274552702903748, 0.3273281157016754, -1.1422673463821411, -0.3572029769420624, -0.8194395303726196, 0.7193228602409363, 0.11690840125083923, -0.19841334223747253, 0.02724159136414528, -0.35293591022491455, 0.07254081219434738, 0.27109700441360474, -0.024948041886091232, 0.05244020000100136, 0.2247994840145111, 1.8167425394058228, 1.0937623977661133, -1.2544680833816528, 0.1204005777835846, 0.47288036346435547, -0.5916088223457336, -0.23561307787895203, -0.5673707723617554, 1.5427863597869873, 0.3588917851448059, -0.7422500252723694, -0.21740412712097168, 1.0132111310958862, -0.6398942470550537, 0.16607803106307983, 0.6617602705955505, -1.4379158020019531, -0.2533673942089081, -0.07024364918470383, 1.110002040863037, -0.5492152571678162, -0.6994904279708862, -0.4420137107372284, -0.01183390710502863, 0.022784071043133736, -0.8191145062446594, -0.8068007826805115, 1.0702083110809326, -0.5731850862503052, 0.5023729205131531, -0.1264825165271759, 0.21294358372688293, 0.1338639110326767, 0.21349771320819855, -0.0871918573975563, -0.8259702920913696, 0.2137078046798706, -0.5117190480232239, -0.3566565215587616, 0.13699597120285034, 0.05219409987330437, 0.0903988927602768, 0.4227105677127838, -1.062752366065979, -0.19689379632472992, 0.12630902230739594, 0.7036613821983337, -1.2217464447021484, 0.06610289961099625, 0.13673777878284454, -0.6983579397201538, -0.9367693066596985, 2.0377602577209473, -0.6383233666419983, -0.005024536978453398, 0.8240302801132202, -0.33475109934806824, 0.8678178191184998, 0.6548212766647339, -0.6846321225166321, -0.15750789642333984, 0.5983527302742004, 0.6969718337059021, 0.5066581964492798, 0.07534865289926529, -0.12791487574577332, -0.05706945061683655, 0.12199559807777405, 0.32567325234413147, 0.47262388467788696, 0.8883501887321472, 0.3433748483657837, -0.16303282976150513, 0.19191308319568634, 0.6880667209625244, -0.3216882348060608, 0.5080897212028503, -0.979634165763855, 0.4088391065597534, 0.44584015011787415, -0.28346797823905945, 0.7341809272766113, 0.6912053823471069, -1.2478914260864258, -0.41394945979118347, -0.4230348765850067, 0.019400496035814285, 1.090920329093933, -0.7133971452713013, 0.5568420886993408, 1.3945300579071045, -1.497243046760559, -0.005751840304583311, -0.763708233833313, -0.3575582504272461, -1.3693342208862305, 0.5363042950630188, 0.11639014631509781, 0.39487043023109436, 0.06493669748306274, 0.09044146537780762, 0.6948506832122803, -0.4026637077331543, -0.9402483105659485, -0.790642499923706, 0.08938640356063843, 0.7675637006759644, -0.6227529644966125, -0.47743529081344604, 0.7249531149864197, -1.3934139013290405, -1.1111388206481934, -0.30948883295059204, -1.2760283946990967, -0.1708289533853531, -0.038417547941207886, 0.799264132976532, 0.19505897164344788, 0.7886559963226318, -0.13377003371715546, -0.055261265486478806, 0.08489857614040375, -0.7217105031013489, 0.6478501558303833, 0.32642579078674316, -0.5970856547355652, -0.02300604246556759, 0.8171851634979248, 0.6267167925834656, -0.7215681672096252, 0.9495627284049988, -1.06503164768219, 0.005449939053505659, -0.02408003993332386, 0.03283459693193436, 0.3503289818763733, -1.3065487146377563, -0.42794638872146606, -0.9867767095565796, 0.4556330442428589, 0.3695026636123657, 0.060899846255779266, 0.1502305418252945, -0.13503657281398773, -0.6378391981124878, -0.10494863986968994, 0.08163804560899734, 0.27407920360565186, -1.2085061073303223, -0.6360636353492737, -0.3827137053012848, -0.6553693413734436, 1.3847116231918335, 0.34592297673225403, -0.29916173219680786, -0.33093923330307007, 1.102135181427002, -0.05587712675333023, -0.511624813079834, -0.5858976244926453, -0.5240717530250549, 0.8453938961029053, -0.24700479209423065, 1.2329261302947998, 1.473711371421814, 0.772639811038971, -0.5416435599327087, -1.0500448942184448, 0.48718395829200745, 1.3969645500183105, 0.9247908592224121, -0.01806022599339485, -0.19699227809906006, -1.3360344171524048, 0.06673318892717361, -0.19935943186283112, 0.772459089756012, -0.08891071379184723, -1.1695698499679565, -0.08576276153326035, 0.028203029185533524, -0.35679394006729126, 0.3978614807128906, 0.81381756067276, 0.9810341596603394, 0.1908576935529709, 0.27250775694847107, -0.8146499395370483, 0.0032566210720688105, -0.33392247557640076, -0.21787817776203156, -0.6255860328674316, 1.6021803617477417, 0.045427314937114716, -0.2755547761917114, 0.44408679008483887, -0.2185615748167038, -1.48018217086792, 1.4393017292022705, 0.4554889500141144, 0.2826782763004303, -1.1237796545028687, -0.7480083703994751, 1.3291774988174438, -1.3994793891906738, -1.002267837524414, 0.39674827456474304, 0.1624259054660797, 0.2300349771976471, 0.4138592481613159, 0.07003848999738693, 0.5538279414176941, 0.472423255443573, 0.6505041718482971, -0.6874656677246094, -0.503897488117218, 1.1659895181655884, 0.9164273142814636, 0.6461524367332458, -0.12033361941576004, 0.2519024610519409, 0.9374037981033325, -0.8637027144432068, 1.1081477403640747, -0.2142983227968216, 0.36859989166259766, 0.04569864645600319, 0.27658653259277344, -0.42141178250312805, -0.5426936745643616, -1.5620930194854736, -0.2333887666463852, -0.2593119740486145, 1.2913432121276855, -0.6418343186378479, 0.07619171589612961, -1.603603720664978, 0.43995973467826843, -0.6879334449768066, -0.23379090428352356, -0.21347787976264954, 0.7761977314949036, -0.12169133871793747, -0.4417673647403717, -1.0527276992797852, 0.15650448203086853, 1.763266921043396, -1.2015153169631958, 0.3020145893096924, 0.22062619030475616, 0.711383044719696, 0.12556438148021698, -0.5714149475097656, -1.5508354902267456, -0.49250224232673645, 0.9565706849098206, 0.35652396082878113, -0.3910316526889801, -1.1806482076644897, -1.2269210815429688, 0.29608017206192017, 1.2043205499649048, 0.2620561718940735, -0.3014155328273773, -1.2906193733215332, 0.439487487077713, 0.7147005796432495, 0.7831199169158936 ] ]
{ "indices": [ 1432087569, 820773949, 4146668087, 3774983271, 1041011349, 1911071232, 3471378517, 3905155331, 2072964797, 489905694, 1296157733, 691409538, 3084820283, 1063320047, 806976768, 818459139, 1590456296, 3076736765, 1404934148, 989116115, 448220673, 500517981, 2296602335, 3476027533, 1960040400, 4186256544, 3457618965, 2839486718, 4015327650, 3377905009, 1236542976, 262840313, 4219882198, 2035475614, 3819098157 ], "values": [ 0.6249544369532175, 0.6249544369532175, 0.45449725721703593, 0.6249544369532175, 0.7142460396515701, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593 ] }
{ "context": "We've got to take it through the PC mux and then put it back into PC and do both the blue arrow and the green arrow in the same cycle. So it's worth when you're trying to figure out how to set things up, just looking at the data path and maybe sketching out, well, how are the bits going to move from place to place? Because anytime you go through a mux, you've got to make sure the mux is configured properly, for example. Okay." }
955253
[ [ 0.34111031889915466, -0.6029096841812134, 0.7438090443611145, 0.15440289676189423, 0.9283183217048645, -0.29260995984077454, -0.5946444272994995, 0.31702855229377747, 0.6741747856140137, -0.4071127772331238, 0.930386483669281, 0.4662150740623474, 0.2739420533180237, 0.4416410028934479, 0.2390168309211731, -0.07536710053682327, 0.6026929020881653, -0.4622269868850708, -0.34266331791877747, -0.5746922492980957, 0.5041842460632324, 0.3343645930290222, 0.14076320827007294, -0.2082825005054474, -0.7780288457870483, 0.7062817215919495, 0.09808090329170227, -0.6565205454826355, -0.02416730485856533, 0.7725067734718323, -0.23328366875648499, -1.7451750040054321, 0.9241385459899902, -0.5633676052093506, 2.144223690032959, 0.4180010259151459, -0.09102372825145721, -0.5405283570289612, 0.3917452096939087, 0.5959911346435547, -0.8036155104637146, 0.6374130845069885, -0.2336089015007019, 0.7022522687911987, -0.9979875087738037, 0.17291595041751862, 0.5617439150810242, -0.1547834426164627, 1.0031541585922241, -0.9701259732246399, 1.1585571765899658, -0.42532262206077576, 1.2687283754348755, 0.21312913298606873, -0.16048918664455414, 0.4255988895893097, 1.0753611326217651, 1.8519164323806763, 0.31114059686660767, -1.4260109663009644, -0.17195752263069153, -0.9445609450340271, -0.2716803252696991, -0.48465868830680847, -0.1125839427113533, 0.06903257220983505, -0.7481691241264343, -1.237680435180664, 1.0283135175704956, -0.4328193962574005, -0.670446515083313, 0.4600011110305786, 0.8538852334022522, 0.050193700939416885, 0.9713906049728394, -0.6138841509819031, 0.14067454636096954, 0.10570286959409714, 0.7038783431053162, 1.0299135446548462, -0.6861494779586792, -0.01211563777178526, -0.3824094533920288, 0.07549314945936203, 0.4832417964935303, -0.7158107757568359, 1.3992910385131836, -0.5735461711883545, 0.6212624907493591, 1.1212021112442017, 0.026059651747345924, -0.38082918524742126, 1.560227394104004, 0.06863740086555481, -0.6601227521896362, -0.12441640347242355, 0.9132513403892517, 1.2802151441574097, 0.08204183727502823, -0.9631246328353882, 0.6555203199386597, -0.8563575744628906, -0.14569295942783356, 1.7924797534942627, 0.3097256124019623, 0.4607403874397278, -0.16837674379348755, -0.37999895215034485, -1.2254735231399536, 0.3367510437965393, -0.7796714901924133, 0.8332615494728088, -0.7248853445053101, 0.9510973691940308, 0.15301918983459473, -0.7384783029556274, -0.4295163154602051, -0.7567151188850403, 1.1099770069122314, 1.7336459159851074, 0.9881066679954529, 0.40792351961135864, 1.0199942588806152, -0.36554741859436035, -1.9126049280166626, 0.9102597236633301, 0.317047119140625, -0.060418225824832916, -0.8507703542709351, 0.7211427688598633, 0.27362722158432007, 0.40231847763061523, 0.1362481415271759, -0.4749094545841217, 0.4913199245929718, 0.691466748714447, -1.8973428010940552, -1.1870115995407104, 1.0777907371520996, 0.02061482146382332, -0.20001967251300812, -2.1298110485076904, 0.17677392065525055, 0.9849066734313965, 1.3159247636795044, 0.761033833026886, 0.6164590716362, 0.7170178890228271, -0.3113822340965271, 0.07939055562019348, -0.4961833357810974, 0.3723059594631195, -1.3038500547409058, -0.13264693319797516, -0.9665906429290771, -1.270446538925171, 0.3195679783821106, -0.39821475744247437, -0.5164856314659119, 0.3399353623390198, 0.21409176290035248, -0.39630645513534546, 0.2366655170917511, -0.37314584851264954, -0.7234252691268921, -0.7112999558448792, 1.328718900680542, -0.2670484781265259, 0.9594337940216064, 1.0437445640563965, -0.040311697870492935, 0.3656555116176605, 0.4620383083820343, 0.3710207939147949, 1.5247552394866943, -0.5595366358757019, 0.5306128859519958, -0.24686400592327118, -0.03356139361858368, 0.4302067458629608, 0.07843691855669022, -0.3430196940898895, 0.15427453815937042, 1.3418465852737427, 0.24023528397083282, 0.3139110505580902, -0.8141992688179016, -0.9052078723907471, 0.7255550622940063, -1.183671236038208, -0.868015468120575, -1.0767199993133545, 0.5876051187515259, -0.36419877409935, -0.33929499983787537, -0.7712395787239075, -0.7686549425125122, -0.11234574019908905, 0.6968955397605896, -0.5515997409820557, -0.4158386290073395, 0.4772741496562958, 1.0706707239151, -0.6780527234077454, -0.15523236989974976, 0.8921219110488892, 1.0361124277114868, -0.29126766324043274, 0.13181070983409882, -0.7560364007949829, -0.7178940176963806, 0.7742401957511902, -0.36623111367225647, 0.33371999859809875, 0.5587850213050842, -0.32208091020584106, 0.25495922565460205, 0.6771106719970703, -0.2718374729156494, -0.4247418940067291, -1.2049601078033447, 0.9245511889457703, 1.9736677408218384, 1.3087420463562012, 0.30851224064826965, 0.2717520594596863, -0.30145809054374695, -0.635979413986206, 0.21242816746234894, -0.7433223724365234, -0.48053932189941406, -0.9425281882286072, 0.4987435042858124, -0.03356830030679703, 0.21894678473472595, 0.24222037196159363, 1.3654658794403076, 0.8139321208000183, 1.1598870754241943, 0.5123775005340576, -1.3510737419128418, 0.7472763657569885, -0.026211872696876526, -0.07266322523355484, 0.2635718286037445, 1.3522162437438965, 0.028856666758656502, 1.22878098487854, -0.04478611424565315, 0.1268797367811203, -0.85173100233078, 0.603405237197876, 0.481537401676178, -1.1170694828033447, 0.9847109913825989, -1.359911322593689, -0.07859094440937042, -1.1125104427337646, -0.6486139297485352, 1.0369930267333984, -0.251254677772522, -0.18525883555412292, -0.6027756929397583, -0.34992268681526184, 0.20623183250427246, 0.26038873195648193, 0.11898435652256012, -0.5452976226806641, 1.2756547927856445, -0.19710783660411835, 0.7418871521949768, 0.6825019121170044, -0.15127278864383698, -0.33361926674842834, -0.09432363510131836, 1.0520391464233398, -0.31818723678588867, 1.2034986019134521, -0.8105563521385193, -0.13155347108840942, 0.2984241545200348, 0.22194142639636993, 0.16553673148155212, -0.2964508533477783, -2.0098304748535156, 0.6167992353439331, -0.6281384825706482, -0.6518744826316833, 0.662089467048645, 0.6972666382789612, -1.0492775440216064, 0.29323610663414, -0.061223890632390976, -0.7835540771484375, 0.39057230949401855, 0.2695270776748657, 0.07497312128543854, 0.4075692594051361, 0.6738222241401672, 0.8167624473571777, 0.24760374426841736, -1.3562703132629395, 0.281200647354126, -1.2988845109939575, -0.7640507221221924, 0.03400752320885658, -1.1680423021316528, -0.6623488068580627, -0.49468153715133667, -0.057336609810590744, -0.27730676531791687, -0.4149537980556488, -0.20872393250465393, -1.0433489084243774, -1.5161298513412476, -0.10215912014245987, 0.13418425619602203, 0.849412202835083, -0.105994313955307, -0.5785660743713379, 1.0322970151901245, 1.1263114213943481, -0.5589085817337036, -0.6231763958930969, 0.33267223834991455, -0.4140670895576477, -0.6591953039169312, -0.31191760301589966, 0.45731252431869507, 0.4471588134765625, 0.11412499099969864, -0.5908358693122864, 1.0209367275238037, 1.348268985748291, -0.11094915866851807, -1.2770830392837524, 0.332459032535553, 0.0761864110827446, -0.8324935436248779, 0.14832474291324615, 0.41584697365760803, 0.6903691291809082, 0.9708989858627319, 0.3967209458351135, 1.2151309251785278, 0.6661058068275452, -0.7938172221183777, 0.24773840606212616, 0.19225388765335083, 0.37611693143844604, -0.21143734455108643, 0.2109990417957306, -0.5262758135795593, -0.5854852795600891, -1.186835765838623, 0.5374550223350525, 0.7564162015914917, -0.713738203048706, 0.22054539620876312, 1.1605111360549927, 0.9960669279098511, -0.015439079143106937, -0.2662176787853241, -0.42741331458091736, 0.25955188274383545, 0.37715429067611694, -0.6452119946479797, -0.05546136945486069, 0.7606729865074158, 0.29643714427948, 0.7565985321998596, 0.39157748222351074, 0.009582407772541046, -0.6969649195671082, -1.7172486782073975, -0.6985418796539307, -0.09722829610109329, 1.0122196674346924, 0.43388843536376953, 1.0818511247634888, -0.11036238074302673, 0.26084697246551514, -0.029172630980610847, 0.1979960799217224, -1.8798638582229614, 0.22440138459205627, 0.2057628035545349, 0.2319517284631729, -0.22786541283130646, -0.1640699952840805, 0.01048089936375618, -0.07873758673667908, -0.6650137901306152, -0.08911199122667313, -0.15667174756526947, -1.0506023168563843, 0.35588645935058594, -0.160973459482193, 0.07693760097026825, -0.11497175693511963, 0.5259780883789062, 2.4676156044006348, 1.0919476747512817, 0.4104149043560028, -0.16170980036258698, 0.09846138954162598, -0.8027888536453247, -0.3816700577735901, 0.6532595157623291, 0.399079293012619, 0.8241101503372192, 0.8650559186935425, 0.6105973124504089, -0.77501380443573, -0.012045633047819138, 0.4613474905490875, 0.8996449708938599, 0.6436432600021362, 0.4258883595466614, -0.26628777384757996, -0.376898854970932, -0.1031278520822525, -0.07584443688392639, 0.8827095627784729, -0.7996858358383179, 1.468727707862854, 0.6284252405166626, -0.11027298122644424, 0.37444499135017395, 1.2890750169754028, 0.7640504240989685, 0.11497842520475388, -0.42379993200302124, -1.0454301834106445, -0.7402799129486084, -0.2995523512363434, -0.043976664543151855, -0.18868567049503326, 0.8640521168708801, 0.35802650451660156, -0.5013030171394348, 0.06460016220808029, -0.08547767251729965, -1.3358910083770752, 0.5972739458084106, -0.13892409205436707, -0.20091621577739716, -0.4287068843841553, 0.8053649067878723, 0.6142528653144836, 0.6609649062156677, -0.37006810307502747, 0.142980694770813, -0.7331027388572693, -2.6266651153564453, -0.4242511987686157, 0.04909328743815422, 0.5609245300292969, -0.7194369435310364, 0.20257577300071716, -0.5796717405319214, -0.6912359595298767, 0.7236307859420776, -0.9287019968032837, 0.7160298824310303, -0.6907030940055847, 0.14833229780197144, -0.213242307305336, -1.657842993736267, -1.2283494472503662, 1.2622442245483398, -0.31311848759651184, -0.16508221626281738, -0.06536614149808884, -0.04890389367938042, -0.32289358973503113, 0.659343957901001, 0.48751509189605713, 0.2992708384990692, -0.30955225229263306, -0.5639475584030151, 0.07060904055833817, 0.6157079339027405, -1.4170546531677246, -0.7366229891777039, 0.5947726368904114, -0.9138519167900085, -0.735432505607605, 0.4900120198726654, -0.711284875869751, -0.36160963773727417, 0.7631544470787048, -0.030567055568099022, -0.658905565738678, -0.89232337474823, -1.1801060438156128, 0.867648184299469, -0.5272150635719299, 1.0659726858139038, 0.7283510565757751, 0.4565349221229553, -0.6219735741615295, -0.2273394912481308, -0.0703926607966423, 0.3535488545894623, -0.4743594527244568, 0.5860331058502197, -0.5976711511611938, -0.8574444055557251, -0.8794923424720764, 0.83786541223526, -0.3925471603870392, -0.08845382928848267, -0.36619833111763, -0.40863725543022156, -0.20063428580760956, 1.3616852760314941, -1.147111415863037, -0.06818876415491104, -0.08692707866430283, 0.45790547132492065, -1.0551657676696777, 0.25325578451156616, 0.3735009729862213, -1.0005484819412231, 0.28480207920074463, 0.6706826090812683, 0.45910823345184326, 0.8940404653549194, -0.28835463523864746, 0.23955723643302917, 0.3321833908557892, -1.4585567712783813, 0.9471953511238098, 0.4102301597595215, 0.7138740420341492, 0.17043960094451904, -1.1686429977416992, -0.19143177568912506, 0.44349855184555054, -0.05655709654092789, 0.3581281304359436, -0.22921302914619446, 0.8541287183761597, 0.7562472820281982, -0.44178175926208496, -0.861748456954956, -1.0145721435546875, -0.7034884095191956, 0.5477915406227112, 0.11121673136949539, -0.8622363805770874, 0.5754698514938354, 0.13133716583251953, -0.4953828752040863, 0.24163305759429932, 1.5732336044311523, 0.21814662218093872, 0.3440987467765808, 0.3459824025630951, -0.14609776437282562, 1.2034685611724854, 0.257747620344162, 0.5827478766441345, 0.1635391116142273, -0.15727803111076355, -0.8780755400657654, -0.9433512091636658, -0.9022390842437744, -0.44263148307800293, -0.14599084854125977, -0.8780435919761658, -0.428739458322525, 1.235469102859497, 0.12962014973163605, 0.961244523525238, 0.19363358616828918, -0.7638605833053589, -0.22905129194259644, -0.5876501798629761, -0.6380902528762817, -0.28885215520858765, 0.16714711487293243, -0.02054062858223915, 0.29084494709968567, 1.2439765930175781, -0.7012898921966553, -0.22133933007717133, 0.006353250239044428, 0.19475813210010529, -0.04483307525515556, 1.8930646181106567, 0.6470050811767578, 0.6481156945228577, 1.402945637702942, 0.6944913864135742, 1.0771710872650146, -0.6095649600028992, 0.017281586304306984, 0.5929207801818848, 0.4355125427246094, -0.19859763979911804, -0.36181485652923584, -0.9523839354515076, 0.6333617568016052, 0.9213128089904785, -1.0487886667251587, 0.4175167381763458, -0.22791588306427002, 0.5715217590332031, -0.29854586720466614, 1.1339138746261597, -0.6135482788085938, 0.6694132685661316, -0.46923568844795227, 1.7108185291290283, -1.110289454460144, 0.01742570661008358, 1.5451879501342773, 0.8352872729301453, 0.7350925207138062, 0.47097036242485046, 0.5398436784744263, -0.3699561357498169, -0.43036481738090515, -1.2577441930770874, -0.4459281861782074, 1.1879246234893799, -1.938031554222107, 0.6847765445709229, -0.08323339372873306, -0.5717962384223938, 0.3943065106868744, 0.519788384437561, 0.243163600564003, -1.3907548189163208, -0.8740764856338501, -1.1604403257369995, 0.4841310977935791, 0.9007113575935364, -0.6284281015396118, 0.03264150023460388, -0.18724790215492249, 0.7155714631080627, -0.12277618795633316, 1.0614025592803955, -0.4436596632003784, -1.757082223892212, -0.11746077239513397, -0.6550827622413635, -1.2387851476669312, 1.4479044675827026, 0.07726792991161346, -0.9545631408691406, 1.0865912437438965, -0.37032705545425415, 0.7629632353782654, 0.5308016538619995, 0.30943867564201355, -0.19983938336372375, 1.3922780752182007, -0.2459050863981247, -0.24445393681526184, -0.17293038964271545, -0.5388029217720032, 4.331939220428467, 1.3394403457641602, -0.057817451655864716, -2.1169705390930176, 0.10241256654262543, -1.2277238368988037, 0.12470296770334244, -0.30382728576660156, 0.18014341592788696, 0.1339542418718338, 0.4407435655593872, 1.1253818273544312, 0.4125514030456543, -0.5854823589324951, 0.722351610660553, 0.9268292784690857, -0.4709600806236267, -0.6493412256240845, 1.2323973178863525, -0.162655770778656, 0.03824130818247795, -0.39118891954421997, -0.8947780132293701, -1.1828365325927734, 1.2997000217437744, 0.3809015154838562, 1.0425699949264526, -0.6480917930603027, 1.0361136198043823, 0.08241737633943558, -0.2852315902709961, 0.856939971446991, 0.5000746846199036, 1.5804518461227417, 0.357845276594162, 0.2876310348510742, -0.18621784448623657, -0.7967755794525146, -0.5822359323501587, 0.1371246874332428, 0.6673162579536438, -0.0901937484741211, -0.6587169766426086, -0.6009582281112671, -1.2395775318145752, -0.02468210831284523, -0.4238887429237366, -0.8095311522483826, 0.7725253701210022, 0.07690425962209702, 0.08544821292161942, 0.54842209815979, -0.6771025657653809, -1.0438299179077148, -0.6402153372764587, 0.8597713112831116, 0.25094708800315857, -0.1727834790945053, 0.1798878163099289, -0.785968005657196, 0.27876871824264526, -0.2745014429092407, 0.4528172016143799, 0.1397128850221634, 0.679625928401947, 0.12193354964256287, 0.49340248107910156, 2.314769744873047, -0.39013180136680603, -0.8962538242340088, -1.2454911470413208, 0.4666137993335724, -0.24139882624149323, -0.6171407699584961, -0.3105839490890503, 1.4178482294082642, -0.37964773178100586, 0.1524658203125, -0.62220299243927, -0.41258445382118225, -1.304457187652588, -0.07045147567987442, -0.4062361717224121, 0.20843572914600372, -0.6215734481811523, -0.7712809443473816, -0.6498822569847107, 0.37515535950660706, -0.17743580043315887, 0.14199860394001007, 0.14215871691703796, 1.5723395347595215, 0.5936687588691711, -0.9247801303863525, -0.2782851457595825, 0.36357536911964417, -2.016707181930542, 0.0065442342311143875, -1.440216064453125, 1.2761763334274292, 0.5089494585990906, -0.4010586440563202, 0.23189318180084229, 0.8146554231643677, -0.9824938774108887, -0.013646834529936314, 0.835643470287323, -0.9588497281074524, -0.23752112686634064, -0.15418431162834167, 0.5844466090202332, -1.488124132156372, -0.746166467666626, -0.484235554933548, -0.4909563660621643, 0.025613320991396904, 0.08354829996824265, -0.40491095185279846, 0.11925876140594482, -0.3221396803855896, 0.4157516062259674, 0.5591239929199219, 0.26005008816719055, -0.8541972041130066, -0.7751951813697815, 0.2771940529346466, -1.1847018003463745, -0.577153205871582, 0.3334650695323944, -0.7835123538970947, 0.41290608048439026, 0.2754512429237366, -0.2142110913991928, 0.5018896460533142, -0.7523032426834106, 0.09694945812225342, 0.08251418173313141, 0.5734962821006775, -0.8198973536491394, -0.2090177685022354, 0.4611732065677643, -0.38618114590644836, -0.5824751257896423, 1.3443992137908936, -0.14015036821365356, -0.01555282436311245, 0.017300790175795555, 0.010487002320587635, 0.9996805191040039, 0.7767641544342041, -1.25480318069458, -0.697190523147583, 0.17260318994522095, 0.3807215988636017, 0.5966705083847046, 0.21893838047981262, 0.07311101257801056, 0.002569179981946945, -0.30498313903808594, 0.04374251142144203, 0.7162455320358276, 1.177962064743042, -0.16547468304634094, -0.9336155652999878, -0.3921971023082733, -0.39181116223335266, -0.29355093836784363, 1.1397006511688232, -0.09565646201372147, 0.5527976155281067, 0.5109599828720093, -0.3880826234817505, -0.36250051856040955, 0.7339603900909424, -1.226162075996399, -0.3093439042568207, 0.023144282400608063, 0.7254149317741394, 0.7773864269256592, -0.6609905958175659, -0.7940781712532043, 0.7359023690223694, -1.8205522298812866, 0.3493258059024811, -0.034554608166217804, -0.8330316543579102, -0.7805894017219543, 1.4020476341247559, -0.3725607991218567, 0.18506701290607452, 0.22312310338020325, -0.34215065836906433, 0.888347327709198, 0.13260695338249207, -0.6236448884010315, -0.486684650182724, 0.5416006445884705, 0.8769552111625671, -0.1444823294878006, -0.28392791748046875, 0.6446166634559631, -0.28434374928474426, -1.090918779373169, -0.04221108928322792, -1.5276660919189453, 0.6308870315551758, 0.10459273308515549, 1.3830056190490723, 0.14419713616371155, 0.3430148661136627, -0.46559515595436096, 0.6671183109283447, -0.43613216280937195, 0.24703460931777954, 0.23199109733104706, 0.6286526918411255, -0.49282464385032654, -0.38745343685150146, -0.17630743980407715, -0.135720357298851, -0.4919741749763489, -0.035798314958810806, -1.701812982559204, 0.33375149965286255, 0.06561081856489182, -0.007403366267681122, 0.10057060420513153, -0.9168699383735657, -0.2781779170036316, -1.0939347743988037, -0.12154291570186615, 0.40687036514282227, 1.1849764585494995, 0.20783063769340515, 0.09815338999032974, -0.598776638507843, -0.609764575958252, 0.4453561007976532, 0.6872944235801697, -1.1303997039794922, -1.0156373977661133, 0.020564911887049675, -0.0771571546792984, 0.7541531324386597, 0.6466391086578369, -0.13744741678237915, -0.9541827440261841, 0.44573497772216797, -0.22141239047050476, 0.018796784803271294, -0.678856611251831, 0.20541979372501373, 0.8463287353515625, -0.7148842811584473, 1.0725257396697998, 0.5971326231956482, 1.0556291341781616, -0.45390334725379944, -0.743674099445343, -0.25404611229896545, 1.255490779876709, 0.3462608754634857, 0.029346641153097153, 0.16331467032432556, -1.8950145244598389, 0.30648714303970337, 0.4370097219944, 0.06520499289035797, 0.24295224249362946, -0.53960120677948, -0.46072834730148315, 0.15086939930915833, 0.4117679297924042, -0.3778248727321625, 0.2758926451206207, 0.4776134788990021, 0.8223158717155457, 0.19011031091213226, -0.18710418045520782, -0.3241821825504303, -0.03306078165769577, 0.7695950865745544, 0.4388858675956726, 1.0334813594818115, -0.7088219523429871, 0.49489375948905945, 0.3759683072566986, -0.7583521604537964, -1.3168439865112305, 1.251830816268921, 0.14727720618247986, -0.42205917835235596, -1.3781626224517822, -0.5245077610015869, 1.0225292444229126, -1.8426556587219238, -0.24859488010406494, 1.0359421968460083, -0.08319004625082016, -0.26489314436912537, 0.2500630021095276, 0.20855966210365295, 0.3169529139995575, 0.30527615547180176, 0.8677248358726501, -0.8344677090644836, -0.7150902152061462, 0.10702595114707947, 0.820807695388794, 0.36943385004997253, -0.4314008951187134, 0.1915414184331894, 1.2974010705947876, -0.43854424357414246, 1.9410089254379272, -0.07758695632219315, 0.6090284585952759, 0.3061162233352661, 0.5773608684539795, 0.30968302488327026, -0.7602015733718872, -0.9058799147605896, -0.26154565811157227, 0.24925175309181213, 1.636554479598999, -0.8561033010482788, 0.6331474781036377, -0.7227712273597717, 0.14604000747203827, -0.6460295915603638, -0.37540918588638306, 0.2874625027179718, 0.152260422706604, -0.26638102531433105, -0.45812252163887024, -1.1896533966064453, 0.04231032356619835, 0.8848360776901245, -1.1677465438842773, -0.4250812232494354, -0.4182189702987671, 0.2933432459831238, 0.059765201061964035, -0.37754127383232117, -1.8853075504302979, -0.8009392619132996, 0.7547609806060791, 0.2022702991962433, -0.32153043150901794, -1.291152834892273, -0.9871792197227478, -0.000497381784953177, 1.5158815383911133, -0.48520272970199585, -0.0248382780700922, -0.8772007822990417, -0.01896662823855877, 0.08067908883094788, 0.6396265625953674 ] ]
{ "indices": [ 691409538, 3084820283, 1063320047, 806976768, 818459139, 1590456296, 3076736765, 1404934148, 989116115, 448220673, 500517981, 2296602335, 3476027533, 1960040400, 4186256544, 3457618965, 2839486718, 4015327650, 1041011349, 1432087569, 820773949, 3377905009, 1236542976, 262840313, 4219882198, 2035475614, 3819098157, 358389376, 1911071232, 3009698197, 3774983271, 1786548735, 3471378517, 550916256, 2063350695, 997012898, 3905155331, 640477688, 3541586099 ], "values": [ 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.5938738530149964, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.5938738530149964, 0.6868574418043177, 0.42234749299582586, 0.5938738530149964, 0.42234749299582586, 0.5938738530149964, 0.5938738530149964, 0.6868574418043177, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.5938738530149964, 0.5938738530149964, 0.5938738530149964, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586 ] }
{ "context": "So it's worth when you're trying to figure out how to set things up, just looking at the data path and maybe sketching out, well, how are the bits going to move from place to place? Because anytime you go through a mux, you've got to make sure the mux is configured properly, for example. Okay. So we've got these two bits. So what do we put on the bus? PC, right? So you can look back at the picture, right? This gate PC, you got to get the blue line to go through there onto the bus." }
610832
[ [ 0.34975096583366394, -0.7851138114929199, 0.02554169110953808, 0.15709809958934784, 0.4970519244670868, -0.3983474671840668, -0.44314825534820557, 0.7751652598381042, 1.0071749687194824, -0.8886052370071411, 0.9365260004997253, 0.3344871699810028, 0.4392586052417755, 0.4081656336784363, 0.3862553536891937, -0.24397629499435425, 0.580162525177002, -0.36970552802085876, 0.045576293021440506, -0.26444366574287415, 1.252257227897644, 0.1250365972518921, -0.24161142110824585, -0.22557492554187775, -0.6676002740859985, 0.8696596622467041, -0.06111457943916321, -0.6283024549484253, 0.3708595633506775, 0.9118032455444336, -0.592713475227356, -1.5010498762130737, 0.48852142691612244, -0.327752023935318, 1.663764238357544, 0.17673787474632263, -0.4802566468715668, -0.5426714420318604, 0.4015803337097168, 0.7528148889541626, -0.034304238855838776, 0.39763087034225464, -0.42222875356674194, 0.7237160205841064, -1.174591064453125, 0.1652427762746811, -0.25190529227256775, -0.17539533972740173, 0.8399072885513306, -0.5855070352554321, 0.9152283072471619, -0.35746127367019653, 0.8210076689720154, 0.3559074401855469, 0.36105987429618835, 0.5354187488555908, 0.9352509379386902, 1.4992499351501465, 0.4568783640861511, -1.2840250730514526, 0.05871664360165596, -0.4255560636520386, 0.17912767827510834, -0.5554394125938416, -0.15535536408424377, -0.5193622708320618, -0.507408857345581, -0.9128647446632385, 1.0577975511550903, -0.1624266803264618, -1.0057642459869385, 0.2170337736606598, 0.6399959921836853, 0.25596514344215393, 0.5886881947517395, -0.8083735108375549, 0.10246407985687256, 0.2301628738641739, 0.7396436929702759, 1.2816193103790283, -0.925268292427063, 0.042680397629737854, -0.8425419330596924, 0.4607681632041931, 0.3924488425254822, -0.6571969985961914, 1.1049445867538452, -0.2748416066169739, 0.29146432876586914, 0.8728646039962769, 0.14930599927902222, -0.4988546669483185, 1.5937310457229614, 0.1974785327911377, -0.861010730266571, 0.29747089743614197, 0.7594007253646851, 1.493448257446289, 0.1970185786485672, -1.0175378322601318, 0.6389407515525818, -0.9636610746383667, -0.03129379823803902, 1.3711915016174316, 0.11971216648817062, 0.4247216284275055, -0.01806054636836052, -0.14607319235801697, -0.7922479510307312, 0.40576469898223877, -1.4899940490722656, 0.7507438063621521, -0.33391934633255005, 0.818797767162323, -0.17808988690376282, -0.5465167760848999, -0.709288477897644, -0.410156786441803, 0.9017646312713623, 0.8391648530960083, 0.8188682198524475, 0.7040854096412659, 0.6999287605285645, -0.6344991326332092, -1.7875014543533325, 0.752468466758728, 0.30535125732421875, 0.19938305020332336, -0.9247868061065674, 0.49236080050468445, 0.5586246252059937, 0.2629236578941345, -0.05496584251523018, -0.30111047625541687, 0.8833504915237427, 0.7641541361808777, -1.8774977922439575, -1.148749828338623, 1.129618525505066, 0.2989617586135864, -0.207622230052948, -1.9182413816452026, 0.359317421913147, 1.2165862321853638, 1.1454286575317383, 0.5094994902610779, 0.6315033435821533, 0.44371965527534485, -0.2761915922164917, 0.3742560148239136, -0.6424775123596191, 0.4168650507926941, -1.3220654726028442, -0.4281526207923889, -0.6795418858528137, -0.858043909072876, -0.014689895324409008, 0.010792254470288754, -0.9415340423583984, 0.23904214799404144, 0.23371964693069458, -0.467712938785553, 0.2286209911108017, -0.1049436703324318, -1.1349215507507324, -0.8219023942947388, 1.4817836284637451, -0.06889784336090088, 0.735125720500946, 1.37389075756073, 0.03045496717095375, -0.259784996509552, 0.16881881654262543, 0.1611124873161316, 1.1841546297073364, -0.6486907005310059, 0.5178804993629456, 0.06174805015325546, 0.05334768816828728, 0.16221831738948822, -0.4250081181526184, -0.26532596349716187, -0.06593705713748932, 1.4755675792694092, 0.5247069001197815, -0.17202740907669067, -0.7748035192489624, 0.026959514245390892, 1.1647443771362305, -0.9504590034484863, -0.6367076635360718, -0.9432156085968018, 0.672443687915802, -0.11139294505119324, -0.6917951703071594, -0.6822871565818787, -0.7248275279998779, 0.0678429901599884, 0.740548312664032, -0.6948164105415344, -1.462796688079834, 0.4322839081287384, 0.9407592415809631, -1.1474040746688843, -0.10706552863121033, 0.8074511289596558, 1.2695043087005615, -0.4931637942790985, 0.8736605644226074, -0.4148363471031189, -0.599449872970581, 0.5372582674026489, -0.18948280811309814, 0.1482253074645996, 0.6335479021072388, 0.010105575434863567, 0.37925344705581665, 0.4146023094654083, -0.26228833198547363, 0.1785973459482193, -1.4030550718307495, 1.0935741662979126, 1.9847623109817505, 1.439565896987915, 0.4513912498950958, 0.2278023362159729, -0.08391960710287094, -0.3697752058506012, 0.14656387269496918, -0.8498194217681885, -0.8710396885871887, -1.0798906087875366, 0.24247868359088898, 0.1796865016222, -0.045232485979795456, 0.11670567095279694, 1.6522717475891113, 0.8022193312644958, 0.7031967639923096, 0.06173811852931976, -1.4856083393096924, 0.929357647895813, 0.21902911365032196, -0.0844397097826004, 0.7962014675140381, 1.3980214595794678, 0.15990348160266876, 1.54208505153656, -0.27370211482048035, 0.038406964391469955, -0.6623611450195312, 0.5043470859527588, 0.44887685775756836, -1.229584813117981, 0.29643067717552185, -1.1925057172775269, -0.04472781717777252, -0.9272159934043884, -0.451562762260437, 0.5314634442329407, -0.057784661650657654, -0.04931696131825447, -0.25780588388442993, -0.4630548655986786, -0.12720051407814026, -0.20882338285446167, 0.5781669616699219, -0.6831145882606506, 1.5964373350143433, -0.43567606806755066, 0.5596240758895874, 0.9331480264663696, -0.49621903896331787, -0.6763638854026794, 0.32189810276031494, 1.1044745445251465, -0.2573682963848114, 0.9694895148277283, -0.7573270201683044, -0.47436752915382385, -0.08509542793035507, 0.31783318519592285, 0.3996376097202301, -0.21912217140197754, -1.703924298286438, 0.5070400834083557, -0.5651293992996216, -0.8545650243759155, 0.10835595428943634, 0.3099711537361145, -0.95703125, 0.2946029603481293, -0.5805529952049255, -1.2088959217071533, 0.3305858373641968, -0.10226302593946457, 0.048259392380714417, 0.3570918142795563, 0.09644666314125061, 0.8160678744316101, 0.12360969185829163, -1.4415043592453003, 0.15480808913707733, -1.2297571897506714, -0.036249298602342606, 0.24243710935115814, -0.8034791350364685, -0.6738463044166565, -0.7031002044677734, 0.39442285895347595, -0.30115634202957153, -0.3367692828178406, -0.22794486582279205, -0.9762622117996216, -1.48599374294281, -0.14327339828014374, 0.5146545171737671, 0.9117478132247925, -0.19802501797676086, -0.6166725158691406, 1.0827735662460327, 0.7710527181625366, -0.6103843450546265, -0.11376260221004486, 0.15671855211257935, 0.16193145513534546, -1.130354404449463, -0.17936497926712036, 0.9449518918991089, 0.1131444126367569, 0.4731389880180359, -0.4489586651325226, 1.125029444694519, 1.279322624206543, -0.30336955189704895, -1.5618212223052979, 0.1764136552810669, 0.17498095333576202, -0.9004383683204651, -0.18114547431468964, 0.7683788537979126, 0.847551167011261, 0.7320902347564697, 0.25465697050094604, 1.2105188369750977, 0.3139452636241913, -0.5453236699104309, 0.6866739988327026, 0.3255506157875061, 0.49072960019111633, -0.29083144664764404, 0.06369239091873169, -0.14566978812217712, -0.45904749631881714, -1.0631593465805054, 0.9094142913818359, 0.8163437843322754, -0.8988273739814758, -0.0774870216846466, 0.6240170001983643, 1.020896077156067, -0.01879504695534706, -0.2632317841053009, -0.6493381857872009, 0.5716993808746338, 0.3002746105194092, -0.3198238015174866, -0.016902495175600052, 0.6360476613044739, -0.08100173622369766, 0.8785868883132935, 0.5436684489250183, -0.4806681275367737, -0.7854036092758179, -1.7933379411697388, -0.7561646103858948, -0.06159130483865738, 0.9442415237426758, 0.4860578179359436, 0.5623801946640015, -0.06398788094520569, 0.2635965347290039, -0.01799696683883667, -0.31401097774505615, -2.0572760105133057, 0.3409404754638672, 0.28332996368408203, -0.19688589870929718, 0.5100224614143372, -0.632786214351654, 0.32467561960220337, -0.3260325491428375, -0.6631281971931458, -0.040483374148607254, -0.21570785343647003, -0.9924517869949341, 0.30107054114341736, 0.06990035623311996, -0.2266799658536911, 0.5623809099197388, 0.48967909812927246, 1.9931575059890747, 1.1796600818634033, 0.16695159673690796, 0.03865978866815567, -0.048447512090206146, -0.6219673752784729, -0.19225022196769714, 0.5668718814849854, 0.1833614856004715, 0.8217698931694031, 1.2092615365982056, 0.816892683506012, -0.8519731760025024, -0.12688250839710236, 0.345551460981369, 1.2544169425964355, 0.2782839238643646, 0.19235417246818542, -0.1656377911567688, -0.4662996530532837, -0.5705246925354004, 0.19704918563365936, 1.2105662822723389, -0.48940417170524597, 1.5910831689834595, 0.784385085105896, 0.05442165583372116, 0.0344289131462574, 1.2150367498397827, 1.4997984170913696, -0.1852162480354309, -0.08090674132108688, -0.5390679836273193, -0.8395550847053528, -0.35456639528274536, -0.21176452934741974, 0.19919219613075256, 1.06760835647583, 0.6941227316856384, -0.756911039352417, -0.04167754948139191, 0.3372119963169098, -1.219559907913208, 0.12794747948646545, -0.2540464997291565, -0.2845517098903656, -0.595225989818573, 0.7965168952941895, 0.2137058675289154, 0.28549841046333313, -0.37167155742645264, 0.30040836334228516, -0.6451718211174011, -2.605480432510376, -0.6115242838859558, 0.43510469794273376, 0.6343470811843872, -0.44583970308303833, -0.019710859283804893, -0.6885216236114502, -0.7424535155296326, 0.38591569662094116, -0.4437544047832489, 0.9628941416740417, -0.6993283629417419, 0.30913347005844116, -0.26034310460090637, -1.3723469972610474, -1.0775647163391113, 0.9227880239486694, -0.32330697774887085, -0.05236578732728958, -0.08854426443576813, -0.24356280267238617, -0.2837284803390503, 0.22752819955348969, 0.082828588783741, 0.5178917050361633, -0.3316672146320343, -0.3938954770565033, 0.014816033653914928, 0.4598093330860138, -1.3740870952606201, -0.8974902033805847, 0.5235450863838196, -1.10440993309021, -0.8224917054176331, 0.720722496509552, -0.46269524097442627, -0.5573945641517639, 0.5527114868164062, -0.15741801261901855, -0.6717743873596191, -0.7701026797294617, -1.0448750257492065, 0.8622085452079773, -0.5992543697357178, 0.7786904573440552, 0.15658652782440186, 0.5901604890823364, -0.6847341656684875, -0.2739886939525604, -0.19904786348342896, 0.10161394625902176, -0.7563338279724121, 0.5394273400306702, -0.6299923658370972, -0.2877350449562073, -1.0015023946762085, 0.7663030028343201, 0.09630094468593597, 0.058666251599788666, -0.15420502424240112, -0.39773592352867126, 0.04996131733059883, 1.2909438610076904, -1.3440123796463013, 0.38147062063217163, 0.021827848628163338, 0.32297036051750183, -0.8155277967453003, 0.26999956369400024, 0.687055230140686, -1.5196658372879028, 0.49430912733078003, 0.6311054825782776, 0.06804323196411133, 1.298901081085205, -0.4852578043937683, -0.3545263409614563, 0.6221526265144348, -1.2589831352233887, 1.0350008010864258, 0.5467327833175659, 0.7012878060340881, -0.03367036208510399, -1.0533616542816162, -0.10582661628723145, 0.9394631385803223, -0.44686421751976013, 0.19665919244289398, -0.21298162639141083, 0.9466090798377991, 0.2852485775947571, -0.3912835717201233, -0.7585217952728271, -1.4586864709854126, -0.7459763884544373, 0.21654407680034637, 0.1677125096321106, -0.6165567636489868, 0.06731206923723221, 0.061077531427145004, -0.40459972620010376, 0.3221980333328247, 1.339830994606018, 0.15126892924308777, 0.4834650456905365, 0.5006197094917297, 0.3478889465332031, 1.1317650079727173, 0.8561604022979736, 0.38030731678009033, -0.017167342826724052, -0.16390085220336914, -0.3407287001609802, -0.42685598134994507, -0.9976032972335815, -0.46483686566352844, -0.11225967109203339, -0.9457891583442688, -0.3490913510322571, 0.9823822379112244, -0.11685042083263397, 0.7453990578651428, -0.05054290220141411, -0.4786737859249115, -0.6150203943252563, -0.40032386779785156, -0.7311966419219971, -0.28027480840682983, 0.04906196892261505, -0.23211149871349335, 0.19526351988315582, -0.03700069710612297, -0.6734418272972107, -0.43847987055778503, 0.16778166592121124, 0.1251136064529419, 0.05993880331516266, 1.8993232250213623, 0.4282594621181488, 0.8094714879989624, 1.062833309173584, 0.5023797154426575, 0.7671422958374023, -0.4597789943218231, 0.13741396367549896, 0.789398193359375, 0.6472613215446472, -0.14419755339622498, 0.4340378940105438, -1.0915135145187378, 0.0224494319409132, 1.2525986433029175, -0.791642427444458, 0.8647812008857727, -0.5683965682983398, 0.4104798436164856, 0.16340447962284088, 0.16092608869075775, -0.7808480858802795, 0.7398135662078857, -0.34746986627578735, 1.6302778720855713, -0.9482879042625427, -0.2837218940258026, 1.5064693689346313, 1.031869888305664, 0.552678644657135, 0.03565938398241997, 0.41879311203956604, -0.5779810547828674, -0.10073874890804291, -1.0490082502365112, -0.4254907965660095, 1.446901798248291, -1.1834508180618286, 0.8971179723739624, -0.4169710576534271, -0.5493689775466919, 0.5011106729507446, 0.6164842844009399, 0.19998499751091003, -1.483068585395813, -0.7306149005889893, -1.263421893119812, 0.39176902174949646, 0.5372388362884521, -0.5045830607414246, 0.0423554927110672, 0.4579964578151703, 0.7189609408378601, -0.27422529458999634, 0.6479421257972717, -0.5600430369377136, -2.1169631481170654, -0.0625009536743164, -0.5032487511634827, -1.6330713033676147, 1.5152279138565063, 0.2632976770401001, -0.4922010898590088, 1.1452350616455078, -0.45630374550819397, 0.8796581029891968, 0.4294009804725647, 0.3074585795402527, 0.1375967413187027, 1.5464015007019043, -0.49567627906799316, -0.35353758931159973, -0.3258247375488281, -0.7506210207939148, 4.641929626464844, 1.3906606435775757, 0.2398134022951126, -2.006134510040283, 0.23806047439575195, -1.0344855785369873, -0.014682088978588581, -0.24465079605579376, 0.2744879424571991, 0.15586815774440765, 0.4557824730873108, 1.0434242486953735, 0.29074516892433167, -0.29126253724098206, 0.7880187034606934, 0.661406397819519, -0.40714964270591736, -0.6711872220039368, 0.8003689646720886, -0.10980319231748581, 0.006199837662279606, -0.2760246992111206, -1.2906873226165771, -1.0708513259887695, 1.175048589706421, -0.11319464445114136, 0.7473297715187073, -0.7690037488937378, 0.941469132900238, -0.02142224833369255, -0.42521947622299194, 0.7582626342773438, 0.5584287047386169, 1.1368978023529053, 0.5510905981063843, -0.07950681447982788, -0.4284932017326355, -0.3613113462924957, -0.6433411240577698, 0.346938818693161, 0.3794509470462799, -0.19369946420192719, -0.6630426049232483, -0.6060953736305237, -1.2338197231292725, -0.1930285543203354, -0.4893907606601715, -0.9095377922058105, 0.48775938153266907, -0.13214609026908875, -0.051891908049583435, 0.3616314232349396, -0.9624472856521606, -0.904549777507782, -0.7248929142951965, 0.8152465224266052, 0.07575956732034683, -0.8086159229278564, 0.033783599734306335, -0.8353579640388489, 0.4502512514591217, -0.4324086010456085, 0.7829707860946655, 0.06455371528863907, 0.7788532376289368, 0.15148518979549408, 0.24737341701984406, 2.2172398567199707, -0.06346875429153442, -0.7977566719055176, -0.8744146227836609, 0.8631674647331238, 0.027568837627768517, -0.2229750156402588, -0.3860167860984802, 1.1837254762649536, -0.4315902292728424, 0.3287883996963501, -1.1306673288345337, -0.4891582131385803, -1.1966125965118408, 0.22072575986385345, -0.9073441624641418, 0.4078918695449829, -0.20161430537700653, -0.6596185564994812, -0.1811625212430954, 0.315225750207901, -0.012453691102564335, 0.02149049937725067, 0.581129252910614, 1.6347852945327759, 0.6360072493553162, -0.9658008813858032, -0.10764011740684509, 0.6279363632202148, -1.9478508234024048, -0.4084572196006775, -1.2945942878723145, 1.2141515016555786, 0.42877262830734253, -0.37593504786491394, -0.051739610731601715, 0.9806200861930847, -0.9359778165817261, -0.1756463199853897, 0.7676203846931458, -1.1130024194717407, -0.482083261013031, -0.12885425984859467, 0.6926001906394958, -1.4466392993927002, -0.8554497957229614, -0.3713141083717346, -0.2751244008541107, 0.18056708574295044, -0.48336365818977356, -0.6580566167831421, 0.8272159099578857, -0.6821151375770569, 0.5839569568634033, 0.32565221190452576, 0.39930111169815063, -0.4442620575428009, -0.5818958282470703, 0.3218200206756592, -0.9701206088066101, 0.09560312330722809, 0.010964215733110905, -0.44620534777641296, 0.4992164075374603, 0.18892960250377655, -0.06935304403305054, 0.508657693862915, -0.5273693799972534, 0.40691331028938293, 0.020952600985765457, 0.9646500945091248, -0.9522156119346619, -0.19419769942760468, 0.31663978099823, -0.15851259231567383, -0.6435294151306152, 1.3228057622909546, -0.6073971390724182, -0.2414993941783905, 0.2247503101825714, -0.039949603378772736, 1.050155520439148, 0.279506653547287, -0.6781328320503235, -0.21097919344902039, 0.5090184211730957, 0.6209927797317505, 0.33209940791130066, 0.41333410143852234, 0.024228213354945183, 0.3303936719894409, 0.008721516467630863, 0.03551514074206352, 0.7385796904563904, 0.9042250514030457, -0.18054889142513275, -0.7164715528488159, -0.26748955249786377, 0.057860054075717926, -0.5269444584846497, 0.6415831446647644, -0.6373857855796814, 0.4935492277145386, 0.5190495848655701, -0.19825851917266846, 0.5522444844245911, 0.9075639247894287, -1.2111512422561646, -0.0651264563202858, -0.1470884531736374, 0.3990843892097473, 0.6879339218139648, -0.825698733329773, 0.10072587430477142, 0.8843500018119812, -1.786490559577942, 0.12525495886802673, -0.24280616641044617, -1.0136486291885376, -0.6568038463592529, 0.8847834467887878, -0.25560620427131653, 0.44012704491615295, 0.2766774892807007, -0.21995557844638824, 1.0706837177276611, -0.187377467751503, -0.7701134085655212, -0.4635719060897827, 0.24132098257541656, 0.6432079076766968, 0.047161344438791275, -0.23529645800590515, 0.8897543549537659, -0.8830004930496216, -1.4388386011123657, -0.24393196403980255, -1.2444514036178589, 0.5914803147315979, -0.22591783106327057, 1.3495806455612183, 0.4512529671192169, 0.6534909009933472, -0.2728740870952606, 0.1612812578678131, -0.3007427155971527, -0.23882395029067993, 0.26638326048851013, 0.9389531016349792, -0.4283265769481659, -0.29039692878723145, 0.13559451699256897, -0.2002101093530655, -0.9015606045722961, 0.6111750602722168, -1.2896780967712402, 0.06609149277210236, 0.11896828562021255, 0.144578754901886, 0.2004801332950592, -1.0575029850006104, -0.07213304936885834, -1.2412137985229492, 0.13597232103347778, 0.4097032845020294, 0.6747384667396545, 0.29960983991622925, 0.022136038169264793, -0.3507993221282959, -0.4162139594554901, 0.50202476978302, 0.38053271174430847, -1.0875799655914307, -0.525675356388092, 0.05127149820327759, -0.37889963388442993, 1.3100594282150269, 0.22146032750606537, 0.04063955694437027, -0.8494737148284912, 0.6095768809318542, -0.239027738571167, -0.25664085149765015, -0.6445395350456238, 0.29322564601898193, 0.9267228841781616, -0.28620094060897827, 0.8346899747848511, 1.2328875064849854, 1.0319831371307373, -0.759197473526001, -1.122437596321106, 0.03788462281227112, 1.2330827713012695, 0.5215688347816467, -0.20620208978652954, 0.008941985666751862, -1.679095983505249, 0.26683226227760315, 0.5908641219139099, 1.0846178531646729, 0.2480715811252594, -0.7812798619270325, -0.49357250332832336, 0.4023763835430145, 0.1908394992351532, 0.000490548147354275, 0.26949894428253174, 0.44690972566604614, 0.7441988587379456, 0.05369535833597183, -0.49508243799209595, -0.3860031068325043, -0.35171881318092346, 0.6514871716499329, -0.06268560141324997, 1.394794225692749, -0.4290439784526825, 0.04767172783613205, 0.17249087989330292, -0.26152753829956055, -1.1798479557037354, 1.56385338306427, 0.30922451615333557, -0.10764876008033752, -1.0656297206878662, -0.7863485813140869, 0.945013165473938, -1.358019471168518, -0.38212499022483826, 0.8681761622428894, -0.06337897479534149, -0.19638116657733917, 0.25705665349960327, 0.2052210122346878, 0.214088574051857, 0.5678936243057251, 0.7878304719924927, -0.7454569935798645, -0.4725160002708435, 0.54623943567276, 0.9940102100372314, 0.7578681707382202, -0.23273158073425293, 0.39286860823631287, 0.7693703174591064, -0.7784894704818726, 1.8300820589065552, 0.261358380317688, 0.09091175347566605, 0.28058820962905884, 0.45772066712379456, 0.45380398631095886, -1.045029878616333, -1.0474439859390259, -0.24245229363441467, 0.09036964178085327, 1.4911787509918213, -0.5815227031707764, 0.5393491387367249, -0.7037697434425354, 0.508888304233551, -0.8010496497154236, -0.2856181263923645, -0.3292987048625946, 0.45728862285614014, -0.15616457164287567, -0.25214850902557373, -1.3153512477874756, 0.19384193420410156, 1.3121360540390015, -1.3767263889312744, -0.18484000861644745, -0.2463933676481247, 0.39411842823028564, 0.4568161964416504, -0.6464604139328003, -1.7642873525619507, -0.8890836834907532, 0.5682373046875, 0.06849226355552673, -0.5884979367256165, -0.8304116129875183, -1.3913475275039673, -0.13762377202510834, 1.19678795337677, -0.2151312381029129, -0.2136678695678711, -0.865656852722168, -0.07595296204090118, 0.34925806522369385, 0.48121178150177 ] ]
{ "indices": [ 1432087569, 820773949, 358389376, 1960040400, 1911071232, 3009698197, 3774983271, 1786548735, 1404934148, 3471378517, 550916256, 2063350695, 997012898, 3905155331, 640477688, 4186256544, 3541586099, 3796669908, 2257684172, 1866681274, 1491351846, 2006536704, 115441729, 3819098157, 1904070401, 1041011349, 3713535024, 2874966370, 3876624646 ], "values": [ 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.7287760965559752, 0.7287760965559752, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.7287760965559752, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836 ] }
{ "context": "So we've got these two bits. So what do we put on the bus? PC, right? So you can look back at the picture, right? This gate PC, you got to get the blue line to go through there onto the bus. So that better be a one. So what are the rest need to be? Zeroes. Good. Okay. So those are the bus gating signals. So which muxes matter? Yeah, PC mux. What about Marmux? No. What about this one or this one? No." }
485801
[ [ 0.03884270414710045, -0.910612940788269, -0.05651412159204483, 0.09022516012191772, 0.4419015645980835, 0.03071719780564308, -0.2996269166469574, 0.43807804584503174, 0.6896981596946716, -0.8460443019866943, 0.9896032214164734, 0.18767096102237701, 0.5025332570075989, 0.4464249610900879, 0.3334110975265503, -0.22726555168628693, 0.5962997674942017, -0.20278120040893555, 0.1624419093132019, -0.13833504915237427, 1.4086683988571167, -0.04120786488056183, -0.3392610549926758, -0.21947430074214935, -0.5349674224853516, 0.7533072829246521, -0.008961820043623447, -0.7290924191474915, 0.026168538257479668, 0.5771875977516174, -0.4468117952346802, -1.4123222827911377, 0.6083348393440247, -0.18576200306415558, 1.7087574005126953, 0.338019996881485, -0.5704095959663391, -0.6453489065170288, 0.6251550912857056, 0.6042991280555725, -0.02012413926422596, 0.47014981508255005, -0.39270010590553284, 0.8903195261955261, -1.2433754205703735, 0.2106608897447586, -0.6277568340301514, -0.11100446432828903, 1.1049010753631592, -0.6208596229553223, 0.9262357354164124, -0.44425737857818604, 0.9636243581771851, -0.011556739918887615, 0.2956226170063019, 0.5383784770965576, 1.087287187576294, 1.5157381296157837, 0.5334067344665527, -1.480385661125183, -0.009819106198847294, -0.7379562854766846, 0.26547971367836, -0.410446435213089, -0.11356186866760254, -0.511707603931427, -0.546788215637207, -0.8889276385307312, 1.0654414892196655, -0.24767093360424042, -0.8571600914001465, 0.5747444033622742, 0.4744725823402405, 0.33253738284111023, 0.6080915331840515, -0.7547861337661743, 0.22222185134887695, 0.4326997697353363, 0.7451009154319763, 1.0370149612426758, -1.0328959226608276, 0.2594345510005951, -0.776409387588501, 0.4573895037174225, 0.4914763569831848, -0.5824556350708008, 1.2276206016540527, -0.2951427102088928, 0.27619805932044983, 0.9026899337768555, -0.006848662160336971, -0.776350200176239, 1.4919134378433228, 0.31588608026504517, -0.8092919588088989, -0.08674363791942596, 0.4921010136604309, 1.3785295486450195, 0.01457137893885374, -0.8717073798179626, 0.29515212774276733, -1.1099140644073486, -0.010790086351335049, 1.4361903667449951, -0.05025358870625496, 0.5637410879135132, -0.04639546573162079, -0.17627598345279694, -0.5480983257293701, 0.22014425694942474, -1.4459764957427979, 0.6587316989898682, -0.08054609596729279, 0.6237972974777222, -0.19362860918045044, -0.3768056333065033, -0.6138153076171875, -0.5136045813560486, 1.0722262859344482, 0.4789351522922516, 0.7969589233398438, 0.4486086666584015, 0.6911212801933289, -0.8622270822525024, -1.922176480293274, 0.6217387914657593, 0.24296051263809204, 0.0715271383523941, -0.959904670715332, 0.6758129596710205, 0.5990680456161499, -0.1376805156469345, 0.013346429914236069, -0.036598723381757736, 0.9791233539581299, 0.820537805557251, -1.9223215579986572, -1.0171709060668945, 1.1788002252578735, 0.21781261265277863, -0.6134787201881409, -1.8208885192871094, 0.6675287485122681, 1.3114567995071411, 1.213252067565918, 0.5047972798347473, 0.7795532941818237, 0.5710655450820923, 0.1714208871126175, 0.4065574109554291, -0.7973080277442932, 0.15289892256259918, -1.0420689582824707, -0.32318317890167236, -0.5301250219345093, -0.7864068150520325, 0.014057768508791924, 0.021585628390312195, -0.691716194152832, 0.3664214015007019, 0.2282034456729889, -0.30402421951293945, 0.20150579512119293, -0.18816596269607544, -0.9519717693328857, -0.6202260851860046, 1.833707332611084, -0.021206647157669067, 0.8946990966796875, 1.2365601062774658, 0.1162932962179184, -0.2419491857290268, 0.17950353026390076, 0.2638784646987915, 1.1405233144760132, -0.4872550964355469, 0.3156539797782898, 0.2038080394268036, 0.1420334428548813, 0.16596435010433197, -0.4915443956851959, -0.48794710636138916, 0.021235577762126923, 1.426711082458496, 0.4359256327152252, 0.020722495391964912, -0.7424750328063965, 0.14298638701438904, 1.2039713859558105, -0.8853413462638855, -0.8141571879386902, -0.9432586431503296, 0.5568257570266724, -0.1461334079504013, -0.32538214325904846, -1.0100007057189941, -0.9756485223770142, 0.07158863544464111, 0.7078658938407898, -0.69696444272995, -1.384931206703186, 0.6029497981071472, 1.0651627779006958, -1.2114448547363281, -0.19544610381126404, 0.5194383263587952, 1.1340138912200928, -0.783025324344635, 0.6635004281997681, -0.5910006761550903, -0.3706403076648712, 0.5564492344856262, -0.2791547179222107, 0.12808915972709656, 0.6533655524253845, -0.03528917580842972, 0.31143587827682495, 0.389517605304718, -0.17066290974617004, 0.3538983464241028, -1.0854406356811523, 1.0102266073226929, 2.0799098014831543, 1.3689460754394531, 0.2583218514919281, 0.18250355124473572, 0.04158645123243332, -0.19204235076904297, -0.010183526203036308, -0.7143806219100952, -0.8566024899482727, -1.046302080154419, 0.16027367115020752, 0.012313508428633213, -0.09966882318258286, 0.25064247846603394, 1.7848225831985474, 0.8008179068565369, 0.5723732709884644, -0.41599389910697937, -1.4479137659072876, 0.875511646270752, 0.4147017300128937, 0.19819849729537964, 0.5854088068008423, 1.4684102535247803, 0.28387948870658875, 1.440629243850708, -0.6179289817810059, 0.376335471868515, -0.44111454486846924, 0.4034809172153473, 0.3408041298389435, -0.9206340312957764, 0.08055848628282547, -1.348708987236023, 0.09952008724212646, -0.772948145866394, -0.4165017902851105, 0.6418102979660034, -0.06616513431072235, -0.10484454035758972, -0.1663821041584015, -0.4195648431777954, -0.19526146352291107, -0.041771262884140015, 0.4471578001976013, -0.7980908155441284, 1.42734956741333, -0.377798855304718, 0.5323352217674255, 0.894085168838501, -0.4125584661960602, -0.7300348281860352, 0.450416624546051, 1.1145819425582886, -0.22626382112503052, 0.8853911757469177, -0.6645429134368896, -0.5006517171859741, -0.24466948211193085, 0.5252549648284912, 0.24905169010162354, -0.15206851065158844, -1.4984835386276245, 0.4658934772014618, -0.5911146998405457, -0.9586114287376404, 0.35549241304397583, 0.5426238179206848, -0.8904540538787842, 0.46537497639656067, -0.6181867718696594, -1.3846272230148315, 0.3613278865814209, 0.07803725451231003, -0.09171095490455627, 0.2547530233860016, 0.0288544949144125, 0.7250470519065857, 0.12075397372245789, -1.6786624193191528, 0.0039262124337255955, -1.071012258529663, -0.04647757112979889, 0.05701953545212746, -0.41699087619781494, -0.7304961085319519, -0.46188536286354065, 0.4462246894836426, 0.028562288731336594, -0.14366962015628815, -0.3251390755176544, -1.1918315887451172, -1.5559301376342773, 0.04207128286361694, 0.43862184882164, 1.113490343093872, -0.08668408542871475, -0.5764836668968201, 0.9681278467178345, 0.8372148275375366, -0.6580367088317871, -0.19118285179138184, 0.08682350814342499, 0.014200884848833084, -1.273424744606018, -0.14165465533733368, 0.9851859211921692, 0.1495504528284073, 0.4729641079902649, -0.16799576580524445, 0.9504870176315308, 1.5504885911941528, -0.1820264458656311, -1.3260849714279175, 0.16629111766815186, -0.01468578353524208, -0.9677326083183289, -0.18426401913166046, 0.6158742904663086, 1.0396950244903564, 0.8961845636367798, 0.1985623985528946, 1.3053200244903564, 0.31106340885162354, -0.7333680391311646, 0.7283847332000732, 0.19141782820224762, 0.2332516312599182, -0.05873860418796539, 0.08166441321372986, 0.1047787144780159, -0.46455201506614685, -0.970644474029541, 1.0064095258712769, 0.7871811389923096, -0.8928265571594238, -0.19541919231414795, 0.8889063596725464, 0.8808347582817078, 0.1356113702058792, -0.48935678601264954, -0.4204658567905426, 0.43407824635505676, 0.42515432834625244, -0.5672236680984497, 0.2222086787223816, 0.6841937303543091, -0.18159914016723633, 0.6146287322044373, 0.6434550881385803, -0.494529128074646, -0.8575265407562256, -2.0150787830352783, -0.6189792156219482, 0.22640599310398102, 0.9491454362869263, 0.42973482608795166, 0.4317969083786011, -0.04900393262505531, 0.14781984686851501, -0.004028785973787308, -0.2238355576992035, -2.124464511871338, 0.41483038663864136, 0.3256135880947113, -0.263843297958374, 0.5824108123779297, -0.5745101571083069, 0.36583593487739563, -0.32992932200431824, -0.7961421608924866, 0.024595430120825768, -0.2784685492515564, -0.9825214743614197, 0.522346019744873, 0.1699908971786499, -0.4154081344604492, 0.6474000215530396, 0.5457603335380554, 2.2709193229675293, 0.8805004954338074, 0.32804080843925476, 0.13174207508563995, 0.11154183000326157, -0.5607770681381226, -0.24441388249397278, 0.38015687465667725, 0.18363624811172485, 0.7016280889511108, 1.123521089553833, 0.5663697123527527, -0.9481004476547241, -0.03269300237298012, 0.4218479096889496, 1.356663465499878, 0.33467715978622437, 0.36187809705734253, -0.17546182870864868, -0.3212554454803467, -0.28251972794532776, 0.29024195671081543, 1.1400102376937866, -0.47871169447898865, 1.7994564771652222, 0.7812454104423523, 0.27551233768463135, 0.26378127932548523, 1.2744603157043457, 1.7500096559524536, -0.017484186217188835, -0.3443216383457184, -0.6034821271896362, -0.8232434391975403, -0.2874429523944855, -0.5267981886863708, 0.5101062655448914, 1.2731255292892456, 0.6376386284828186, -0.5367447137832642, 0.2702026665210724, 0.5018144249916077, -1.2081634998321533, 0.06198795139789581, -0.5482140779495239, -0.49723169207572937, -0.5205167531967163, 0.7402142286300659, 0.2570948600769043, 0.5852176547050476, -0.29194918274879456, 0.23252920806407928, -0.5754957795143127, -2.7654733657836914, -0.6640304923057556, 0.2988891899585724, 0.6571364998817444, -0.738371729850769, -0.07253873348236084, -0.8323829174041748, -0.7574683427810669, 0.29377982020378113, -0.6997330784797668, 1.1452479362487793, -0.5087518095970154, 0.37569189071655273, -0.3827067017555237, -1.4033527374267578, -1.2668639421463013, 0.8900325894355774, -0.28534379601478577, -0.05644771456718445, -0.005776044446974993, -0.32677146792411804, -0.44953644275665283, 0.15963855385780334, 0.4018453061580658, 0.14539596438407898, -0.641407310962677, -0.6085022687911987, 0.11363977193832397, 0.4736330509185791, -1.2803666591644287, -0.854830265045166, 0.24848005175590515, -0.9781133532524109, -0.9096994400024414, 0.8939195871353149, -0.4992373287677765, -0.7125593423843384, 0.8644427061080933, -0.17869725823402405, -0.843993604183197, -1.0674099922180176, -1.298791527748108, 0.6498916149139404, -0.5143874883651733, 0.49346357583999634, -0.04190440848469734, 0.599071204662323, -0.706084132194519, -0.09638998657464981, -0.5583219528198242, -0.07281171530485153, -0.7822999358177185, 0.637203574180603, -0.3960863947868347, -0.5810044407844543, -0.9160693287849426, 0.8130708336830139, 0.26239457726478577, 0.08831281960010529, -0.3507075309753418, -0.3493230938911438, -0.02735465206205845, 1.3511991500854492, -1.299359917640686, 0.35721635818481445, 0.03985995054244995, 0.334208607673645, -0.9465378522872925, 0.357688844203949, 0.605590283870697, -1.4477046728134155, 0.5554150938987732, 0.6996322274208069, -0.021574310958385468, 1.3855414390563965, -0.37259870767593384, -0.3610496520996094, 0.6438544988632202, -0.8845799565315247, 0.8138477802276611, 0.7022534608840942, 0.6402759552001953, -0.2028249055147171, -0.9679844975471497, 0.2733640670776367, 0.6641680002212524, -0.47998717427253723, -0.12406471371650696, -0.29489803314208984, 0.7903751730918884, 0.3901769816875458, -0.3705614507198334, -0.8166495561599731, -1.553024172782898, -0.9277787208557129, 0.2242024540901184, 0.37092289328575134, -0.5016912221908569, -0.13984817266464233, 0.07551199197769165, -0.5582096576690674, 0.2440706342458725, 1.1750996112823486, 0.10078174620866776, 0.6343482136726379, 0.7022389769554138, 0.23854120075702667, 1.2468690872192383, 1.0445033311843872, -0.051206815987825394, 0.04802233725786209, -0.019692538306117058, -0.2614193856716156, -0.15324680507183075, -1.045812964439392, -0.6206050515174866, -0.07225295901298523, -0.9057682752609253, -0.2669930160045624, 0.8883664011955261, -0.24716907739639282, 0.6666421294212341, -0.20820406079292297, -0.46402835845947266, -0.4605613052845001, -0.5893660187721252, -0.8191002011299133, -0.03726973012089729, -0.011790471151471138, -0.29852184653282166, 0.21280896663665771, 0.04250046983361244, -0.8500583171844482, -0.42867255210876465, -0.06462527066469193, 0.10370273888111115, 0.04367908462882042, 2.1375396251678467, 0.40720391273498535, 0.873318612575531, 1.162218689918518, 0.10297507792711258, 0.8822383284568787, -0.6643854379653931, 0.39170005917549133, 0.8319835066795349, 0.8185510635375977, -0.18587687611579895, 0.35250914096832275, -0.869387149810791, 0.2929551601409912, 1.2912566661834717, -0.5387470126152039, 0.7116304039955139, -0.505485475063324, 0.6495070457458496, -0.22541317343711853, 0.42107924818992615, -0.5792068243026733, 1.0578747987747192, -0.6598427295684814, 1.9652764797210693, -1.264374852180481, -0.42263054847717285, 1.7106497287750244, 1.1929235458374023, 0.43995386362075806, -0.08644842356443405, 0.4230203926563263, -0.5458136796951294, -0.05448179692029953, -0.7551124095916748, -0.7184253931045532, 1.674774408340454, -1.1557700634002686, 0.8322707414627075, -0.3591853380203247, -0.6339201927185059, 0.7014356255531311, 0.5163267850875854, 0.3570443391799927, -1.3842476606369019, -0.8553140759468079, -1.2427982091903687, 0.5289444923400879, 0.6377764940261841, -0.3000379800796509, -0.027097735553979874, 0.401768296957016, 0.6538780927658081, -0.30911773443222046, 0.653668999671936, -0.30797138810157776, -1.939347267150879, -0.1334192305803299, -0.17206919193267822, -1.313523769378662, 1.418131947517395, 0.21384553611278534, -0.435744971036911, 1.104468584060669, -0.39075350761413574, 0.8922935724258423, 0.19874030351638794, 0.2490663379430771, 0.09807780385017395, 1.5661725997924805, -0.5122475028038025, -0.23011116683483124, -0.7074221968650818, -0.2950688600540161, 4.455142021179199, 1.5674458742141724, 0.407406210899353, -1.8424807786941528, 0.0889521911740303, -1.1112534999847412, -0.006913716439157724, -0.4173992872238159, 0.2817598879337311, 0.050419557839632034, 0.5920948386192322, 1.2338228225708008, 0.3994370996952057, -0.3798472583293915, 0.7326593399047852, 0.9775056838989258, -0.35920432209968567, -0.4602155089378357, 0.7072240710258484, 0.13773342967033386, 0.1178196370601654, -0.3152512013912201, -0.9214117527008057, -1.1433805227279663, 1.2183738946914673, -0.27008530497550964, 0.9149702787399292, -0.8311153054237366, 1.4879412651062012, 0.2294958233833313, -0.7521511912345886, 0.8995009660720825, 0.6250476241111755, 0.8287618160247803, 0.8341003656387329, 0.04103804752230644, -0.4784972667694092, -0.48766446113586426, -0.5661803483963013, 0.3430909514427185, 0.2942937910556793, -0.1326150894165039, -0.3395722508430481, -0.5968696475028992, -1.077003836631775, -0.009053992107510567, -0.829664945602417, -0.7092809081077576, 0.4748748242855072, -0.10073404014110565, -0.24613484740257263, 0.17335404455661774, -0.5311517119407654, -1.0812772512435913, -0.8778334856033325, 0.8720799088478088, 0.026299690827727318, -1.0197429656982422, -0.288886696100235, -0.6650179624557495, 0.29402491450309753, -0.40919265151023865, 0.6425943374633789, -0.1778954118490219, 0.32518061995506287, 0.22258803248405457, 0.08827938139438629, 2.0980982780456543, -0.037443336099386215, -0.7553414702415466, -0.9963693022727966, 0.46186360716819763, -0.049855682998895645, -0.3387884497642517, -0.7043951749801636, 1.1261354684829712, -0.33804064989089966, 0.5053167343139648, -1.2136811017990112, -0.3752515912055969, -1.2237740755081177, 0.2843630313873291, -0.6613388657569885, 0.22493433952331543, -0.18587779998779297, -1.0331186056137085, -0.3488077223300934, 0.3438604474067688, 0.05607392266392708, 0.2152833491563797, 0.6369621753692627, 1.8174091577529907, 0.7323358058929443, -0.8037204146385193, -0.22876103222370148, 0.7448155283927917, -1.790449619293213, -0.24680005013942719, -1.2755558490753174, 0.989763081073761, 0.5828617215156555, -0.5816323161125183, -0.19594469666481018, 0.9932172298431396, -0.9322163462638855, -0.14116893708705902, 0.42524540424346924, -1.3198065757751465, -0.5121334791183472, 0.07297759503126144, 0.7785598039627075, -1.5161948204040527, -0.859120786190033, -0.48732706904411316, -0.45402267575263977, 0.1999530792236328, -0.3926701843738556, -0.6804793477058411, 0.6288407444953918, -0.5089198350906372, 0.8726587891578674, 0.15881285071372986, 0.41397157311439514, -0.3182491660118103, -0.4499458074569702, 0.11596740037202835, -0.9125022292137146, -0.008623591624200344, -0.1208108589053154, -0.4751925468444824, 0.3010013997554779, 0.23242886364459991, -0.11671724915504456, 0.4516409635543823, -0.5190137624740601, 0.03592044860124588, -0.06881480664014816, 0.9129103422164917, -1.0610625743865967, 0.21131058037281036, 0.21229755878448486, -0.3013213574886322, -0.4400046169757843, 0.8817626237869263, -0.565139889717102, -0.17073297500610352, 0.2939589321613312, 0.044016145169734955, 0.9497565627098083, 0.41220593452453613, -0.6815515756607056, -0.07216683030128479, 0.4761558473110199, 0.5808706879615784, 0.1494571417570114, 0.42572957277297974, -0.13673649728298187, 0.10155294090509415, 0.14228741824626923, -0.4412406384944916, 0.6596781015396118, 1.0718896389007568, -0.05082456395030022, -0.5632899403572083, -0.3611982464790344, 0.18336714804172516, -0.6372300386428833, 0.4768543243408203, -0.6091750264167786, 0.5544328093528748, 0.6229870319366455, -0.08202601969242096, 0.503482460975647, 0.7207470536231995, -1.4183107614517212, -0.2739256024360657, -0.37783822417259216, 0.3935500979423523, 0.6853777170181274, -0.7189950346946716, 0.666653573513031, 0.8588876724243164, -2.059217691421509, 0.17283505201339722, -0.523699164390564, -0.907200276851654, -0.7621746063232422, 0.8601398468017578, 0.05770007148385048, 0.2766666114330292, 0.15293093025684357, -0.31123846769332886, 0.8822020888328552, -0.1886967122554779, -0.5668982267379761, -0.3630523383617401, 0.3483613133430481, 0.6398412585258484, -0.1366967111825943, -0.5316382646560669, 0.8932192325592041, -1.2069332599639893, -1.4332410097122192, -0.13428696990013123, -1.6264142990112305, 0.37290990352630615, 0.0923231765627861, 1.4179553985595703, 0.15573260188102722, 0.7793983221054077, -0.08811080455780029, 0.016494132578372955, -0.3791743814945221, -0.24715779721736908, 0.1973666548728943, 0.8349937796592712, -0.4633065164089203, -0.326669842004776, 0.6910591125488281, -0.021038681268692017, -1.0155543088912964, 0.6583254337310791, -1.0336265563964844, -0.15295617282390594, 0.0008049425669014454, 0.01692047528922558, 0.12479956448078156, -0.9591462016105652, 0.0679178535938263, -1.1361854076385498, 0.2759414613246918, 0.5149084329605103, 0.5292415022850037, 0.36439570784568787, -0.060251832008361816, -0.205465629696846, -0.23859292268753052, 0.33376967906951904, 0.12433166056871414, -1.1118942499160767, -0.8527056574821472, -0.0793624147772789, -0.4771692752838135, 1.020096778869629, 0.3799365758895874, 0.09112684428691864, -0.5564349293708801, 0.6163040399551392, -0.1440848410129547, -0.15206047892570496, -0.6671875715255737, 0.06602910906076431, 0.877522885799408, -0.2615775167942047, 0.766272246837616, 1.2908034324645996, 1.0089300870895386, -0.6218531727790833, -1.2920019626617432, 0.1536368727684021, 0.9556354880332947, 0.7920836210250854, -0.19793543219566345, -0.03767795115709305, -1.6121567487716675, 0.34059351682662964, 0.43743669986724854, 0.9199463129043579, 0.23142781853675842, -0.5572412014007568, -0.3254191279411316, 0.4887041449546814, 0.34235548973083496, 0.20913344621658325, 0.42775866389274597, 0.6803414821624756, 0.3598291277885437, 0.0727640837430954, -0.353525310754776, -0.12228436768054962, -0.47660359740257263, 0.7569703459739685, -0.32580092549324036, 1.64077627658844, -0.29329362511634827, 0.01681123673915863, 0.22836023569107056, -0.003216132055968046, -1.414122223854065, 1.526971459388733, 0.3747776448726654, 0.2554265856742859, -1.0246108770370483, -0.6799773573875427, 1.0825968980789185, -1.500176191329956, -0.4876827001571655, 0.7432127594947815, -0.024796543642878532, -0.1057533249258995, 0.33565351366996765, 0.2691342532634735, -0.07722243666648865, 0.2617420554161072, 0.8965713381767273, -0.8607745170593262, -0.4163394868373871, 0.5901622772216797, 1.2379045486450195, 0.7612330317497253, -0.1281222701072693, 0.32471024990081787, 0.6962931752204895, -0.8252826929092407, 1.613168478012085, 0.009283330291509628, 0.0902804285287857, 0.552846372127533, 0.15298929810523987, 0.32664915919303894, -1.2010942697525024, -0.9431813955307007, -0.3024490475654602, 0.17800122499465942, 1.4789886474609375, -0.42679765820503235, 0.4446282684803009, -0.9324813485145569, 0.18799635767936707, -0.7640079855918884, -0.02995864488184452, -0.3934612572193146, 0.5781165957450867, 0.16518983244895935, -0.5375797152519226, -1.4937583208084106, -0.09767110645771027, 1.6057571172714233, -1.3383865356445312, -0.04748087748885155, -0.2765001058578491, 0.3944959044456482, 0.400428831577301, -0.5079367756843567, -1.9344775676727295, -0.790454626083374, 0.5130964517593384, 0.36876752972602844, -0.44785505533218384, -1.1888322830200195, -1.4972102642059326, -0.08888747543096542, 1.2424278259277344, -0.06036878004670143, -0.6760927438735962, -0.6700111627578735, -0.034905191510915756, 0.5206941366195679, 0.3959903419017792 ] ]
{ "indices": [ 3876624646, 2257684172, 3021954015, 1041011349, 3895703848, 3713535024, 1063320047, 3172858508, 3676260520, 437367475, 640124220, 2578007438, 1786548735, 2067848296 ], "values": [ 0.5963591629875121, 0.7471491088151536, 0.5963591629875121, 0.7471491088151536, 0.5963591629875121, 0.7471491088151536, 0.7471491088151536, 0.5963591629875121, 0.5963591629875121, 0.5963591629875121, 0.5963591629875121, 0.5963591629875121, 0.5963591629875121, 0.5963591629875121 ] }
{ "context": " What about Marmux? No. What about this one or this one? No. DR mux and SR mux in there. They matter? You're not writing into register file, you're not using the output, right? So they don't matter" }
443625
[ [ 0.19023747742176056, -0.7738329172134399, 0.28053927421569824, 0.41208985447883606, 0.5112535953521729, 0.004462702199816704, -0.6329783201217651, 0.6263915300369263, 0.5339251756668091, -0.8654294013977051, 0.9615549445152283, 0.5191752314567566, 0.5763572454452515, 0.43469396233558655, 0.3841556906700134, -0.42803603410720825, 0.7183270454406738, -0.32800066471099854, 0.07233224809169769, -0.2115037739276886, 1.1473426818847656, -0.19605675339698792, -0.5878694653511047, -0.24346216022968292, -0.8042203187942505, 0.7831525802612305, -0.019675539806485176, -0.5990285873413086, 0.23898114264011383, 0.7415853142738342, -0.616126537322998, -1.2250490188598633, 0.6571424007415771, -0.5505971312522888, 1.7167984247207642, 0.19606421887874603, -0.4912651479244232, -0.31865474581718445, 0.23112498223781586, 0.6485927700996399, -0.22697368264198303, 0.4170129597187042, -0.45626309514045715, 0.7007826566696167, -1.200181245803833, 0.5087491869926453, -0.4691842198371887, -0.11018718034029007, 1.1879158020019531, -0.6470191478729248, 0.9495518207550049, -0.29458245635032654, 0.8897665739059448, 0.2628965377807617, 0.4344978332519531, 0.5243796110153198, 1.0272690057754517, 1.5437120199203491, 0.22573722898960114, -1.528956651687622, -0.08179150521755219, -0.5117228627204895, 0.297687828540802, -0.4610016345977783, -0.004951241426169872, -0.42260605096817017, -0.5315765142440796, -0.9682509899139404, 0.7642581462860107, -0.3352964520454407, -0.5684504508972168, 0.34311485290527344, 0.43959882855415344, 0.2562388777732849, 0.4921525716781616, -0.6445913314819336, 0.1943359673023224, 0.5095508694648743, 0.8018170595169067, 1.0894391536712646, -1.0303231477737427, 0.3668559789657593, -0.5913758873939514, 0.255145400762558, 0.5008184909820557, -0.6241568326950073, 1.2948026657104492, -0.6927645802497864, 0.26954495906829834, 0.8375416398048401, 0.49218687415122986, -0.6481709480285645, 1.481024980545044, 0.24619874358177185, -0.5091439485549927, -0.08705189824104309, 0.4225691556930542, 1.256752848625183, 0.37886181473731995, -0.8465509414672852, 0.3164064884185791, -1.3363709449768066, 0.09807143360376358, 1.5841665267944336, 0.053854361176490784, 0.4273436963558197, -0.16632719337940216, -0.221366286277771, -0.43882015347480774, 0.32528403401374817, -0.9588340520858765, 0.9621764421463013, -0.039054986089468, 0.8175205588340759, -0.26247337460517883, -0.6463423371315002, -0.7150828242301941, -0.39841535687446594, 1.3427951335906982, 0.5200865864753723, 0.9260810613632202, 0.2988428771495819, 0.6151460409164429, -0.5923600196838379, -2.177339792251587, 0.6495486497879028, 0.4282797873020172, -0.24907845258712769, -0.9448883533477783, 0.7321046590805054, 0.5072517395019531, 0.25135451555252075, 0.11539220809936523, -0.03438476473093033, 0.5753739476203918, 0.8642814755439758, -2.0382418632507324, -1.3272262811660767, 1.0921547412872314, 0.23104816675186157, -0.5181897282600403, -1.678911566734314, 0.4694814682006836, 1.0711009502410889, 1.2867894172668457, 0.5480590462684631, 0.7959519028663635, 0.40550294518470764, -0.24814976751804352, 0.388221800327301, -0.7180100679397583, 0.11956906318664551, -1.051820993423462, -0.3629375696182251, -0.7336614727973938, -0.9106164574623108, -0.010601413436233997, -0.1636217087507248, -0.7129725217819214, 0.30662801861763, 0.5702765583992004, -0.331686794757843, 0.18738922476768494, -0.06128169223666191, -0.928372859954834, -1.0262328386306763, 1.8060139417648315, -0.10532543063163757, 0.515120267868042, 1.3329906463623047, 0.01711203157901764, -0.061758801341056824, 0.3134039342403412, 0.28950443863868713, 1.2587838172912598, -0.8055897355079651, 0.10640215873718262, -0.7027020454406738, 0.058221179991960526, 0.08254378288984299, -0.4939078092575073, -0.627521276473999, 0.015041141770780087, 1.160007119178772, 0.4631608724594116, 0.04044217988848686, -0.6238802075386047, 0.049496013671159744, 1.107913613319397, -1.0948957204818726, -0.6599209308624268, -1.0535885095596313, 0.5328841209411621, -0.06324730068445206, -0.7229865193367004, -0.9126565456390381, -0.664330780506134, 0.1795893907546997, 0.5753592252731323, -0.7411174178123474, -1.1705642938613892, 0.7904204726219177, 0.8239150047302246, -0.9932575821876526, -0.20332157611846924, 0.8172558546066284, 1.2837516069412231, -0.7781450152397156, 0.7297436594963074, -0.5722605586051941, -0.25909000635147095, 0.5551175475120544, -0.09125161170959473, 0.4417363405227661, 0.639561653137207, 0.22731788456439972, 0.28629082441329956, 0.40302574634552, -0.05230320245027542, 0.26069197058677673, -1.1555997133255005, 1.0760854482650757, 2.088502883911133, 1.134401798248291, 0.09439622610807419, 0.5042448043823242, -0.09337281435728073, 0.020770791918039322, 0.16492590308189392, -0.6389721632003784, -0.6260613799095154, -1.256321907043457, 0.08994697779417038, 0.3677223026752472, -0.3295673429965973, 0.29168155789375305, 1.9174339771270752, 0.7190302610397339, 1.00796377658844, -0.10180541127920151, -1.1876071691513062, 0.7750144600868225, 0.321264386177063, 0.45460954308509827, 0.5615739822387695, 1.364876627922058, 0.40964698791503906, 1.2580630779266357, -0.7139251828193665, 0.44133955240249634, -0.6468979120254517, 0.5416701436042786, 0.14980356395244598, -0.8183990716934204, 0.1697125881910324, -1.016379714012146, 0.39604654908180237, -0.8040982484817505, -0.3720847964286804, 0.37803396582603455, -0.27981168031692505, -0.38932570815086365, -0.34196555614471436, -0.7019755840301514, 0.04109984636306763, -0.23209460079669952, -0.2775686979293823, -0.7136411070823669, 1.4102742671966553, -0.5147054195404053, 0.47504374384880066, 0.5684018731117249, -0.5288979411125183, -0.712152898311615, 0.46410295367240906, 0.8853185772895813, -0.5142050981521606, 1.0384637117385864, -0.8402115106582642, -0.7058315873146057, -0.4687824249267578, 0.31509634852409363, 0.6883429884910583, -0.2522803544998169, -1.711002230644226, 0.463649183511734, -0.6877448558807373, -0.7800427079200745, 0.5817170739173889, 0.21011848747730255, -1.0282434225082397, 0.3036577105522156, -0.3547993004322052, -0.8327875137329102, 0.15287135541439056, -0.016670962795615196, -0.12131254374980927, 0.02173604629933834, 0.17623353004455566, 0.3185597360134125, 0.07336986064910889, -1.6660261154174805, -0.001805322477594018, -1.0218473672866821, -0.3232335150241852, 0.11224484443664551, -0.6714228391647339, -0.5096164345741272, -0.38655322790145874, 0.34930694103240967, -0.11257953941822052, -0.286586731672287, -0.3098255693912506, -1.2956202030181885, -1.4124666452407837, 0.20809508860111237, 0.5498292446136475, 1.073136329650879, -0.21109378337860107, -0.5232430696487427, 1.3366315364837646, 0.8324713110923767, -0.6369929909706116, -0.5317063927650452, 0.3465431034564972, 0.20089341700077057, -1.145583152770996, -0.3168177008628845, 1.1967295408248901, 0.25797128677368164, 0.5316944122314453, -0.39001983404159546, 0.9049509763717651, 1.7410540580749512, -0.47308793663978577, -0.8282552361488342, 0.05002646520733833, -0.18471024930477142, -0.8623405694961548, 0.001801624079234898, 0.5174274444580078, 0.5584008097648621, 0.9243673086166382, 0.3649386167526245, 1.241371750831604, 0.35322871804237366, -0.7106059193611145, 0.6344085931777954, 0.30195674300193787, 0.3899083733558655, -0.3790910840034485, 0.21571223437786102, 0.4348237216472626, -0.4842471182346344, -0.8239439129829407, 0.6309220194816589, 0.8932902216911316, -0.6534554958343506, -0.2030821144580841, 0.9065544009208679, 0.7810314297676086, 0.4082408845424652, -0.4273156523704529, -0.32447412610054016, 0.209757000207901, 0.7114770412445068, -0.36400163173675537, -0.07804908603429794, 0.7020170092582703, -0.24264676868915558, 0.48796266317367554, 0.5082077980041504, -0.5636495351791382, -0.5941181182861328, -1.6791192293167114, -0.773919939994812, 0.4333586096763611, 0.9861511588096619, 0.5294703245162964, 0.5899702906608582, 0.05990678071975708, 0.1139666959643364, -0.2003726363182068, -0.08411737531423569, -1.7421973943710327, 0.29427385330200195, 0.5778727531433105, -0.4287686049938202, 0.5973988771438599, -0.4390265643596649, 0.3689882457256317, -0.290193110704422, -0.7944472432136536, -0.23234520852565765, -0.12874291837215424, -1.1326589584350586, 0.6719213128089905, 0.5385623574256897, -0.6018778085708618, 0.5837224721908569, 0.7477948665618896, 2.129234790802002, 0.5408897995948792, 0.41782230138778687, 0.39449343085289, 0.018989738076925278, -0.6921979188919067, -0.1795605570077896, 0.2324753999710083, 0.47933655977249146, 1.072393774986267, 0.9223447442054749, 0.6982374787330627, -0.8912540078163147, -0.01419911440461874, 0.18740421533584595, 1.2018507719039917, 0.19382116198539734, 0.12600091099739075, -0.13405904173851013, -0.7180112600326538, -0.4387194514274597, 0.08236279338598251, 0.9044549465179443, -0.5993227958679199, 1.6255309581756592, 0.9539559483528137, 0.17919567227363586, 0.19098466634750366, 1.3942991495132446, 1.7589073181152344, -0.03905625268816948, -0.5733816027641296, -0.7657528519630432, -0.9044950008392334, -0.3355559706687927, -0.40061047673225403, 0.5155182480812073, 1.4185004234313965, 0.8817562460899353, -0.2815919518470764, 0.5570338368415833, 0.2137572467327118, -1.5192168951034546, 0.25893083214759827, -0.5583550333976746, -0.544025719165802, -0.5554551482200623, 0.49228736758232117, 0.3028809428215027, 0.37856900691986084, -0.454509437084198, 0.3619426190853119, -0.7025935649871826, -2.4810667037963867, -0.5401373505592346, 0.39523354172706604, 0.7604098320007324, -0.6846444606781006, 0.43668827414512634, -0.8910945057868958, -1.0590572357177734, 0.6245973110198975, -0.543479323387146, 1.4146395921707153, -0.8568616509437561, 0.5296815633773804, -0.26951491832733154, -1.4472720623016357, -0.9046598076820374, 0.847825288772583, 0.060687169432640076, 0.3648110628128052, -0.12987999618053436, -0.16764159500598907, -0.30508628487586975, 0.3147280812263489, 0.42670390009880066, 0.45769202709198, -0.5027422904968262, -0.6788489818572998, -0.027539677917957306, 0.7023447751998901, -0.9477640390396118, -0.6761007308959961, 0.1324487030506134, -1.2770752906799316, -0.8542324900627136, 0.9620546698570251, -0.6313759088516235, -0.780127227306366, 0.6107304096221924, -0.13480544090270996, -0.5369582176208496, -0.9718998670578003, -1.452026605606079, 0.9105545282363892, -0.4500664472579956, 0.5076115131378174, 0.3923606276512146, 0.6666401028633118, -0.6214570999145508, 0.01963186077773571, -0.4785512089729309, 0.04935454577207565, -0.6319575905799866, 0.8554383516311646, -0.9351734519004822, -0.41341841220855713, -0.8308320641517639, 0.8087685108184814, 0.07514756917953491, 0.1264539510011673, -0.22884416580200195, -0.566734254360199, -0.2866031229496002, 1.2637786865234375, -1.1311389207839966, 0.6905134916305542, -0.05224891006946564, -0.11374151706695557, -0.6518306732177734, 0.34061336517333984, 0.37601572275161743, -1.4160341024398804, 0.5078229308128357, 0.6087764501571655, -0.05839591845870018, 1.3789591789245605, -0.7612962126731873, -0.5133305191993713, 0.6785809397697449, -1.1554838418960571, 0.8040526509284973, 0.6060590744018555, 0.5340287685394287, -0.17079927027225494, -0.8641690015792847, 0.004658653866499662, 0.5286434888839722, -0.20272862911224365, 0.1930665671825409, -0.3191364109516144, 0.5055221915245056, 0.3565458059310913, -0.30427926778793335, -0.7825446724891663, -1.3618834018707275, -0.7386917471885681, 0.2164841890335083, -0.09359937161207199, -0.7227969765663147, -0.03675059974193573, 0.15227213501930237, -0.6899585127830505, 0.35071098804473877, 1.3164972066879272, -0.055756960064172745, 0.6286641359329224, 0.24375689029693604, 0.5012217164039612, 1.1727876663208008, 1.01960289478302, 0.3332888185977936, -0.24636448919773102, -0.13037443161010742, -0.1548186093568802, -0.31604886054992676, -1.1378062963485718, -0.7833205461502075, -0.12576884031295776, -1.0645411014556885, -0.35411331057548523, 1.258590817451477, -0.17913934588432312, 0.5338294506072998, -0.05384906381368637, -0.5788419842720032, -0.5693804025650024, -0.2666342556476593, -0.6893376111984253, -0.299816370010376, 0.08549335598945618, 0.03343760594725609, 0.520929753780365, -0.020789967849850655, -0.8470030426979065, -0.7311995625495911, 0.1643664538860321, 0.31961867213249207, 0.22302933037281036, 2.302833318710327, 0.5607290863990784, 0.4857235550880432, 1.020949363708496, 0.3343442380428314, 0.7852948307991028, -0.5923041701316833, 0.46827495098114014, 0.794701099395752, 0.688946008682251, -0.36672481894493103, 0.4569426476955414, -0.9478777647018433, 0.13786502182483673, 1.245298147201538, -0.6628662943840027, 0.6836074590682983, -0.3497011363506317, 0.28734472393989563, -0.25996506214141846, 0.3150911033153534, -0.48441174626350403, 0.6592140793800354, -0.512257993221283, 1.9971749782562256, -1.2606956958770752, -0.8670475482940674, 1.5077943801879883, 1.2173560857772827, 0.36852625012397766, 0.06421953439712524, 0.5363559722900391, -0.4616861939430237, -0.399872362613678, -0.774258017539978, -0.587209939956665, 1.5219320058822632, -1.27157461643219, 0.7194952964782715, -0.05169953405857086, -0.48894402384757996, 0.7028555274009705, 0.8086347579956055, 0.12539803981781006, -1.4351805448532104, -0.7937584519386292, -1.3308409452438354, 0.48328304290771484, 0.2748240828514099, -0.11780814826488495, -0.37183743715286255, 0.0978148952126503, 0.5404682755470276, -0.4543939232826233, 0.7587895393371582, -0.3480971157550812, -1.8864784240722656, -0.16176114976406097, -0.42564111948013306, -1.257743000984192, 1.4994187355041504, 0.1975473016500473, -0.38288652896881104, 1.1249147653579712, -0.4807579219341278, 0.945776104927063, 0.1678933948278427, 0.2912788987159729, 0.3201492130756378, 1.6152853965759277, -0.3475411832332611, -0.17582954466342926, -0.42639899253845215, -0.5360046625137329, 4.600125312805176, 1.5234845876693726, 0.08064765483140945, -1.8368513584136963, 0.31225088238716125, -0.7257267832756042, 0.14624273777008057, -0.3978245258331299, 0.3572124242782593, 0.05873047187924385, 1.0229511260986328, 1.1618684530258179, 0.6342990398406982, -0.018803637474775314, 0.5422468185424805, 0.8140138387680054, -0.2791157066822052, -0.7396115064620972, 0.7594164609909058, 0.16869667172431946, 0.012095319107174873, -0.14620088040828705, -1.0216139554977417, -1.149982213973999, 1.176210880279541, -0.29090002179145813, 0.4209473729133606, -0.5517174005508423, 1.244956612586975, 0.21041040122509003, -0.5911926627159119, 0.9349880218505859, 0.7625318765640259, 0.7826898097991943, 0.6953182816505432, 0.17484009265899658, -0.6945155262947083, -0.5809064507484436, -0.46328726410865784, 0.6252818703651428, 0.34149202704429626, -0.11605846881866455, -0.3844497501850128, -0.4670306444168091, -0.9031745195388794, -0.05577261373400688, -0.6891435980796814, -1.0198060274124146, 0.5377144813537598, 0.048823870718479156, -0.15786640346050262, 0.34165629744529724, -0.6182202100753784, -0.9686262011528015, -0.9347045421600342, 0.7974685430526733, 0.38991937041282654, -0.7893917560577393, -0.2529962658882141, -0.557066023349762, 0.1793949156999588, -0.2575867772102356, 0.8263001441955566, 0.48715054988861084, 0.3634550869464874, 0.23632535338401794, -0.32293030619621277, 1.919804334640503, -0.06822815537452698, -0.9127873778343201, -1.0637965202331543, 0.3462841212749481, -0.1384299248456955, -0.3417167365550995, -0.5440123081207275, 0.9654051065444946, -0.35179150104522705, 0.4481508433818817, -0.6852266788482666, -0.3863633871078491, -1.180106520652771, 0.39957690238952637, -0.5439574718475342, -0.05927924066781998, -0.45579397678375244, -1.0512384176254272, -0.460315465927124, 0.5165815949440002, 0.39961326122283936, 0.3584786653518677, 0.6102808713912964, 1.4910653829574585, 1.073059320449829, -1.022262692451477, 0.2128698229789734, 0.4862346351146698, -1.819856882095337, -0.2650335133075714, -0.8958333134651184, 1.1456536054611206, 0.3360427916049957, -0.42240580916404724, -0.22960691154003143, 0.8919373750686646, -0.933596670627594, -0.15213686227798462, 0.5864572525024414, -1.0928632020950317, -0.23220045864582062, 0.002213106257840991, 1.0674952268600464, -1.4137705564498901, -0.9813034534454346, -0.3356236517429352, -0.11173935234546661, 0.08736436069011688, -0.3461586833000183, -0.6280494332313538, 0.5867674946784973, -0.4547451436519623, 0.7179340720176697, 0.2406918704509735, 0.5540350675582886, -0.3084571361541748, -0.08634592592716217, 0.05343899130821228, -1.1462724208831787, 0.14320439100265503, -0.09883798658847809, -0.4742438495159149, 0.1531042456626892, 0.2561514675617218, -0.3659723699092865, 0.5014618635177612, -0.962934672832489, 0.05093828961253166, -0.034551866352558136, 0.609295666217804, -1.0927261114120483, 0.06588912010192871, 0.22039665281772614, -0.2610718011856079, -1.1447151899337769, 1.2763477563858032, -0.7215926647186279, -0.12502455711364746, 0.38408124446868896, -0.005066393408924341, 0.935439944267273, 0.330910861492157, -0.8626054525375366, 0.07776117324829102, 0.6014734506607056, 0.6560828685760498, 0.07499442994594574, 0.10415598750114441, -0.19615884125232697, -0.08737310767173767, 0.06111837550997734, 0.1897159069776535, 0.22270724177360535, 1.2241015434265137, -0.14875870943069458, -0.44621965289115906, -0.06696457415819168, 0.12423133105039597, -0.6495519280433655, 0.6022576093673706, -0.551173210144043, 0.5929983258247375, 0.60379558801651, -0.31983405351638794, 0.3455275297164917, 0.7592949867248535, -1.549454689025879, -0.14108440279960632, -0.35161423683166504, 0.24498723447322845, 0.5008540153503418, -0.7163635492324829, 0.5649141073226929, 0.9404497146606445, -1.9399868249893188, 0.47776859998703003, -0.2776258885860443, -0.8714869618415833, -1.0623903274536133, 0.8351802825927734, 0.06158844009041786, 0.35600903630256653, 0.2643866539001465, -0.3282164931297302, 0.7807869911193848, -0.34451839327812195, -0.5345038175582886, -0.5018135905265808, 0.24513673782348633, 0.6755061745643616, -0.19578243792057037, -0.5743799805641174, 0.769761860370636, -1.0949445962905884, -1.36962890625, -0.4524836838245392, -1.7691692113876343, 0.4829845726490021, 0.32895150780677795, 1.2905058860778809, 0.1445019692182541, 0.9019032716751099, -0.10176216810941696, -0.11290618032217026, -0.34897860884666443, -0.5120850205421448, 0.366050660610199, 0.554148256778717, -0.485260546207428, -0.5508105158805847, 0.6309054493904114, 0.13946066796779633, -0.8620350956916809, 0.5993313193321228, -1.1707755327224731, -0.07301095873117447, 0.11393309384584427, -0.13734853267669678, 0.39094504714012146, -1.0906261205673218, 0.17734436690807343, -1.0893745422363281, 0.5402758717536926, 0.3969765901565552, 0.5084033608436584, 0.3688001036643982, -0.007329090498387814, -0.3961852490901947, -0.3665080964565277, 0.1185920238494873, 0.19639764726161957, -1.5634517669677734, -0.8261526823043823, 0.020910324528813362, -0.2916339635848999, 1.0114024877548218, 0.6343610286712646, -0.11524640023708344, -0.42898422479629517, 0.9260964393615723, -0.02912456914782524, -0.06062236428260803, -0.7454885840415955, -0.1881888210773468, 0.7199265360832214, -0.49171605706214905, 0.9726098775863647, 1.300162672996521, 0.83466637134552, -0.7360754013061523, -1.0910707712173462, -0.2311514914035797, 0.98969566822052, 0.6767250299453735, -0.10596533119678497, 0.16130699217319489, -1.5319875478744507, 0.10353295505046844, 0.14023606479167938, 0.6157331466674805, -0.014509649947285652, -0.36215853691101074, -0.5747763514518738, 0.2970549166202545, 0.23932698369026184, 0.07914669811725616, 0.5508061051368713, 0.6938977241516113, 0.266911119222641, 0.09396586567163467, -0.40188834071159363, -0.006075266748666763, -0.1459181159734726, 0.5728263854980469, -0.48096853494644165, 1.6936001777648926, -0.23035098612308502, 0.06920871138572693, 0.08702749013900757, 0.018406305462121964, -1.3736799955368042, 1.656113862991333, 0.2769661247730255, 0.2116709202528, -0.832594633102417, -0.5761733651161194, 1.4162300825119019, -1.3990355730056763, -0.3563201427459717, 0.9457086324691772, -0.03589224815368652, -0.07422642409801483, 0.37127554416656494, 0.17833547294139862, 0.07022397965192795, 0.2599408030509949, 0.8555827140808105, -0.7839766144752502, -0.5245798230171204, 0.33219388127326965, 1.1002874374389648, 0.9685268998146057, -0.24337901175022125, 0.4153692126274109, 0.791368842124939, -0.492700457572937, 1.4396628141403198, 0.013271721079945564, 0.39338159561157227, 0.114471934735775, 0.24907180666923523, 0.03643839433789253, -0.7440314292907715, -0.980483889579773, -0.12003693729639053, 0.047454722225666046, 1.3189810514450073, -0.5560451745986938, 0.3335506021976471, -0.9785702228546143, 0.26605281233787537, -1.3380181789398193, -0.08756715804338455, -0.4366191327571869, 0.5671523213386536, 0.12210575491189957, -0.6181564331054688, -1.0565729141235352, -0.07795153558254242, 1.339128017425537, -1.4438683986663818, 0.01414224412292242, -0.27272745966911316, 0.34791404008865356, 0.23433566093444824, -0.37057527899742126, -1.779707431793213, -0.8314938545227051, 0.6720550656318665, 0.5350390672683716, -0.49981042742729187, -1.0000003576278687, -1.7936162948608398, -0.10838745534420013, 1.4344562292099, -0.22807613015174866, -0.6225601434707642, -0.7845526337623596, 0.3443964123725891, 0.28360018134117126, 0.4233317971229553 ] ]
{ "indices": [ 3796669908, 2257684172, 1866681274, 1491351846, 2006536704, 115441729, 3819098157, 3009698197, 2063350695, 1904070401, 1041011349, 3713535024, 2874966370, 3774983271, 3876624646, 3021954015, 3895703848, 1063320047, 3172858508, 3676260520, 437367475, 640124220, 2578007438, 1786548735, 2067848296, 1200021954 ], "values": [ 0.45449725721703593, 0.7691962589732724, 0.45449725721703593, 0.45449725721703593, 0.7142460396515701, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.8333063319157435, 0.7142460396515701, 0.45449725721703593, 0.7691962589732724, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593 ] }
{ "context": "So that better be a one. So what are the rest need to be? Zeroes. Good. Okay. So those are the bus gating signals. So which muxes matter? Yeah, PC mux. What about Marmux? No. What about this one or this one? No. DR mux and SR mux in there. They matter? You're not writing into register file, you're not using the output, right? So they don't matter. So only the PC mux. So what should PC mux be? The PC plus one, right? Which was zero, zero." }
520310
[ [ 0.4591987133026123, -0.73936527967453, 0.3459532856941223, 0.17146547138690948, 0.40977126359939575, -0.2978489398956299, -0.5163417458534241, 0.7203755974769592, 0.9589012265205383, -0.9613757729530334, 0.82994544506073, 0.6205767393112183, 0.36428433656692505, 0.4495335817337036, 0.3324771821498871, -0.4049215018749237, 0.6537710428237915, -0.3655907213687897, -0.11298253387212753, -0.18954679369926453, 1.1204224824905396, 0.06738292425870895, -0.3639427721500397, -0.12858222424983978, -0.6298299431800842, 1.0047006607055664, -0.17203563451766968, -0.7406152486801147, 0.2412641942501068, 0.8196786046028137, -0.6252292394638062, -1.4277217388153076, 0.7082783579826355, -0.5527455806732178, 1.829888939857483, 0.14869147539138794, -0.26354965567588806, -0.3316178023815155, 0.384638249874115, 0.5777234435081482, -0.249923974275589, 0.36561745405197144, -0.24535176157951355, 0.8638527393341064, -0.9758985638618469, 0.21660897135734558, -0.3105907142162323, -0.3083547353744507, 0.9217186570167542, -0.5614973306655884, 0.86590576171875, -0.34426137804985046, 0.8069475889205933, 0.1753179132938385, 0.5100470185279846, 0.5680649280548096, 0.9612792134284973, 1.5186516046524048, 0.39805543422698975, -1.186462640762329, -0.028932400047779083, -0.4646669328212738, 0.19591696560382843, -0.6703111529350281, -0.13344982266426086, -0.40053790807724, -0.509227991104126, -0.9282930493354797, 0.761906623840332, -0.259691447019577, -0.8054033517837524, 0.26806437969207764, 0.7713512778282166, 0.10187181085348129, 0.6467135548591614, -0.7511230111122131, 0.07352957129478455, 0.4546003043651581, 0.5872501134872437, 1.1239672899246216, -1.1185287237167358, 0.23291422426700592, -0.6671498417854309, 0.17944438755512238, 0.2810279130935669, -0.6179175972938538, 1.2252997159957886, -0.5119643211364746, 0.37286022305488586, 0.9637588262557983, 0.4836566746234894, -0.7130175828933716, 1.5181368589401245, 0.16893330216407776, -0.5956810116767883, 0.09461281448602676, 0.7050304412841797, 1.4807323217391968, 0.2604003846645355, -1.1120216846466064, 0.6934667229652405, -1.0494879484176636, 0.038869958370923996, 1.6278531551361084, 0.09878820180892944, 0.49507418274879456, -0.1717212200164795, -0.25695061683654785, -0.8326611518859863, 0.3202369809150696, -1.1926076412200928, 0.7669224739074707, -0.3455173969268799, 0.886782169342041, -0.3003900945186615, -0.4559517204761505, -0.6092517375946045, -0.5541531443595886, 1.2275822162628174, 0.7360818386077881, 1.023715615272522, 0.4624742269515991, 0.8274802565574646, -0.48333939909935, -2.1440834999084473, 0.8345369696617126, 0.3039529621601105, 0.04469430446624756, -1.0368156433105469, 0.7273275852203369, 0.5607826113700867, 0.2378368079662323, -0.06642839312553406, -0.27370399236679077, 0.5546333193778992, 0.9155242443084717, -1.906601905822754, -1.2764440774917603, 0.9925951957702637, 0.42310672998428345, -0.5771858096122742, -1.9375290870666504, 0.3017784357070923, 1.0511469841003418, 1.288419485092163, 0.7286388874053955, 0.6243273019790649, 0.27763113379478455, -0.3195992112159729, 0.4171709418296814, -0.7599862813949585, 0.33158963918685913, -1.3070669174194336, -0.313423752784729, -0.6171614527702332, -0.7949851751327515, -0.08770095556974411, 0.10583861172199249, -0.6899883151054382, 0.13113513588905334, 0.4482821822166443, -0.37657490372657776, 0.2519221901893616, -0.20347975194454193, -0.9967949390411377, -0.818160891532898, 1.7369052171707153, -0.09801320731639862, 0.5570595264434814, 1.3371751308441162, 0.04725103825330734, -0.09031621366739273, 0.1378454864025116, 0.23080915212631226, 1.3328313827514648, -0.6450682878494263, 0.28748294711112976, -0.4778589606285095, -0.08856592327356339, 0.29399770498275757, -0.449744313955307, -0.541171669960022, 0.09642304480075836, 1.6099421977996826, 0.4573928713798523, 0.09601418673992157, -0.6188785433769226, -0.17931494116783142, 1.0637688636779785, -0.9486544132232666, -0.7469802498817444, -1.0425418615341187, 0.7122275233268738, 0.06730671226978302, -0.7687914371490479, -0.7837054133415222, -0.5276937484741211, 0.23300966620445251, 0.6196210384368896, -0.651740550994873, -1.0770045518875122, 0.5589271187782288, 0.7566024661064148, -1.1806385517120361, -0.09000851958990097, 0.9113137722015381, 1.4950016736984253, -0.5983282327651978, 0.7982230186462402, -0.4629354178905487, -0.30792033672332764, 0.5303550362586975, -0.01869361102581024, 0.3976074159145355, 0.7336004972457886, 0.03602980449795723, 0.31607022881507874, 0.41957077383995056, -0.0751650407910347, 0.23937445878982544, -1.2409430742263794, 0.9881076216697693, 2.0151987075805664, 1.070842981338501, 0.4502306878566742, 0.33172863721847534, 0.028547393158078194, -0.22635018825531006, 0.34876003861427307, -0.693936288356781, -0.6034139394760132, -1.2536978721618652, 0.1007951945066452, 0.34642675518989563, -0.31974613666534424, 0.1138090193271637, 1.734096646308899, 0.8092096447944641, 0.9328585863113403, 0.023725658655166626, -1.3583366870880127, 0.8673129677772522, 0.346125066280365, 0.0031082406640052795, 0.7601505517959595, 1.5955140590667725, 0.32703208923339844, 1.3945708274841309, -0.4039348065853119, 0.24086330831050873, -0.7204568386077881, 0.662390410900116, 0.401319295167923, -1.1710282564163208, 0.2643231153488159, -0.9808843731880188, 0.22362279891967773, -0.9362243413925171, -0.5235487818717957, 0.6483938694000244, -0.21959246695041656, -0.3412724733352661, -0.5911991000175476, -0.6878630518913269, -0.10116372257471085, -0.10405883193016052, -0.059313081204891205, -0.7517451643943787, 1.6500881910324097, -0.418287456035614, 0.4461590051651001, 0.8262073397636414, -0.5005232691764832, -0.5639880299568176, 0.1838620901107788, 1.1822031736373901, -0.502677321434021, 1.0676990747451782, -0.7842283248901367, -0.43645867705345154, -0.31560346484184265, 0.19602158665657043, 0.4345422685146332, -0.2793920040130615, -1.7370803356170654, 0.7406366467475891, -0.4858699142932892, -0.7401991486549377, 0.3427019715309143, 0.12751398980617523, -1.0768134593963623, 0.2670235335826874, -0.6275343894958496, -1.1449952125549316, 0.2656240165233612, -0.023675939068198204, -0.0689605101943016, 0.2697611451148987, -0.10754788666963577, 0.6547409892082214, -0.024327976629137993, -1.4389272928237915, 0.03837261721491814, -1.3233046531677246, -0.17605675756931305, 0.22227872908115387, -0.907993495464325, -0.5965487957000732, -0.5409910678863525, 0.1342778205871582, -0.2632881700992584, -0.4430328905582428, -0.09233099967241287, -1.0676517486572266, -1.4843441247940063, 0.03269096836447716, 0.537460207939148, 0.8832183480262756, -0.3166768550872803, -0.4721454977989197, 1.338642954826355, 0.8870447278022766, -0.8510876893997192, -0.3637505769729614, 0.12081512808799744, -0.011115511879324913, -0.9817255735397339, -0.3398217558860779, 0.829153835773468, 0.07500433176755905, 0.4734514057636261, -0.5926417112350464, 0.8878499865531921, 1.6454031467437744, -0.2868480682373047, -1.1397336721420288, 0.016982149332761765, 0.15260522067546844, -0.8681848049163818, -0.17978253960609436, 0.7735583186149597, 0.710235595703125, 0.7104857563972473, 0.4117559492588043, 1.2067304849624634, 0.3589385449886322, -0.6149688959121704, 0.6260880827903748, 0.3262864351272583, 0.4775659441947937, -0.3345336616039276, -0.0539584755897522, 0.19519753754138947, -0.34430351853370667, -1.0777589082717896, 0.5007018446922302, 0.7862302660942078, -0.798713743686676, 0.014693166129291058, 0.7098931074142456, 0.9024825692176819, 0.002973104827105999, -0.34974807500839233, -0.5498630404472351, 0.2919549345970154, 0.4574314057826996, -0.4088863134384155, 0.05309756100177765, 0.6709556579589844, -0.11621182411909103, 0.7664356231689453, 0.589356541633606, -0.26795193552970886, -0.725810170173645, -1.6460866928100586, -0.756926417350769, 0.07912616431713104, 0.9406434297561646, 0.5089113712310791, 0.5870288610458374, 0.04023263230919838, 0.11560291796922684, -0.17402347922325134, -0.16228646039962769, -2.0474352836608887, 0.3354038596153259, 0.5333058834075928, -0.19830431044101715, 0.5820326209068298, -0.49291113018989563, 0.42105311155319214, -0.12181954830884933, -0.9443579316139221, -0.18365290760993958, 0.0685606449842453, -1.045534372329712, 0.4302968680858612, 0.19682623445987701, -0.3442133069038391, 0.7633930444717407, 0.5526989698410034, 2.020474433898926, 1.1425443887710571, 0.4134851098060608, 0.11683257669210434, -0.09275490790605545, -0.7011600136756897, -0.1490500122308731, 0.3903592526912689, 0.4953400194644928, 0.8279170989990234, 1.0501230955123901, 1.0152397155761719, -0.8657329678535461, -0.05379355326294899, 0.40588828921318054, 1.1113711595535278, 0.26285985112190247, 0.08726073056459427, -0.31522586941719055, -0.7510987520217896, -0.5721617341041565, -0.007507197093218565, 1.0795212984085083, -0.42465516924858093, 1.5604604482650757, 0.9760757684707642, 0.06399095058441162, 0.07235811650753021, 1.3169300556182861, 1.5108212232589722, -0.29872995615005493, -0.3634820580482483, -0.573962390422821, -0.7009180188179016, -0.3835296332836151, -0.2149067521095276, 0.2771448493003845, 1.1809614896774292, 0.6714562773704529, -0.8055285215377808, 0.41504156589508057, 0.2826678156852722, -1.5046032667160034, 0.3904899060726166, -0.5005344748497009, -0.5661965012550354, -0.4179438054561615, 0.8574867844581604, 0.3418653607368469, 0.3450177311897278, -0.47516709566116333, 0.20932616293430328, -0.6745046377182007, -2.4020657539367676, -0.5583096146583557, 0.6518062353134155, 0.5418608784675598, -0.7538601160049438, 0.37092044949531555, -0.6815404295921326, -0.9991598129272461, 0.6971771121025085, -0.7261284589767456, 1.2514854669570923, -0.8219335675239563, 0.33993691205978394, -0.20513257384300232, -1.4877357482910156, -1.014894723892212, 0.7976073622703552, -0.05808547884225845, 0.1240445077419281, -0.03496839478611946, -0.0915582999587059, -0.2537243664264679, 0.4539334177970886, 0.43596115708351135, 0.5424631834030151, -0.2373129278421402, -0.4132481813430786, -0.07687745988368988, 0.7286682724952698, -1.0585733652114868, -0.772304892539978, 0.3340897560119629, -1.1485801935195923, -0.7742507457733154, 0.8638225793838501, -0.6453704833984375, -0.5700741410255432, 0.6241583228111267, -0.20481707155704498, -0.8344298005104065, -0.6569545269012451, -1.2550843954086304, 0.9399135708808899, -0.6401671171188354, 0.6801131367683411, 0.5318477153778076, 0.4902753233909607, -0.6399937272071838, -0.128227099776268, -0.4754628837108612, 0.12729613482952118, -0.6809173226356506, 0.7120831608772278, -0.930171549320221, -0.36723339557647705, -0.830388069152832, 0.9429596066474915, 0.05689036101102829, 0.00043415656546130776, -0.1279383897781372, -0.4671890437602997, -0.215680792927742, 1.3674920797348022, -1.0753024816513062, 0.6722246408462524, -0.2278372049331665, 0.20886246860027313, -0.7522244453430176, 0.24361930787563324, 0.46996763348579407, -1.8000999689102173, 0.6322005987167358, 0.6070820093154907, 0.08244464546442032, 1.3206806182861328, -0.5044727325439453, -0.34012681245803833, 0.5517546534538269, -1.3625662326812744, 1.1802978515625, 0.6237814426422119, 0.7104063034057617, 0.034852370619773865, -0.9439771175384521, 0.0007209722534753382, 0.7416683435440063, -0.460988849401474, 0.15084758400917053, -0.3243710994720459, 0.5217607617378235, 0.4322447180747986, -0.3726206421852112, -0.6371515989303589, -1.5380045175552368, -0.7178958654403687, 0.23986324667930603, -0.06483818590641022, -0.8262174129486084, 0.06012379005551338, 0.11435495316982269, -0.6006525754928589, 0.4629483222961426, 1.5107938051223755, 0.23434342443943024, 0.4893893897533417, 0.17236541211605072, 0.2698603570461273, 1.2213131189346313, 0.979260265827179, 0.39244672656059265, -0.1821921318769455, -0.29666030406951904, -0.539767861366272, -0.579498291015625, -1.1555862426757812, -0.5460284948348999, -0.06700525432825089, -0.9762293696403503, -0.15029661357402802, 1.101134181022644, -0.24796845018863678, 0.7751315236091614, 0.006699846126139164, -0.7272440195083618, -0.6741814017295837, -0.46652349829673767, -0.8096425533294678, -0.2511858642101288, 0.22699835896492004, -0.17777186632156372, 0.39799895882606506, 0.1695433109998703, -0.9087409377098083, -0.6376647353172302, 0.2999153435230255, 0.34750881791114807, 0.004235523287206888, 2.111762523651123, 0.5559865236282349, 0.5845246315002441, 0.9848390221595764, 0.4849814772605896, 0.6623153686523438, -0.4255540072917938, 0.3611185550689697, 0.7914932370185852, 0.4952811300754547, -0.19552066922187805, 0.4326120913028717, -1.0124857425689697, 0.11228109151124954, 1.3002561330795288, -0.794247031211853, 0.7642248868942261, -0.4752775728702545, 0.4660319685935974, -0.09557981789112091, 0.1242571771144867, -0.7002170085906982, 0.5788657069206238, -0.35370156168937683, 1.9265316724777222, -1.0175442695617676, -0.5571386218070984, 1.6259230375289917, 1.0423866510391235, 0.43983137607574463, -0.04433045908808708, 0.4049617350101471, -0.42365574836730957, -0.277567595243454, -0.6754036545753479, -0.4966588020324707, 1.362018346786499, -1.5672972202301025, 0.7348361015319824, -0.03825017064809799, -0.5886980891227722, 0.667055070400238, 0.8131290674209595, -0.017974646762013435, -1.4237089157104492, -0.8851202130317688, -1.4093092679977417, 0.39183923602104187, 0.558968722820282, -0.460856169462204, -0.06026514992117882, 0.20829865336418152, 0.6297374963760376, -0.2954992651939392, 0.7165908217430115, -0.4330991208553314, -1.941680669784546, -0.0031893360428512096, -0.6760579943656921, -1.2990647554397583, 1.5782526731491089, 0.2793697416782379, -0.458812952041626, 1.3169655799865723, -0.4878506660461426, 0.850138247013092, 0.4999687671661377, 0.3888933062553406, 0.06624425202608109, 1.4868013858795166, -0.45267072319984436, -0.17652200162410736, -0.2513389587402344, -0.665278434753418, 4.377229690551758, 1.2426952123641968, 0.07281997054815292, -2.170046806335449, 0.28093260526657104, -0.9173870086669922, 0.24867814779281616, -0.2752465009689331, 0.2977374196052551, 0.07026206701993942, 0.9072911739349365, 0.9847676753997803, 0.47951096296310425, -0.21260897815227509, 0.6196754574775696, 0.6574633121490479, -0.4238182604312897, -0.6736154556274414, 0.8415841460227966, -0.056170761585235596, 0.03921209275722504, -0.23028495907783508, -1.0355961322784424, -1.1892794370651245, 1.4148595333099365, -0.19919897615909576, 0.7677088975906372, -0.7520598769187927, 1.0448641777038574, 0.058054566383361816, -0.4356369078159332, 0.951636791229248, 0.5424420833587646, 1.0388813018798828, 0.7372838258743286, 0.03581005707383156, -0.5025244951248169, -0.46535664796829224, -0.6295366287231445, 0.28554317355155945, 0.4254951477050781, -0.21850208938121796, -0.3263113796710968, -0.5828166007995605, -1.2074724435806274, 0.019520431756973267, -0.4856320023536682, -0.9070960283279419, 0.5332345366477966, -0.022669145837426186, -0.1528117060661316, 0.36191457509994507, -0.9280570149421692, -0.9038377404212952, -0.7437607645988464, 0.9265170693397522, 0.3745819926261902, -0.6877008080482483, 0.021473398432135582, -0.581632673740387, 0.3853357434272766, -0.3595581650733948, 0.7711582779884338, 0.4463579058647156, 0.6694651246070862, 0.1117321327328682, -0.0989600419998169, 2.1307640075683594, -0.20712633430957794, -0.8038365244865417, -1.0757176876068115, 0.6252351403236389, -0.17055557668209076, -0.3456592857837677, -0.503093421459198, 0.9733037352561951, -0.6066103577613831, 0.4359497129917145, -0.8895403146743774, -0.541906476020813, -1.33197021484375, 0.2319953739643097, -0.5787665247917175, 0.3705006241798401, -0.4543258249759674, -0.8476192951202393, -0.28020140528678894, 0.45933008193969727, 0.2603757977485657, 0.17363403737545013, 0.480753093957901, 1.6776584386825562, 0.916213870048523, -0.7726982831954956, 0.0698341429233551, 0.5162425637245178, -2.232290029525757, -0.19401170313358307, -1.0737324953079224, 1.0554678440093994, 0.4271243214607239, -0.6004889607429504, -0.2743680775165558, 0.8122813701629639, -0.9333512187004089, -0.09525670856237411, 0.7893056869506836, -0.9747915863990784, -0.3891454339027405, -0.17115136981010437, 0.9742991328239441, -1.302075743675232, -0.7544970512390137, -0.3446357250213623, -0.27155983448028564, 0.024055100977420807, -0.33710357546806335, -0.5906699299812317, 0.733951985836029, -0.40841904282569885, 0.7979360222816467, 0.5014371871948242, 0.5667701959609985, -0.5723825693130493, -0.37841203808784485, 0.20281070470809937, -1.0639945268630981, -0.08350392431020737, 0.06785155832767487, -0.8863995671272278, 0.44460928440093994, 0.33666130900382996, -0.33412256836891174, 0.4927092492580414, -0.8601439595222473, 0.1739398092031479, 0.02912295237183571, 0.7301433682441711, -1.1862469911575317, -0.023240795359015465, 0.44124510884284973, -0.17163363099098206, -0.8775990605354309, 1.2622781991958618, -0.6243110299110413, -0.0015262914821505547, 0.17934589087963104, -0.007215670309960842, 1.1492241621017456, 0.345392644405365, -0.852107584476471, -0.11750394850969315, 0.6101316213607788, 0.6363989114761353, 0.35222652554512024, 0.29899245500564575, -0.12077773362398148, -0.005041533149778843, 0.003123193047940731, 0.252029150724411, 0.6978156566619873, 1.2494791746139526, -0.004275315906852484, -0.7030006051063538, -0.29237106442451477, -0.12092692404985428, -0.8120294809341431, 0.6393231749534607, -0.6425846815109253, 0.3660733997821808, 0.5836275815963745, -0.4544980823993683, 0.1538739949464798, 0.7735135555267334, -1.4008867740631104, -0.1806906759738922, 0.0004053856828249991, 0.34938833117485046, 0.7963848114013672, -0.9583818316459656, 0.18874697387218475, 0.7338247895240784, -1.9051063060760498, 0.3975641131401062, -0.2238946259021759, -0.856121838092804, -0.7230396866798401, 1.0953770875930786, -0.3622807264328003, 0.4053640067577362, 0.15274888277053833, -0.2519824802875519, 1.194506287574768, -0.11781179159879684, -0.6772992014884949, -0.5211291909217834, 0.4156744182109833, 0.6643288135528564, 0.12791724503040314, -0.30402979254722595, 0.8915674686431885, -1.030590534210205, -1.611056923866272, -0.4530153274536133, -1.4214251041412354, 0.8359091281890869, -0.02020200714468956, 1.3679803609848022, 0.4317755103111267, 0.7233001589775085, -0.3132856488227844, 0.2077527493238449, -0.3961678743362427, -0.4154477119445801, 0.3962726891040802, 0.8431084156036377, -0.45299163460731506, -0.38248127698898315, 0.26299381256103516, 0.09613732993602753, -0.6869239211082458, 0.37299907207489014, -1.2869302034378052, -0.10242646187543869, 0.26537376642227173, 0.0024962672032415867, 0.23003971576690674, -1.0186980962753296, -0.16338515281677246, -1.3430430889129639, 0.22332841157913208, 0.19552461802959442, 0.6706547737121582, 0.33182814717292786, 0.2774571180343628, -0.4750654101371765, -0.42315903306007385, 0.5583018064498901, 0.6180066466331482, -1.3035341501235962, -0.5432959198951721, 0.10929455608129501, -0.2797371447086334, 1.1692057847976685, 0.34403562545776367, 0.11154814064502716, -0.6478384733200073, 0.7438125610351562, -0.38330963253974915, 0.07325469702482224, -0.7108923196792603, 0.14491520822048187, 0.8138253688812256, -0.4180528521537781, 0.785334587097168, 1.0577040910720825, 0.8872935771942139, -0.6144667267799377, -1.1452100276947021, -0.1905335783958435, 1.17550528049469, 0.5186517834663391, -0.2527995705604553, 0.18321292102336884, -1.913607120513916, 0.22292843461036682, 0.3316696286201477, 0.5625162720680237, 0.08549767732620239, -0.6089322566986084, -0.710761547088623, 0.3242085874080658, 0.07395880669355392, -0.054422684013843536, 0.5411193370819092, 0.6827390193939209, 0.5906804203987122, 0.22026032209396362, -0.3808487057685852, -0.24721047282218933, -0.35946983098983765, 0.62265545129776, -0.1270081251859665, 1.5332112312316895, -0.4820414185523987, 0.14490799605846405, 0.04897390305995941, -0.15070153772830963, -1.4327839612960815, 1.567644715309143, 0.4310498535633087, 0.025541316717863083, -0.8254957795143127, -0.6739422082901001, 1.148445725440979, -1.3730918169021606, -0.2701490521430969, 0.8478134870529175, -0.19930677115917206, -0.08220969885587692, 0.33628833293914795, 0.22910678386688232, 0.23651602864265442, 0.29314032196998596, 0.8825646042823792, -0.6729432940483093, -0.5181058049201965, 0.44512084126472473, 1.1792593002319336, 0.756991446018219, -0.5083792805671692, 0.6172887682914734, 0.8790208697319031, -0.6204220652580261, 1.7251044511795044, -0.03997588902711868, 0.2772650122642517, 0.17335145175457, 0.21820949018001556, 0.3758108615875244, -0.8296799659729004, -1.0391225814819336, -0.21722659468650818, 0.01981593854725361, 1.2573504447937012, -0.7578437924385071, 0.5728212594985962, -0.9286112189292908, 0.31858354806900024, -1.2496882677078247, 0.037204235792160034, -0.3788885772228241, 0.41977640986442566, -0.19889885187149048, -0.39284056425094604, -1.2308855056762695, 0.13608188927173615, 1.3399803638458252, -1.4124819040298462, -0.09156505763530731, -0.2600027322769165, 0.22134912014007568, 0.2902969717979431, -0.6985003352165222, -1.85381281375885, -0.8049162030220032, 0.5742437839508057, 0.35656672716140747, -0.4271754026412964, -1.028098464012146, -1.4069907665252686, -0.18320998549461365, 1.3215687274932861, -0.32972654700279236, -0.4459359347820282, -0.8588861227035522, 0.20629161596298218, 0.3726189434528351, 0.4391605854034424 ] ]
{ "indices": [ 3774983271, 1041011349, 1200021954, 2257684172, 1786548735, 2006536704, 3819098157, 1866681274, 1063320047, 2067848296, 1551089265, 3731741184, 640124220, 3176141921, 3062174764, 2391722386, 691409538, 2063350695, 1833938074, 2394752843 ], "values": [ 0.7400676094394503, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.791501851540453, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.6549466811756126, 0.6549466811756126, 0.6549466811756126, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.7400676094394503, 0.7400676094394503, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813 ] }
{ "context": "So only the PC mux. So what should PC mux be? The PC plus one, right? Which was zero, zero. So, okay, what about the rest? They're all don't cares, right? Because none of them are used. So we can just fill them all up with don't cares. All right. So what about the ALU and memory? So here's the ALU. Gate ALU is off, right? So it's not used. Memory is down here. We're not doing anything with memory, so it should be turned off." }
252744
[ [ 0.17151689529418945, -0.7844898700714111, 0.32427436113357544, 0.22329400479793549, 0.17430798709392548, 0.06084485724568367, -0.8489887714385986, 0.36190077662467957, 0.3023432195186615, -0.07303734868764877, 0.46161267161369324, 0.5253573656082153, 0.41186583042144775, 0.2476051300764084, 0.15164007246494293, -0.20264901220798492, 0.6054606437683105, -0.5974606275558472, -0.17234687507152557, -0.42361751198768616, 0.24119476974010468, 0.44336998462677, -0.1423315852880478, -0.3697653114795685, -0.8568171858787537, 1.0713183879852295, 0.20655256509780884, -0.8769091367721558, -0.11063394695520401, 0.78371262550354, -0.3162729740142822, -1.2619695663452148, 0.8818424344062805, -0.567699134349823, 2.0277321338653564, 0.23266656696796417, -0.16032005846500397, -0.3659078776836395, 0.3964962959289551, 0.7368280291557312, -0.62800532579422, 0.6660404205322266, -0.36029720306396484, 0.31561416387557983, -0.879822850227356, 0.3551204204559326, -0.26461538672447205, -0.023266373202204704, 1.1550233364105225, -0.6563979387283325, 0.9467403888702393, -0.2773888111114502, 1.0177512168884277, -0.04554295539855957, 0.020609207451343536, 0.5808429718017578, 0.9727170467376709, 1.9042741060256958, 0.3235200345516205, -1.2022494077682495, 0.031799428164958954, -0.3824191987514496, -0.5443122386932373, -0.5691233277320862, -0.09402583539485931, 0.013124159537255764, -0.5378840565681458, -1.3276078701019287, 0.5291803479194641, -0.025895940139889717, -0.6073731780052185, 0.4928959310054779, 0.4535195231437683, 0.06751643866300583, 0.44872599840164185, -0.728042483329773, 0.3467559516429901, 0.5341195464134216, 0.23572929203510284, 0.8207212686538696, -0.39070814847946167, 0.3844195306301117, -0.59886234998703, 0.03991619870066643, 0.5304911732673645, -0.9206299781799316, 1.417095422744751, -0.6017146706581116, 0.3447839319705963, 0.6986527442932129, 0.6092960834503174, -0.40850308537483215, 1.6169182062149048, 0.1721382886171341, -0.8443325161933899, -0.16080057621002197, 0.4679381847381592, 1.0554563999176025, 0.16439978778362274, -0.9409003853797913, 0.554043710231781, -0.7727985382080078, -0.24679222702980042, 1.745774269104004, 0.21596409380435944, 0.42522478103637695, 0.03936208784580231, -0.31848734617233276, -0.9863438010215759, 0.5287396907806396, -0.8345800042152405, 1.1334562301635742, -0.7499223947525024, 0.6275789737701416, 0.10134901106357574, -0.7540895342826843, -0.46263718605041504, -0.5423669219017029, 1.0215307474136353, 1.2047030925750732, 0.5637642741203308, 0.5207056999206543, 0.8311362266540527, -0.42148101329803467, -1.6063584089279175, 0.8871657848358154, 0.28286319971084595, -0.024814344942569733, -0.46211302280426025, 0.7206539511680603, 0.12588398158550262, 0.11306086927652359, -0.23836983740329742, 0.14527738094329834, 0.29671815037727356, 0.19030700623989105, -2.0071356296539307, -1.0557149648666382, 0.7709460854530334, 0.09931551665067673, -0.003242307575419545, -2.020951747894287, 0.1645680069923401, 0.8592826724052429, 1.0347267389297485, 0.8195791244506836, 0.5908382534980774, 0.13095928728580475, -0.36420533061027527, 0.22192664444446564, -0.6470347046852112, 0.12000007927417755, -0.9962444305419922, 0.20588047802448273, -0.7409594058990479, -1.5757758617401123, 0.32899990677833557, -0.42065805196762085, -0.4723498523235321, 0.42850548028945923, 0.12573444843292236, -0.28440985083580017, 0.11768867075443268, -0.30871152877807617, -1.0229597091674805, -0.7761557698249817, 1.4214599132537842, -0.19190700352191925, 0.3357684314250946, 1.2377488613128662, -0.002093578688800335, 0.20147089660167694, 0.2585228383541107, 0.2581281363964081, 1.2724995613098145, -0.5658321380615234, 0.47244080901145935, -0.15330885350704193, -0.2754994332790375, 0.28606998920440674, 0.1199650838971138, -0.5903080105781555, -0.055224549025297165, 1.4146053791046143, 0.11868160218000412, 0.2576664686203003, -0.5088062882423401, -0.6721014380455017, 0.972933292388916, -0.8189022541046143, -0.5897363424301147, -0.8930193185806274, 0.802823007106781, -0.1900232434272766, -0.34636831283569336, -0.6918042898178101, -0.66924649477005, -0.20943357050418854, 0.4220290184020996, -0.8477511405944824, -0.9162485003471375, 0.6698870062828064, 0.3971107602119446, -0.4181373715400696, 0.18354354798793793, 1.2373969554901123, 0.9777740240097046, -0.5684342980384827, 0.867620050907135, -0.6350265145301819, -0.523865818977356, 0.32793253660202026, -0.5178854465484619, 0.1286419779062271, 0.4453568756580353, -0.39683255553245544, 0.10719626396894455, 0.2777411937713623, -0.5428099632263184, -0.3715347945690155, -1.3218871355056763, 0.7619310021400452, 1.8105976581573486, 1.0461345911026, 0.5201475024223328, 0.21510612964630127, -0.3395249843597412, -0.1524520218372345, 0.47792544960975647, -0.647409975528717, -0.25534263253211975, -0.9528008103370667, 0.4764869511127472, 0.29962825775146484, 0.16473932564258575, 0.03595259413123131, 1.3430125713348389, 0.8404220938682556, 0.9591420888900757, 0.5990766882896423, -1.1435647010803223, 1.196557641029358, 0.3489374816417694, -0.0358312763273716, 0.3247554302215576, 1.3555073738098145, -0.034440696239471436, 1.1013647317886353, -0.4445684552192688, 0.07554424554109573, -0.8720133900642395, 0.7438136339187622, 0.44065186381340027, -0.8681952953338623, 1.0107486248016357, -1.4504306316375732, 0.11674520373344421, -0.9555364847183228, -0.02170301415026188, 1.021185278892517, -0.26598018407821655, -0.2569828927516937, -0.8750351071357727, -0.6506552696228027, 0.2814735472202301, 0.41536036133766174, -0.25132060050964355, -0.8407973051071167, 1.5588600635528564, -0.2734646499156952, 0.7942830920219421, 0.8005565404891968, 0.1713549941778183, -0.5701236128807068, 0.20306596159934998, 0.8870586156845093, -0.44413357973098755, 1.1391667127609253, -0.3641074597835541, -0.2698160409927368, -0.09744558483362198, 0.1591755747795105, 0.21133162081241608, -0.7029679417610168, -1.6364012956619263, 0.4764789938926697, -0.5422714352607727, -1.0127829313278198, 0.6968590617179871, 0.3653082251548767, -0.7099323272705078, 0.6411012411117554, -0.02851768769323826, -0.6839866638183594, 0.06141247600317001, 0.515824019908905, 0.20582903921604156, 0.5219844579696655, -0.3411848545074463, 0.7944232821464539, 0.10861741751432419, -1.119344711303711, 0.3575519323348999, -1.156306505203247, -0.74953693151474, 0.15901526808738708, -1.0707556009292603, -0.4451875686645508, -0.33547961711883545, 0.12256564199924469, -0.013397096656262875, -0.6736287474632263, -0.27357688546180725, -1.288694143295288, -1.5539432764053345, 0.2270956188440323, 0.17103295028209686, 1.1115014553070068, -0.33363527059555054, -0.5967692136764526, 1.011452078819275, 0.9045521020889282, -0.8069611191749573, -0.5413274168968201, -0.07311718165874481, -0.1716107279062271, -0.6818576455116272, -0.3357892334461212, 0.6113392114639282, 0.6310720443725586, -0.027013884857296944, -0.35641399025917053, 1.0610344409942627, 1.0136032104492188, -0.06312607228755951, -0.8362277150154114, 0.41960564255714417, 0.1902887225151062, -0.8939209580421448, 0.07369925081729889, 0.47031116485595703, 0.41129785776138306, 0.48165586590766907, 0.21229010820388794, 0.7354641556739807, 0.4062664806842804, -0.5340341925621033, 0.2897545397281647, -0.10098250955343246, 0.5052458047866821, -0.0279446542263031, -0.09542504698038101, -0.05944265425205231, -0.46666568517684937, -0.8913818597793579, 0.42293283343315125, 0.7507203221321106, -0.369479775428772, 0.030512887984514236, 0.9181510210037231, 0.6583909392356873, -0.3408530056476593, -0.3669717311859131, -0.6871404647827148, 0.1013646349310875, 0.20305798947811127, -0.6269150972366333, -0.038923148065805435, 0.9078510999679565, 0.33901065587997437, 0.4276401400566101, 0.5255078673362732, -0.12625683844089508, -0.7455383539199829, -1.475070595741272, -0.6533206701278687, 0.10080882906913757, 1.1541883945465088, 0.3052554130554199, 1.1442416906356812, -0.17972992360591888, 0.07032973319292068, -0.2483830451965332, 0.2632240951061249, -1.382234811782837, 0.46479320526123047, 0.45736902952194214, 0.3231393098831177, 0.10893382877111435, -0.0493125356733799, 0.4296155869960785, -0.09106530249118805, -0.7709721326828003, -0.3019621670246124, -0.280198872089386, -0.8659375905990601, 0.30498889088630676, -0.35774633288383484, -0.0384640246629715, 0.32893818616867065, 0.22036011517047882, 1.780775785446167, 0.720202624797821, 0.49718233942985535, 0.11140049248933792, 0.17380279302597046, -0.3992026448249817, -0.40379512310028076, 0.7426026463508606, 0.40217331051826477, 0.7501887679100037, 0.8965632319450378, 0.6238529682159424, -0.8268490433692932, -0.12591421604156494, 0.032168444246053696, 0.8013968467712402, 0.8732913732528687, 0.4624558091163635, -0.4706071615219116, -0.49311205744743347, 0.09750207513570786, 0.4159882664680481, 0.892125129699707, -0.9527783989906311, 0.9978021383285522, 0.5522988438606262, -0.3137369453907013, 0.480672150850296, 1.2848105430603027, 1.0224260091781616, -0.0706232339143753, -0.6849125623703003, -0.8407515287399292, -0.1358736902475357, 0.24721786379814148, -0.3960195779800415, 0.2934287488460541, 0.7052126526832581, 0.22424449026584625, -0.864371120929718, 0.5849125981330872, -0.5279561281204224, -1.6507301330566406, 0.17989805340766907, -0.40609556436538696, -0.6758226752281189, -0.44978904724121094, 0.9186232089996338, 0.26170650124549866, 0.7157963514328003, -0.1747622787952423, -0.06655219197273254, -0.454191118478775, -2.640014410018921, -0.1701621562242508, 0.47279226779937744, 0.35242903232574463, -0.4383176267147064, 0.1096968948841095, -0.6536018252372742, -0.8294618129730225, 0.6522138118743896, -1.1502878665924072, 0.929672122001648, -0.9955552220344543, -0.14073261618614197, -0.22673937678337097, -1.7635550498962402, -1.1870814561843872, 1.3880159854888916, 0.005548796616494656, 0.25285932421684265, 0.15946875512599945, -0.1330309957265854, 0.19002631306648254, 0.8178869485855103, 0.4080337584018707, 0.3323190212249756, -0.053381990641355515, -0.5237109661102295, 0.2680395245552063, 0.7731922268867493, -1.5801070928573608, -0.8708935976028442, 0.4100905656814575, -0.8742283582687378, -0.6332835555076599, 0.5444591045379639, -0.4936377704143524, -0.3221038579940796, 0.5312052369117737, -0.1372084617614746, -0.734730064868927, -0.5100621581077576, -1.4174320697784424, 0.6665189266204834, -0.2532446086406708, 0.6417151689529419, 0.7576348185539246, 0.19189506769180298, -0.43913450837135315, -0.05144887417554855, -0.0034656631760299206, 0.398918092250824, -0.39668306708335876, 0.6428717374801636, -0.7553922533988953, -0.7649427056312561, -0.4166697561740875, 0.9518886208534241, -0.24346886575222015, -0.04279457405209541, -0.08337614685297012, -0.08357096463441849, -0.23211096227169037, 0.9546147584915161, -1.001297116279602, 0.29999545216560364, -0.26811087131500244, 0.2220732718706131, -0.7711616158485413, 0.18874706327915192, 0.424300879240036, -1.4172533750534058, 0.40980350971221924, 0.6146693229675293, 0.5826446413993835, 0.4938163161277771, -0.6074472665786743, 0.7239853143692017, 0.08677459508180618, -1.1202653646469116, 0.8425132036209106, 0.19371075928211212, 0.8797131776809692, 0.18091697990894318, -0.8237062096595764, -0.5959163904190063, 0.6985024213790894, 0.23578664660453796, 0.22946050763130188, 0.03383472561836243, 0.5743746757507324, 0.8509098291397095, 0.06532806903123856, -0.5228670239448547, -1.189143180847168, -0.1880941390991211, 0.29178139567375183, 0.00604273471981287, -0.8762857913970947, 0.13674695789813995, 0.41904744505882263, -1.3065251111984253, 0.4905269742012024, 1.6591308116912842, 0.388608455657959, 0.09301571547985077, 0.35846906900405884, 0.009659817442297935, 1.1344722509384155, 0.5324344635009766, 0.5014895796775818, 0.21944862604141235, -0.12224128842353821, -0.8601587414741516, -0.9467198252677917, -0.9152140617370605, -0.47751808166503906, -0.054726503789424896, -0.7896572947502136, -0.5739612579345703, 0.9871048927307129, 0.13032028079032898, 0.7754426002502441, 0.041875820606946945, -1.1980063915252686, -0.4657965898513794, -0.8968581557273865, -0.590519905090332, -0.26501718163490295, 0.5555812120437622, 0.41549360752105713, 0.28720709681510925, 0.9843935966491699, -0.6343204975128174, 0.40145450830459595, 0.28883910179138184, 0.38117411732673645, 0.3638189733028412, 1.780497670173645, 0.21061228215694427, 0.608497142791748, 1.2509493827819824, 0.5797572731971741, 0.8865134716033936, -0.5780208110809326, 0.14266422390937805, 0.74761962890625, 0.27180081605911255, -0.1711621880531311, -0.10814757645130157, -1.029012680053711, 0.7439890503883362, 1.1318535804748535, -0.7347959876060486, 0.647949755191803, -0.3913625478744507, 0.4639063775539398, -0.3411143720149994, 0.6649726629257202, -0.8475538492202759, 0.531225323677063, -0.36679038405418396, 1.785315752029419, -1.3749196529388428, -0.4396943151950836, 1.1517603397369385, 0.9600879549980164, 0.66875821352005, 0.3905913233757019, 0.4748838245868683, -0.19450664520263672, -0.49636322259902954, -0.5132402181625366, -0.6411818265914917, 1.0261387825012207, -2.0094451904296875, 0.8705323934555054, 0.09438053518533707, -0.20484758913516998, 0.46340975165367126, 0.527832567691803, 0.06414373219013214, -1.0766347646713257, -0.6468178033828735, -0.8538329005241394, 0.22769568860530853, 0.3573802411556244, -0.4468036890029907, 0.008837256580591202, 0.13116416335105896, 1.015293002128601, -0.25712695717811584, 1.0513380765914917, -0.7136529088020325, -1.9243491888046265, -0.08842899650335312, -0.6618301868438721, -1.028615951538086, 1.5523154735565186, 0.24586369097232819, -0.573628842830658, 1.1511824131011963, -0.38320106267929077, 0.7741398215293884, 0.67840975522995, 0.5885583162307739, -0.031966980546712875, 1.2856128215789795, -0.19692057371139526, -0.2781226336956024, -0.34919607639312744, -0.7834510803222656, 5.112331390380859, 1.3816250562667847, -0.19679266214370728, -1.7710075378417969, 0.02352014183998108, -1.322787880897522, 0.03874540328979492, -0.07569180428981781, -0.0264191422611475, 0.031006406992673874, 0.7238530516624451, 1.363274097442627, 0.7661622762680054, -0.19050760567188263, 0.7404036521911621, 0.9197705388069153, -0.6900367140769958, -0.3398798704147339, 1.0957497358322144, -0.15313297510147095, -0.07279104739427567, -0.707754373550415, -0.9141424894332886, -0.8885079622268677, 1.238027572631836, 0.1220230907201767, 0.8715702295303345, -0.7365635633468628, 0.9573948383331299, -0.33324652910232544, -0.42487674951553345, 0.8425617218017578, 0.44266438484191895, 0.9586518406867981, 0.2067301869392395, 0.16194532811641693, -0.10260763019323349, -0.14009033143520355, -0.4474346339702606, 0.27258291840553284, 0.446688175201416, -0.47131651639938354, -0.5101105570793152, -0.36010298132896423, -1.1051756143569946, 0.10955585539340973, -0.6892781853675842, -0.682458221912384, 0.598040759563446, -0.15282042324543, -0.26298242807388306, 0.5369264483451843, -0.5615345239639282, -0.908351480960846, -0.6430678963661194, 0.6456830501556396, 0.35184040665626526, -0.08235586434602737, -0.31547221541404724, -0.8897550106048584, 0.22802312672138214, 0.10101772844791412, 0.34053847193717957, -0.01487500686198473, 0.6231098771095276, 0.06532327085733414, 0.3329162001609802, 1.58004629611969, -0.2114553451538086, -0.48310428857803345, -0.8309553265571594, 0.3883151113986969, -0.4234219193458557, -0.6180218458175659, -0.38304468989372253, 1.181949496269226, -0.3978452682495117, 0.18186058104038239, -0.6393577456474304, -0.5073977112770081, -1.2628713846206665, 0.13073916733264923, -0.24219368398189545, 0.30092182755470276, -0.5944235324859619, -0.6535173654556274, -0.3493855893611908, 0.37456387281417847, -0.43364161252975464, 0.07187982648611069, 0.03647002950310707, 1.4798462390899658, 0.5906758308410645, -0.5975086688995361, -0.4571690559387207, 0.21189650893211365, -1.7381514310836792, -0.16176190972328186, -1.309490442276001, 1.4091243743896484, 0.6491182446479797, -0.3722153604030609, -0.18872416019439697, 0.7743616104125977, -1.0916798114776611, 0.15074771642684937, 0.6930254697799683, -0.8577642440795898, -0.19626131653785706, -0.006647553760558367, 0.6675423383712769, -1.5135815143585205, -0.7447094321250916, -0.5583168864250183, -0.12532584369182587, 0.1457916498184204, -0.20939554274082184, -0.6401002407073975, -0.19791541993618011, -0.5913431644439697, 0.5974339246749878, 0.6398923993110657, 0.44773074984550476, -0.7079167366027832, -0.2696193754673004, 0.16676726937294006, -1.1032321453094482, -0.7526293992996216, 0.3000280261039734, -0.956856369972229, 0.20453642308712006, 0.031523820012807846, -0.44734981656074524, 0.5776901245117188, -0.872002124786377, 0.0595368891954422, 0.1690656989812851, 0.47227343916893005, -1.1245611906051636, -0.0733548179268837, 0.4238312542438507, -0.2758362293243408, -0.38682088255882263, 1.0458611249923706, -0.2964208424091339, 0.20511314272880554, 0.310430109500885, 0.08745747804641724, 1.1507880687713623, 0.9201523065567017, -1.101449728012085, -0.9471771121025085, 0.2308126837015152, 0.45650041103363037, 0.1794016808271408, 0.2454412877559662, 0.014661994762718678, -0.09304480254650116, -0.6066588759422302, 0.3377175033092499, 0.7614085078239441, 0.6880900263786316, -0.12927553057670593, -1.0390050411224365, -0.2544572353363037, -0.0036648300010710955, -0.27967679500579834, 0.7330154776573181, 0.004841067362576723, 0.1570088416337967, 0.4590749144554138, -0.12096179276704788, -0.024342618882656097, 0.37159445881843567, -0.9813429117202759, -0.22551582753658295, -0.20185676217079163, 0.8669558763504028, 0.980748176574707, -0.6370285749435425, -0.07298658043146133, 0.4406510889530182, -1.671350359916687, 0.8692275285720825, 0.08603673428297043, -1.0798496007919312, -0.4223841428756714, 1.3248502016067505, -0.2465631365776062, 0.1571514904499054, 0.2118200957775116, -0.448628693819046, 0.8997741341590881, 0.6133008003234863, -0.5755519270896912, -0.6685736179351807, 1.0787036418914795, 0.6790462136268616, 0.1570739448070526, -0.4622202515602112, 0.20731066167354584, -0.4868370592594147, -1.4304941892623901, -0.07767486572265625, -1.5503312349319458, 0.6652411222457886, 0.12900219857692719, 1.0276750326156616, -0.23636244237422943, 0.5248920321464539, -0.2404094934463501, 0.22340761125087738, -0.028019879013299942, -0.08705353736877441, -0.07404078543186188, 0.9517849087715149, -0.5888647437095642, -0.21304166316986084, 0.40944674611091614, -0.09436265379190445, -0.39961257576942444, 0.09116309881210327, -1.6572091579437256, -0.21062920987606049, 0.10914614796638489, -0.1131933182477951, 0.21210435032844543, -0.7465499639511108, -0.3388822376728058, -1.228103518486023, 0.03721345588564873, 0.4071592688560486, 0.9421325325965881, 0.23661360144615173, 0.0003425509494263679, -0.8746477961540222, -0.296840101480484, 0.2647288739681244, 0.466461181640625, -1.324352741241455, -0.9917646646499634, 0.03412391617894173, 0.07198893278837204, 0.3575464189052582, 0.9185283780097961, -0.2172197699546814, -0.8854357600212097, 0.7817870378494263, -0.2867518961429596, 0.07397789508104324, -1.0086536407470703, 0.3353925943374634, 1.1594035625457764, -0.6374697089195251, 0.8936749696731567, 0.5784298777580261, 0.8833183646202087, -0.26921766996383667, -0.4853855073451996, -0.31868788599967957, 1.0892270803451538, 0.5586519837379456, -0.061447300016880035, 0.30410221219062805, -1.5926259756088257, 0.15493158996105194, 0.4076208472251892, 0.06411541998386383, 0.09040147066116333, -0.43722957372665405, -0.3009214997291565, 0.371078222990036, 0.54118812084198, -0.08401001244783401, 0.6288411617279053, 0.44843196868896484, 0.6208356618881226, 0.5350571870803833, -0.2968742549419403, -0.003577479161322117, -0.10456305742263794, 0.6010472178459167, 0.18237389624118805, 1.0709338188171387, -0.629409670829773, 0.3292843997478485, 0.5605449676513672, -0.5008581876754761, -0.869121253490448, 1.031359314918518, 0.16444414854049683, -0.4181364178657532, -0.8934041857719421, -0.6239524483680725, 1.0172547101974487, -1.7263058423995972, -0.03863130882382393, 0.719696044921875, 0.0630020797252655, -0.18796947598457336, 0.4181671142578125, 0.10780241340398788, 0.30193668603897095, 0.7972679138183594, 0.6891294121742249, -1.1542872190475464, -1.098446011543274, 0.2605856657028198, 0.5129031538963318, 0.25883427262306213, -0.7497500777244568, 0.7053542733192444, 1.1156935691833496, -0.29415860772132874, 1.4792386293411255, 0.11515606939792633, 0.8587555289268494, 0.13822190463542938, 0.3615916073322296, 0.39127615094184875, -0.4160211980342865, -0.8024362325668335, -0.08251658827066422, 0.4231911897659302, 1.7699004411697388, -0.6606042981147766, 0.40253785252571106, -0.626602292060852, 0.3293984532356262, -0.8253735303878784, 0.29996997117996216, -0.6943643093109131, 0.30965325236320496, -0.09520678967237473, -0.5085110068321228, -1.3947117328643799, 0.01926923356950283, 0.7032358646392822, -1.0853135585784912, -0.15060804784297943, -0.20616872608661652, -0.018357932567596436, -0.2836105525493622, -0.42300862073898315, -1.5101290941238403, -0.5911482572555542, 0.9352924823760986, 0.3741301894187927, -0.12779003381729126, -1.3736127614974976, -1.127631425857544, -0.31778430938720703, 1.372965693473816, -0.44565293192863464, -0.020873213186860085, -0.8158013820648193, -0.14834089577198029, 0.23865912854671478, 0.1815883219242096 ] ]
{ "indices": [ 2391722386, 1063320047, 1833938074, 2394752843, 3005552705, 435164014, 168664787, 647928480, 3449948193, 3172858508, 4075116728, 4146668087, 2257684172, 2236453805, 3534898626, 4220547365, 2006536704, 2067848296, 1551089265, 4094582072, 3062174764, 4082485121, 1682803238, 2063350695, 1786548735, 1959767928, 2150507160, 1960040400, 1613012486 ], "values": [ 0.6640519892753799, 0.6640519892753799, 0.49706424497402196, 0.49706424497402196, 0.6640519892753799, 0.6640519892753799, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.6640519892753799, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196 ] }
{ "context": "Memory is down here. We're not doing anything with memory, so it should be turned off. So what should the values be for ALUK, MIO enable, and read write? So let me take them one at a time. ALUK, what should it be? I'm hearing zeroes and don't cares. So remember, the value of ALU is being thrown away. And then gate ALU is off, right? So if it just spits out some random bits, so what? They're just discarded." }
171727
[ [ 0.3781298100948334, -0.4671533405780792, 0.1768365353345871, 0.5948647260665894, 0.6740686297416687, 0.16231517493724823, -0.29262790083885193, 0.6730644702911377, 0.5312719345092773, -0.8245853185653687, 0.8972412347793579, 0.8742193579673767, 0.8311208486557007, 0.521824836730957, 0.1683100312948227, -0.05659022182226181, 0.6585506796836853, -0.14332343637943268, 0.12749110162258148, -0.16575422883033752, 0.9433952569961548, -0.6859321594238281, -0.2956891357898712, -0.11798438429832458, -0.7151921987533569, 1.0010439157485962, -0.04359637573361397, -1.057798147201538, 0.4226304888725281, 0.3622199296951294, -0.7555813789367676, -1.271289587020874, 0.691933274269104, -0.03365464508533478, 1.3713432550430298, -0.11751500517129898, -0.6705580949783325, -0.011164095252752304, 0.3097847104072571, 0.8704465627670288, -0.09565506875514984, 0.21047918498516083, -0.7229059338569641, 1.026579737663269, -1.5075956583023071, 0.3391917943954468, -0.45636752247810364, -0.5145708322525024, 1.2263203859329224, -0.7969845533370972, 1.2170405387878418, -0.5226007699966431, 0.6731237769126892, 0.6406146287918091, 0.20009014010429382, 0.3296000063419342, 1.114146113395691, 1.4360042810440063, 0.38156858086586, -1.3034453392028809, -0.07455366104841232, -0.37235039472579956, 0.6552442908287048, -0.38482287526130676, -0.14580555260181427, -0.16882282495498657, -0.290282666683197, -0.18824723362922668, 0.15603788197040558, -0.5102696418762207, -0.6071596741676331, -0.05831103026866913, 0.43741661310195923, 0.7697988152503967, 0.8203195929527283, -0.5498393177986145, 0.30167701840400696, -0.0680658146739006, 0.41039615869522095, 0.7474170923233032, -1.1078298091888428, 0.18500745296478271, -0.6817140579223633, 0.8005881905555725, 0.2954423427581787, -1.1270703077316284, 1.3228874206542969, -0.7474098801612854, -0.18095257878303528, 0.5504974722862244, 0.664286196231842, -0.3647089898586273, 1.645542025566101, -0.02409721165895462, -0.4764445722103119, 0.17252418398857117, -0.055183667689561844, 1.099886178970337, 0.8208262324333191, -0.45277419686317444, 0.03394068405032158, -1.2179006338119507, 0.33383825421333313, 1.8634719848632812, -0.5704559087753296, 0.31436774134635925, 0.22156354784965515, -0.08905313163995743, 0.004734334070235491, 0.683474063873291, -1.0413657426834106, 0.3916567862033844, 0.4098677337169647, 0.960035502910614, -0.40147966146469116, -0.40958717465400696, -1.053598403930664, -0.10970774292945862, 1.3241292238235474, 0.6543030142784119, 1.0505338907241821, 0.20376887917518616, 0.815126359462738, -1.2030688524246216, -2.3115246295928955, 0.7288098931312561, 0.43304944038391113, -0.24763761460781097, -1.0552988052368164, 0.38716942071914673, 0.596910834312439, -0.1326894462108612, -0.15320588648319244, -0.03474733605980873, 0.7204846143722534, 1.0780880451202393, -2.125476598739624, -1.4887194633483887, 0.6399903297424316, 0.14564116299152374, -0.7693765163421631, -1.3160585165023804, 0.6901246905326843, 0.6136666536331177, 1.4480689764022827, 0.37743958830833435, 0.7662868499755859, 0.362205445766449, -0.28957363963127136, 0.7979868054389954, -0.8560351133346558, 0.05939183384180069, -1.5145525932312012, -0.37245020270347595, -0.25761082768440247, 0.07784099876880646, 0.08677002787590027, 0.16080918908119202, -0.4521028995513916, -0.05762355402112007, 0.9643736481666565, -0.15990275144577026, -0.4452160596847534, 0.22176329791545868, -0.9253827333450317, -1.1587632894515991, 2.2087340354919434, -0.3124253451824188, 0.5134671330451965, 1.2774962186813354, -0.3282758891582489, -0.1367073655128479, -0.014993920922279358, 0.23129902780056, 1.3547824621200562, -1.1096844673156738, -0.17380385100841522, -0.3608365058898926, 0.08709453791379929, -0.07801523059606552, -0.6719896197319031, -0.7302748560905457, 0.6566212177276611, 1.0449697971343994, 0.22663384675979614, 0.11450490355491638, -0.38098838925361633, 0.10587945580482483, 1.2537305355072021, -0.8439957499504089, -0.8268972039222717, -1.3476530313491821, 0.6286258101463318, 0.07830949872732162, -0.9856483936309814, -1.1015222072601318, -0.5844133496284485, 0.5236332416534424, 0.39476099610328674, -0.8061705231666565, -1.4162119626998901, 0.7030069231987, 0.7559534907341003, -0.94924396276474, -0.06491290032863617, 0.8419672250747681, 0.8650016784667969, -1.111767292022705, 0.7600929737091064, -0.7356969118118286, -0.05835646763443947, 0.9268700480461121, 0.052992358803749084, 0.2375573068857193, 0.294065922498703, 0.05844415724277496, 0.37769055366516113, 0.28953421115875244, 0.03977421298623085, 0.27440306544303894, -0.753483235836029, 1.0848368406295776, 2.1105797290802, 1.2794647216796875, 0.08928297460079193, 0.5729721784591675, 0.16529114544391632, 0.5135478973388672, 0.5857242941856384, 0.12576019763946533, -0.7366412281990051, -1.8331944942474365, -0.2156955897808075, 0.47912275791168213, -0.4559688866138458, 0.08681643754243851, 1.8279764652252197, 0.4100196957588196, 0.4611593186855316, -0.3507276177406311, -1.0761170387268066, 0.8565054535865784, 0.28230515122413635, 0.6771195530891418, 0.4759816825389862, 1.5856472253799438, 0.5146796107292175, 1.4179418087005615, -0.6102873682975769, 0.3920118510723114, -0.7250185608863831, 0.6974831819534302, 0.2631823420524597, -0.4699564278125763, -0.22067567706108093, -1.2441829442977905, 0.5987756252288818, -1.1029298305511475, -0.4884059429168701, 0.3560967743396759, -0.34854063391685486, -0.4368172883987427, -0.3699420094490051, -0.7482414841651917, -0.007523959502577782, 0.0401000939309597, -0.5121968388557434, -0.6027237772941589, 1.08780038356781, -1.0690404176712036, 0.02701060101389885, 0.395815908908844, -0.29570022225379944, -0.9971250891685486, 0.33838701248168945, 0.46162790060043335, -0.6368303298950195, 0.7888502478599548, -0.9664823412895203, -0.998285174369812, -0.8003857731819153, 0.06185247749090195, 0.5738224387168884, -0.37487804889678955, -2.1255087852478027, 0.05734488368034363, -0.5864993929862976, -0.9048517346382141, 0.9165587425231934, 0.08033841848373413, -1.368603229522705, 0.4609777331352234, 0.04963516816496849, -0.8388874530792236, -0.08753907680511475, -0.12904338538646698, 0.1235068291425705, -0.015970313921570778, 0.08131197094917297, 0.578087568283081, 0.2536192238330841, -1.4689399003982544, -0.13268516957759857, -0.6280122995376587, -0.2681693732738495, -0.2385062426328659, -0.009240848012268543, -0.4696236550807953, -0.2455083578824997, 0.4122917950153351, 0.13867349922657013, -0.029612265527248383, -0.4138900935649872, -1.1370234489440918, -1.456154704093933, -0.24144496023654938, 0.6554720401763916, 1.0419814586639404, -0.5204278230667114, -0.05343160778284073, 1.4766422510147095, 0.6989651322364807, -0.731017529964447, -0.39946940541267395, 0.9555962681770325, 0.6034103035926819, -1.0042446851730347, -0.09647435694932938, 1.0087664127349854, 0.18933112919330597, 0.2099384218454361, -0.47638511657714844, 0.6514882445335388, 2.0526645183563232, -0.985785961151123, -0.7122078537940979, 0.04826488718390465, 0.24977529048919678, -0.5631240606307983, 0.5292976498603821, 0.31256625056266785, 0.039674803614616394, 1.107375144958496, -0.18320602178573608, 0.9385104775428772, 0.6071686148643494, -0.6694748997688293, 0.8395712971687317, 0.1925717145204544, 0.5430406928062439, 0.08548758924007416, 0.1706850230693817, 0.5697079300880432, -1.1080081462860107, -0.41914331912994385, 0.4853684902191162, 0.8046421408653259, -0.9221343398094177, 0.18090863525867462, 1.0071568489074707, 0.6511988639831543, 0.6436504125595093, -0.43434152007102966, -0.007330658379942179, 0.10899236053228378, 0.9231324791908264, -0.4206426441669464, -0.21526335179805756, 0.10374797135591507, -0.15845301747322083, 0.15248386561870575, 0.40856751799583435, -0.6890311241149902, -0.5388309359550476, -1.445691466331482, -1.0016822814941406, 0.8359989523887634, 1.3642771244049072, 0.5375452041625977, 0.38825440406799316, 0.04121527820825577, 0.0878322497010231, -0.4780242443084717, -0.16205528378486633, -1.6055331230163574, 0.3055632710456848, 0.10498881340026855, -0.2607813775539398, 0.7199215888977051, -0.37018725275993347, 0.25674620270729065, -0.6722654104232788, -1.2889007329940796, -0.0018450322095304728, -0.4279061257839203, -1.1417360305786133, 0.7979894280433655, 0.4626959264278412, -0.8397606015205383, 0.354177862405777, 0.705497682094574, 1.7871366739273071, 0.5361443161964417, 0.5333860516548157, 0.5603548884391785, 0.05450741574168205, -0.4067796766757965, -0.1545683741569519, -0.014680206775665283, 0.16399508714675903, 0.9370513558387756, 0.47461265325546265, 0.6547194719314575, -0.612524688243866, 0.1568644493818283, 0.32211703062057495, 1.0989995002746582, 0.23692084848880768, -0.08142731338739395, -0.06127741560339928, -0.1275065690279007, -0.6460756063461304, 0.42449167370796204, 1.1729799509048462, -0.22358828783035278, 1.580450415611267, 0.8852121233940125, 0.5858898162841797, -0.45509207248687744, 1.3782471418380737, 1.47629976272583, -0.4307267665863037, -0.19292734563350677, -0.8894941210746765, -0.83271723985672, -0.7970699071884155, -0.43127673864364624, 0.553884744644165, 0.9724856019020081, 0.8067047595977783, -0.20753496885299683, 0.679692804813385, -0.19078978896141052, -1.2794642448425293, -0.07271524518728256, -0.9942762851715088, -0.4435957372188568, -0.12038930505514145, 0.45235392451286316, 0.48359784483909607, -0.15865811705589294, -0.6811683773994446, -0.3885611295700073, -1.062609314918518, -2.206672430038452, 0.339276522397995, 0.4830746352672577, 1.4124903678894043, -0.5019044280052185, 0.02713616192340851, -1.1342233419418335, -1.7177515029907227, 0.48779675364494324, -0.33880141377449036, 1.5938600301742554, -1.14949631690979, 0.6437961459159851, -0.29923638701438904, -0.8290031552314758, -1.0096744298934937, 0.44648605585098267, 0.05338247865438461, -0.02679487131536007, -0.32543104887008667, 0.04526948183774948, -0.1929517388343811, 0.5693023204803467, 0.198674276471138, 1.1376855373382568, -0.7615374326705933, -0.5061888098716736, -0.07306071370840073, 1.1185564994812012, -0.9586230516433716, -0.13550470769405365, -0.12245907634496689, -1.1143239736557007, -1.0975868701934814, 0.6614508628845215, -0.4059388041496277, -0.13429787755012512, 0.45765575766563416, 0.0045750197023153305, -0.17977769672870636, -0.19446097314357758, -0.8837653398513794, 1.5963315963745117, 0.08759341388940811, 0.40243545174598694, 0.7554183006286621, 0.8384718894958496, -0.5564775466918945, 0.1983409821987152, -1.044750452041626, 0.06321147829294205, -0.8340896368026733, 0.9655391573905945, -0.8333871960639954, -0.04923279583454132, -0.8078712821006775, 0.9487440586090088, 0.03771617263555527, 0.41512638330459595, -0.468864768743515, -0.5872628092765808, -0.49629923701286316, 0.8646953105926514, -1.0292974710464478, 0.4300841987133026, -0.2553286552429199, 0.13961578905582428, -0.6580379605293274, -0.218613862991333, 0.4342338442802429, -1.532509684562683, 0.3754675090312958, 0.24266181886196136, -0.12665218114852905, 1.722114086151123, -1.198180079460144, -0.386904239654541, 0.568652331829071, -1.2089478969573975, 1.091241478919983, 0.6099119782447815, -0.18111178278923035, -0.32226499915122986, -0.8107170462608337, 0.29421114921569824, 0.3128299117088318, -0.22443681955337524, 0.00499830162152648, -0.005254365969449282, 0.8029179573059082, 0.18437133729457855, -0.43904978036880493, -1.1751426458358765, -1.3203983306884766, -0.7346857190132141, 0.31113284826278687, -0.1799941509962082, -0.21116207540035248, -0.2883280813694, 0.7791882753372192, -0.772574782371521, 0.2461692839860916, 1.1411770582199097, -0.23814654350280762, 1.0828367471694946, 0.4451908469200134, 0.7260050177574158, 1.1441701650619507, 1.4555668830871582, 0.07665102928876877, -0.29098790884017944, -0.08088622242212296, 0.3571614623069763, -0.45924052596092224, -1.4885621070861816, -0.657566487789154, 0.07639504224061966, -1.3072417974472046, -0.5363683700561523, 1.4753379821777344, -0.30202987790107727, 0.3135770261287689, 0.06628784537315369, -0.30648937821388245, -0.5939880609512329, 0.18648581206798553, -0.23737673461437225, -0.33273932337760925, 0.8212303519248962, -0.5819416046142578, 0.03810418024659157, 0.05177703872323036, -0.35022687911987305, -0.8668756484985352, 0.2499249279499054, 0.2874767780303955, 0.3229997158050537, 2.362921714782715, 0.4553644359111786, 0.4452555477619171, 0.6807994842529297, 0.821740984916687, 0.15767109394073486, -0.3438710570335388, 0.9118300676345825, 0.9268431663513184, 0.48204928636550903, -0.319691002368927, 0.4776286780834198, -0.7148962020874023, 0.5536067485809326, 1.3304164409637451, -0.44560009241104126, 0.49194037914276123, 0.07004642486572266, 0.2792980968952179, -0.5566378831863403, -0.18773475289344788, -0.6272441148757935, 0.4895651638507843, -0.31391897797584534, 2.125110626220703, -1.4473541975021362, -1.944774866104126, 1.4575042724609375, 1.6107590198516846, -0.10205395519733429, -0.02781512401998043, 0.35510677099227905, -0.29047253727912903, -0.41679099202156067, -0.7073335647583008, -0.5717743635177612, 1.0292761325836182, -1.3464696407318115, 0.6749425530433655, 0.10198511183261871, -0.2502391040325165, 0.5063156485557556, 0.682223916053772, 0.20954935252666473, -1.4873180389404297, -1.3359830379486084, -1.509840726852417, 0.7926415205001831, 0.29808083176612854, -0.11842931807041168, -0.03538203984498978, -0.3255999684333801, 0.7218288779258728, -0.6451141238212585, 0.7991674542427063, -0.6006751656532288, -1.6284430027008057, -0.4375966191291809, -0.5734816193580627, -0.8992722630500793, 1.3768857717514038, 0.30371084809303284, -0.3409856855869293, 1.234531044960022, -0.6094666719436646, 1.23928701877594, 0.47555872797966003, 0.7210678458213806, 0.3533502519130707, 1.455979585647583, -0.6684494614601135, 0.2550443112850189, -0.4023360311985016, -0.10733349621295929, 4.084501266479492, 1.1718250513076782, 0.013090485706925392, -1.9604268074035645, 0.14935339987277985, -0.426290363073349, 0.19468095898628235, -0.5076145529747009, 0.39216306805610657, 0.32125231623649597, 0.6070181131362915, 0.7500526905059814, 0.744423508644104, -0.10614989697933197, 0.11814392358064651, 0.700244665145874, -0.3250138759613037, -1.0588195323944092, 0.4059177041053772, 0.398238867521286, 0.09077375382184982, -0.056530751287937164, -0.8480539917945862, -1.1895124912261963, 1.1232619285583496, -0.07961660623550415, 0.33212170004844666, -0.6119610071182251, 1.1372530460357666, 0.1221567913889885, -0.49842992424964905, 0.8210597038269043, 0.6908464431762695, 0.3464251458644867, 0.7462390661239624, 0.2498847246170044, -0.6652091145515442, -0.49061089754104614, -0.6874103546142578, 0.5496777892112732, 0.17445968091487885, -0.11316932737827301, -0.2272314578294754, -0.1388271600008011, -0.4962702691555023, -0.4126093089580536, -0.8426395058631897, -0.8199094533920288, 0.27554014325141907, -0.14184831082820892, 0.456312894821167, -0.06540795415639877, -0.5916004180908203, -1.069575309753418, -0.9458710551261902, 1.201945185661316, 0.06026404723525047, -0.3219180405139923, -0.7877927422523499, -0.488836407661438, 0.28895923495292664, 0.01727358251810074, 0.5040637254714966, 1.1436344385147095, 0.5470800995826721, 0.6230684518814087, -0.6573564410209656, 1.9076815843582153, 0.1666909158229828, -0.5878900289535522, -0.6823994517326355, 0.03799136355519295, -0.2804751396179199, -0.19842426478862762, -0.6094253659248352, 0.8301668763160706, -0.6288973093032837, 0.046276744455099106, -0.6940501928329468, -0.5058660507202148, -0.8967955112457275, 0.8210902810096741, 0.0671723484992981, -0.1134856641292572, -0.12314732372760773, -0.7262828350067139, -0.2678869366645813, 0.3276772201061249, 0.15391916036605835, 0.35435160994529724, 0.3476875424385071, 1.5662202835083008, 1.1378099918365479, -1.2964006662368774, 0.4748377501964569, 0.42673781514167786, -1.1670233011245728, -0.22322562336921692, -0.24397926032543182, 1.347425937652588, 0.103214792907238, -0.4595974385738373, -0.28206518292427063, 0.9510746002197266, -0.7057348489761353, 0.16697251796722412, 0.6162703633308411, -1.32187819480896, -0.22303283214569092, -0.19767166674137115, 0.8136650919914246, -0.8310197591781616, -0.6467685699462891, -0.5203791260719299, -0.04363495111465454, 0.12364108860492706, -0.6546620726585388, -0.6989695429801941, 0.7414851188659668, -0.41557928919792175, 0.6858733892440796, 0.011915979906916618, 0.24632087349891663, -0.20754869282245636, 0.29275020956993103, -0.24498574435710907, -1.0152864456176758, 0.06509213149547577, -0.5191666483879089, -0.2835593521595001, 0.13915641605854034, 0.07435287535190582, 0.012900923378765583, 0.4554571509361267, -1.0407899618148804, -0.32347142696380615, 0.04494936019182205, 0.6907134652137756, -1.2069385051727295, 0.07523051649332047, 0.23692013323307037, -0.5668426752090454, -1.0380254983901978, 1.8808729648590088, -0.8624290227890015, -0.35523721575737, 0.7762531042098999, -0.6397651433944702, 0.9441248774528503, 0.5981816649436951, -1.162244439125061, 0.1919592022895813, 0.42126739025115967, 0.6607402563095093, 0.6903983950614929, 0.022405367344617844, -0.20357933640480042, -0.13822069764137268, 0.1806134581565857, 0.5583032965660095, 0.39801856875419617, 0.898378312587738, 0.10182492434978485, -0.4531272053718567, 0.17681246995925903, 0.9469026923179626, -0.4930917024612427, 0.5752930641174316, -0.7631481289863586, 0.6214172840118408, 0.25707313418388367, -0.3497339189052582, 0.39082470536231995, 0.867770791053772, -1.396012783050537, -0.402048796415329, -0.3213496506214142, 0.045889176428318024, 0.9676464200019836, -0.5544952154159546, 0.33243730664253235, 1.272339940071106, -1.4715131521224976, 0.19070686399936676, -0.8133363723754883, -0.6571699976921082, -1.2216248512268066, 0.6491514444351196, 0.2678394317626953, 0.49463799595832825, 0.12082649767398834, -0.11108028888702393, 0.7440131902694702, -0.24650679528713226, -0.8173198103904724, -0.8191896080970764, 0.4142499268054962, 0.6302744746208191, -0.5824219584465027, -0.4429306089878082, 0.8665426969528198, -1.2944042682647705, -1.312185525894165, -0.4992694854736328, -1.4807524681091309, -0.15119226276874542, -0.008035398088395596, 0.9369160532951355, 0.2527918517589569, 0.812139630317688, 0.05939837545156479, 0.029155954718589783, -0.15159296989440918, -0.9628214240074158, 0.5790387392044067, -0.012677188031375408, -0.5174644589424133, -0.25930896401405334, 0.6931263208389282, 0.6534979939460754, -0.7535889744758606, 0.6375992894172668, -1.038287878036499, -0.29689913988113403, -0.10633249580860138, -0.345546156167984, 0.2334720939397812, -1.5141011476516724, -0.2085634469985962, -1.2542057037353516, 0.6697496771812439, 0.3807339668273926, 0.10436969995498657, -0.023835964500904083, 0.2233489751815796, -0.4685559868812561, -0.5263067483901978, 0.18148483335971832, 0.21976810693740845, -1.2032513618469238, -0.8788806200027466, -0.21890968084335327, -0.40586331486701965, 0.9245569705963135, 0.4357098639011383, 0.007096776273101568, -0.39246419072151184, 1.1562628746032715, -0.218948096036911, -0.23338362574577332, -0.6915119886398315, -0.24322079122066498, 0.8889456391334534, -0.5460863709449768, 1.3801778554916382, 1.7430634498596191, 0.6584352254867554, -0.907468855381012, -1.1762970685958862, 0.20340214669704437, 1.3888431787490845, 0.9305145144462585, -0.2806534767150879, -0.2479507029056549, -1.4596772193908691, -0.05812440440058708, -0.023120084777474403, 0.5485543012619019, -0.2059171348810196, -0.723802387714386, -0.11558392643928528, 0.06465810537338257, -0.2005813866853714, 0.44195443391799927, 0.9910616874694824, 0.8675289154052734, 0.19532738626003265, 0.4681517779827118, -0.7359170913696289, 0.10433166474103928, -0.19369225203990936, 0.2934194803237915, -0.41937965154647827, 1.6368417739868164, -0.03420402854681015, -0.1962004005908966, 0.45811882615089417, 0.08059944957494736, -1.2223695516586304, 1.6215918064117432, 0.31296196579933167, 0.4307345747947693, -0.9081032276153564, -0.460143119096756, 1.3840372562408447, -1.5097267627716064, -0.9510182738304138, 0.9080031514167786, 0.13211722671985626, 0.20839430391788483, 0.5552605986595154, -0.09363757818937302, 0.5263548493385315, 0.45654579997062683, 0.9167537093162537, -0.6324584484100342, -0.7550448775291443, 0.9341092109680176, 0.7311226725578308, 1.1531614065170288, -0.04663218930363655, 0.5706754922866821, 0.9339483976364136, -0.6948674321174622, 1.0603402853012085, 0.004877065308392048, 0.246059849858284, -0.17128680646419525, 0.6099694967269897, -0.5802609324455261, -0.5509265661239624, -1.4945874214172363, -0.08539971709251404, -0.24472041428089142, 1.5656623840332031, -0.7471769452095032, 0.0030854076612740755, -1.662972092628479, 0.21644242107868195, -0.9601073265075684, -0.137507826089859, -0.0888429805636406, 0.35324934124946594, -0.1469261795282364, -0.5089874863624573, -0.9953835606575012, -0.041955649852752686, 1.4461297988891602, -1.1667858362197876, 0.46633613109588623, 0.16281545162200928, 0.5970019698143005, 0.24423421919345856, -0.6848204135894775, -1.6021729707717896, -0.4326489567756653, 0.7521299123764038, 0.3112240731716156, -0.5766167044639587, -1.0078508853912354, -1.6395055055618286, 0.12024956196546555, 1.3422691822052002, -0.13627669215202332, -0.38006946444511414, -0.9806369543075562, 0.45545825362205505, 0.7275127172470093, 0.5729852914810181 ] ]
{ "indices": [ 4094582072, 3005552705, 3062174764, 4082485121, 1682803238, 2063350695, 1786548735, 1959767928, 2150507160, 1960040400, 1063320047, 1613012486, 691409538, 2067848296, 1551089265, 168664787, 647928480, 3796669908, 2006536704, 3655990660, 2066971792, 939215365, 3172858508, 1319287133, 2351523834, 3449948193, 500517981, 3713535024, 4173428777, 2391722386, 1950734664, 3226812640, 1491351846 ], "values": [ 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.7661119630911921, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.7661119630911921, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.6208926095194913, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703, 0.45021338715555703 ] }
{ "context": "So remember, the value of ALU is being thrown away. And then gate ALU is off, right? So if it just spits out some random bits, so what? They're just discarded. So it's don't cares. What about MIO enable? It better be zero, right? Because otherwise, we might end up doing a write, right? Especially if we leave read write as a don't care, right? If it's a read, maybe that doesn't matter so much, but then memory won't be ready when we need it." }
126861
[ [ 0.10132883489131927, -0.379888117313385, 0.4539300799369812, 0.8041583299636841, 0.5586970448493958, 0.16510066390037537, -0.2757772207260132, 0.6544619202613831, 0.5777186155319214, -0.447634756565094, 0.6044750809669495, 0.6682400107383728, 1.1664578914642334, 0.22121287882328033, 0.0841534435749054, 0.1428147852420807, 0.47216129302978516, 0.018866991624236107, -0.4159996509552002, -0.5444009304046631, 0.7463939785957336, -0.7128812670707703, -0.10338626056909561, 0.1331607550382614, -0.6525368094444275, 0.3955175280570984, 0.0220112856477499, -1.363983154296875, 0.2820298373699188, 0.576097309589386, -0.4548731744289398, -1.367111086845398, 1.0658330917358398, 0.06433067470788956, 1.7066912651062012, -0.09612945467233658, -0.32848748564720154, -0.40827831625938416, 0.1974734663963318, 0.5493201613426208, -0.12204799801111221, 0.12940546870231628, -0.30008068680763245, 0.4166971743106842, -1.3412225246429443, 0.23036669194698334, -0.33186039328575134, -0.10519055277109146, 1.1563483476638794, -0.9916011691093445, 1.355881929397583, -0.3078295886516571, 0.9437719583511353, 0.5136250257492065, 0.317050576210022, 0.04988474026322365, 1.5880887508392334, 1.2255053520202637, 0.5726191401481628, -1.0971773862838745, -0.3285124599933624, -0.3675156533718109, 0.751543402671814, -0.3289582431316376, -0.43333882093429565, -0.21210098266601562, -0.3738604784011841, -0.1399107128381729, 0.28814175724983215, -0.35771092772483826, -0.8186708688735962, 0.1823630928993225, 0.3467361629009247, 0.7092007994651794, 0.9199259877204895, -0.28786513209342957, 0.541450023651123, -0.36651527881622314, 0.27769601345062256, 0.9433289766311646, -0.5830168128013611, 0.7678964138031006, -0.7786455154418945, 0.8802273869514465, 0.5568642020225525, -0.7887377142906189, 1.2269110679626465, -0.45249149203300476, -0.15919175744056702, 0.5728096961975098, 0.5718774199485779, -0.9839856624603271, 1.5084080696105957, 0.22881142795085907, -0.5718769431114197, 0.3991895318031311, -0.15084819495677948, 0.9476750493049622, 0.23576289415359497, -0.6998268365859985, 0.11610586941242218, -1.202873945236206, 0.557823896408081, 1.660255789756775, -0.428168386220932, 0.4657649099826813, 0.1413673311471939, 0.11531233042478561, -0.4186466336250305, 0.5101689696311951, -0.5477231740951538, 0.5521015524864197, -0.537796676158905, 0.5128926634788513, -0.10407207161188126, -0.7149623036384583, -0.9862731099128723, -0.34649598598480225, 1.275983214378357, 0.9886124134063721, 1.2109829187393188, 0.48234808444976807, 1.1966276168823242, -1.0897159576416016, -1.9125218391418457, 0.44843611121177673, 0.028911489993333817, -0.13102596998214722, -1.1297804117202759, 0.5789925456047058, 0.3874058723449707, 0.14708717167377472, -0.1402912735939026, -0.6827889680862427, 0.3888208270072937, 0.8305729627609253, -1.8731629848480225, -1.566331148147583, 0.4366975426673889, 0.09610327333211899, -0.38889482617378235, -1.568175196647644, 0.5055936574935913, 0.5477733612060547, 1.4918177127838135, 0.6880878210067749, 0.7003844380378723, 0.2685195207595825, -0.026347719132900238, 0.6163720488548279, -0.9135196208953857, -0.3628438711166382, -1.7168306112289429, -0.19475142657756805, -0.36848679184913635, -0.058991290628910065, 0.30445796251296997, 0.25131911039352417, -0.5561192035675049, -0.2117769718170166, 0.8164414763450623, -0.5530596971511841, -0.39644086360931396, -0.3279542028903961, -0.6410956978797913, -1.080565333366394, 1.844502329826355, -0.3378601670265198, 0.28546983003616333, 0.941474437713623, -0.06649311631917953, -0.15451090037822723, 0.2182486653327942, -0.08358071744441986, 1.427519679069519, -0.8114452958106995, 0.12302587181329727, -0.6289877891540527, -0.07693888992071152, 0.07884518802165985, -0.6650846004486084, -0.4076730012893677, 0.5278403759002686, 0.9278820753097534, 0.28664955496788025, 0.35520532727241516, -0.1743444800376892, -0.4800563454627991, 0.9428023099899292, -0.6992672681808472, -0.6315639019012451, -1.2271263599395752, 0.4910720884799957, -0.1197756677865982, -0.8860188722610474, -0.8692446351051331, -0.3108469545841217, 0.179128035902977, 0.5381135940551758, -0.42442911863327026, -0.5650067329406738, 0.2535287141799927, 0.757887601852417, -0.6353379487991333, 0.0023547185119241476, 0.9422934651374817, 0.5700234770774841, -0.8951513171195984, 0.9238901138305664, -0.7486305832862854, -0.2524246871471405, 0.7208008766174316, -0.03960321843624115, 0.23200073838233948, 0.09808052331209183, 0.035784993320703506, 0.4857858121395111, 0.43972235918045044, -0.1522534340620041, 0.34731510281562805, -1.0554007291793823, 1.1453733444213867, 2.1049396991729736, 0.9939972758293152, 0.30652981996536255, 0.6272801756858826, -0.029469510540366173, 0.3518446385860443, 0.433159202337265, -0.21485699713230133, -0.7849723696708679, -1.7625900506973267, 0.15842705965042114, 0.22567471861839294, -0.28401029109954834, 0.15974143147468567, 1.6348001956939697, 0.6675136089324951, 0.6538811922073364, 0.06337182968854904, -1.3765910863876343, 0.7315247058868408, 0.33737584948539734, 0.433642715215683, 0.027812713757157326, 1.3112064599990845, 0.31676316261291504, 1.1367073059082031, -0.35417866706848145, 0.12786385416984558, -0.789446234703064, 0.5860131978988647, 0.0004712093505077064, -0.33107173442840576, -0.06371283531188965, -1.354422688484192, 0.021070202812552452, -1.3190197944641113, -0.6798842549324036, 0.6196836233139038, -0.5233513712882996, -0.8111240863800049, -0.28106486797332764, -0.4845693111419678, 0.09380338340997696, 0.3105616271495819, -0.32453614473342896, -0.42643073201179504, 1.4759601354599, -1.2582758665084839, 0.06204550713300705, 0.10712145268917084, -0.36948248744010925, -0.886269211769104, -0.04415071755647659, 0.2794798016548157, -0.34093156456947327, 1.0780807733535767, -0.5942952632904053, -0.5328675508499146, -0.907899022102356, 0.1555144190788269, 0.6301201581954956, -0.2964767813682556, -1.897031545639038, 0.2786204516887665, -0.4117559790611267, -0.8297558426856995, 1.2752885818481445, -0.0030013418290764093, -1.068366527557373, 0.5939517617225647, -0.14228613674640656, -0.4741913378238678, 0.017101095989346504, -0.4310092628002167, 0.2815644145011902, -0.10992702841758728, -0.0066057005897164345, 0.11554861068725586, 0.05564075708389282, -1.4973924160003662, -0.14015431702136993, -0.9377215504646301, -0.22407446801662445, -0.24458111822605133, -0.28944987058639526, -0.3229474127292633, -0.40752747654914856, 0.6264642477035522, 0.10751879215240479, -0.08477942645549774, -0.5263656973838806, -1.115861415863037, -1.344407320022583, 0.05023345723748207, 0.5272102952003479, 0.890031635761261, -0.6786072254180908, -0.22554601728916168, 1.545568585395813, 0.7260387539863586, -0.5867381691932678, -0.37750422954559326, 0.7294924259185791, 0.31583550572395325, -0.7710081338882446, -0.08285035192966461, 0.4785502254962921, 0.312446266412735, -0.11622684448957443, -0.2650246024131775, 0.8199004530906677, 2.1468381881713867, -0.6432197690010071, -0.44662413001060486, 0.15827900171279907, 0.3935682773590088, -0.7398006916046143, 0.07749060541391373, 0.20428533852100372, 0.14834436774253845, 1.2130144834518433, -0.07456174492835999, 1.0283280611038208, 0.3593585789203644, -0.3557354509830475, 0.7773545980453491, 0.30665189027786255, 0.698932945728302, -0.11052007973194122, 0.413833886384964, 0.268464058637619, -0.7703554034233093, -0.7937870025634766, 0.10429031401872635, 1.0731836557388306, -1.1260846853256226, 0.46467694640159607, 1.0925558805465698, 0.6655057072639465, 0.11555526405572891, 0.027184106409549713, 0.020044449716806412, 0.023625951260328293, 0.6318367719650269, -0.2710663676261902, -0.0367371141910553, 0.3298581838607788, -0.15496033430099487, 0.06690651923418045, 0.845852792263031, -0.43402624130249023, -0.5625147819519043, -1.2743700742721558, -1.2194535732269287, 0.5729399919509888, 1.165969729423523, 0.26726359128952026, 1.2155157327651978, 0.3852652907371521, -0.19166496396064758, -0.7629591822624207, 0.20102714002132416, -1.1792545318603516, 0.4026302993297577, -0.34456121921539307, 0.0360473170876503, 0.8268959522247314, 0.1650388091802597, 0.15257588028907776, -0.5057082772254944, -1.887487769126892, 0.3525598645210266, -0.07993834465742111, -1.3218796253204346, 0.62330561876297, 0.3243462145328522, -0.7752943634986877, 0.5049592852592468, 0.9934383034706116, 1.8351320028305054, 0.6338111758232117, 0.7199220657348633, 0.7753720879554749, 0.6810855865478516, -0.7550390362739563, 0.0903453528881073, -0.1940247267484665, 0.011137029156088829, 0.9102774858474731, 0.15447936952114105, 0.9086188673973083, -0.9622482657432556, 0.06201182305812836, 0.5834409594535828, 0.7970598340034485, 0.30008646845817566, -0.05327694118022919, -0.32999303936958313, -0.31700843572616577, -0.8903588056564331, 0.17855627834796906, 1.2814749479293823, -0.2795056700706482, 1.590726375579834, 0.9845524430274963, 0.4873022437095642, -0.27873846888542175, 1.003819465637207, 1.3487930297851562, -0.07160770148038864, 0.12918318808078766, -0.9366046786308289, -0.9244866967201233, -0.7369160056114197, -0.31350305676460266, 0.454478919506073, 0.65524822473526, 0.5893388390541077, -0.5801069736480713, 0.67696213722229, -0.271431028842926, -1.1132231950759888, 0.1060103327035904, -0.5944904685020447, -0.18618372082710266, 0.1859511137008667, 0.5361987352371216, 0.7525339722633362, 0.04455289617180824, -0.8393687009811401, -0.5433942079544067, -0.985487699508667, -1.8870580196380615, -0.3360319137573242, 0.20513634383678436, 1.4269065856933594, -0.6720567345619202, 0.25952738523483276, -0.5366446375846863, -1.1171443462371826, 0.4572221338748932, -0.4569689929485321, 1.5345828533172607, -0.9852476119995117, 0.7946962714195251, -0.0647822916507721, -1.0292805433273315, -0.9469435214996338, 1.2300492525100708, -0.13031263649463654, -0.15781773626804352, -0.22491447627544403, 0.46782875061035156, -0.6258358955383301, 0.4852098226547241, 0.28196075558662415, 0.9660730957984924, -0.4709475636482239, -0.3106968104839325, 0.01035419199615717, 1.4539092779159546, -0.952722430229187, -0.2675694525241852, 0.04320839047431946, -0.9296687245368958, -0.7082418203353882, 0.392483651638031, -0.24187533557415009, 0.19405299425125122, 0.4955892562866211, -0.1747545748949051, -0.12821421027183533, -0.2185886800289154, -1.493922472000122, 1.299852728843689, 0.2941966652870178, 0.4520047903060913, 0.9535439014434814, 0.6385621428489685, -0.8454822897911072, 0.25731217861175537, -0.5669722557067871, 0.2227080762386322, -0.41487959027290344, 1.1324609518051147, -0.6072932481765747, -0.14864133298397064, -1.093226671218872, 0.782037079334259, -0.25981464982032776, 0.0914679616689682, -0.3457823097705841, -0.22842226922512054, -0.4649617075920105, 0.7775892019271851, -0.8712589144706726, 0.12121009826660156, -0.45495733618736267, 0.2187233865261078, -0.8632082939147949, 0.03883255645632744, 0.35598888993263245, -1.1869548559188843, 0.26937562227249146, 0.5220290422439575, 0.23634079098701477, 1.4691531658172607, -1.0397121906280518, -0.1749250739812851, 0.5809369683265686, -1.211363434791565, 0.5365591049194336, 0.26070278882980347, 0.13713796436786652, -0.2573167085647583, -0.7359183430671692, -0.16265098750591278, 0.3553469181060791, -0.6184027194976807, 0.3530716300010681, 0.16372936964035034, 0.9520483613014221, 0.24212290346622467, -0.8435789346694946, -0.8044716119766235, -0.48554596304893494, -0.8048786520957947, 0.5256482362747192, 0.20544196665287018, -0.40600237250328064, 0.17249296605587006, 0.22053277492523193, -0.616988480091095, 0.16707968711853027, 1.1481348276138306, -0.22753417491912842, 0.49782246351242065, 0.5699527859687805, 0.5914819240570068, 0.65861976146698, 1.0771945714950562, 1.1694575548171997, -0.13365089893341064, 0.21168027818202972, 0.07799984514713287, -0.8361861705780029, -1.6478393077850342, -0.6064529418945312, -0.256115585565567, -0.8637723326683044, -0.25671008229255676, 1.3387478590011597, -0.13612708449363708, 0.4672524631023407, 0.41463136672973633, -0.3860301375389099, -0.4855063259601593, -0.028594013303518295, -0.45140156149864197, -0.857272207736969, 0.7447142601013184, -0.7083593010902405, 0.5407299399375916, 0.23479604721069336, -0.5831551551818848, -0.8558330535888672, 0.13053148984909058, 0.46388381719589233, -0.02775811217725277, 1.9971725940704346, 0.4566457271575928, 0.03307759016752243, 0.6051992774009705, 0.7106205821037292, 0.36086174845695496, -0.02882256731390953, 0.6357436180114746, 0.9388737678527832, 0.40573006868362427, -0.5768699645996094, 0.17876623570919037, -1.1124515533447266, 0.5534477233886719, 1.8665103912353516, -0.8733143210411072, 0.3223210871219635, -0.28451138734817505, 0.19595907628536224, -0.575737714767456, -0.07428368180990219, -0.7132718563079834, 0.6806793212890625, -0.15182462334632874, 2.1536450386047363, -1.281998634338379, -1.4037014245986938, 1.0397766828536987, 1.0939671993255615, 0.40052762627601624, -0.025725243613123894, 0.5867647528648376, -0.27328169345855713, -0.5044086575508118, -0.9125933647155762, -0.21995072066783905, 0.9200902581214905, -1.1270841360092163, 0.8546649813652039, -0.07116799801588058, -0.2554042339324951, 0.45376965403556824, 0.6501696109771729, 0.21767669916152954, -1.6795601844787598, -1.3138189315795898, -1.389064908027649, 1.0089243650436401, 0.24399036169052124, -0.27742522954940796, -0.4186362028121948, -0.30044686794281006, 0.5332422256469727, -0.33613550662994385, 1.036361813545227, -0.8565317988395691, -1.2463932037353516, -0.4286389648914337, -0.9198815822601318, -0.8911725878715515, 1.5223231315612793, 0.24139128625392914, -0.2867295444011688, 1.1341419219970703, -0.2530178725719452, 1.1266299486160278, 1.0107368230819702, 0.3891103267669678, -0.01156369224190712, 1.3524057865142822, -0.7605332136154175, 0.22961702942848206, -0.4234081208705902, 0.29144495725631714, 4.6052093505859375, 1.3242452144622803, -0.6821042895317078, -2.4028422832489014, -0.2957155108451843, -0.6501333117485046, 0.3772171437740326, 0.3214295208454132, 0.6044986248016357, 0.3412170112133026, 0.5630701780319214, 0.9424543380737305, 0.49169063568115234, -0.2047322392463684, 0.38882336020469666, 0.6429577469825745, -0.6684098839759827, -0.9058735966682434, 0.7288130521774292, 0.35743358731269836, 0.2966516315937042, -0.28969627618789673, -0.6776654720306396, -1.1205692291259766, 1.016414761543274, -0.3769482970237732, 0.8565058708190918, -0.476168692111969, 1.038575530052185, 0.011140868067741394, -0.6999495625495911, 0.9361442923545837, 0.5188999176025391, 0.48829415440559387, 0.9057492017745972, 0.3337947726249695, -0.3161367177963257, -0.7899497151374817, -0.5740882754325867, 0.5151640772819519, 0.29585352540016174, -0.15798576176166534, -0.4175248444080353, -0.19486021995544434, -0.5011631846427917, -0.29670238494873047, -0.20184087753295898, -0.8984546661376953, 0.22324460744857788, -0.2929634749889374, 0.8958286643028259, 0.08783766627311707, 0.01698007993400097, -1.2155545949935913, -0.6127236485481262, 1.0145429372787476, 0.17848294973373413, -0.33382493257522583, -0.5177627801895142, -0.7035262584686279, 0.509990394115448, -0.2815571129322052, 0.5424333810806274, 0.9279298186302185, 0.4821426570415497, 0.6304826140403748, -0.7243704199790955, 1.9597371816635132, 0.0769294947385788, -0.31218039989471436, -0.5487136244773865, 0.08936566859483719, -0.19414183497428894, -0.40459662675857544, -0.7243749499320984, 0.9834986925125122, -0.8908010125160217, 0.5151448249816895, -0.6736994981765747, -0.49082866311073303, -0.7379377484321594, 0.32689180970191956, 0.013170433230698109, -0.06281779706478119, -0.6994227766990662, -0.7389028668403625, 0.0006159509066492319, 0.7037087678909302, 0.5948795676231384, 0.32050061225891113, 0.3652615547180176, 1.4294137954711914, 1.102925419807434, -1.1027685403823853, 0.30019840598106384, 0.38861361145973206, -0.9139307737350464, 0.1645468771457672, -0.35399025678634644, 1.2553257942199707, -0.14752426743507385, -0.15915930271148682, -0.3204890489578247, 0.910226583480835, -0.9638498425483704, -0.06396754086017609, 0.9663042426109314, -1.4613829851150513, -0.21196633577346802, 0.09461672604084015, 0.8900285363197327, -0.8509049415588379, -0.5504060983657837, -0.5149283409118652, 0.01911119371652603, -0.34979498386383057, -0.2388809323310852, -0.6014647483825684, 0.8261660933494568, -0.4995028078556061, 0.31597721576690674, 0.1365489810705185, 0.18504032492637634, -0.05668371170759201, 0.14042575657367706, 0.2049732357263565, -1.2068732976913452, -0.1478375643491745, -0.6519371271133423, 0.2863887846469879, 0.11895639449357986, 0.006012258119881153, -0.4731525182723999, 1.0368924140930176, -0.8764110803604126, -0.6571157574653625, 0.19824622571468353, 0.042320746928453445, -1.297398567199707, 0.26165515184402466, 0.32338905334472656, -0.4377930164337158, -0.30924656987190247, 1.3286291360855103, -0.7176398634910583, -0.21929724514484406, 0.5036783218383789, -0.47576749324798584, 0.6103132963180542, 0.9712846875190735, -1.1192551851272583, -0.30627068877220154, 0.470831036567688, 0.5021647810935974, 0.16251811385154724, -0.33355656266212463, -0.06756782531738281, 0.07292290031909943, 0.3426041007041931, 0.38373905420303345, 0.15516287088394165, 0.7182114720344543, 0.12036138027906418, -0.6973775029182434, -0.0955655425786972, 1.0646166801452637, -0.590337872505188, 0.582882821559906, -0.5901273488998413, 0.7053632736206055, 0.048651523888111115, -0.4106397330760956, 0.24052241444587708, 0.5751323699951172, -1.4727222919464111, -0.5557664036750793, -0.5177358388900757, -0.04159899801015854, 0.7135546803474426, -0.6971797943115234, 0.2595585286617279, 1.1800782680511475, -1.4636180400848389, 0.1588699221611023, -0.6263265013694763, -0.6196274161338806, -1.0677483081817627, 0.5131633877754211, 0.21190814673900604, 0.08722501248121262, 0.11058437079191208, 0.06961178034543991, 1.0315197706222534, -0.3812793791294098, -0.5594026446342468, -0.8736711144447327, 0.39549723267555237, 0.3540569245815277, -0.16400642693042755, -0.469248503446579, 0.7629126906394958, -0.6915042400360107, -1.1313377618789673, -0.3469430208206177, -1.3395731449127197, -0.08355990797281265, -0.24734099209308624, 0.8079200387001038, -0.08180791884660721, 0.7715291976928711, -0.25736555457115173, 0.14481127262115479, -0.2709835469722748, -0.8452768325805664, 0.4122553765773773, -0.12072684615850449, -0.330445259809494, 0.15970340371131897, 0.5494043231010437, 0.5595219135284424, -0.6249033212661743, 0.8753957748413086, -1.0975736379623413, -0.3706395626068115, 0.43740758299827576, -0.1997808963060379, 0.499477744102478, -1.2652223110198975, -0.3008720278739929, -1.1059913635253906, 0.6839194297790527, -0.07117370516061783, 0.2001573145389557, -0.3227287232875824, 0.2063887119293213, -0.9314723014831543, -0.16964997351169586, 0.4431377053260803, 0.363057404756546, -1.0705212354660034, -0.818547785282135, -0.2566377520561218, -0.4463455080986023, 1.03023362159729, 0.3223711848258972, -0.09517841786146164, -0.5251550674438477, 0.834540843963623, -0.21366354823112488, -0.5246409773826599, -0.5852532982826233, -0.3336445093154907, 0.2135859578847885, -0.5535264611244202, 1.3895738124847412, 1.6128896474838257, 0.3805941939353943, -0.6375442147254944, -1.0302714109420776, -0.499501496553421, 1.0923036336898804, 1.3351144790649414, -0.13076502084732056, -0.017084544524550438, -1.6287997961044312, -0.008004062809050083, -0.37018853425979614, 0.8921741247177124, -0.11846673488616943, -0.2075735628604889, -0.14330874383449554, -0.08824261277914047, 0.09323403984308243, 0.08058762550354004, 0.7878084778785706, 0.6600694060325623, -0.0366378016769886, 0.3951680660247803, -0.846850574016571, -0.08716800063848495, -0.1792423129081726, 0.4752955436706543, 0.09797319024801254, 1.4533089399337769, 0.2657266855239868, 0.0831107422709465, 0.12404758483171463, -0.32318606972694397, -1.5365204811096191, 1.3551353216171265, -0.08532249182462692, 0.31194397807121277, -0.6402662396430969, -0.17176119983196259, 1.087686538696289, -1.7410067319869995, -0.8291636109352112, 0.8036641478538513, 0.05942680314183235, 0.03568551689386368, 0.744361162185669, 0.6395095586776733, 0.9337502717971802, 0.15226176381111145, 0.42840149998664856, -0.19325363636016846, -0.9193302392959595, 0.7671120762825012, 0.7300860285758972, 0.6185739040374756, -0.28262585401535034, 0.11504343897104263, 1.065028190612793, -0.594919741153717, 1.441346287727356, -0.19855791330337524, 0.17414958775043488, -0.10681648552417755, 0.7132012844085693, -0.9456197619438171, -0.985131561756134, -1.1248732805252075, 0.03472433611750603, -0.07827217876911163, 1.3985780477523804, -1.1197201013565063, 0.10937990993261337, -1.2672433853149414, 0.07350268214941025, -1.3560271263122559, -0.436406672000885, -0.16124576330184937, 0.16213871538639069, -0.7166288495063782, -0.6113939881324768, -0.7891525030136108, 0.05872512236237526, 1.3090730905532837, -1.3263258934020996, 0.3253488540649414, 0.4667837619781494, 0.6648183465003967, 0.40416011214256287, -0.5549641847610474, -1.757431149482727, -0.3670027256011963, 0.7168698310852051, 0.3849889039993286, -0.1817769557237625, -0.947506308555603, -1.7177979946136475, 0.2799859046936035, 1.2044159173965454, 0.06780074536800385, -0.3142107129096985, -1.2872527837753296, 0.5395572185516357, 0.25941789150238037, 0.34689316153526306 ] ]
{ "indices": [ 691409538, 2067848296, 1551089265, 168664787, 647928480, 3796669908, 2006536704, 1786548735, 3655990660, 2066971792, 939215365, 3172858508, 1319287133, 2351523834, 3449948193, 500517981, 3713535024, 4173428777, 2391722386, 1950734664, 3226812640, 1491351846, 3688822001, 1240248262, 4284532392, 4075116728, 1590456296, 2257684172, 3734793608 ], "values": [ 0.7451955521970769, 0.8143633537516158, 0.6868574418043177, 0.42234749299582586, 0.5938738530149964, 0.42234749299582586, 0.6868574418043177, 0.7451955521970769, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.5938738530149964, 0.42234749299582586, 0.42234749299582586, 0.6868574418043177, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.5938738530149964, 0.42234749299582586, 0.42234749299582586, 0.5938738530149964, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.42234749299582586, 0.5938738530149964, 0.42234749299582586, 0.42234749299582586 ] }
{ "context": "So it's don't cares. What about MIO enable? It better be zero, right? Because otherwise, we might end up doing a write, right? Especially if we leave read write as a don't care, right? If it's a read, maybe that doesn't matter so much, but then memory won't be ready when we need it. It'll be busy finishing a read we didn't need. So let's just set that one to zero. What about RW? That's a don't care, right? Because we set memory enable to zero." }
887125
[ [ -0.07706280052661896, -0.5053566098213196, -0.07482478022575378, 0.7588567733764648, 0.4732134938240051, 0.412020742893219, -0.2041628211736679, 1.173528790473938, 0.6590379476547241, -0.5526410937309265, 0.7492225170135498, 0.8939828276634216, 0.8938198685646057, 0.29514363408088684, 0.20489570498466492, -0.049489639699459076, 0.5994435548782349, 0.15827400982379913, -0.520906388759613, -0.3698810935020447, 0.7710946202278137, -0.8695038557052612, 0.14939415454864502, 0.04431786388158798, -0.6976770162582397, 0.8052895665168762, 0.2226266711950302, -1.103935956954956, 0.12419255822896957, 0.3609718978404999, -0.6125930547714233, -1.245214581489563, 0.923698365688324, 0.11247412115335464, 0.9652348160743713, -0.19234736263751984, -0.5713081955909729, -0.09969241917133331, 0.34838175773620605, 0.6356312036514282, -0.17371489107608795, -0.05626169964671135, -0.7627934217453003, 0.30345550179481506, -1.1388683319091797, 0.3956366181373596, -0.32199159264564514, -0.4525333046913147, 1.1795907020568848, -0.7641234397888184, 1.1494287252426147, -0.730616569519043, 0.8824368715286255, 0.6702638864517212, 0.31339767575263977, 0.3346188962459564, 1.7059085369110107, 1.3732314109802246, 0.254003643989563, -1.3454420566558838, -0.0876709595322609, -0.33804774284362793, 0.8471823930740356, -0.0868132933974266, -0.4133369028568268, -0.060514792799949646, -0.31903621554374695, 0.09673552215099335, -0.07478894293308258, -0.5524975061416626, -0.6131579875946045, -0.027079788967967033, 0.40591204166412354, 0.7807455658912659, 1.1494808197021484, -0.71794593334198, 0.3443072736263275, -0.04296407848596573, -0.13423022627830505, 0.482072114944458, -0.7911730408668518, 0.35934320092201233, -0.6538238525390625, 1.203637719154358, 0.20266568660736084, -0.8085027933120728, 1.1371110677719116, -0.7283892631530762, -0.44402629137039185, 0.6767755746841431, 0.4456091821193695, -0.7109975218772888, 1.4824711084365845, 0.2227286696434021, -0.4894520342350006, 0.31773197650909424, -0.418068528175354, 0.7093992829322815, 0.3733217418193817, -0.5956544280052185, -0.10355035960674286, -1.2580904960632324, 0.47428199648857117, 1.7906054258346558, -0.79171222448349, 0.21276117861270905, 0.13699907064437866, 0.19413746893405914, -0.08928234130144119, 0.46676668524742126, -0.6204020380973816, 0.2975410223007202, -0.028863493353128433, 0.807515025138855, -0.4321097433567047, -0.9225648641586304, -0.7602333426475525, -0.07332953810691833, 1.3225734233856201, 0.6514734625816345, 1.2976477146148682, 0.010092281736433506, 1.1243736743927002, -1.0010346174240112, -2.258979320526123, 0.4770536422729492, 0.21121764183044434, -0.06481394916772842, -0.9305922985076904, 0.6878238320350647, 0.4655914604663849, -0.29454708099365234, -0.03387926518917084, -0.32548242807388306, 0.589329183101654, 0.8630958199501038, -1.9099347591400146, -1.3072240352630615, 0.43931108713150024, 0.10971439629793167, -0.3900749981403351, -1.1360098123550415, 0.5440660119056702, 0.8464764356613159, 1.6705331802368164, 0.16251040995121002, 0.7023338675498962, 0.44143834710121155, -0.0030690717976540327, 0.726948618888855, -0.9459143280982971, -0.4469102621078491, -1.5326472520828247, -0.4281386733055115, -0.3745984435081482, 0.19790293276309967, 0.51949542760849, 0.3329355716705322, -0.00926212128251791, -0.15971720218658447, 1.0170841217041016, -0.2681332230567932, -0.5563564300537109, 0.4109444320201874, -0.7658500075340271, -1.2624444961547852, 2.0909366607666016, -0.11573082208633423, 0.20417076349258423, 0.8613981008529663, -0.2746068835258484, -0.7074421048164368, 0.4314587414264679, -0.1946224868297577, 1.1643980741500854, -0.9175423979759216, -0.32120248675346375, -0.5829731225967407, 0.20247513055801392, -0.19721713662147522, -0.726548969745636, -0.42504167556762695, 0.48567745089530945, 1.0525749921798706, 0.2277270257472992, 0.22850438952445984, -0.19355501234531403, 0.11061260104179382, 1.0719901323318481, -0.4468218982219696, -0.6512119174003601, -1.2207766771316528, 0.7658120393753052, -0.23522822558879852, -0.9647318124771118, -0.6015781760215759, -0.9201183319091797, 0.10539640486240387, 0.33594995737075806, -0.6220493912696838, -1.347029447555542, 0.24589158594608307, 0.894141674041748, -0.805393636226654, -0.12314270436763763, 0.7770794034004211, 1.0363059043884277, -1.1490558385849, 0.9349592924118042, -0.780052900314331, -0.06412484496831894, 0.5230395197868347, -0.03238523006439209, -0.08826098591089249, 0.216322660446167, -0.23680347204208374, 0.3968326449394226, 0.02960161119699478, -0.284029483795166, 0.38696444034576416, -0.9067715406417847, 1.2190018892288208, 1.9633985757827759, 1.0190516710281372, 0.1752161979675293, 0.6511934399604797, 0.24994905292987823, 0.6633661985397339, 0.35366514325141907, 0.35813355445861816, -0.9464609622955322, -1.9813404083251953, -0.316842645406723, 0.2605377435684204, -0.3997848331928253, 0.3761449456214905, 1.4826316833496094, 0.4521794319152832, 0.11723879724740982, -0.3868940770626068, -1.3965654373168945, 0.9651931524276733, 0.42506667971611023, 0.7512924671173096, 0.2160341888666153, 1.5820832252502441, 0.441777765750885, 1.4173064231872559, -0.4585646986961365, 0.1299586296081543, -0.7107282876968384, 0.37760597467422485, 0.4024226665496826, -0.33302685618400574, 0.054975561797618866, -1.0673035383224487, 0.11864335834980011, -0.8403774499893188, -0.13347205519676208, 0.2940989136695862, -0.9151605367660522, -0.7200530767440796, -0.20975162088871002, -0.33735892176628113, -0.17644119262695312, 0.4948202669620514, -0.41929271817207336, -0.6866680383682251, 0.9711629152297974, -1.247515320777893, -0.03582043573260307, 0.028810881078243256, -0.3663806617259979, -0.9057410359382629, 0.25839343667030334, 0.4988793730735779, -0.30284038186073303, 1.1114760637283325, -0.8905407190322876, -0.4589952230453491, -1.2201261520385742, -0.14389334619045258, 0.5217131972312927, -0.29198896884918213, -1.9042186737060547, 0.4027233421802521, -0.3736423850059509, -0.9019014835357666, 1.0485668182373047, 0.12091812491416931, -0.8747109174728394, 0.6032940745353699, 0.3747206926345825, -0.5487146973609924, -0.1829061657190323, -0.19770582020282745, 0.24203121662139893, 0.00041021720971912146, -0.30012696981430054, 0.2515423893928528, -0.224980428814888, -1.6689578294754028, 0.1218385174870491, -0.7044951319694519, -0.2580868601799011, -0.424602210521698, -0.14500539004802704, -0.3702867031097412, 0.05812421813607216, 0.8810060620307922, 0.3246532380580902, -0.037469424307346344, -0.20594437420368195, -1.1543726921081543, -1.5244203805923462, -0.3994230628013611, 0.565477728843689, 1.0483142137527466, -0.9221583604812622, -0.26157310605049133, 1.836301565170288, 0.7133967280387878, -0.6206401586532593, -0.18345801532268524, 1.0956720113754272, 0.6546603441238403, -0.659936249256134, 0.04549405351281166, 0.9073771834373474, 0.2656605839729309, -0.04579951614141464, -0.7042900323867798, 0.737621009349823, 2.2097580432891846, -1.1230021715164185, -0.7450677156448364, 0.28618988394737244, 0.2898085117340088, -0.5847563743591309, 0.2147129774093628, 0.2584904730319977, 0.028667833656072617, 1.2715562582015991, -0.3144308924674988, 0.8135310411453247, 0.5228323340415955, -0.2848142385482788, 0.5769787430763245, -0.012565070763230324, 0.4455779790878296, 0.2617950141429901, 0.29355669021606445, 0.48858726024627686, -1.1296594142913818, -0.3274879455566406, 0.5106923580169678, 1.2439755201339722, -1.1163307428359985, 0.4664252698421478, 0.8849467635154724, 0.8484224081039429, 0.2996037006378174, -0.4203517735004425, 0.14105914533138275, -0.3263280689716339, 0.8951869010925293, -0.36530983448028564, 0.05684506148099899, 0.17087674140930176, -0.4367658495903015, 0.25000903010368347, 0.4934125542640686, -0.6943496465682983, -0.14809711277484894, -1.5799062252044678, -1.157897710800171, 0.8041065335273743, 1.466935634613037, 0.6161698698997498, 0.5069955587387085, -0.12257202714681625, -0.27091625332832336, -0.9265926480293274, -0.2559843957424164, -1.2700637578964233, 0.43425360321998596, 0.08739818632602692, -0.35755622386932373, 0.5786481499671936, -0.29438820481300354, 0.35936862230300903, -0.6459904313087463, -2.036496639251709, 0.17255859076976776, -0.3164829611778259, -1.315597414970398, 0.8654534220695496, 0.324964314699173, -0.5513808131217957, 0.6920565366744995, 1.165681004524231, 2.1176047325134277, 0.2821889817714691, 0.532299280166626, 0.8014721274375916, 0.2054649144411087, -0.09290537238121033, 0.26628899574279785, -0.16809000074863434, -0.1645994931459427, 0.9689914584159851, 0.13961797952651978, 0.55479896068573, -0.6549973487854004, -0.1775476485490799, 0.4578119218349457, 1.058022379875183, 0.39739397168159485, -0.2196425497531891, 0.01686188206076622, 0.11138395220041275, -0.6906108856201172, 0.4722573757171631, 1.2321563959121704, -0.08405967801809311, 1.303787350654602, 0.7064773440361023, 0.8320774435997009, -0.5276216864585876, 0.9838962554931641, 1.317210078239441, -0.29969558119773865, -0.04134273901581764, -0.5657359957695007, -0.8702346682548523, -0.7498802542686462, -0.1510048806667328, 0.5299248099327087, 0.7969797253608704, 0.3242757320404053, -0.09047359228134155, 0.7563315629959106, -0.10301763564348221, -0.7473751902580261, -0.4560590386390686, -0.6709834337234497, -0.038355134427547455, 0.06801006197929382, 0.7431033849716187, 0.8863810896873474, 0.12927162647247314, -0.922610342502594, -1.0740402936935425, -0.8058239221572876, -2.0054283142089844, 0.21006491780281067, 0.19176043570041656, 1.8668848276138306, -0.5631169676780701, 0.29138052463531494, -0.9513264894485474, -1.6509943008422852, 0.4049772620201111, -0.5335948467254639, 1.8515874147415161, -1.0281339883804321, 1.1009876728057861, 0.06672317534685135, -0.7385094165802002, -1.143015742301941, 0.7964800000190735, -0.15643025934696198, -0.44011586904525757, -0.570258378982544, 0.36414843797683716, -0.641628623008728, 0.46397101879119873, -0.13993515074253082, 1.1471856832504272, -0.8852638602256775, -0.37664780020713806, 0.2862332761287689, 1.2927727699279785, -0.7600583434104919, -0.21946264803409576, 0.08396445959806442, -0.8974286913871765, -0.9193826913833618, 0.6249475479125977, -0.307209849357605, -0.21817556023597717, 0.5438900589942932, -0.30185389518737793, -0.11674181371927261, -0.024223582819104195, -1.2031807899475098, 1.6375939846038818, 0.10144248604774475, -0.10993731766939163, 0.5937759876251221, 0.8018571734428406, -0.5335555672645569, 0.1997554451227188, -1.3892890214920044, 0.22066695988178253, -1.0473434925079346, 1.448740005493164, -0.7188042402267456, 0.07035469263792038, -0.9230354428291321, 0.7510790228843689, -0.48042383790016174, 0.15557493269443512, -0.2710183262825012, -0.2148287296295166, -0.3966170847415924, 0.8453980088233948, -1.0105243921279907, 0.33513495326042175, -0.12582650780677795, 0.0029024388641119003, -0.3904843330383301, -0.4324757158756256, 0.3955146074295044, -1.5702275037765503, 0.19132894277572632, 0.5297772884368896, 0.1495661586523056, 1.6411617994308472, -0.8934900164604187, -0.3776625394821167, 0.6515198945999146, -1.1165850162506104, 0.8845585584640503, 0.4063313901424408, -0.31047382950782776, -0.29376694560050964, -0.7464707493782043, 0.18314944207668304, 0.3010435104370117, -0.11101862043142319, 0.16675953567028046, 0.3790639042854309, 0.8134222626686096, -0.07402004301548004, -0.7099631428718567, -0.7495993971824646, -0.8441321849822998, -0.7823765873908997, 0.5140686631202698, 0.24520400166511536, -0.0914234071969986, 0.014314291067421436, 0.7490987181663513, -0.47974157333374023, 0.1598128229379654, 1.1415716409683228, -0.24298225343227386, 0.6397650241851807, 0.6167561411857605, 0.8159729838371277, 0.6358092427253723, 0.9471388459205627, 0.448898583650589, -0.36624008417129517, 0.19177666306495667, 0.5597622394561768, -0.3348630964756012, -1.4271560907363892, -0.587426483631134, -0.007658078800886869, -1.1323626041412354, -0.3715331256389618, 1.532094120979309, -0.3658047020435333, 0.547678530216217, 0.12970958650112152, -0.27023380994796753, -0.6683439612388611, 0.022820129990577698, -0.42155659198760986, -0.6689876317977905, 0.7627719044685364, -0.708619236946106, 0.3963524103164673, -0.3179125487804413, -0.2552270293235779, -0.9330828785896301, 0.30603063106536865, 0.0768774002790451, 0.11431603133678436, 2.182429790496826, 0.5044437050819397, 0.438515841960907, 0.8432729244232178, 0.6494278311729431, -0.17956779897212982, -0.2978586256504059, 0.9090939164161682, 1.2393938302993774, 0.3562963008880615, -0.42150431871414185, 0.42726248502731323, -0.7818436026573181, 0.7457172274589539, 1.5106749534606934, -0.7918141484260559, 0.27357131242752075, 0.20122289657592773, 0.15142370760440826, -0.7816926836967468, -0.15196995437145233, -0.9007936120033264, 0.20122453570365906, -0.31026673316955566, 2.2382500171661377, -1.4577505588531494, -2.1783132553100586, 1.2728618383407593, 1.9376429319381714, -0.10695739090442657, -0.32627177238464355, 0.5984440445899963, -0.3799036145210266, -0.34536486864089966, -1.0017591714859009, -0.3228689730167389, 1.0374419689178467, -1.3468259572982788, 0.8715140223503113, 0.07204461097717285, -0.49757659435272217, 0.284902960062027, 1.0165654420852661, 0.5895153880119324, -1.5931823253631592, -1.677465558052063, -1.3022383451461792, 0.6085903644561768, 0.2922297418117523, -0.08869129419326782, -0.09380825608968735, -0.41557514667510986, 0.5103769302368164, -0.36244526505470276, 0.7647920846939087, -0.3723556697368622, -1.6204479932785034, -1.0158121585845947, -0.8925900459289551, -0.8833596706390381, 1.4242663383483887, 0.06747601926326752, -0.44962278008461, 0.9544455409049988, -0.4776420593261719, 1.2693042755126953, 0.36171168088912964, 0.6319485902786255, 0.41342949867248535, 1.2829538583755493, -0.7456854581832886, 0.25631266832351685, -0.4809311330318451, 0.22788110375404358, 4.033682346343994, 1.1312710046768188, -0.007187624927610159, -2.04160213470459, -0.4029522240161896, -0.6151427626609802, 0.36355480551719666, -0.18185487389564514, 0.7568651437759399, 0.16587293148040771, 0.8234848976135254, 0.7959385514259338, 0.6875037550926208, -0.22875821590423584, 0.23661591112613678, 0.9393038153648376, -0.6891739964485168, -1.092398762702942, 0.2670878469944, 0.6468064188957214, 0.028475379571318626, -0.20931553840637207, -1.0556737184524536, -0.9957165122032166, 1.0968433618545532, -0.0316237173974514, 0.2714245021343231, -0.27573785185813904, 1.0704643726348877, -0.029077840968966484, -0.7210766673088074, 0.5389498472213745, 1.0084847211837769, 0.10066696256399155, 1.001552700996399, 0.3628403842449188, -0.8970242738723755, -0.3488525450229645, -0.7330574989318848, 0.3982431888580322, 0.2872732877731323, -0.34015581011772156, -0.1414453238248825, -0.13705293834209442, -0.5284469127655029, -0.44516101479530334, -0.7191635370254517, -0.8261103630065918, -0.06215768679976463, 0.009489540942013264, 0.7938488721847534, 0.2527579963207245, 0.00862280186265707, -0.8160077333450317, -0.5730549693107605, 1.1454086303710938, 0.40947550535202026, -0.5025787353515625, -0.7029432058334351, -0.6547473073005676, 0.7229503393173218, -0.35931435227394104, 0.36951151490211487, 0.8000789284706116, 0.6027840971946716, 0.7480177283287048, -0.7988670468330383, 1.6828997135162354, -0.0353381372988224, -0.465792715549469, -0.29933154582977295, 0.23079359531402588, 0.1154346615076065, -0.7374008297920227, -0.8966201543807983, 0.8641330003738403, -0.919807493686676, 0.7403496503829956, -0.7954808473587036, -0.33002969622612, -0.4367409944534302, 0.7481249570846558, 0.3126230239868164, 0.11900180578231812, -0.21598084270954132, -0.4489593207836151, -0.1703965812921524, 0.30466416478157043, -0.1478176862001419, 0.024391083046793938, 0.3055828809738159, 1.4949970245361328, 1.1063200235366821, -1.3455696105957031, 0.36664360761642456, 0.17200793325901031, -0.4098691940307617, 0.10061950981616974, -0.2517189085483551, 1.540754795074463, 0.030975081026554108, -0.6377138495445251, -0.3155158460140228, 0.7829939723014832, -0.4824112057685852, 0.36484530568122864, 0.8634067177772522, -1.4778015613555908, -0.04571210965514183, -0.1808307021856308, 0.8187593221664429, -0.6568244099617004, -0.6056536436080933, -0.2567746043205261, 0.30699989199638367, -0.22769398987293243, -0.3183276951313019, -0.7516627311706543, 0.8751581907272339, -0.2995795011520386, 0.35960060358047485, -0.014225135557353497, -0.20763897895812988, 0.022854110226035118, 0.09451855719089508, 0.06955127418041229, -0.9594666361808777, 0.3093686103820801, -0.6554065942764282, -0.21981368958950043, -0.030382174998521805, -0.05313507840037346, 0.09142890572547913, 0.6317358016967773, -1.0476162433624268, -0.44650205969810486, 0.07131076604127884, 0.29045283794403076, -1.4116312265396118, 0.01368315052241087, 0.36729857325553894, -0.45914381742477417, -0.4370101988315582, 2.060027599334717, -0.7794263958930969, -0.14981649816036224, 0.659845232963562, -0.6922159790992737, 0.41762062907218933, 0.7631264925003052, -1.2266932725906372, -0.05937032774090767, 0.466641366481781, 0.34099340438842773, 0.5858578681945801, -0.20672346651554108, -0.27165067195892334, 0.05996984243392944, 0.3205578327178955, 0.6210977435112, 0.09229078888893127, 0.7478423714637756, 0.34349730610847473, -0.5559989213943481, 0.2221783995628357, 0.7397482991218567, -0.29953914880752563, 0.3732262849807739, -0.7364887595176697, 0.9875756502151489, 0.3593311905860901, -0.25891318917274475, 0.5137097239494324, 0.6843839883804321, -1.228053092956543, -0.6062694787979126, -0.07847157120704651, 0.07542894780635834, 1.0623488426208496, -1.0799232721328735, 0.19819846749305725, 1.3612192869186401, -1.1759159564971924, 0.28565967082977295, -0.7848947048187256, -0.4616406261920929, -1.3356002569198608, 0.5357295870780945, 0.3568823039531708, 0.1223597377538681, 0.11978088319301605, -0.20224548876285553, 0.7339743375778198, -0.14326360821723938, -0.5689905881881714, -1.1376246213912964, 0.10669513046741486, 0.6336671710014343, -0.6951673030853271, -0.505592405796051, 0.9244045615196228, -1.4071929454803467, -1.2973440885543823, -0.5659512281417847, -1.053560733795166, 0.16839979588985443, 0.2819439470767975, 0.8065704107284546, 0.18380139768123627, 0.884463369846344, -0.13804568350315094, -0.04243988171219826, -0.10010197758674622, -0.9239851236343384, 0.4831055700778961, -0.07686298340559006, -0.5318530797958374, -0.07263918220996857, 0.9407861232757568, 0.7597705721855164, -1.0703047513961792, 0.6149026155471802, -0.9270424842834473, -0.10510458052158356, 0.27618274092674255, 0.3087255656719208, 0.3605750501155853, -1.5117405652999878, -0.276622474193573, -1.0531713962554932, 0.5144002437591553, 0.41006359457969666, -0.3249863386154175, -0.34869685769081116, 0.28928208351135254, -1.0115541219711304, 0.03106929175555706, 0.21267840266227722, 0.1113329827785492, -1.307847499847412, -0.4631376564502716, -0.4676494300365448, -0.04033724591135979, 1.018815040588379, 0.40179941058158875, -0.08993421494960785, -0.40226712822914124, 1.0301018953323364, -0.4331943392753601, -0.48895084857940674, -0.6361037492752075, -0.5235698223114014, 0.6376060843467712, -0.4516821801662445, 1.1208316087722778, 1.3682403564453125, 0.42533713579177856, -0.5115398168563843, -1.0740338563919067, -0.20043328404426575, 1.531725525856018, 1.1528639793395996, -0.05141809210181236, -0.47172054648399353, -1.7408772706985474, -0.16485866904258728, -0.4889490008354187, 0.6396037936210632, -0.3690235912799835, -0.8287136554718018, -0.02819552831351757, 0.07998792082071304, -0.16826128959655762, 0.31802988052368164, 0.6735971570014954, 0.7520564198493958, 0.02117842808365822, 0.7266155481338501, -0.892723560333252, 0.2565959095954895, -0.041831210255622864, 0.08936934173107147, -0.3634166419506073, 1.8949077129364014, 0.028586577624082565, -0.33384445309638977, 0.3329274654388428, -0.22635217010974884, -1.3315863609313965, 1.6002205610275269, 0.24572701752185822, 0.36308079957962036, -0.6550647616386414, -0.4477320909500122, 1.3710622787475586, -0.9964340925216675, -0.7939469814300537, 0.7974010109901428, 0.4190478026866913, 0.0007812253315933049, 0.5214522480964661, 0.005675510969012976, 0.9882690906524658, 0.24236002564430237, 0.4659220576286316, -0.7907357215881348, -0.6869540214538574, 0.7804905772209167, 0.6692318916320801, 0.5526514649391174, -0.12963134050369263, 0.09639053791761398, 1.0974516868591309, -0.9076994061470032, 1.0928367376327515, -0.23267588019371033, 0.6152305603027344, -0.08595814555883408, 0.589451014995575, -0.7910231947898865, -0.7062428593635559, -1.3133950233459473, -0.15298719704151154, -0.1684601604938507, 1.3002350330352783, -1.0164121389389038, 0.199366495013237, -1.7337312698364258, 0.40577512979507446, -0.5367146730422974, -0.5028002262115479, -0.016440119594335556, 0.4143485724925995, -0.5328882336616516, -0.5342252850532532, -0.9783979058265686, 0.12245923280715942, 1.7320572137832642, -1.3901560306549072, 0.33548492193222046, 0.5506064891815186, 0.8066607117652893, 0.4309549331665039, -0.6246744394302368, -1.3694157600402832, -0.29423901438713074, 1.0907337665557861, 0.07195983827114105, -0.26545682549476624, -0.9250086545944214, -1.4857052564620972, 0.6759611368179321, 1.4315595626831055, 0.2153315544128418, -0.019326690584421158, -1.251304268836975, 0.5335968732833862, 0.44947969913482666, 0.3702782690525055 ] ]
{ "indices": [ 4075116728, 691409538, 1590456296, 2257684172, 2006536704, 3734793608, 2067848296, 1551089265, 1786548735, 2391722386, 647928480, 2394752843, 3741174264, 5957873, 1420703559, 1198964877, 1598346136, 2236453805, 3880375487, 2830370693, 2046009338, 2095749492, 3722213172, 997512866, 2202014194, 520409122, 2488575079, 54081900, 1612531086, 3959877569 ], "values": [ 0.4633143131816905, 0.721437915187976, 0.6332396382760778, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.7754399580265844, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905 ] }
{ "context": "So let's just set that one to zero. What about RW? That's a don't care, right? Because we set memory enable to zero. So memory is turned off. No, actually, no. So this is a clock synchronous sequential design, and the clock timing has to be such that the longest combinational logic in the system is slower than the clock speed, than the clock period. And that's the limiting factor, actually, in a lot of high speed processor designs." }
491538
[ [ 0.15262635052204132, -0.4819497764110565, 0.19054436683654785, 0.7455583214759827, 0.31104859709739685, 0.17099633812904358, -0.13155332207679749, 0.6998262405395508, 0.4960789978504181, -0.45283442735671997, 0.7586389183998108, 0.8372214436531067, 1.0953258275985718, 0.027811987325549126, 0.13992050290107727, 0.01588241569697857, 0.45572319626808167, 0.2524184584617615, -0.3455682098865509, -0.5789875984191895, 0.8674242496490479, -0.6425926685333252, -0.14681857824325562, 0.04990046098828316, -0.6233938336372375, 0.36977365612983704, 0.2623238265514374, -1.1944156885147095, 0.2981671988964081, 0.8208244442939758, -0.17623497545719147, -1.292691946029663, 0.7666734457015991, 0.03232146427035332, 1.5103944540023804, -0.053345657885074615, -0.22198282182216644, -0.43047940731048584, 0.27862945199012756, 0.4902521073818207, -0.18534623086452484, 0.25555703043937683, -0.13560856878757477, 0.38707685470581055, -1.1764713525772095, 0.17257510125637054, -0.30924370884895325, -0.2897352874279022, 1.1619526147842407, -0.9251461625099182, 1.3641647100448608, -0.5534181594848633, 1.1238605976104736, 0.7179955840110779, 0.15056459605693817, 0.25973424315452576, 1.5821123123168945, 1.2125579118728638, 0.4903549551963806, -1.0827769041061401, -0.3425120711326599, -0.27022650837898254, 0.812426745891571, -0.2983338534832001, -0.48499441146850586, 0.0800890251994133, -0.3990269601345062, -0.034182287752628326, 0.14749707281589508, -0.21318501234054565, -0.8022576570510864, 0.028140854090452194, 0.4298706650733948, 0.8629993796348572, 1.1543059349060059, -0.32193392515182495, 0.15887419879436493, -0.24659055471420288, 0.3510645627975464, 0.9025485515594482, -0.7346401214599609, 0.7348753809928894, -0.7643725275993347, 1.081732988357544, 0.6635795831680298, -0.6712238788604736, 1.1387500762939453, -0.3517897129058838, -0.07171476632356644, 0.6030802726745605, 0.3605431318283081, -1.068933129310608, 1.6414475440979004, 0.24674871563911438, -0.5399645566940308, 0.32550451159477234, -0.16104674339294434, 0.9346033334732056, 0.2513667345046997, -0.6277941465377808, -0.15418072044849396, -1.2293500900268555, 0.4875500202178955, 1.564256191253662, -0.2931117117404938, 0.5452569127082825, 0.02703351154923439, 0.020167779177427292, -0.3477866053581238, 0.3892766535282135, -0.29510605335235596, 0.45989227294921875, -0.503730833530426, 0.484617680311203, 0.09367996454238892, -0.886202335357666, -0.8660143613815308, -0.3330417573451996, 1.1944758892059326, 0.7397453188896179, 1.080176591873169, 0.42643284797668457, 1.2394002676010132, -0.9332545399665833, -2.0013232231140137, 0.5595130324363708, -0.07283040136098862, 0.04006386175751686, -1.2129935026168823, 0.6071544289588928, 0.19356530904769897, 0.2018902450799942, -0.09430621564388275, -0.6780660152435303, 0.2864983081817627, 0.8560104370117188, -1.697646975517273, -1.6866090297698975, 0.5475344657897949, 0.07336413115262985, -0.281894326210022, -1.4040138721466064, 0.35315942764282227, 0.5753610730171204, 1.3313947916030884, 0.7092489004135132, 0.7562146186828613, 0.38866862654685974, -0.023296836763620377, 0.675948977470398, -0.8227526545524597, -0.4089365005493164, -1.6407461166381836, -0.15141990780830383, -0.5461817979812622, 0.04404187202453613, 0.4147535562515259, 0.49498578906059265, -0.5445184707641602, -0.2687012851238251, 0.6904917359352112, -0.5738885998725891, -0.18679140508174896, -0.26805347204208374, -0.5153247714042664, -1.0502663850784302, 1.870882272720337, -0.3621743321418762, 0.226608544588089, 0.9043994545936584, -0.23898977041244507, -0.49515241384506226, 0.24663427472114563, -0.12547405064105988, 1.3315179347991943, -0.7610266208648682, 0.06860961019992828, -0.5398993492126465, 0.001212435308843851, 0.08894301950931549, -0.8332794308662415, -0.41675904393196106, 0.40094172954559326, 0.7503547072410583, 0.34758949279785156, 0.07857491075992584, -0.26178526878356934, -0.3558822274208069, 1.2086985111236572, -0.6749563217163086, -0.6909878253936768, -1.3031448125839233, 0.3942812979221344, -0.1924426406621933, -0.9171867370605469, -0.9718872308731079, -0.3582254946231842, 0.05000775679945946, 0.4590643048286438, -0.5508190393447876, -0.7737960815429688, 0.08193844556808472, 0.9294822216033936, -0.8821427822113037, 0.020860614255070686, 0.9401415586471558, 0.6199495792388916, -0.7467449903488159, 0.6988154649734497, -0.5989416837692261, -0.453241229057312, 0.5384460091590881, -0.029520971700549126, 0.23351451754570007, 0.1438964605331421, -0.07094128429889679, 0.46233677864074707, 0.45102524757385254, -0.18111811578273773, 0.6076381802558899, -1.062069058418274, 1.1589667797088623, 2.1059889793395996, 0.9139211177825928, 0.312405526638031, 0.7179417014122009, 0.08111017942428589, 0.29667797684669495, 0.5610164403915405, -0.291100412607193, -0.6614900827407837, -1.8164101839065552, -0.11398526281118393, 0.3481575846672058, -0.3253275454044342, 0.1370861530303955, 1.6598464250564575, 0.7403129935264587, 0.8167374730110168, 0.08079370856285095, -1.4885294437408447, 0.5469409823417664, 0.3132258951663971, 0.4083116352558136, -0.14035430550575256, 1.2305693626403809, 0.2752547562122345, 1.1688412427902222, -0.10204766690731049, -0.10147661715745926, -0.7825779914855957, 0.447366863489151, 0.12279301136732101, -0.48353374004364014, 0.08387358486652374, -1.1705541610717773, 0.09437081217765808, -1.1594347953796387, -0.5830609798431396, 0.5556961894035339, -0.4840300381183624, -0.802704930305481, -0.2700328528881073, -0.7059889435768127, 0.09449175000190735, 0.35959017276763916, -0.6040805578231812, -0.5636525750160217, 1.4915592670440674, -1.147155523300171, 0.15421035885810852, 0.09462767839431763, -0.48161035776138306, -1.044014573097229, 0.05103708803653717, 0.366845041513443, -0.306944340467453, 1.2578727006912231, -0.7628991007804871, -0.6430519819259644, -1.063246726989746, 0.24480846524238586, 0.4547753930091858, -0.20487895607948303, -2.0156595706939697, 0.2913220524787903, -0.3537418842315674, -0.583302915096283, 0.9375476241111755, 0.21186061203479767, -0.8728405237197876, 0.6755623817443848, -0.1060735285282135, -0.49247825145721436, -0.2863694131374359, -0.14188794791698456, 0.4692534804344177, 0.07325918972492218, -0.267267644405365, 0.3200942277908325, -0.011951005086302757, -1.531010389328003, 0.026199202984571457, -0.8489368557929993, -0.16656719148159027, -0.13557609915733337, -0.29289042949676514, -0.154880091547966, -0.3092004358768463, 0.5804467797279358, 0.09633409231901169, -0.07289343327283859, -0.5893150568008423, -1.110058069229126, -1.3630365133285522, 0.026699351146817207, 0.3070540428161621, 0.967224657535553, -0.7169160842895508, -0.15586654841899872, 1.721558928489685, 0.6264370679855347, -0.6506453156471252, -0.3884492814540863, 0.6618822813034058, 0.5085561275482178, -0.8887802362442017, 0.07441579550504684, 0.5944251418113708, 0.029116149991750717, 0.153450146317482, -0.356716126203537, 0.7858186364173889, 2.1042139530181885, -0.6122143864631653, -0.7026727199554443, 0.2263316512107849, 0.3738841116428375, -0.7879408001899719, -0.03631215915083885, 0.04970870912075043, 0.07305756211280823, 1.2103012800216675, 0.0014240178279578686, 1.0146859884262085, 0.5116727352142334, -0.4158242344856262, 0.7520726919174194, 0.20936676859855652, 0.6030105948448181, -0.11311718076467514, 0.46268579363822937, 0.21967771649360657, -0.768448531627655, -0.7808356285095215, 0.23622789978981018, 1.3285151720046997, -1.2249884605407715, 0.4369261860847473, 1.0304311513900757, 0.6080774068832397, 0.08916231989860535, 0.13586680591106415, 0.08119740337133408, -0.04281657934188843, 0.7121860384941101, -0.050487685948610306, -0.0753304734826088, 0.3903922140598297, -0.17986030876636505, 0.18533971905708313, 0.768808901309967, -0.4343451261520386, -0.4612385928630829, -1.2319533824920654, -0.9230487942695618, 0.41235676407814026, 1.0909615755081177, 0.4372977316379547, 0.7693347334861755, 0.2569262385368347, -0.22571560740470886, -0.757315456867218, 0.0020984006114304066, -1.0422478914260864, 0.5629034042358398, -0.10845824331045151, 0.10554701834917068, 0.6655939221382141, 0.13103652000427246, 0.29249903559684753, -0.3709135949611664, -1.7305575609207153, 0.4516500234603882, 0.053903695195913315, -1.4590022563934326, 0.4939172565937042, 0.24646805226802826, -0.7311447262763977, 0.46708589792251587, 0.9580053091049194, 1.8028756380081177, 0.41814950108528137, 0.6846783757209778, 0.7624067664146423, 0.7068458795547485, -0.6652113199234009, -0.00991498026996851, -0.09061960130929947, 0.14783211052417755, 0.9610308408737183, 0.0912296250462532, 0.7694792151451111, -0.9379757046699524, -0.11506221443414688, 0.6354488134384155, 0.8159811496734619, 0.30695486068725586, -0.07413402199745178, -0.23036456108093262, -0.18484145402908325, -0.7569716572761536, 0.29498133063316345, 1.3719594478607178, -0.27866366505622864, 1.5363342761993408, 0.9957793354988098, 0.6195805072784424, -0.4485487937927246, 0.5888100862503052, 1.229581594467163, 0.03313270956277847, 0.25626131892204285, -0.763746976852417, -0.9622518420219421, -0.6480098962783813, -0.1332235336303711, 0.5888804197311401, 0.5715172290802002, 0.4681694209575653, -0.5299302339553833, 0.6046618223190308, -0.06673073768615723, -0.9412951469421387, 0.32563695311546326, -0.6961716413497925, -0.27783551812171936, 0.02733333222568035, 0.534857988357544, 0.6891813278198242, 0.04402875900268555, -0.8057754635810852, -0.6422165036201477, -0.9064989686012268, -1.8737788200378418, -0.33562684059143066, 0.0866212323307991, 1.7844855785369873, -0.5855371952056885, 0.10299216210842133, -0.7325584888458252, -0.9689490795135498, 0.433954119682312, -0.4336644113063812, 1.4720584154129028, -0.8265292644500732, 0.86733078956604, 0.014745482243597507, -0.9999264478683472, -1.0786502361297607, 1.1677005290985107, -0.20672264695167542, -0.29577919840812683, -0.1772942841053009, 0.5878404378890991, -0.682902455329895, 0.5149429440498352, 0.005880897399038076, 0.9250152707099915, -0.40785813331604004, -0.31591030955314636, 0.14279569685459137, 1.5504734516143799, -1.0506902933120728, -0.3064127266407013, 0.3410545289516449, -0.8470659255981445, -0.665059506893158, 0.5102466344833374, -0.34099388122558594, 0.11421675235033035, 0.40521129965782166, -0.3283253312110901, -0.16498203575611115, -0.35493895411491394, -1.4771575927734375, 1.3322910070419312, 0.14824457466602325, 0.4260961711406708, 0.9376294612884521, 0.625031054019928, -0.7258671522140503, 0.39003679156303406, -0.7243711948394775, 0.2121841013431549, -0.4792150557041168, 1.2008076906204224, -0.49151933193206787, -0.12395007908344269, -1.1885807514190674, 0.7181990146636963, -0.13039356470108032, -0.056262388825416565, -0.262741357088089, -0.12343478202819824, -0.3338898718357086, 0.9047440886497498, -0.7790736556053162, -0.007833153009414673, -0.4705841541290283, 0.1986023187637329, -0.6964154243469238, 0.012313354760408401, 0.33214548230171204, -1.4736822843551636, 0.217542365193367, 0.44886186718940735, 0.2572815716266632, 1.35662043094635, -0.8492667078971863, -0.37442660331726074, 0.6379658579826355, -1.1050149202346802, 0.4827430844306946, 0.13850434124469757, 0.18752768635749817, -0.35269615054130554, -0.8003033399581909, -0.1439647674560547, 0.34310516715049744, -0.4940807521343231, 0.38701871037483215, 0.12585270404815674, 0.8996853232383728, -0.060896147042512894, -0.8390120267868042, -0.6666580438613892, -0.29213669896125793, -0.8097092509269714, 0.48520761728286743, 0.21380344033241272, -0.2466772347688675, 0.0793476402759552, 0.26972293853759766, -0.614618718624115, 0.2200489640235901, 0.897691547870636, -0.31876546144485474, 0.4795275926589966, 0.675484299659729, 0.6583498120307922, 0.6314708590507507, 1.132806420326233, 0.9889488816261292, -0.004076237790286541, 0.19919726252555847, 0.18387261033058167, -0.4466371536254883, -1.454519271850586, -0.6062105298042297, -0.18766283988952637, -0.7050604820251465, -0.19375847280025482, 1.2407013177871704, -0.176876038312912, 0.38484522700309753, 0.28597721457481384, -0.2729794681072235, -0.5765275359153748, -0.17212460935115814, -0.6087660193443298, -0.8765966296195984, 0.6877094507217407, -0.7312628030776978, 0.6554893851280212, 0.2085912674665451, -0.3075811564922333, -0.9833322763442993, 0.2898285388946533, 0.344062477350235, -0.04184512048959732, 2.0199930667877197, 0.2847101092338562, 0.13558827340602875, 0.688949704170227, 0.662513792514801, 0.24574324488639832, -0.15071430802345276, 0.3609122037887573, 0.9037442803382874, 0.32286378741264343, -0.5659740567207336, 0.07370175421237946, -1.0584267377853394, 0.15746532380580902, 1.994476556777954, -1.0917943716049194, 0.5661417841911316, -0.33429256081581116, 0.26890844106674194, -0.5845177173614502, -0.17475630342960358, -0.8273047208786011, 0.6858122944831848, -0.25242361426353455, 2.2810111045837402, -1.3049899339675903, -1.4308422803878784, 0.8477420210838318, 1.0974271297454834, 0.32933494448661804, -0.12882541120052338, 0.6965692043304443, -0.26609909534454346, -0.3061182200908661, -1.0138047933578491, -0.07771250605583191, 0.8233082890510559, -1.1273832321166992, 0.8486089110374451, -0.2896840572357178, -0.37688910961151123, 0.4875665307044983, 0.7173957228660583, 0.1967298537492752, -1.5756700038909912, -1.3484852313995361, -1.3194870948791504, 0.9102537035942078, 0.026418549939990044, -0.29908931255340576, -0.3702976405620575, -0.4273795783519745, 0.45058393478393555, -0.16422595083713531, 0.9337090253829956, -0.7863379120826721, -1.3597859144210815, -0.4347628951072693, -0.816914975643158, -1.0612514019012451, 1.463539958000183, 0.28647351264953613, -0.37953999638557434, 1.0188443660736084, -0.28471848368644714, 1.156807780265808, 0.7357308864593506, 0.4634557068347931, 0.2537741959095001, 1.1994986534118652, -0.7349783182144165, -0.006924547255039215, -0.5737798810005188, 0.11843208968639374, 4.817615985870361, 1.1584677696228027, -0.7904775738716125, -2.2110791206359863, -0.3477700650691986, -0.3171626329421997, 0.3979016840457916, 0.13533388078212738, 0.7599270939826965, 0.4418850243091583, 0.6307655572891235, 0.6864178776741028, 0.279344767332077, -0.34511706233024597, 0.4875791072845459, 0.5496980547904968, -0.572734534740448, -1.0334285497665405, 0.6218050122261047, 0.48804962635040283, 0.25038450956344604, -0.44150853157043457, -0.9187280535697937, -1.229452133178711, 0.9743576049804688, -0.3215203285217285, 0.601951539516449, -0.33689525723457336, 0.9399979114532471, -0.22122566401958466, -0.9190570116043091, 0.7849442958831787, 0.5255463719367981, 0.3620074391365051, 0.8302933573722839, 0.4224552810192108, -0.18006913363933563, -0.6223241090774536, -0.6149871945381165, 0.5949121117591858, 0.24591265618801117, -0.1617482304573059, -0.4208596348762512, -0.1889025866985321, -0.6802728772163391, -0.2932780086994171, -0.31081879138946533, -0.8591161370277405, 0.0016629383899271488, -0.45719218254089355, 0.774552583694458, 0.2292957901954651, 0.014453798532485962, -1.0705287456512451, -0.5566694736480713, 1.187090277671814, 0.21280327439308167, -0.39762043952941895, -0.5957732796669006, -0.7757737636566162, 0.619545578956604, -0.2054748237133026, 0.6068772673606873, 0.8365071415901184, 0.514873206615448, 0.47655439376831055, -0.7481886148452759, 1.861586332321167, 0.07824596017599106, -0.5243438482284546, -0.35944151878356934, 0.08882694691419601, -0.26407137513160706, -0.6454095244407654, -0.5765217542648315, 0.956981360912323, -1.0055559873580933, 0.5203872323036194, -0.9040610790252686, -0.5700337886810303, -0.6012557744979858, 0.3326452970504761, 0.06285832822322845, 0.10622727125883102, -0.682426393032074, -0.6587051153182983, 0.05106785148382187, 0.7808471322059631, 0.49156394600868225, 0.15641480684280396, 0.13533447682857513, 1.2329540252685547, 1.1086608171463013, -1.1757673025131226, 0.36984649300575256, 0.17410831153392792, -0.7352436184883118, 0.35589852929115295, -0.46765437722206116, 1.3024702072143555, -0.23359732329845428, -0.34395116567611694, -0.1395217925310135, 0.9764302372932434, -0.825355589389801, -0.15100611746311188, 0.8291289210319519, -1.4626765251159668, -0.21714965999126434, 0.13665246963500977, 0.7999381422996521, -0.7192147374153137, -0.38155582547187805, -0.45846739411354065, -0.06261039525270462, -0.5207890272140503, -0.17897802591323853, -0.660086989402771, 0.8160569071769714, -0.5214842557907104, 0.21921406686306, 0.13109132647514343, 0.21522323787212372, -0.08486904203891754, -0.02341056987643242, 0.3095175623893738, -1.1479517221450806, -0.29456305503845215, -0.5612096190452576, 0.25394564867019653, 0.26867222785949707, 0.0016134947072714567, -0.33131587505340576, 0.9477747082710266, -0.8646860718727112, -0.5435751676559448, 0.2649706304073334, 0.2499879151582718, -1.2606148719787598, 0.14413703978061676, 0.27819469571113586, -0.19313588738441467, -0.34465062618255615, 1.287712574005127, -0.47070789337158203, -0.01338108442723751, 0.4974098205566406, -0.3539775609970093, 0.41963663697242737, 0.9645993709564209, -0.9740970134735107, -0.3053848445415497, 0.5212875604629517, 0.5427411198616028, 0.22429710626602173, -0.23909512162208557, -0.061286263167858124, 0.09441422671079636, 0.4396635591983795, 0.4668319523334503, -0.009703109972178936, 0.6293153166770935, 0.12369570136070251, -0.761728823184967, -0.07350116223096848, 1.2196553945541382, -0.5163578391075134, 0.4626549184322357, -0.4640125334262848, 0.7159573435783386, 0.07353377342224121, -0.07200638949871063, 0.29855313897132874, 0.6723023653030396, -1.2641007900238037, -0.46308434009552, -0.4797515869140625, 0.0028474444989115, 0.8025217056274414, -0.7808491587638855, 0.3846578896045685, 0.9319493770599365, -1.218780279159546, 0.2272651046514511, -0.8308109045028687, -0.546818196773529, -1.155084490776062, 0.33880433440208435, 0.30559325218200684, 0.329263836145401, 0.22699937224388123, 0.10639332979917526, 0.9629215598106384, -0.19424742460250854, -0.5567421317100525, -0.8472980260848999, 0.4550231695175171, 0.38774803280830383, -0.21525925397872925, -0.39193612337112427, 0.9749647378921509, -0.727399468421936, -1.1056348085403442, -0.3209148943424225, -1.1367391347885132, -0.16435644030570984, -0.3027315139770508, 0.8381068706512451, 0.010709536261856556, 0.7850828170776367, -0.41853079199790955, 0.09066297858953476, -0.27749764919281006, -0.8145310282707214, 0.39087167382240295, -0.33588770031929016, -0.38163647055625916, -0.02282317914068699, 0.4242037832736969, 0.5514727830886841, -0.7312481999397278, 0.9247221946716309, -1.1882141828536987, -0.275540292263031, 0.3108496069908142, 0.021962478756904602, 0.5427151918411255, -1.30637788772583, -0.3871519863605499, -1.09158456325531, 0.7773597836494446, -0.09404230862855911, 0.01335124857723713, -0.12726269662380219, 0.0033602812327444553, -0.7858169674873352, -0.19908854365348816, 0.5822964310646057, 0.31904157996177673, -0.9861173033714294, -0.6664870977401733, -0.3810146152973175, -0.4314537048339844, 0.9263191223144531, 0.26963701844215393, -0.12711746990680695, -0.6400313973426819, 0.9227426648139954, -0.4064665734767914, -0.543412446975708, -0.5176664590835571, -0.28626981377601624, 0.24438166618347168, -0.41634318232536316, 1.4973233938217163, 1.688523530960083, 0.3612617254257202, -0.5159502625465393, -1.0348505973815918, -0.4863809645175934, 1.2566672563552856, 1.2759640216827393, -0.017168084159493446, -0.15119101107120514, -1.7276155948638916, 0.008850330486893654, -0.5326430201530457, 0.8353363275527954, -0.05014985427260399, -0.18890579044818878, 0.030813027173280716, -0.1387404203414917, 0.14025868475437164, 0.17014306783676147, 0.6803053617477417, 0.4075177013874054, -0.0011830349685624242, 0.4413345456123352, -0.8963915705680847, -0.08378223329782486, -0.090262770652771, 0.4510382115840912, -0.01780727133154869, 1.4507917165756226, 0.3399254083633423, 0.19730845093727112, 0.015970313921570778, -0.5201224088668823, -1.3567367792129517, 1.406948447227478, -0.027290992438793182, 0.2593059241771698, -0.6384274363517761, -0.008020258508622646, 1.026538610458374, -1.5047318935394287, -0.7656886577606201, 0.6742326021194458, -0.06276200711727142, 0.11811960488557816, 0.8730226755142212, 0.8221728205680847, 0.9027450680732727, 0.15453727543354034, 0.4629424512386322, -0.29199641942977905, -0.8261103630065918, 0.8046866655349731, 0.8251038193702698, 0.3267063796520233, -0.27774471044540405, -0.10707422345876694, 0.9901816844940186, -0.46983522176742554, 1.3688830137252808, -0.14973178505897522, 0.32043522596359253, -0.19379714131355286, 0.8144597411155701, -0.7564043998718262, -1.0657589435577393, -1.1956437826156616, 0.15466997027397156, -0.17867526412010193, 1.3896466493606567, -1.0025631189346313, 0.2101014256477356, -1.3520631790161133, -0.030592380091547966, -1.233729600906372, -0.48939868807792664, -0.028165625408291817, 0.3206098675727844, -0.6057466864585876, -0.6657133102416992, -0.6724964380264282, 0.16459374129772186, 1.492408037185669, -1.348017930984497, 0.21211133897304535, 0.4617553949356079, 0.6397110819816589, 0.3785536587238312, -0.6065576076507568, -1.7022677659988403, -0.3845030665397644, 0.6934438943862915, 0.3715662658214569, -0.1346183717250824, -0.9358977675437927, -1.8177703619003296, 0.3192061483860016, 1.2631962299346924, -0.043171826750040054, -0.2220757007598877, -1.2262287139892578, 0.42578601837158203, 0.2983543574810028, 0.4737603962421417 ] ]
{ "indices": [ 5957873, 1420703559, 1198964877, 1598346136, 2236453805, 3880375487, 2830370693, 2046009338, 2095749492, 3722213172, 997512866, 2202014194, 691409538, 520409122, 2488575079, 3741174264, 54081900, 1612531086, 3959877569, 970269646, 3780779385, 1590456296, 2180406531, 3928038441, 4011823516, 2046658185, 4260578009, 100532018 ], "values": [ 0.8202698511471521, 0.47720054860988836, 0.47720054860988836, 0.6460876948075268, 0.7325014542133561, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.7325014542133561, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.6460876948075268, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836 ] }
{ "context": "So this is a clock synchronous sequential design, and the clock timing has to be such that the longest combinational logic in the system is slower than the clock speed, than the clock period. And that's the limiting factor, actually, in a lot of high speed processor designs. So in a lot of your desktop or laptop, what sets the clock speed is something like the adder time or the time to do simple arithmetic operations." }
479550
[ [ 0.46432989835739136, -0.826431930065155, 0.5154401063919067, 0.10066649317741394, 0.8558112382888794, -0.32623612880706787, -0.32139432430267334, 0.6322438716888428, 0.7802113890647888, -0.7093315720558167, 0.7590987086296082, 0.7858439087867737, 0.5076100826263428, 0.3283282220363617, 0.13458533585071564, -0.15674947202205658, 0.23583686351776123, -0.2830047309398651, -0.11172885447740555, -0.3653475046157837, 1.1139461994171143, -0.046133480966091156, 0.03674976900219917, -0.2595241665840149, -0.8578922152519226, 0.7617835402488708, 0.013726367615163326, -0.7201812267303467, 0.26363447308540344, 0.9509474635124207, -0.5552233457565308, -1.4691239595413208, 0.702139675617218, -0.5528901815414429, 1.8357493877410889, 0.20074260234832764, -0.28845733404159546, -0.6044106483459473, 0.21109147369861603, 0.8189178705215454, -0.4430338442325592, 0.4168843626976013, -0.20660598576068878, 0.9341695308685303, -1.1353814601898193, 0.08574287593364716, -0.076020248234272, -0.19403451681137085, 0.9100229144096375, -0.6451943516731262, 0.830013632774353, -0.40405797958374023, 0.8655927181243896, 0.4437441825866699, 0.2509866952896118, 0.5200138092041016, 0.8843405246734619, 1.37651526927948, 0.5863143801689148, -1.139594554901123, -0.008118165656924248, -0.667853057384491, 0.08860733360052109, -0.5278452038764954, -0.4294573962688446, -0.42873796820640564, -0.654103696346283, -0.7378768920898438, 1.016521692276001, -0.36214351654052734, -1.012760877609253, 0.4187946617603302, 0.7275925874710083, 0.058536041527986526, 0.5747844576835632, -0.6506012678146362, 0.03057747147977352, 0.29651764035224915, 0.984693706035614, 1.1847611665725708, -0.9505308866500854, 0.13309867680072784, -0.6202368140220642, 0.4892411530017853, 0.4049517512321472, -0.661873459815979, 1.1105707883834839, -0.4464031457901001, 0.41090962290763855, 0.8514173030853271, 0.26409292221069336, -0.6503776907920837, 1.3890438079833984, 0.19123098254203796, -0.8397619724273682, 0.0263262540102005, 0.815742015838623, 1.3078901767730713, 0.05522041395306587, -1.0042097568511963, 0.6636425852775574, -0.7877559065818787, -0.136076420545578, 1.6136524677276611, 0.10790596902370453, 0.536221444606781, -0.3576015830039978, -0.2192670702934265, -0.9425555467605591, 0.29875513911247253, -0.8042443990707397, 0.8118451833724976, -0.45817163586616516, 0.7310230731964111, 0.12235315889120102, -0.6444146037101746, -0.4251175820827484, -0.5118956565856934, 1.105043649673462, 0.8418229818344116, 0.7137633562088013, 0.53843092918396, 0.9636274576187134, -0.7240414023399353, -2.0870182514190674, 0.8877794146537781, 0.40760716795921326, 0.30415406823158264, -1.1222890615463257, 0.8023808598518372, 0.3233398497104645, 0.13096298277378082, 0.1527087241411209, -0.3627919852733612, 0.5761162638664246, 0.8713081479072571, -1.705474853515625, -1.140091061592102, 1.0076967477798462, 0.12222780287265778, -0.4194696545600891, -1.7682256698608398, 0.2799062728881836, 1.1894818544387817, 1.142491340637207, 0.4656241238117218, 0.45551326870918274, 0.5060725808143616, -0.5001184344291687, 0.4046410322189331, -0.6634169220924377, 0.34909409284591675, -1.231376051902771, -0.16932398080825806, -0.688120424747467, -0.5871626138687134, -0.01973983459174633, 0.011994794011116028, -0.9239113330841064, 0.14709925651550293, 0.14438855648040771, -0.2616470754146576, 0.22384005784988403, -0.565977931022644, -0.8429584503173828, -0.7198442220687866, 1.5694528818130493, -0.05260021239519119, 0.6615821719169617, 1.3635194301605225, -0.11065878719091415, -0.14626723527908325, 0.07801423966884613, 0.24656511843204498, 1.1193339824676514, -0.6100978255271912, 0.46523162722587585, -0.29601505398750305, -0.11353031545877457, 0.149182990193367, -0.5130981802940369, -0.46528708934783936, 0.02980957180261612, 1.4585049152374268, 0.6099478602409363, 0.14002084732055664, -0.6256105899810791, -0.3609108328819275, 1.343389630317688, -1.216753363609314, -0.2799339294433594, -0.8891995549201965, 0.5611780881881714, -0.22925759851932526, -0.7184932827949524, -0.8462159037590027, -0.5514757633209229, 0.21591876447200775, 0.7346013188362122, -0.5785621404647827, -0.8593204021453857, 0.6435371041297913, 0.9750311374664307, -1.0950019359588623, -0.0660828948020935, 1.0051440000534058, 1.2324377298355103, -0.4517226815223694, 0.4786323606967926, -0.49820175766944885, -0.4770739674568176, 0.5813906192779541, -0.34717902541160583, 0.24342955648899078, 0.7102025151252747, -0.15616734325885773, 0.5466200709342957, 0.5647672414779663, -0.08819275349378586, 0.44216567277908325, -1.2520406246185303, 1.0412845611572266, 2.1292660236358643, 1.183276653289795, 0.4881500005722046, 0.5241730809211731, -0.30758973956108093, -0.15022222697734833, 0.30912670493125916, -0.6445409059524536, -0.5633232593536377, -0.6734457612037659, 0.16815564036369324, 0.12397011369466782, -0.12696926295757294, 0.010612075217068195, 1.5608983039855957, 0.7372691035270691, 1.0952025651931763, 0.3732452094554901, -1.27485990524292, 0.5609752535820007, 0.14976301789283752, -0.1581665277481079, 0.30907967686653137, 1.5290608406066895, 0.24247705936431885, 1.209572196006775, -0.03738860785961151, 0.049669500440359116, -0.5694012641906738, 0.5341436266899109, 0.3064550757408142, -1.2433819770812988, 0.5922201871871948, -0.8760855793952942, 0.217637836933136, -1.10373854637146, -0.5615150332450867, 0.6460790634155273, -0.0547306202352047, -0.3608359098434448, -0.14636611938476562, -0.372044175863266, 0.011106811463832855, -0.10554808378219604, -0.0009440816356800497, -0.8816079497337341, 1.4253019094467163, -0.34396108984947205, 0.5357580184936523, 0.9230918288230896, -0.3976905643939972, -0.7108052968978882, 0.04236913099884987, 1.0899327993392944, -0.3619925081729889, 1.108085036277771, -0.4192866384983063, -0.3875530958175659, -0.2581092417240143, 0.34762874245643616, 0.5073352456092834, -0.12101899832487106, -1.7270164489746094, 0.6341820359230042, -0.5021004676818848, -0.6243067383766174, 0.3211926817893982, 0.26380008459091187, -0.6316618323326111, 0.08288568258285522, -0.3219205141067505, -0.7621431350708008, 0.19003444910049438, 0.22796979546546936, 0.04080292209982872, 0.28942638635635376, 0.20521153509616852, 0.6611471772193909, 0.06555579602718353, -1.2296500205993652, 0.15861117839813232, -1.2587803602218628, -0.27965080738067627, 0.11625725775957108, -0.5886085629463196, -0.6039502024650574, -0.783157229423523, 0.12283210456371307, -0.3175390660762787, -0.43561312556266785, -0.09025036543607712, -1.0086032152175903, -1.7993769645690918, -0.11113102734088898, 0.15457700192928314, 0.7835518717765808, -0.45753711462020874, -0.5616967082023621, 1.1790845394134521, 1.1036492586135864, -0.8494139313697815, -0.3109157383441925, 0.14059694111347198, 0.09733451157808304, -0.9124830365180969, -0.16005857288837433, 0.9746537804603577, 0.3003573417663574, 0.2507479190826416, -0.5742008686065674, 1.2287523746490479, 1.5134633779525757, -0.16941571235656738, -1.6147189140319824, 0.2788858115673065, 0.05373336374759674, -0.9805375933647156, -0.4855237603187561, 0.6926003098487854, 0.35525840520858765, 0.929229736328125, 0.37289726734161377, 1.1513038873672485, 0.45216894149780273, -0.6995922923088074, 0.38834354281425476, 0.35085704922676086, 0.48203983902931213, -0.3064374327659607, 0.1563154011964798, -0.1306961178779602, -0.31616201996803284, -1.1168314218521118, 0.7059828042984009, 0.8563073873519897, -0.7493770122528076, 0.0610966719686985, 0.8265209197998047, 0.8311519026756287, -0.043057773262262344, -0.07917585223913193, -0.44001293182373047, 0.5920268893241882, 0.43370044231414795, -0.4952787458896637, 0.032216284424066544, 0.640210747718811, -0.060865383595228195, 0.7418611645698547, 0.7414403557777405, -0.30086076259613037, -0.940698504447937, -1.6075624227523804, -0.7856448888778687, 0.03390036150813103, 0.9462822675704956, 0.3828867971897125, 0.603348970413208, -0.008934949524700642, 0.1257750391960144, -0.050154365599155426, -0.12891322374343872, -1.1953980922698975, 0.18893054127693176, 0.4373616874217987, -0.1575184464454651, 0.4679446220397949, -0.29482197761535645, -0.17200584709644318, -0.3168949782848358, -0.9872913360595703, -0.17920136451721191, -0.09011153876781464, -1.0673911571502686, 0.4164273142814636, 0.10333195328712463, -0.39595043659210205, 0.6748160123825073, 0.5559749603271484, 1.9501211643218994, 1.109602451324463, 0.46902403235435486, 0.07082405686378479, -0.048620231449604034, -0.7076175808906555, -0.20423617959022522, 0.7014561295509338, 0.29053086042404175, 0.6328420042991638, 0.5539029240608215, 0.8363788723945618, -0.8212745785713196, -0.11427515745162964, 0.42144763469696045, 0.994986355304718, 0.3625680208206177, 0.3134523332118988, -0.07960240542888641, -0.6549171805381775, -0.3348303437232971, -0.12686337530612946, 1.07264244556427, -0.6146461963653564, 1.7484685182571411, 0.9301669597625732, 0.23998911678791046, 0.47348740696907043, 1.2009955644607544, 1.1443194150924683, -0.30683818459510803, -0.39730679988861084, -0.5374935865402222, -0.9307498931884766, -0.15436717867851257, -0.26829856634140015, 0.2963404953479767, 1.0082899332046509, 0.7662084102630615, -0.6486614346504211, 0.21163266897201538, 0.3196839392185211, -1.129069447517395, 0.162318617105484, -0.35411134362220764, -0.1239020898938179, -0.18650996685028076, 0.9507625102996826, 0.31503552198410034, 0.0009543761843815446, -0.31957149505615234, 0.29742518067359924, -0.4526424705982208, -2.3019986152648926, -0.653274655342102, 0.28748366236686707, 0.8061343431472778, -0.7157013416290283, 0.07095497101545334, -0.7313436269760132, -0.6039600372314453, 0.7343233227729797, -0.5381304621696472, 1.0946054458618164, -0.5129408836364746, 0.3650907874107361, -0.1340796947479248, -1.5319201946258545, -1.2172470092773438, 0.8381967544555664, -0.21644143760204315, 0.032478898763656616, -0.10533981770277023, -0.12395690381526947, -0.14331401884555817, 0.41072043776512146, 0.5216764807701111, 0.46827390789985657, -0.25284335017204285, -0.463619202375412, 0.1509520262479782, 0.4318763017654419, -1.255255103111267, -0.7338963150978088, 0.43620947003364563, -1.1914989948272705, -0.6114587783813477, 0.6850782036781311, -0.9047310948371887, -0.44342902302742004, 0.5424726605415344, -0.18380223214626312, -0.7107434272766113, -0.7860566973686218, -1.337044358253479, 0.7690854072570801, -0.6036095023155212, 0.9728676080703735, 0.5742972493171692, 0.40915268659591675, -0.7276725172996521, -0.06626198440790176, -0.1980789452791214, 0.258327454328537, -0.502083420753479, 0.48953792452812195, -0.6001617908477783, -0.6292586922645569, -0.7835568785667419, 1.04287588596344, 0.3559439182281494, -0.03795374184846878, -0.19167476892471313, -0.37232908606529236, -0.3953239321708679, 1.4629788398742676, -0.9774080514907837, 0.33892372250556946, -0.0032430298160761595, 0.3163554072380066, -0.8103094100952148, 0.3089659810066223, 0.5553372502326965, -1.8082122802734375, 0.4555312693119049, 0.5855437517166138, 0.25055980682373047, 1.1339462995529175, -0.3130878210067749, -0.05429505929350853, 0.5343325138092041, -1.3791455030441284, 0.723303496837616, 0.5394320487976074, 0.6929005980491638, -0.16940361261367798, -1.0262784957885742, 0.04200945049524307, 0.6691567897796631, -0.376415491104126, 0.4130204916000366, -0.3977394998073578, 0.7416212558746338, 0.36663806438446045, -0.40675830841064453, -0.6966125965118408, -1.2380040884017944, -0.9024800062179565, 0.40742841362953186, 0.23007477819919586, -0.6371480226516724, 0.2012966275215149, 0.1215183287858963, -0.4397822618484497, 0.15709581971168518, 1.4147988557815552, 0.046593017876148224, 0.4062492251396179, 0.304533988237381, 0.11081007122993469, 1.0247818231582642, 0.6157506704330444, 0.40000754594802856, -0.033360544592142105, -0.22337642312049866, -0.4938117265701294, -0.30990132689476013, -1.013224482536316, -0.40379369258880615, -0.06236758455634117, -0.6917700171470642, -0.35121312737464905, 1.1060514450073242, -0.1435515433549881, 0.5319305062294006, 0.06501438468694687, -0.5761812329292297, -0.5422084331512451, -0.32149481773376465, -0.740680992603302, -0.3262222111225128, 0.1327165812253952, -0.2650013267993927, 0.30960813164711, 0.11572195589542389, -0.632079005241394, -0.46556663513183594, 0.21430552005767822, 0.08129040896892548, -0.009633028879761696, 1.936135172843933, 0.4103530943393707, 0.5846092700958252, 1.0088541507720947, 0.653379499912262, 0.832425057888031, -0.6632816195487976, 0.1657889187335968, 0.5604223012924194, 0.6219485402107239, -0.13422852754592896, 0.24786920845508575, -1.1717500686645508, -0.10471607744693756, 1.1275867223739624, -0.989338755607605, 0.7276872396469116, -0.6521879434585571, 0.5412228107452393, 0.01998136192560196, 0.5191464424133301, -0.5302981734275818, 0.9214972257614136, -0.3126332759857178, 1.699353575706482, -1.2406085729599, -0.32695674896240234, 1.351794958114624, 0.9528779983520508, 0.4216935932636261, -0.11179842799901962, 0.2905727028846741, -0.3152827322483063, -0.28470414876937866, -0.9009066224098206, -0.6737363338470459, 1.285974383354187, -1.3993310928344727, 0.7901507616043091, -0.1650688350200653, -0.6323566436767578, 0.7015407085418701, 0.42016884684562683, -0.1023547351360321, -1.332861304283142, -0.663749635219574, -1.2598111629486084, 0.5997319221496582, 0.6761962175369263, -0.36744391918182373, 0.03243352100253105, 0.12361448258161545, 0.5803961753845215, -0.2082938253879547, 0.7720760107040405, -0.698414146900177, -1.8703032732009888, 0.1552731841802597, -0.6650761365890503, -1.2839864492416382, 1.3137824535369873, 0.1765950471162796, -0.3915702998638153, 1.3030380010604858, -0.5376853942871094, 0.9398272633552551, 0.24982306361198425, 0.22760948538780212, -0.012708459049463272, 1.4687343835830688, -0.5286175012588501, -0.6811373829841614, -0.35109561681747437, -0.8016642928123474, 4.959829807281494, 1.3051077127456665, -0.059061624109745026, -2.1998181343078613, 0.30098387598991394, -0.844402015209198, 0.17264269292354584, 0.029196303337812424, 0.3514323830604553, 0.10405424982309341, 0.6284400224685669, 1.1118346452713013, 0.4556446671485901, -0.04722307622432709, 0.5486472249031067, 0.46807917952537537, -0.45520633459091187, -0.7686378359794617, 0.9432742595672607, 0.02423400804400444, 0.19088317453861237, -0.2182028442621231, -1.2757937908172607, -1.1563681364059448, 1.162269949913025, -0.07533618062734604, 0.7473260164260864, -0.7024766206741333, 0.9047497510910034, 0.0874210000038147, -0.7913069128990173, 0.7105114459991455, 0.2981235980987549, 1.3284640312194824, 0.7149296998977661, -0.040552180260419846, -0.2665584683418274, -0.6009747982025146, -0.43757346272468567, 0.09302539378404617, 0.43164461851119995, -0.1056818813085556, -0.5421387553215027, -0.4795202314853668, -1.389109492301941, -0.025674600154161453, -0.477080762386322, -0.9712498784065247, 0.4862561821937561, 0.06375711411237717, -0.15227293968200684, 0.23676376044750214, -0.6336587071418762, -0.9961446523666382, -0.820130467414856, 0.7979059219360352, 0.1576911211013794, -0.7386425137519836, -0.0017585247987881303, -0.7585303783416748, 0.24373559653759003, -0.4379512071609497, 0.7346774339675903, 0.12602367997169495, 0.624923050403595, -0.031596627086400986, 0.1748867928981781, 2.3792765140533447, -0.32037195563316345, -0.7492173910140991, -0.9832146763801575, 0.5482369661331177, -0.2464873492717743, -0.10731605440378189, -0.3873355984687805, 1.1402637958526611, -0.8238779306411743, 0.04407704994082451, -1.0354552268981934, -0.7068517208099365, -1.2318885326385498, 0.141277015209198, -0.6662201881408691, 0.45686766505241394, -0.2030469924211502, -0.5565949082374573, -0.08317863941192627, 0.37459367513656616, 0.20171816647052765, -0.07163822650909424, 0.2690178453922272, 1.5619583129882812, 0.7435750961303711, -1.031999111175537, 0.4453239440917969, 0.4522595703601837, -1.8925215005874634, -0.32043972611427307, -1.1914868354797363, 0.825621485710144, 0.13383948802947998, -0.30136838555336, -0.05374125763773918, 0.9447405934333801, -0.8748976588249207, -0.450622022151947, 0.33797430992126465, -1.071739912033081, -0.4898136258125305, -0.12082801759243011, 0.9425045847892761, -1.7460488080978394, -0.8078624606132507, -0.43484896421432495, -0.1932031661272049, 0.08817193657159805, -0.23754307627677917, -0.7248355746269226, 0.3494468331336975, -0.19121336936950684, 0.7109003663063049, 0.3395123779773712, 0.4665074348449707, -0.5999987125396729, -0.6764460206031799, 0.3214362561702728, -0.8696680665016174, -0.12394651770591736, 0.19133582711219788, -0.6256544589996338, 0.47376346588134766, 0.3563883900642395, -0.04550174996256828, 0.6282222867012024, -0.6506354808807373, -0.030416954308748245, -0.027343809604644775, 0.7019485831260681, -0.8791981339454651, 0.06911321729421616, 0.43093666434288025, -0.18917500972747803, -0.7176269292831421, 1.109484076499939, -0.4295106828212738, -0.02752980776131153, 0.099534772336483, 0.022571930661797523, 0.9090028405189514, 0.4105600416660309, -1.0042264461517334, -0.4481206238269806, 0.5492214560508728, 0.6659554243087769, 0.27826398611068726, 0.2622203528881073, 0.060711901634931564, 0.1989431530237198, -0.11016622185707092, -0.13256551325321198, 0.753445565700531, 0.9530372619628906, -0.15215730667114258, -0.6699511408805847, -0.4496896266937256, 0.2025519460439682, -0.7589370012283325, 0.7459396123886108, -0.3779674768447876, 0.5969192981719971, 0.2843647599220276, 0.35878732800483704, 0.19771042466163635, 0.8694757223129272, -1.2537320852279663, -0.10112813860177994, -0.1920831948518753, 0.3711853623390198, 0.7042799592018127, -0.8937658071517944, 0.33984386920928955, 0.7587851285934448, -1.6172997951507568, 0.4604651629924774, -0.09159960597753525, -0.5545077919960022, -0.8186253309249878, 0.9226657748222351, -0.2621334493160248, 0.31244513392448425, 0.1295350342988968, -0.11672841757535934, 1.3386436700820923, 0.0065757473930716515, -0.5938233733177185, -0.4999481737613678, 0.31010735034942627, 0.5874040126800537, 0.0380932055413723, -0.2761906683444977, 0.5120711326599121, -0.6018705368041992, -1.3279736042022705, -0.3194979429244995, -1.4317296743392944, 0.7239040732383728, -0.044394806027412415, 1.171783208847046, 0.3349151909351349, 0.7553746104240417, -0.29099154472351074, -0.03308317810297012, -0.4174058735370636, -0.335714191198349, 0.21751728653907776, 0.7103092074394226, -0.44373780488967896, -0.4046391248703003, 0.16958148777484894, 0.11846768856048584, -0.7692808508872986, 0.3362036347389221, -1.2820607423782349, -0.032702960073947906, 0.21982671320438385, -0.02984560653567314, 0.31855595111846924, -1.1169991493225098, -0.08421974629163742, -1.2064703702926636, 0.217330202460289, 0.027760757133364677, 0.8424277305603027, 0.038428422063589096, 0.21150581538677216, -0.7296975255012512, -0.7072476744651794, 0.31691017746925354, 0.5732382535934448, -1.0685089826583862, -0.6010908484458923, 0.06100334972143173, -0.3001798391342163, 1.2403717041015625, 0.45644575357437134, -0.0898057296872139, -0.5697454214096069, 0.6783144474029541, -0.35283923149108887, 0.14041434228420258, -0.6754681468009949, 0.036733947694301605, 0.6543812155723572, -0.4813527464866638, 0.8579803109169006, 1.0113168954849243, 1.0384596586227417, -0.6774893999099731, -1.200570821762085, -0.19433890283107758, 1.1243853569030762, 0.5783857703208923, -0.22291095554828644, 0.02835839055478573, -1.8058100938796997, -0.051092758774757385, 0.4064236283302307, 0.6407894492149353, 0.2596815824508667, -0.5033392310142517, -0.49762779474258423, 0.22583051025867462, 0.30603906512260437, -0.2146819680929184, 0.35242754220962524, 0.5293880105018616, 0.7409245371818542, 0.36156800389289856, -0.5346689820289612, -0.34041476249694824, -0.25500622391700745, 0.7336976528167725, 0.0024833374191075563, 1.107035756111145, -0.3230533301830292, 0.048350583761930466, 0.2789623439311981, -0.3101053237915039, -1.407692551612854, 1.6838369369506836, 0.4311423897743225, -0.1667201668024063, -0.9856150150299072, -0.2821454703807831, 0.7899799346923828, -1.5144639015197754, -0.21946871280670166, 0.9873809218406677, 0.2300235629081726, -0.08397369086742401, 0.32457152009010315, 0.3085130453109741, 0.13634465634822845, 0.2803862392902374, 0.829364538192749, -0.6021714210510254, -0.5122397541999817, 0.42328670620918274, 0.9064500331878662, 0.6143153309822083, -0.41354548931121826, 0.4445793032646179, 0.921601414680481, -0.4574796259403229, 1.6893043518066406, -0.05561776086688042, 0.0176791213452816, -0.08550481498241425, 0.21965618431568146, 0.23461729288101196, -1.2210862636566162, -0.6710238456726074, -0.030978187918663025, 0.13955125212669373, 1.5179245471954346, -0.8476614356040955, 0.6660069823265076, -0.6629233956336975, 0.12812328338623047, -0.7942822575569153, -0.28091031312942505, 0.3718838393688202, 0.2301127016544342, 0.1535828411579132, -0.5747021436691284, -1.3725017309188843, -0.19096843898296356, 1.39718496799469, -1.2432390451431274, -0.08896593004465103, -0.46738189458847046, 0.239388108253479, 0.3638509511947632, -0.4726918339729309, -1.4642868041992188, -0.9028372168540955, 0.46348169445991516, 0.2099904865026474, -0.398668110370636, -0.9431635737419128, -1.441391110420227, -0.11630380898714066, 1.3019661903381348, -0.49528181552886963, -0.22653120756149292, -1.049761176109314, -0.06476999819278717, 0.4335944950580597, 0.5936809182167053 ] ]
{ "indices": [ 691409538, 520409122, 2488575079, 3741174264, 54081900, 1612531086, 997512866, 3959877569, 1598346136, 970269646, 3780779385, 1590456296, 5957873, 2180406531, 3928038441, 4011823516, 2236453805, 2046658185, 4260578009, 100532018, 2066971792, 3688822001, 570652574, 696559717, 3475938527, 499549952, 414204181, 448220673, 1300596145, 640124220, 3586802366 ], "values": [ 0.721437915187976, 0.6332396382760778, 0.4633143131816905, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.721437915187976, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905 ] }
{ "context": "And that's the limiting factor, actually, in a lot of high speed processor designs. So in a lot of your desktop or laptop, what sets the clock speed is something like the adder time or the time to do simple arithmetic operations. That's what limits it. So that's, we might, if we have time, I'll show you a tree adder, which is a faster version, it has shorter paths. And those are the those are what people actually use in real processors." }
279259
[ [ 0.1539939045906067, -0.7384772896766663, 0.25725629925727844, 0.23150226473808289, 0.27592843770980835, 0.09633064270019531, -0.4801858365535736, 0.6345200538635254, 0.6645818948745728, -0.9471985101699829, 1.0782604217529297, 0.515033483505249, 0.37383219599723816, 0.5090192556381226, 0.38012441992759705, -0.12411363422870636, 0.719383716583252, -0.41514381766319275, 0.1718042641878128, -0.21833696961402893, 1.1833341121673584, -0.1332203596830368, -0.5273529887199402, -0.18025119602680206, -0.6267101764678955, 0.9171095490455627, 0.023378053680062294, -0.6129733920097351, 0.2869458496570587, 0.8055765628814697, -0.7257946133613586, -1.2377756834030151, 0.4982200264930725, -0.4526643455028534, 1.684615969657898, 0.12233266234397888, -0.44904136657714844, -0.34628787636756897, 0.29855477809906006, 0.5445573925971985, -0.07292703539133072, 0.34622955322265625, -0.6242049932479858, 0.6786792278289795, -1.141640305519104, 0.17158082127571106, -0.5184377431869507, -0.16552138328552246, 1.095869541168213, -0.32578161358833313, 0.8707733750343323, -0.21257992088794708, 0.8008885979652405, -0.05028427764773369, 0.35940930247306824, 0.6467794179916382, 1.0530461072921753, 1.5795824527740479, 0.4082627296447754, -1.3508622646331787, -0.004149454180151224, -0.42715516686439514, 0.24338269233703613, -0.5183425545692444, -0.1603332906961441, -0.4518265724182129, -0.40844884514808655, -0.9703022837638855, 1.0112051963806152, -0.18934692442417145, -0.891713559627533, 0.41618478298187256, 0.4444577395915985, 0.320893794298172, 0.5042308568954468, -0.7777309417724609, 0.29635095596313477, 0.5312476754188538, 0.7203463315963745, 1.107727289199829, -0.9426411390304565, 0.24634811282157898, -0.47327911853790283, 0.37831780314445496, 0.48263344168663025, -0.4944280683994293, 1.4081729650497437, -0.40212374925613403, -0.031389281153678894, 0.8883947730064392, 0.16596464812755585, -0.6367873549461365, 1.369770884513855, 0.38903287053108215, -0.6286713480949402, -0.07433884590864182, 0.3548649549484253, 1.3435064554214478, 0.26293888688087463, -0.9203668832778931, 0.3038494884967804, -1.337812900543213, -0.056672994047403336, 1.2938953638076782, 0.016991745680570602, 0.3819900453090668, -0.1941821426153183, -0.15859021246433258, -0.5006706118583679, 0.17941108345985413, -1.1794832944869995, 0.8666889667510986, -0.027722014114260674, 0.6911853551864624, -0.2593817710876465, -0.5113680958747864, -0.7048048377037048, -0.34709876775741577, 1.184251070022583, 0.642844557762146, 0.9950374960899353, 0.37745845317840576, 0.7358515858650208, -0.44576695561408997, -2.1245362758636475, 0.7341877222061157, 0.36786529421806335, 0.12815113365650177, -1.0022127628326416, 0.7618499994277954, 0.7107107043266296, 0.25047361850738525, 0.017445538192987442, -0.04085715860128403, 0.6954340934753418, 0.7464882731437683, -2.016932964324951, -1.0897066593170166, 1.1675776243209839, 0.2804584205150604, -0.5401292443275452, -1.652837872505188, 0.3595772683620453, 1.111855387687683, 1.1121273040771484, 0.4500175416469574, 0.7660782933235168, 0.35252872109413147, -0.1556425392627716, 0.42518094182014465, -0.6567790508270264, 0.23121188580989838, -1.245887041091919, -0.38791584968566895, -0.6236247420310974, -0.8502063751220703, 0.11294332891702652, -0.11122764647006989, -0.5967561602592468, 0.36946815252304077, 0.5503237843513489, -0.37788304686546326, 0.22373919188976288, -0.09265076369047165, -0.9933737516403198, -0.889316737651825, 1.8154863119125366, -0.10687510669231415, 0.5708156228065491, 1.2684006690979004, 0.23699477314949036, -0.0009967056103050709, 0.15308904647827148, 0.2895047664642334, 0.9924396872520447, -0.5825657844543457, 0.1445988267660141, -0.32318785786628723, 0.01147441565990448, 0.22464290261268616, -0.4834471046924591, -0.6011210083961487, 0.15673133730888367, 1.4722740650177002, 0.45645761489868164, -0.12090790271759033, -0.8004217743873596, -0.09484115242958069, 0.971474289894104, -0.9147400259971619, -0.6157955527305603, -0.972510576248169, 0.6531294584274292, -0.07638086378574371, -0.579474687576294, -1.025590419769287, -0.8282517194747925, 0.10030048340559006, 0.5055673122406006, -0.6641412377357483, -1.3612158298492432, 0.5578174591064453, 0.8172568678855896, -1.2391713857650757, -0.17416927218437195, 0.6640700697898865, 1.32222318649292, -1.0112595558166504, 0.7358931303024292, -0.4443114697933197, -0.2156415581703186, 0.4963277280330658, -0.1948673278093338, 0.1455051153898239, 0.6447287201881409, 0.17356747388839722, 0.19726310670375824, 0.3726741671562195, -0.15087555348873138, 0.26341184973716736, -1.094589114189148, 1.0792253017425537, 1.9060574769973755, 1.0637197494506836, 0.14743909239768982, 0.2662126123905182, 0.1927613914012909, -0.06805866956710815, 0.15640972554683685, -0.5752314925193787, -0.507546603679657, -1.2314295768737793, -0.015090453438460827, 0.26822808384895325, -0.1592005044221878, 0.19675041735172272, 1.8716251850128174, 0.7990656495094299, 0.7630794644355774, -0.17423246800899506, -1.3407607078552246, 0.8714714646339417, 0.33829018473625183, 0.07730313390493393, 0.6335856318473816, 1.4703404903411865, 0.47509148716926575, 1.2964625358581543, -0.5334464907646179, 0.33065536618232727, -0.6612273454666138, 0.4447624981403351, 0.32509300112724304, -0.9287312626838684, 0.20551025867462158, -1.1362826824188232, 0.10585151612758636, -0.8105970025062561, -0.4614788889884949, 0.5413640141487122, -0.14165659248828888, -0.1750241070985794, -0.33886659145355225, -0.6682916283607483, -0.1375582069158554, -0.12709099054336548, 0.18365824222564697, -0.7763919234275818, 1.5082476139068604, -0.3228318393230438, 0.5331522822380066, 0.7578594088554382, -0.46929657459259033, -0.6128382086753845, 0.47309213876724243, 1.1612838506698608, -0.2731306254863739, 0.8639388680458069, -0.8613887429237366, -0.5801529288291931, -0.29030826687812805, 0.21605518460273743, 0.4432905912399292, -0.18535779416561127, -1.5149306058883667, 0.5029908418655396, -0.6619713306427002, -0.8369927406311035, 0.449715793132782, 0.30191922187805176, -1.0022244453430176, 0.38352325558662415, -0.43250975012779236, -1.0461736917495728, 0.1692361831665039, 0.21094238758087158, -0.09896092861890793, 0.1878473460674286, -0.00832321122288704, 0.4521385133266449, 0.11922362446784973, -1.4835131168365479, 0.018447497859597206, -1.1524564027786255, -0.20564641058444977, 0.2546250820159912, -0.7720414400100708, -0.6239330172538757, -0.30395641922950745, 0.3525158762931824, -0.09132200479507446, -0.21546530723571777, -0.26773861050605774, -1.0610671043395996, -1.371781826019287, 0.09195102006196976, 0.451500803232193, 1.0563483238220215, -0.16597072780132294, -0.37645280361175537, 1.1401852369308472, 0.9204122424125671, -0.7866142988204956, -0.2774737477302551, 0.11884845048189163, -0.06553497910499573, -1.0814272165298462, -0.1825849711894989, 1.1005932092666626, 0.30954304337501526, 0.3975279629230499, -0.2692650854587555, 0.9094995260238647, 1.4931213855743408, -0.08756570518016815, -1.1325992345809937, 0.00012743835395667702, -0.0794757828116417, -0.772903561592102, 0.09996181726455688, 0.7802490592002869, 0.7912031412124634, 0.7355757355690002, 0.16404512524604797, 1.2510278224945068, 0.2678966224193573, -0.5901243686676025, 0.774067759513855, 0.24118663370609283, 0.30661407113075256, -0.2450653612613678, 0.19645243883132935, 0.3403364419937134, -0.44341737031936646, -0.9249625205993652, 0.5651886463165283, 0.8747761845588684, -0.8103094100952148, -0.17456448078155518, 0.8026429414749146, 0.808967113494873, 0.09940718859434128, -0.4545982778072357, -0.4907054901123047, 0.3166353702545166, 0.5611986517906189, -0.507853627204895, 0.08512760698795319, 0.6754725575447083, -0.15819300711154938, 0.45132961869239807, 0.4868181347846985, -0.6324766874313354, -0.6731136441230774, -1.7759472131729126, -0.6586406230926514, 0.32418280839920044, 1.0300825834274292, 0.4844974875450134, 0.5418257713317871, -0.07799676060676575, 0.14651301503181458, -0.27415937185287476, -0.047220684587955475, -1.7135229110717773, 0.2927553653717041, 0.38282519578933716, -0.28632837533950806, 0.5525693297386169, -0.40817904472351074, 0.4048457741737366, -0.29773008823394775, -0.6482290029525757, -0.09608861804008484, -0.08673006296157837, -1.076758861541748, 0.6681020259857178, 0.1666673719882965, -0.5704158544540405, 0.6606855392456055, 0.7003487944602966, 2.1031224727630615, 0.7020764946937561, 0.39655324816703796, 0.15089811384677887, 0.18012955784797668, -0.4628000557422638, -0.2414846569299698, 0.45286235213279724, 0.36040860414505005, 0.8779423832893372, 1.0240178108215332, 0.7257079482078552, -0.6235474944114685, -0.06957482546567917, 0.23816457390785217, 1.1409777402877808, 0.3335212171077728, 0.18974098563194275, -0.06564223766326904, -0.5076236128807068, -0.37357354164123535, 0.15404653549194336, 0.9882304668426514, -0.39712679386138916, 1.4881906509399414, 0.7493132948875427, 0.21965231001377106, 0.1959630697965622, 1.281518816947937, 1.6171444654464722, -0.03683921694755554, -0.38564568758010864, -0.37377920746803284, -0.7456564903259277, -0.14092890918254852, -0.47622159123420715, 0.20044685900211334, 1.3151934146881104, 0.6681901216506958, -0.5523897409439087, 0.3572356402873993, 0.3441968858242035, -1.4500735998153687, 0.24000747501850128, -0.4755186140537262, -0.6587993502616882, -0.5318737030029297, 0.7744466066360474, 0.1519932895898819, 0.48623159527778625, -0.29083457589149475, 0.2964765131473541, -0.6530390381813049, -2.46699857711792, -0.5331352949142456, 0.2976616322994232, 0.7453249096870422, -0.7761118412017822, 0.2804235816001892, -0.6703014969825745, -1.0032199621200562, 0.5863475799560547, -0.6637676358222961, 1.1974623203277588, -0.8200850486755371, 0.37286072969436646, -0.2747596204280853, -1.4628766775131226, -1.1444852352142334, 0.7116243839263916, -0.1413518786430359, 0.1791859269142151, -0.11041886359453201, -0.19523680210113525, -0.26929140090942383, 0.21638914942741394, 0.32410570979118347, 0.4653126299381256, -0.45376378297805786, -0.6797798871994019, -0.021929526701569557, 0.6492303013801575, -1.057428002357483, -0.9965710043907166, 0.33282092213630676, -0.9770370125770569, -0.8219467401504517, 0.7808219790458679, -0.6172653436660767, -0.6928561925888062, 0.6294344067573547, -0.18099994957447052, -0.842479407787323, -0.8294841051101685, -1.3291501998901367, 0.7782211899757385, -0.519107460975647, 0.5392484664916992, 0.2567412257194519, 0.6100521683692932, -0.44390633702278137, -0.11508441716432571, -0.5503174662590027, 0.04610658064484596, -0.7094071507453918, 0.7020978927612305, -0.7570081949234009, -0.6201187968254089, -0.9282329678535461, 0.8248480558395386, 0.20030143857002258, 0.09543494135141373, -0.20583420991897583, -0.5653260350227356, -0.0003018069837708026, 1.3547513484954834, -1.2030669450759888, 0.456295371055603, 0.03853151574730873, 0.004671628586947918, -0.7787908315658569, 0.2677904963493347, 0.4573395848274231, -1.5443183183670044, 0.5051748752593994, 0.5631728172302246, -0.06491949409246445, 1.406283974647522, -0.5779576301574707, -0.3492524325847626, 0.5231856107711792, -1.1130406856536865, 0.8667899370193481, 0.5568258166313171, 0.7034018039703369, -0.025144057348370552, -1.0162667036056519, -0.1278752088546753, 0.7369391918182373, -0.18504242599010468, 0.052966758608818054, -0.3249318301677704, 0.5840904116630554, 0.38978439569473267, -0.35766246914863586, -0.8455203175544739, -1.3795382976531982, -0.836146891117096, 0.26228830218315125, -0.08022143691778183, -0.7520132064819336, -0.16815119981765747, 0.01884561963379383, -0.5643302798271179, 0.2980743646621704, 1.2908523082733154, 0.16196466982364655, 0.5284026265144348, 0.3197315037250519, 0.38309773802757263, 1.0924086570739746, 0.9119116067886353, 0.335088849067688, -0.04781172052025795, -0.08983412384986877, -0.1500580608844757, -0.37967047095298767, -1.0135632753372192, -0.5254645943641663, -0.15057259798049927, -1.0251753330230713, -0.27623486518859863, 0.9178558588027954, -0.2158222794532776, 0.3903295695781708, -0.14403407275676727, -0.5696516036987305, -0.6998334527015686, -0.6423916816711426, -0.82080078125, -0.2649940848350525, -0.009850208647549152, -0.08436866849660873, 0.5285172462463379, -0.13340432941913605, -0.7988744974136353, -0.6155185699462891, 0.04097326472401619, 0.3102110028266907, 0.06986799836158752, 2.166097640991211, 0.46182090044021606, 0.7104282975196838, 1.0395352840423584, 0.2404247224330902, 0.8488280773162842, -0.5918772220611572, 0.40600278973579407, 0.8382753729820251, 0.6655233502388, -0.1596892774105072, 0.5458102822303772, -0.8651835918426514, 0.2768506705760956, 1.0987259149551392, -0.7327651381492615, 0.6405423879623413, -0.5166128277778625, 0.46016359329223633, -0.04306099936366081, 0.25011810660362244, -0.6060947775840759, 0.5893893241882324, -0.6152970194816589, 2.0189008712768555, -1.2257131338119507, -0.5572727918624878, 1.4512760639190674, 1.2033631801605225, 0.4876548945903778, -0.07172191143035889, 0.5964235663414001, -0.34843090176582336, -0.3140755593776703, -0.6282312870025635, -0.5368632078170776, 1.5507662296295166, -1.1565587520599365, 0.9719261527061462, -0.22389884293079376, -0.6288514733314514, 0.6565338969230652, 0.7197909355163574, 0.3614705204963684, -1.328768253326416, -0.800642728805542, -1.3066301345825195, 0.41370654106140137, 0.40386995673179626, -0.2057035267353058, -0.24579545855522156, 0.2463805377483368, 0.6517794728279114, -0.3954288959503174, 0.8099066019058228, -0.45103779435157776, -1.916672706604004, -0.2117648869752884, -0.24206465482711792, -1.4043911695480347, 1.5546913146972656, 0.2132204920053482, -0.37832415103912354, 1.1398451328277588, -0.36492520570755005, 0.8429104685783386, 0.25846537947654724, 0.14426077902317047, 0.15885178744792938, 1.541569471359253, -0.3981156647205353, -0.08669397234916687, -0.488735556602478, -0.48507022857666016, 4.869277000427246, 1.6357755661010742, 0.16190087795257568, -2.0170276165008545, 0.13506317138671875, -0.914844274520874, 0.13874931633472443, -0.5790226459503174, 0.21889357268810272, -0.014874556101858616, 0.7710770964622498, 1.0083425045013428, 0.5316265821456909, -0.011462105438113213, 0.7107909321784973, 0.9081476926803589, -0.33610135316848755, -0.5605987310409546, 0.5793330073356628, 0.005901474971324205, 0.0852588415145874, -0.23485571146011353, -1.0344865322113037, -1.1772336959838867, 1.4895727634429932, -0.1434652954339981, 0.6237477660179138, -0.6944523453712463, 1.3850033283233643, 0.14577718079090118, -0.3889126181602478, 0.8548329472541809, 0.6963992118835449, 0.7860671281814575, 0.7832651734352112, 0.13942855596542358, -0.575734555721283, -0.5404475331306458, -0.5896889567375183, 0.4014914929866791, 0.3629828095436096, -0.18304607272148132, -0.19177669286727905, -0.5937856435775757, -0.8919422626495361, 0.015716595575213432, -0.5435013771057129, -0.7203400731086731, 0.5704403519630432, -0.1662571132183075, -0.10255968570709229, 0.22950008511543274, -0.5429815053939819, -1.054398536682129, -0.899207353591919, 0.8280629515647888, 0.31112393736839294, -0.7711156010627747, -0.3265056014060974, -0.6815263628959656, 0.13710716366767883, -0.3299465775489807, 0.8741812705993652, 0.09084157645702362, 0.4824986755847931, 0.27069753408432007, -0.19319243729114532, 1.9954476356506348, -0.17593474686145782, -0.7790912985801697, -0.9185954332351685, 0.5286794900894165, -0.08925118297338486, -0.32704898715019226, -0.5801144242286682, 0.9897757768630981, -0.5010754466056824, 0.4586411714553833, -0.6495458483695984, -0.4919212758541107, -1.1482630968093872, 0.28289592266082764, -0.2912524342536926, 0.15037812292575836, -0.3384445607662201, -0.9147112369537354, -0.3787029981613159, 0.33629539608955383, 0.11424203962087631, -0.027054326608777046, 0.6067596673965454, 1.4942373037338257, 0.7315916419029236, -0.9057899713516235, -0.07463699579238892, 0.40894418954849243, -1.833017349243164, -0.24286504089832306, -1.1250829696655273, 1.163953185081482, 0.4359079599380493, -0.3353419899940491, -0.3048558235168457, 0.9030935168266296, -0.8442736864089966, -0.1795026659965515, 0.5768581032752991, -1.1964871883392334, -0.41708865761756897, 0.07853733748197556, 0.9347143173217773, -1.4546862840652466, -1.0365791320800781, -0.5748254060745239, -0.2667858898639679, 0.24886903166770935, -0.36059078574180603, -0.5776170492172241, 0.6307255029678345, -0.36239317059516907, 0.8963793516159058, 0.3206745684146881, 0.6130834817886353, -0.33363038301467896, -0.3036538064479828, 0.09643127769231796, -0.9051053524017334, 0.10467664897441864, -0.037645209580659866, -0.5380343198776245, 0.28833380341529846, 0.13696102797985077, -0.06427618861198425, 0.5055662989616394, -0.7347702980041504, 0.12984386086463928, -0.06612711399793625, 0.6297875642776489, -1.0823161602020264, 0.09033101052045822, 0.382041335105896, -0.11373447626829147, -0.9145710468292236, 1.0816537141799927, -0.647491991519928, -0.10887683928012848, 0.18820692598819733, 0.12672469019889832, 0.8962845802307129, 0.5449431538581848, -0.7278770804405212, -0.06779471039772034, 0.638466477394104, 0.6853975653648376, 0.037747688591480255, 0.08464503288269043, -0.03948722407221794, -0.0030691528227180243, 0.03986300528049469, -0.1302916705608368, 0.5000036358833313, 1.1551470756530762, -0.052368853241205215, -0.5498712062835693, -0.2379216104745865, 0.04335695505142212, -0.7913952469825745, 0.5331067442893982, -0.6178627014160156, 0.6091762781143188, 0.37161755561828613, -0.10768037289381027, 0.4804241359233856, 0.7256601452827454, -1.3935964107513428, -0.09758514910936356, -0.27065515518188477, 0.5000579357147217, 0.6420165300369263, -0.7653598785400391, 0.6166777610778809, 0.8097833395004272, -1.9576455354690552, 0.364850252866745, -0.19384753704071045, -1.1787275075912476, -0.82008296251297, 1.0068246126174927, -0.08748891204595566, 0.3544638752937317, 0.25211307406425476, -0.22487710416316986, 0.7049607634544373, -0.05463860556483269, -0.4898577928543091, -0.3965985178947449, 0.3574703335762024, 0.6496073007583618, -0.08470015972852707, -0.4832611680030823, 0.8773930668830872, -1.1160027980804443, -1.3967839479446411, -0.40910232067108154, -1.5676864385604858, 0.5151847004890442, 0.10769947618246078, 1.4148565530776978, 0.16410218179225922, 0.7903851270675659, -0.1085013896226883, -0.040647946298122406, -0.5435900092124939, -0.4451271891593933, 0.15748320519924164, 0.8266192674636841, -0.44896411895751953, -0.3546583652496338, 0.592939555644989, -0.08287927508354187, -0.6667956113815308, 0.5358760356903076, -1.3693008422851562, -0.2540132999420166, 0.04817431420087814, -0.055672675371170044, 0.08716282248497009, -0.8206450939178467, -0.037419430911540985, -1.347996711730957, 0.26847583055496216, 0.4814092218875885, 0.5184231996536255, 0.28820446133613586, 0.2431500256061554, -0.26665249466896057, -0.3088313341140747, 0.39480194449424744, 0.3088398277759552, -1.436221957206726, -0.8603729009628296, -0.09570222347974777, -0.3678968548774719, 1.1537928581237793, 0.39516082406044006, -0.11693253368139267, -0.5888368487358093, 0.5566192865371704, -0.23852145671844482, -0.13136450946331024, -0.6933314800262451, 0.09661678969860077, 0.8600338697433472, -0.35300055146217346, 0.7988749742507935, 1.0870791673660278, 0.8232797384262085, -0.7049370408058167, -1.1662015914916992, -0.14504438638687134, 0.9766232371330261, 0.7815423607826233, -0.2174115926027298, 0.0773157924413681, -1.7002876996994019, 0.012790142558515072, 0.4366942048072815, 0.712736964225769, 0.005781317129731178, -0.4717590808868408, -0.3841853737831116, 0.4483499228954315, 0.24289309978485107, 0.04136993736028671, 0.40999287366867065, 0.5172154903411865, 0.3956717848777771, 0.41493451595306396, -0.41426515579223633, -0.20631086826324463, -0.2469615936279297, 0.6565607190132141, -0.22800937294960022, 1.5680482387542725, -0.2526935636997223, 0.00026179870474152267, 0.33645009994506836, -0.1710057407617569, -1.2998571395874023, 1.6706231832504272, 0.32861581444740295, 0.13569606840610504, -0.913507878780365, -0.608266294002533, 1.3079931735992432, -1.358485221862793, -0.38369375467300415, 0.7603176832199097, -0.17551295459270477, 0.013901936821639538, 0.14753346145153046, 0.2256397306919098, 0.03061676397919655, 0.431164026260376, 0.7935771346092224, -0.7093409299850464, -0.5193430185317993, 0.45652374625205994, 1.0714917182922363, 0.7796385288238525, -0.27859166264533997, 0.397033154964447, 0.7373444437980652, -0.5854039788246155, 1.677223563194275, 0.10269462317228317, 0.16600212454795837, 0.14999672770500183, 0.13807688653469086, 0.28620272874832153, -0.8602864146232605, -1.2547171115875244, -0.32878345251083374, 0.14147081971168518, 1.446108341217041, -0.6035588979721069, 0.3670668601989746, -0.8308472633361816, 0.3026354908943176, -1.1016700267791748, 0.11368744820356369, -0.4569397568702698, 0.5725048184394836, 0.08977628499269485, -0.3736782968044281, -1.2914931774139404, -0.10622449964284897, 1.3653414249420166, -1.5389173030853271, -0.15070611238479614, -0.27554646134376526, 0.2566203773021698, 0.13978835940361023, -0.6291817426681519, -1.6792089939117432, -0.6635043025016785, 0.579287052154541, 0.275358110666275, -0.43681809306144714, -1.0580178499221802, -1.4848569631576538, -0.1424615979194641, 1.4686225652694702, -0.30380183458328247, -0.4978122115135193, -0.6446022987365723, 0.16841544210910797, 0.2512878477573395, 0.4238043427467346 ] ]
{ "indices": [ 691409538, 2066971792, 2236453805, 3688822001, 570652574, 696559717, 4011823516, 3475938527, 499549952, 414204181, 448220673, 1300596145, 3741174264, 640124220, 3586802366, 3959877569, 2257684172, 1404934148, 3076736765, 3928038441, 4015635604, 1523874731, 1063320047, 446656910, 1786548735, 1590456296, 5957873, 997512866, 1598346136, 166093682, 4071211471, 2830370693, 2046009338, 2067848296, 4146668087, 1296157733 ], "values": [ 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.6417474696800065, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836, 0.4724802312930836 ] }
{ "context": "So that's, we might, if we have time, I'll show you a tree adder, which is a faster version, it has shorter paths. And those are the those are what people actually use in real processors. Because the ones we looked at things like the ripple carry, they're too slow, right? And they're what set the clock speed. So but in most of these designs, you find your slowest combinational logic, and they don't take more than one clock cycle." }
574168
[ [ 0.5076069235801697, -0.7573691010475159, 0.20992213487625122, 0.18416589498519897, 0.5225816965103149, -0.2802833020687103, -0.548252284526825, 0.7046387791633606, 0.7331519722938538, -0.8391809463500977, 0.8680165410041809, 0.5110417604446411, 0.4296884834766388, 0.3923424780368805, 0.42522579431533813, -0.18335874378681183, 0.5703430771827698, -0.3253786861896515, -0.04452647268772125, -0.17823471128940582, 1.0113472938537598, -0.05955922603607178, -0.48365575075149536, -0.25485026836395264, -0.8384529948234558, 0.9092358946800232, -0.08951802551746368, -0.7166869044303894, 0.13514764606952667, 0.9023371934890747, -0.5700040459632874, -1.2798866033554077, 0.6765803098678589, -0.7369507551193237, 1.8833736181259155, 0.19425071775913239, -0.21269933879375458, -0.3040771782398224, 0.4396394193172455, 0.607059121131897, -0.32122308015823364, 0.26702630519866943, -0.25603896379470825, 0.8247439861297607, -1.0487626791000366, 0.17239810526371002, -0.32603171467781067, -0.20505517721176147, 0.9467647075653076, -0.5693027377128601, 0.8805502653121948, -0.17924433946609497, 0.7899928689002991, 0.26874423027038574, 0.43592244386672974, 0.4706953465938568, 1.034122347831726, 1.6100798845291138, 0.3703024089336395, -1.1553709506988525, 0.021423261612653732, -0.4233797788619995, 0.12607349455356598, -0.6010080575942993, -0.06711049377918243, -0.4263817369937897, -0.4743034541606903, -1.0097112655639648, 0.8836814165115356, -0.22583766281604767, -0.6815574169158936, 0.323440819978714, 0.7267133593559265, 0.24537882208824158, 0.6271165013313293, -0.8335254788398743, 0.02698175609111786, 0.3592134714126587, 0.6687798500061035, 1.1566638946533203, -0.9428166151046753, 0.28826436400413513, -0.4681546688079834, 0.22251838445663452, 0.40673258900642395, -0.6089520454406738, 1.1876577138900757, -0.5351176261901855, 0.3890743553638458, 0.8194127678871155, 0.45984703302383423, -0.4823227524757385, 1.3867133855819702, 0.20526500046253204, -0.521776556968689, 0.0699210837483406, 0.6974618434906006, 1.2991981506347656, 0.3111249804496765, -0.8199599385261536, 0.5246046781539917, -1.0611069202423096, 0.09036120772361755, 1.6774851083755493, 0.10248727351427078, 0.5592562556266785, -0.19718080759048462, -0.15988367795944214, -0.6677688360214233, 0.34480640292167664, -0.8585100173950195, 0.8012590408325195, -0.21579401195049286, 0.903838574886322, -0.17256833612918854, -0.5096079707145691, -0.6887497901916504, -0.47397226095199585, 1.37986421585083, 0.9077900052070618, 0.950038731098175, 0.4211907684803009, 0.7110989093780518, -0.4123357832431793, -2.1522939205169678, 0.6865230202674866, 0.3597007989883423, 0.027260152623057365, -0.9193368554115295, 0.8122181296348572, 0.5829363465309143, 0.48945724964141846, -0.032897088676691055, -0.2898988425731659, 0.5911653637886047, 0.8475598096847534, -1.9020307064056396, -1.2356274127960205, 1.0580641031265259, 0.2558296024799347, -0.5870876908302307, -1.8167628049850464, 0.3712563216686249, 0.9950672388076782, 1.2268787622451782, 0.5915567278862, 0.6457618474960327, 0.30152565240859985, -0.3072446286678314, 0.5067896246910095, -0.6958760023117065, 0.38579261302948, -1.2340242862701416, -0.331601083278656, -0.7778447270393372, -0.8997717499732971, 0.07308473438024521, -0.04064489156007767, -0.6973558068275452, 0.2190691977739334, 0.4698004126548767, -0.4056931436061859, 0.3728722631931305, -0.18974880874156952, -0.9369862079620361, -1.0142515897750854, 1.6419055461883545, -0.03483262658119202, 0.5514594912528992, 1.3571596145629883, 0.12415814399719238, -0.004275276325643063, 0.1887442171573639, 0.17689302563667297, 1.3127397298812866, -0.7010471224784851, 0.10453362762928009, -0.6276931166648865, -0.107575424015522, 0.11828071624040604, -0.42905938625335693, -0.7167842984199524, 0.17795798182487488, 1.4508514404296875, 0.5142475366592407, 0.1629340648651123, -0.6601385474205017, -0.18760932981967926, 1.1419178247451782, -0.890091061592102, -0.6171446442604065, -1.0572677850723267, 0.5478753447532654, -0.12414338439702988, -0.9034804105758667, -0.8665387630462646, -0.593386173248291, 0.14554288983345032, 0.6737769842147827, -0.8404290676116943, -1.0774778127670288, 0.5914451479911804, 0.7037351727485657, -1.0102163553237915, -0.03796567767858505, 0.9584388136863708, 1.3874109983444214, -0.5322512984275818, 0.750401496887207, -0.43957534432411194, -0.27335265278816223, 0.494891881942749, -0.17341302335262299, 0.46794775128364563, 0.7871347665786743, 0.08079984784126282, 0.39065346121788025, 0.40218621492385864, -0.11783379316329956, 0.2712022066116333, -1.1624890565872192, 1.1925610303878784, 2.079584836959839, 1.136906623840332, 0.3335934579372406, 0.39591681957244873, 0.007925087586045265, -0.1141798347234726, 0.22832946479320526, -0.6506593823432922, -0.5375375151634216, -1.3805410861968994, -0.016810808330774307, 0.32848456501960754, -0.30807891488075256, 0.12913194298744202, 1.8043729066848755, 0.9264135360717773, 0.9368611574172974, 0.09612476080656052, -1.2935024499893188, 0.819025456905365, 0.18309247493743896, 0.07381442934274673, 0.8136200308799744, 1.558297872543335, 0.46795740723609924, 1.2110928297042847, -0.4043065011501312, 0.14874997735023499, -0.7069277167320251, 0.6253485679626465, 0.26501351594924927, -0.9838995337486267, 0.256482869386673, -0.890319287776947, 0.21143260598182678, -0.993745744228363, -0.40850380063056946, 0.7638932466506958, -0.1717817634344101, -0.4508717656135559, -0.6224579811096191, -0.6630712151527405, 0.0184616819024086, -0.1122949868440628, -0.21304447948932648, -0.78508460521698, 1.4980652332305908, -0.47871941328048706, 0.4374784231185913, 0.6547824740409851, -0.45338746905326843, -0.48726150393486023, 0.23398110270500183, 0.998103678226471, -0.5002599954605103, 1.2393443584442139, -0.7353675365447998, -0.39539361000061035, -0.4629630148410797, 0.3078788220882416, 0.48013585805892944, -0.1933954805135727, -1.6979774236679077, 0.8058064579963684, -0.46995532512664795, -0.8099136352539062, 0.5204395651817322, 0.2033563256263733, -1.0726344585418701, 0.2769577205181122, -0.559587299823761, -0.8236897587776184, 0.23525762557983398, 0.18328191339969635, -0.09840775281190872, 0.1749318391084671, -0.008745466358959675, 0.4959566295146942, 0.0684591606259346, -1.4800915718078613, 0.09419874846935272, -1.2176882028579712, -0.267964631319046, 0.1833510547876358, -0.8288156986236572, -0.5293529629707336, -0.5753631591796875, 0.10207971185445786, -0.2867546081542969, -0.4404119551181793, -0.15872696042060852, -1.0757660865783691, -1.4668582677841187, 0.04487863928079605, 0.3501233756542206, 0.9846907258033752, -0.4018142819404602, -0.46883121132850647, 1.4448907375335693, 0.9395776391029358, -0.8036011457443237, -0.5562416315078735, 0.17371603846549988, -0.042333703488111496, -1.0368599891662598, -0.23995475471019745, 1.0085970163345337, 0.23099595308303833, 0.3136081397533417, -0.5046635866165161, 1.086624264717102, 1.677170991897583, -0.28805994987487793, -0.9407496452331543, -0.04328034073114395, 0.03730878606438637, -0.7648027539253235, -0.09382977336645126, 0.7321131825447083, 0.6794543862342834, 0.8387631177902222, 0.2786500155925751, 1.2672088146209717, 0.44673439860343933, -0.7788329720497131, 0.7401798963546753, 0.29213833808898926, 0.3567814230918884, -0.3523043096065521, 0.07196962833404541, 0.30365779995918274, -0.3106645345687866, -1.0737614631652832, 0.29209619760513306, 0.8867852091789246, -0.9099748730659485, -0.028933612629771233, 0.7470278739929199, 0.8145442008972168, 0.11858706921339035, -0.38645756244659424, -0.4938843250274658, 0.30065226554870605, 0.5219545960426331, -0.1846437156200409, -0.10569993406534195, 0.7667697668075562, -0.08940815925598145, 0.6266488432884216, 0.4886414408683777, -0.4038400948047638, -0.6926556825637817, -1.5844358205795288, -0.9698389172554016, 0.13033024966716766, 1.0504618883132935, 0.4586154520511627, 0.7053652405738831, 0.06619485467672348, 0.14303544163703918, -0.21460291743278503, -0.14814810454845428, -1.894464373588562, 0.17848408222198486, 0.42211633920669556, -0.13715659081935883, 0.6377624273300171, -0.3853307366371155, 0.28989288210868835, -0.20082181692123413, -0.8385059237480164, -0.1956675946712494, 0.1445855349302292, -1.1284098625183105, 0.4737090766429901, 0.2141418755054474, -0.4885207414627075, 0.5509725213050842, 0.5810518264770508, 1.8985984325408936, 0.8516309261322021, 0.487998902797699, 0.2643764913082123, 0.0426936000585556, -0.6122806668281555, -0.22770251333713531, 0.4196947515010834, 0.38098838925361633, 0.7527351975440979, 0.8472209572792053, 0.9314391016960144, -0.8856847882270813, -0.03445751965045929, 0.31651508808135986, 1.167415976524353, 0.318768173456192, 0.11733037233352661, -0.21825328469276428, -0.6999111771583557, -0.5774694681167603, -0.04573415219783783, 1.0394865274429321, -0.4870910942554474, 1.517065167427063, 0.8487626910209656, 0.17139442265033722, 0.09652483463287354, 1.2620254755020142, 1.4223426580429077, -0.11672751605510712, -0.48641249537467957, -0.5778271555900574, -0.7833444476127625, -0.36811432242393494, -0.31246230006217957, 0.30900222063064575, 1.1484746932983398, 0.7760597467422485, -0.5690810680389404, 0.3992040455341339, 0.30501869320869446, -1.5334768295288086, 0.6112563014030457, -0.6418023705482483, -0.4254581332206726, -0.5384389162063599, 0.8387686610221863, 0.3808419108390808, 0.286072313785553, -0.357112318277359, 0.2741982638835907, -0.6842986941337585, -2.358246088027954, -0.7056633830070496, 0.5807695388793945, 0.6143580079078674, -0.6565532088279724, 0.34829023480415344, -0.7057259678840637, -0.9673290252685547, 0.7205996513366699, -0.6773512363433838, 1.2284438610076904, -0.9060391783714294, 0.3353293240070343, -0.19492974877357483, -1.5392167568206787, -1.0914666652679443, 0.7836888432502747, -0.13759073615074158, 0.2520045042037964, -0.1060008555650711, 0.020878678187727928, -0.4328940808773041, 0.4487530291080475, 0.37533602118492126, 0.5024486780166626, -0.2888093590736389, -0.655212938785553, 0.021485531702637672, 0.6785092353820801, -0.890300452709198, -0.6622000932693481, 0.356253057718277, -1.2824046611785889, -0.8749766945838928, 0.9600033760070801, -0.5905789732933044, -0.5886189341545105, 0.595159113407135, -0.19168201088905334, -0.6711363792419434, -0.5976860523223877, -1.4478031396865845, 0.881733238697052, -0.4374264180660248, 0.7377706170082092, 0.5956146121025085, 0.49580538272857666, -0.6013161540031433, -0.03209097310900688, -0.3955293595790863, 0.12795759737491608, -0.6748170256614685, 0.8549257516860962, -0.9684717655181885, -0.4463268220424652, -0.7926709055900574, 0.9832611083984375, -0.10779540985822678, 0.17260168492794037, -0.13540630042552948, -0.49276161193847656, -0.1915973722934723, 1.3390754461288452, -1.118166208267212, 0.5408337116241455, -0.12852950394153595, 0.08055034279823303, -0.704917311668396, 0.23992696404457092, 0.5001778602600098, -1.6458094120025635, 0.5557594895362854, 0.6169350147247314, -0.04590994864702225, 1.0366923809051514, -0.5292383432388306, -0.2654312252998352, 0.6086174249649048, -1.1585992574691772, 0.9898973703384399, 0.5745669603347778, 0.7357558608055115, -0.13860827684402466, -0.9962294101715088, -0.04625523462891579, 0.675912082195282, -0.28714805841445923, 0.18167904019355774, -0.1968562752008438, 0.6866739988327026, 0.5084743499755859, -0.26010197401046753, -0.824562668800354, -1.3380262851715088, -0.7771207094192505, 0.3174728751182556, -0.13467954099178314, -0.800679087638855, 0.08517898619174957, 0.04763083532452583, -0.5822362899780273, 0.4479539394378662, 1.538716435432434, -0.0075485603883862495, 0.395765483379364, 0.14421866834163666, 0.2849162518978119, 1.2120689153671265, 0.751567006111145, 0.29988065361976624, -0.1992090493440628, -0.19032511115074158, -0.4362868368625641, -0.44166135787963867, -1.039807915687561, -0.5103299617767334, -0.24457508325576782, -0.8461159467697144, -0.29266324639320374, 1.1099945306777954, -0.20330993831157684, 0.5057216882705688, 0.00215304852463305, -0.6864774823188782, -0.6958977580070496, -0.5109196901321411, -0.8101121187210083, -0.3173631429672241, 0.1839212030172348, -0.2464326173067093, 0.4125073552131653, 0.090565025806427, -0.7694175243377686, -0.6216815710067749, 0.19856008887290955, 0.3152868449687958, 0.1547359824180603, 2.026789665222168, 0.5879899859428406, 0.4711453318595886, 0.9498208165168762, 0.5320867300033569, 0.7276333570480347, -0.4518736004829407, 0.4418283998966217, 0.8139810562133789, 0.6067937016487122, -0.2522851824760437, 0.40207016468048096, -1.0651975870132446, 0.1866631805896759, 1.19237220287323, -0.6665378212928772, 0.652944028377533, -0.5082675218582153, 0.4147258400917053, 0.006661638151854277, 0.27838996052742004, -0.5755776166915894, 0.7088978886604309, -0.3889193534851074, 2.0165812969207764, -0.9693544507026672, -0.622538685798645, 1.4961563348770142, 1.0354963541030884, 0.645714521408081, -0.09959554672241211, 0.4527118504047394, -0.28400206565856934, -0.34948796033859253, -0.8385061621665955, -0.6185786724090576, 1.3667563199996948, -1.3513139486312866, 0.7379854917526245, -0.002798795700073242, -0.6415043473243713, 0.7153328061103821, 0.7928308248519897, 0.06754738092422485, -1.3930975198745728, -0.8934358358383179, -1.4053010940551758, 0.34158074855804443, 0.4469476342201233, -0.3934083580970764, -0.13389702141284943, 0.11071515828371048, 0.46303024888038635, -0.34509363770484924, 0.7626298666000366, -0.28281065821647644, -1.9547055959701538, -0.17124304175376892, -0.5355361104011536, -1.2783883810043335, 1.5513057708740234, 0.25430017709732056, -0.35345372557640076, 1.230741262435913, -0.5718368291854858, 0.8658849596977234, 0.36111336946487427, 0.27379822731018066, 0.0694340318441391, 1.540089726448059, -0.5143222212791443, -0.22034022212028503, -0.1779552549123764, -0.6942408680915833, 4.722557544708252, 1.3659664392471313, 0.0546814389526844, -2.137880563735962, 0.21517705917358398, -0.6718704700469971, 0.16662247478961945, -0.30232134461402893, 0.3131658434867859, -0.01140120904892683, 0.9321853518486023, 1.0772050619125366, 0.5082667469978333, -0.1639745682477951, 0.6672720909118652, 0.6138949990272522, -0.32932281494140625, -0.8388751149177551, 0.646394670009613, 0.024158336222171783, 0.2141520380973816, -0.1820911467075348, -1.1249065399169922, -1.062804102897644, 1.3222068548202515, -0.11124556511640549, 0.5793603658676147, -0.49779677391052246, 1.0749582052230835, 0.09397224336862564, -0.6874586343765259, 0.9308393597602844, 0.5804126858711243, 1.0258166790008545, 0.7439745664596558, 0.08362864702939987, -0.4324178397655487, -0.5210134387016296, -0.5033199191093445, 0.2705489993095398, 0.4687187671661377, -0.09957268834114075, -0.31310802698135376, -0.5813086628913879, -0.9929046034812927, -0.019324470311403275, -0.4770717918872833, -0.808575451374054, 0.534136176109314, -0.0431160107254982, -0.11062904447317123, 0.27657878398895264, -0.6049370765686035, -0.9323725700378418, -0.7563424706459045, 0.8753278255462646, 0.4250202476978302, -0.6181012988090515, -0.20082499086856842, -0.695727527141571, 0.31077906489372253, -0.2947025001049042, 0.7627712488174438, 0.3176005482673645, 0.5114834904670715, 0.03763944283127785, -0.15746620297431946, 2.1604537963867188, -0.21893712878227234, -0.7632876634597778, -1.0097116231918335, 0.5889820456504822, -0.1713353544473648, -0.4039040803909302, -0.5481205582618713, 1.0061542987823486, -0.6337503790855408, 0.3010995388031006, -0.6390920877456665, -0.5783320069313049, -1.0361008644104004, 0.22422750294208527, -0.5444092750549316, 0.18587633967399597, -0.4906492233276367, -0.8766950368881226, -0.39588305354118347, 0.4242372512817383, 0.25307342410087585, 0.1731022447347641, 0.43715956807136536, 1.440945029258728, 0.9101691842079163, -0.8663970828056335, 0.22158896923065186, 0.5196406245231628, -2.036051034927368, -0.2160913646221161, -1.0081920623779297, 1.069079041481018, 0.23155666887760162, -0.4113282561302185, -0.24557636678218842, 0.9240587949752808, -0.8060321807861328, -0.13759489357471466, 0.6455521583557129, -0.9241684079170227, -0.20500682294368744, -0.16224290430545807, 0.8992037773132324, -1.3983502388000488, -0.9109677672386169, -0.3301387429237366, -0.16071194410324097, 0.040925394743680954, -0.12725678086280823, -0.6448581218719482, 0.36369332671165466, -0.4488869905471802, 0.6979916095733643, 0.45733946561813354, 0.4543692171573639, -0.6107044816017151, -0.24435719847679138, 0.18919269740581512, -1.046623706817627, -0.10500173270702362, 0.056778647005558014, -0.6438161730766296, 0.31260591745376587, 0.15581564605236053, -0.38739877939224243, 0.4314243495464325, -0.9620429277420044, 0.1574428677558899, -0.1452210694551468, 0.5984182357788086, -1.207212209701538, -0.12901215255260468, 0.45844849944114685, -0.0885491594672203, -1.0404020547866821, 1.3396292924880981, -0.5813980102539062, 0.11172011494636536, 0.12726454436779022, -0.018670208752155304, 1.0776292085647583, 0.36031588912010193, -0.9017941355705261, -0.1082751452922821, 0.5168879628181458, 0.5606313943862915, 0.12881076335906982, 0.07511405646800995, -0.058915283530950546, 0.0045130494982004166, -0.016841955482959747, 0.38962459564208984, 0.41120848059654236, 1.2221417427062988, -0.15706653892993927, -0.6716526746749878, -0.25919997692108154, 0.11303767561912537, -0.7527156472206116, 0.7093001008033752, -0.6439691781997681, 0.4251149892807007, 0.35695379972457886, -0.3305210769176483, 0.10017417371273041, 0.7153825759887695, -1.441044807434082, -0.1246626004576683, -0.030223703011870384, 0.36740416288375854, 0.832511305809021, -0.6933141946792603, 0.2507610321044922, 0.8005061149597168, -1.930466890335083, 0.46208879351615906, -0.17609749734401703, -0.9736806154251099, -0.797966480255127, 0.7985625863075256, -0.29427048563957214, 0.2848653793334961, 0.2568790912628174, -0.23894280195236206, 1.1369584798812866, -0.1951269954442978, -0.552200973033905, -0.576651930809021, 0.29579585790634155, 0.6116045117378235, 0.09054900705814362, -0.24325866997241974, 0.756308376789093, -0.9189627766609192, -1.3515386581420898, -0.5345189571380615, -1.5877808332443237, 0.7523853182792664, 0.09640330821275711, 1.293028473854065, 0.17237761616706848, 0.785027027130127, -0.31282785534858704, 0.20808440446853638, -0.5520326495170593, -0.4555357098579407, 0.41480016708374023, 0.5736749768257141, -0.4284379780292511, -0.44906631112098694, 0.37793031334877014, 0.08500401675701141, -0.7275578379631042, 0.453635036945343, -1.4129951000213623, -0.20751674473285675, 0.2730247378349304, -0.048699960112571716, 0.28035852313041687, -1.0460314750671387, -0.09761278331279755, -1.2808424234390259, 0.36364343762397766, 0.13993677496910095, 0.653474748134613, 0.18503788113594055, 0.1753610074520111, -0.3727075755596161, -0.3534318506717682, 0.5767825245857239, 0.5092642307281494, -1.4219762086868286, -0.7508483529090881, 0.06963662803173065, -0.23977334797382355, 1.0431197881698608, 0.3862554430961609, -0.06717246025800705, -0.6411387920379639, 0.7731818556785583, -0.30701541900634766, 0.13437804579734802, -0.5860483646392822, 0.13761502504348755, 0.6799483299255371, -0.533275306224823, 0.8614596128463745, 1.1796586513519287, 0.7979186177253723, -0.7381345629692078, -1.1366266012191772, -0.20711226761341095, 1.1888269186019897, 0.7605400085449219, -0.24631348252296448, 0.22530962526798248, -1.8691641092300415, -0.011044414713978767, 0.4051665961742401, 0.4355502128601074, -0.02916000410914421, -0.398263156414032, -0.6680682301521301, 0.3476294279098511, 0.1813090592622757, 0.057581257075071335, 0.5165492296218872, 0.5732256770133972, 0.5021241307258606, 0.12475839257240295, -0.41294893622398376, -0.2838209569454193, -0.14231112599372864, 0.6048926711082458, -0.21584835648536682, 1.6028904914855957, -0.3005022704601288, 0.12863563001155853, 0.14365042746067047, -0.16801032423973083, -1.4307398796081543, 1.580322265625, 0.33322176337242126, -0.01232767291367054, -0.8194745182991028, -0.5175123810768127, 1.2372833490371704, -1.383251428604126, -0.2996542453765869, 0.9308120012283325, -0.2237842082977295, -0.036929648369550705, 0.2677761912345886, 0.278266966342926, 0.2544277310371399, 0.32701796293258667, 0.7852348685264587, -0.6454451084136963, -0.734593391418457, 0.4718988835811615, 1.0781242847442627, 0.7238537073135376, -0.30736956000328064, 0.4265305697917938, 0.9800986051559448, -0.5870195031166077, 1.6136505603790283, -0.046384017914533615, 0.19401881098747253, 0.025542132556438446, 0.23138689994812012, 0.2541845738887787, -0.7932796478271484, -0.7946996688842773, -0.08095166832208633, 0.035546451807022095, 1.3789464235305786, -0.7474125027656555, 0.4890673756599426, -0.9859094023704529, 0.17163890600204468, -1.2783809900283813, 0.03419678285717964, -0.43272775411605835, 0.5081071853637695, -0.2874566316604614, -0.3729967176914215, -1.2862259149551392, -0.07491333037614822, 1.3485934734344482, -1.4105771780014038, -0.14382290840148926, -0.37216028571128845, 0.2026430070400238, 0.2250220626592636, -0.5335657596588135, -1.835262417793274, -0.675890326499939, 0.7402804493904114, 0.2595698833465576, -0.45872196555137634, -0.9776920676231384, -1.4737870693206787, -0.09414410591125488, 1.3839874267578125, -0.4801523685455322, -0.5197131633758545, -0.819831907749176, 0.48337849974632263, 0.18879854679107666, 0.34090715646743774 ] ]
{ "indices": [ 2257684172, 1404934148, 3076736765, 3928038441, 4015635604, 1523874731, 1063320047, 446656910, 1786548735, 1590456296, 5957873, 997512866, 1598346136, 166093682, 4071211471, 2830370693, 2046009338, 2067848296, 4146668087, 1296157733, 691409538, 2135986242, 1432619228, 1936903680, 2035475614, 2516295656, 648501015, 1510964117, 3233814315, 3854329402, 2066971792, 1308276157, 1029574456, 983281070, 2172388066, 3023675989, 3421276872, 3987085787, 100532018 ], "values": [ 0.6803353783123919, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.6803353783123919, 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.6803353783123919, 0.4150083828869232, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.6803353783123919, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232 ] }
{ "context": "Because the ones we looked at things like the ripple carry, they're too slow, right? And they're what set the clock speed. So but in most of these designs, you find your slowest combinational logic, and they don't take more than one clock cycle. If they do, you have to set it up so that it's it's managed across multiple clock cycles. So for example, a floating point unit in a typical modern design might take four or eight, depending on whether you're just adding or multiplying four or eight cycles to complete one operation." }
553814
[ [ 0.15863484144210815, -0.6867938041687012, 0.4216746985912323, 0.860295295715332, 1.0111230611801147, -0.03815275430679321, -0.28091955184936523, 0.5554604530334473, 0.42776796221733093, -0.7057697176933289, 1.0127625465393066, 0.825921893119812, 0.721062958240509, 0.32126468420028687, 0.20763689279556274, -0.22471390664577484, 0.48675981163978577, -0.5287672281265259, -0.3612184226512909, -0.7259860634803772, 0.7211386561393738, -0.37387287616729736, -0.32735198736190796, -0.2732807397842407, -0.5868117809295654, 0.29822754859924316, -0.041140079498291016, -0.929212212562561, -0.010019147768616676, 0.8348215222358704, -0.456234335899353, -1.2399011850357056, 0.5502105951309204, -0.28952136635780334, 1.9251421689987183, 0.17942750453948975, -0.19063688814640045, -0.5546689033508301, 0.07495592534542084, 0.49450236558914185, -0.3193313479423523, 0.3378663957118988, -0.14602047204971313, 0.5726789832115173, -0.9556840658187866, 0.28029271960258484, -0.30259138345718384, -0.11345541477203369, 1.356198787689209, -1.0113221406936646, 0.9982526898384094, -0.3209063410758972, 1.0492606163024902, 0.23573216795921326, 0.25592803955078125, 0.551669716835022, 0.9828893542289734, 1.7504655122756958, 0.3138904273509979, -1.514442801475525, -0.17373238503932953, -0.811019241809845, 0.20168961584568024, -0.4309414327144623, -0.09227807074785233, -0.21387231349945068, -0.6584085822105408, -0.826816737651825, 0.8888245820999146, -0.47366756200790405, -0.5327507853507996, 0.529698371887207, 0.395536333322525, 0.23546703159809113, 0.5933113694190979, -0.633010745048523, 0.08492179214954376, 0.4699867367744446, 0.959119439125061, 1.0499560832977295, -0.7495039701461792, 0.42747223377227783, -0.5666700601577759, 0.3181843161582947, 0.7395399212837219, -0.8118565082550049, 1.100496530532837, -0.9208573698997498, 0.4624674916267395, 0.9659388661384583, 0.36813557147979736, -0.8517767190933228, 1.6697229146957397, 0.3880646526813507, -0.7703792452812195, -0.1956561952829361, 0.564410388469696, 1.3368622064590454, -0.036224208772182465, -0.920391857624054, 0.39955732226371765, -1.2221049070358276, 0.14790412783622742, 1.7833102941513062, 0.09118829667568207, 0.6412299275398254, -0.2693585455417633, -0.20674018561840057, -0.860345721244812, 0.4881801903247833, -0.6970070004463196, 1.2501115798950195, -0.27295395731925964, 0.8982992172241211, -0.18735355138778687, -0.895261824131012, -0.712273120880127, -0.7470978498458862, 1.4047578573226929, 0.806246280670166, 0.8265265822410583, 0.4649759531021118, 0.9077877998352051, -0.589298665523529, -2.18742299079895, 0.49461206793785095, 0.6232188940048218, -0.6139896512031555, -0.9626517295837402, 0.737271249294281, 0.3410690724849701, 0.30323514342308044, 0.1468987762928009, -0.32078221440315247, 0.5953935980796814, 0.7837744355201721, -1.907059907913208, -1.3627876043319702, 0.9799447059631348, 0.03251638263463974, -0.2535869777202606, -1.8498975038528442, 0.39917680621147156, 0.7209185361862183, 1.2521281242370605, 0.8064968585968018, 0.7103630900382996, 0.27164921164512634, -0.2773205637931824, 0.15798084437847137, -0.5420531034469604, -0.006709907669574022, -1.3412562608718872, -0.4070819616317749, -0.9046043753623962, -0.9692914485931396, 0.14854876697063446, -0.23384782671928406, -0.8781716227531433, 0.4401945173740387, 0.322933554649353, -0.33563563227653503, 0.24844355881214142, -0.3773774802684784, -0.5571224689483643, -0.9535342454910278, 1.4597121477127075, -0.1509605497121811, 0.5908434391021729, 1.121289610862732, -0.25758758187294006, -0.05974610522389412, 0.24518847465515137, 0.4620012640953064, 1.1068817377090454, -0.5791969299316406, 0.1479588896036148, -0.6458435654640198, -0.08123946189880371, -0.011119498871266842, -0.3599873483181, -0.6372547149658203, 0.15479588508605957, 1.337395191192627, 0.4470047950744629, 0.29617711901664734, -0.5356909036636353, -0.7165690660476685, 1.0338488817214966, -0.8400148749351501, -0.8073320388793945, -1.2098689079284668, 0.47597232460975647, 0.027409164234995842, -0.6573845148086548, -1.055444598197937, -0.5843872427940369, 0.09718619287014008, 0.6045409440994263, -0.44144582748413086, -0.45386025309562683, 0.6712713837623596, 0.7091019153594971, -0.7690687775611877, -0.16692152619361877, 1.212908387184143, 1.063319444656372, -0.962287962436676, 0.4457269012928009, -0.6902002096176147, -0.4482864439487457, 0.518718421459198, -0.09459974616765976, 0.41867512464523315, 0.47570332884788513, 0.042340852320194244, 0.47379186749458313, 0.4344201982021332, -0.24668508768081665, 0.4344469904899597, -1.0531102418899536, 1.1672016382217407, 2.252288579940796, 1.1044551134109497, -0.037046167999506, 0.5210540890693665, -0.19108885526657104, -0.07335948199033737, -0.11040417104959488, -0.5473126173019409, -0.30503982305526733, -1.279085636138916, 0.23302200436592102, 0.5346187353134155, -0.1353791207075119, 0.1684219241142273, 2.049637794494629, 1.019334077835083, 1.2613556385040283, 0.4242613613605499, -1.3682010173797607, 0.8976475596427917, 0.47115057706832886, 0.3802810609340668, 0.28769686818122864, 1.3822354078292847, 0.2234490066766739, 1.0879147052764893, -0.2989242374897003, 0.4665529429912567, -0.5576974153518677, 0.375092476606369, 0.15738531947135925, -0.8219223618507385, 0.4981345236301422, -1.1235142946243286, 0.2994794249534607, -0.9406972527503967, -0.35245102643966675, 0.6641791462898254, -0.2042737752199173, -0.4226090610027313, -0.32337671518325806, -0.6604954600334167, 0.17754356563091278, 0.08614881336688995, -0.3767285645008087, -0.6542683839797974, 1.208331823348999, -0.7542924284934998, 0.2969945967197418, 0.5182719826698303, -0.5010570287704468, -0.4678158760070801, 0.1151837408542633, 0.831949770450592, -0.5991741418838501, 1.4084997177124023, -0.7417584657669067, -0.2968046963214874, -0.26768526434898376, 0.5148321986198425, 0.8982943892478943, -0.06293509900569916, -1.9640989303588867, 0.8007055521011353, -0.7179030776023865, -0.7681258320808411, 1.0391632318496704, 0.21228837966918945, -1.0973315238952637, 0.15737542510032654, -0.05813683941960335, -0.5784580111503601, -0.11751491576433182, 0.03061668761074543, 0.04326944425702095, 0.02558908984065056, 0.08507946133613586, 0.276815801858902, 0.135203018784523, -1.5980808734893799, 0.10155480355024338, -1.1979519128799438, -0.7304170727729797, 0.14262403547763824, -0.725075900554657, -0.5038931965827942, -0.4175754189491272, 0.08353465795516968, -0.4413941204547882, -0.826227068901062, -0.41937583684921265, -1.3174734115600586, -1.7029603719711304, 0.20040489733219147, 0.61746746301651, 1.0112202167510986, -0.14356477558612823, -0.5317949056625366, 1.314009189605713, 0.9037089943885803, -0.6608439683914185, -0.4935488998889923, 0.46964895725250244, 0.013288167305290699, -0.945619523525238, -0.322418212890625, 0.7434624433517456, 0.187429279088974, -0.003311933483928442, -0.25246697664260864, 0.8338650465011597, 1.7807507514953613, -0.532624363899231, -0.34951359033584595, 0.12384483218193054, 0.050541214644908905, -0.8614477515220642, -0.14618133008480072, 0.5036056041717529, 0.35026800632476807, 1.2454290390014648, 0.3612680733203888, 1.3585166931152344, 0.5158694982528687, -0.8755176067352295, 0.4955427944660187, 0.4134085178375244, 0.24109213054180145, -0.24285045266151428, 0.2764352560043335, -0.07730774581432343, -0.34436947107315063, -1.2410471439361572, 0.34057867527008057, 0.9028644561767578, -0.5745576024055481, -0.2187465876340866, 1.3264338970184326, 0.8381896615028381, 0.3311600089073181, -0.1343441754579544, -0.30168819427490234, -0.003702891059219837, 0.6689215302467346, -0.46587827801704407, -0.1720389574766159, 0.748424768447876, 0.26306968927383423, 0.4058772027492523, 0.683489203453064, -0.24610288441181183, -0.7714605331420898, -1.3120936155319214, -1.003015398979187, 0.3702870309352875, 0.9040277600288391, 0.37162214517593384, 0.9048320651054382, 0.21779945492744446, 0.1613127440214157, -0.22160205245018005, 0.2983456552028656, -1.4892518520355225, 0.317798912525177, 0.3366990089416504, 0.16688229143619537, 0.3491942286491394, -0.09489220380783081, 0.2381967157125473, -0.008941751904785633, -1.1212964057922363, -0.12894557416439056, -0.1742379069328308, -1.3866140842437744, 0.5642085671424866, 0.2921640872955322, -0.6764259338378906, 0.04444996640086174, 0.8357996344566345, 2.125767707824707, 0.9535176157951355, 0.8499993085861206, 0.27494651079177856, 0.4851216971874237, -0.6164255142211914, -0.1992141604423523, 0.23756568133831024, 0.5304479598999023, 0.9692984223365784, 0.27492114901542664, 0.8241943717002869, -1.0869499444961548, 0.10550002008676529, 0.26366376876831055, 1.0424944162368774, 0.32484978437423706, 0.4378979802131653, -0.32629692554473877, -0.7937675714492798, -0.5134533047676086, 0.08173251897096634, 1.1490856409072876, -0.7247467041015625, 1.4456089735031128, 1.0069481134414673, -0.1527225524187088, 0.3416009247303009, 1.0650856494903564, 1.305741786956787, 0.19393737614154816, -0.6979993581771851, -0.759976327419281, -0.9004749655723572, -0.4950260818004608, -0.18934333324432373, 0.4399038553237915, 1.087970495223999, 0.7136640548706055, -0.5440216660499573, 0.6451654434204102, 0.1792418211698532, -1.3314619064331055, 0.34302958846092224, -0.5334535837173462, -0.16005836427211761, -0.41814494132995605, 0.503084123134613, 0.3541647791862488, 0.2585395574569702, -0.22353395819664001, 0.31223374605178833, -0.679809033870697, -2.4834978580474854, -0.6519547700881958, 0.07238451391458511, 0.8035604953765869, -0.8495214581489563, 0.4351489841938019, -0.7620863914489746, -1.0427334308624268, 0.6776439547538757, -0.49886825680732727, 1.2846548557281494, -0.7154855132102966, 0.24305635690689087, -0.07593463361263275, -1.4606841802597046, -1.1059609651565552, 1.252686619758606, -0.3895120322704315, 0.13062502443790436, -0.18139156699180603, 0.2644524872303009, -0.7728474140167236, 0.5353458523750305, 0.530008852481842, 0.24089692533016205, -0.5557830333709717, -0.5766146183013916, 0.06660623103380203, 0.6002985239028931, -0.8448486924171448, -0.5234066247940063, 0.2720927298069, -1.153537392616272, -0.8478279113769531, 0.685846209526062, -0.7013900876045227, -0.15382282435894012, 0.7001007199287415, -0.16981817781925201, -0.5302440524101257, -0.7520890235900879, -1.7378060817718506, 0.7939922213554382, -0.28687959909439087, 0.9380623698234558, 0.8182688355445862, 0.6728946566581726, -0.6008366346359253, 0.3478377163410187, -0.13840529322624207, 0.2598268389701843, -0.6886463165283203, 0.7272762060165405, -0.6757798790931702, -0.531059741973877, -1.0271457433700562, 1.0530388355255127, -0.406964510679245, -0.05593791976571083, -0.41968390345573425, -0.5894514918327332, -0.17874523997306824, 1.5365092754364014, -1.0500952005386353, 0.2290550321340561, -0.13274472951889038, -0.09279489517211914, -0.839951753616333, 0.41262391209602356, 0.20115312933921814, -0.9828334450721741, 0.3185611665248871, 0.5758644938468933, 0.14143364131450653, 1.0620429515838623, -0.6310963034629822, 0.005043628625571728, 0.6279218792915344, -1.2419403791427612, 0.47395020723342896, 0.4457361698150635, 0.7773427367210388, -0.30116477608680725, -0.676284909248352, -0.6679391860961914, 0.43861180543899536, -0.4496346712112427, -0.040249355137348175, -0.01174423098564148, 0.4167000949382782, 0.7054999470710754, -0.5407307147979736, -0.6698141694068909, -0.7623751759529114, -0.9804757237434387, 0.5338112711906433, -0.177686870098114, -0.7246977686882019, 0.0037008011713624, 0.3432011902332306, -0.6217463612556458, 0.008275032043457031, 1.338118553161621, -0.2995363473892212, 0.4109104573726654, 0.03730064630508423, 0.43378525972366333, 1.147849202156067, 0.7437152862548828, 0.9942412376403809, -0.44586655497550964, -0.045441485941410065, -0.3874199688434601, -0.6277533769607544, -1.1274574995040894, -0.6169571876525879, -0.41443413496017456, -0.9052077531814575, -0.46834078431129456, 1.5769156217575073, -0.11026201397180557, 0.6395848989486694, 0.14570137858390808, -0.7123605012893677, -0.4516926407814026, -0.24327073991298676, -0.605629563331604, -0.369958758354187, 0.20222443342208862, -0.25525471568107605, 0.4310322105884552, 0.6119787096977234, -0.7319969534873962, -0.4451339840888977, -0.1104314923286438, 0.4164307117462158, 0.005913750268518925, 2.374427080154419, 0.847834587097168, 0.3094065487384796, 1.1415947675704956, 0.7308289408683777, 0.8984664678573608, -0.71962970495224, 0.37106385827064514, 0.45788702368736267, 0.8664255738258362, -0.33158329129219055, 0.42389538884162903, -1.1189320087432861, 0.5522752404212952, 1.199540615081787, -0.8157981634140015, 0.28082048892974854, -0.5709130764007568, 0.4087381362915039, -0.1350562870502472, 0.5933607220649719, -0.7433850765228271, 0.3899870812892914, -0.4592294991016388, 2.1907713413238525, -1.399620532989502, -0.7504255175590515, 1.4808067083358765, 1.1251474618911743, 0.8133777379989624, 0.3875020444393158, 0.7053247690200806, -0.35914871096611023, -0.4208253026008606, -0.8069217801094055, -0.7165079116821289, 1.1405493021011353, -1.4493833780288696, 1.0003058910369873, 0.008938184939324856, -0.7517426609992981, 0.6163573265075684, 0.7770871520042419, 0.31501033902168274, -1.8298143148422241, -0.9662799835205078, -1.356437087059021, 1.0021510124206543, 0.3902910649776459, 0.09351121634244919, -0.3741208612918854, -0.04416432976722717, 0.4621187448501587, -0.2234722524881363, 1.167289137840271, -0.26671403646469116, -1.6790859699249268, -0.36613523960113525, -0.5336351990699768, -0.7990542650222778, 1.4817174673080444, 0.17185574769973755, -0.5360135436058044, 1.140677571296692, -0.5965709686279297, 0.8971532583236694, 0.1620420664548874, 0.2985908091068268, 0.1568017303943634, 1.3929630517959595, -0.3483748435974121, -0.4451160728931427, -0.24812671542167664, 0.1886482536792755, 4.434593200683594, 1.7667495012283325, -0.2595055401325226, -2.081108808517456, -0.26197436451911926, -0.9166793823242188, 0.17516231536865234, -0.2760358154773712, 0.4916674792766571, 0.19454999268054962, 0.9671945571899414, 1.4198617935180664, 0.46225690841674805, -0.13574904203414917, 0.5897506475448608, 0.517435610294342, -0.6323428153991699, -0.8111539483070374, 0.9804876446723938, 0.19719848036766052, 0.22935335338115692, -0.35092365741729736, -1.3243494033813477, -1.1841686964035034, 1.2307512760162354, -0.22435615956783295, 0.6178246736526489, -0.29769158363342285, 1.085951566696167, 0.25687649846076965, -0.7385153770446777, 1.1187459230422974, 0.6114567518234253, 1.2625399827957153, 0.49277356266975403, 0.32436051964759827, -0.26149696111679077, -0.682223379611969, -0.5057604908943176, 0.11630956828594208, 0.1469794511795044, -0.03838968649506569, -0.43019795417785645, -0.3328879773616791, -0.9528837203979492, -0.11383379995822906, -0.4313557744026184, -1.0390628576278687, 0.12323564291000366, -0.17932939529418945, -0.08632060885429382, 0.33823877573013306, -0.32905346155166626, -1.0426535606384277, -0.7551223039627075, 1.002358317375183, 0.4728292226791382, -0.5753830671310425, -0.4912729859352112, -0.47134390473365784, 0.4615561068058014, -0.3198929727077484, 0.4027560353279114, 0.21833264827728271, 0.614270031452179, 0.07726258784532547, -0.4459041357040405, 2.2877614498138428, -0.10743699222803116, -0.7397711873054504, -1.0743805170059204, 0.024768764153122902, -0.25430935621261597, -0.14744946360588074, -0.35031697154045105, 1.1536773443222046, -0.46969547867774963, 0.320599764585495, -0.44942259788513184, -0.49468234181404114, -0.6721561551094055, -0.02189560793340206, -0.25206273794174194, 0.12759944796562195, -0.7326327562332153, -1.432151198387146, -0.5367773175239563, 0.6176726818084717, 0.3342342674732208, 0.30950066447257996, 0.18674159049987793, 1.3275898694992065, 1.0948996543884277, -0.7894703149795532, 0.36783891916275024, 0.5097655653953552, -1.793097734451294, 0.10205654799938202, -0.4916316866874695, 0.9590954184532166, 0.2736060917377472, -0.40693914890289307, -0.27763015031814575, 0.7978612780570984, -0.8261629343032837, -0.20380836725234985, 0.8679627180099487, -0.8545249700546265, 0.22475585341453552, 0.12440819293260574, 0.9462995529174805, -1.269186019897461, -1.0090854167938232, -0.26444512605667114, -0.13606764376163483, -0.41163718700408936, -0.04980235546827316, -0.3208913505077362, 0.14410963654518127, -0.4700550138950348, 0.5179653763771057, 0.38926732540130615, 0.3567424416542053, -0.5024932026863098, 0.06924024969339371, 0.1338319629430771, -1.1178183555603027, -0.20135633647441864, 0.07962893694639206, -0.34521955251693726, 0.16663512587547302, 0.10004537552595139, -0.5934086441993713, 0.5043836236000061, -0.9999511241912842, -0.517408013343811, -0.049473732709884644, 0.3026253581047058, -0.968109667301178, 0.027687188237905502, 0.2897831201553345, -0.3073047995567322, -1.1675359010696411, 1.1312580108642578, -0.39006567001342773, 0.17759957909584045, 0.11523518711328506, -0.29845261573791504, 0.5989251136779785, 0.5319201350212097, -1.1274828910827637, -0.202020063996315, 0.4854039251804352, 0.3264421820640564, 0.15616871416568756, -0.01803727261722088, 0.055165499448776245, -0.04346702620387077, -0.12942062318325043, 0.2829255163669586, 0.08714339137077332, 1.1133590936660767, -0.4181661903858185, -0.4644375741481781, -0.11104757338762283, 0.4170028269290924, -0.4734900891780853, 1.0575395822525024, 0.18170009553432465, 0.9306628704071045, 0.23288697004318237, -0.23372557759284973, -0.1270710825920105, 0.6549907326698303, -1.5324904918670654, -0.07601572573184967, -0.3427620530128479, 0.15159247815608978, 0.3155137896537781, -0.9743083119392395, 0.12806855142116547, 0.8753085136413574, -1.7779263257980347, 0.3302464187145233, -0.49614012241363525, -0.8546430468559265, -1.0407966375350952, 0.6645715832710266, 0.021128440275788307, 0.3945814073085785, 0.34144988656044006, -0.18811005353927612, 1.18582022190094, -0.19679345190525055, -0.39243608713150024, -0.4768679738044739, 0.3409407138824463, 0.8843982219696045, 0.22664441168308258, -0.6500601768493652, 0.6805739402770996, -0.674620509147644, -1.2837527990341187, -0.3368515372276306, -1.705149531364441, 0.41822901368141174, 0.37645795941352844, 1.2599027156829834, 0.1797366738319397, 0.7425951361656189, -0.24491021037101746, -0.018127523362636566, -0.5200382471084595, -0.4583503007888794, 0.1964385211467743, 0.3282821774482727, -0.6465001106262207, -0.29890087246894836, 0.27112671732902527, -0.057972252368927, -0.7447812557220459, 0.20694053173065186, -1.513092279434204, -0.13340067863464355, 0.4729972183704376, -0.06448522210121155, 0.4809305667877197, -1.0355619192123413, 0.06154695525765419, -1.1199501752853394, 0.4740198850631714, -0.09836980700492859, 0.8847287893295288, 0.0958133116364479, 0.4409773647785187, -0.6626290678977966, -0.44704669713974, 0.2331942915916443, 0.38875219225883484, -1.4308420419692993, -0.9871939420700073, -0.1056981235742569, -0.05389974266290665, 0.7991194725036621, 0.7418795824050903, -0.048045072704553604, -0.23193323612213135, 0.7662684321403503, 0.04274545609951019, -0.01380741037428379, -0.38603803515434265, -0.3238033056259155, 0.40704312920570374, -0.745599627494812, 0.9395609498023987, 1.4561517238616943, 0.6486966013908386, -0.6573356986045837, -1.156848430633545, -0.4702017605304718, 0.9597817659378052, 1.0285487174987793, -0.12262533605098724, 0.12612198293209076, -1.4540692567825317, -0.05956622213125229, 0.18433387577533722, 0.3867022395133972, 0.24753764271736145, 0.037088342010974884, -0.5077868103981018, 0.2076343148946762, 0.4934425354003906, 0.024060245603322983, 0.4776226878166199, 0.8213472962379456, 0.23494885861873627, 0.16703933477401733, -0.5795145630836487, -0.0873647928237915, -0.06684837490320206, 0.9457919597625732, 0.01509305089712143, 1.7657289505004883, 0.1926228404045105, 0.2734430730342865, 0.3462522625923157, 0.11027298867702484, -1.2917544841766357, 1.575539231300354, 0.13466157019138336, 0.01728031039237976, -0.7044309973716736, -0.23537912964820862, 1.232555866241455, -1.5226390361785889, -0.4151270389556885, 1.1322689056396484, 0.1275561898946762, -0.20756906270980835, 0.3767233192920685, 0.2472659796476364, 0.4906855821609497, -0.03508024290204048, 0.7164270877838135, -0.442038893699646, -0.7126672863960266, 0.45897963643074036, 0.9086491465568542, 0.5580295324325562, -0.13316959142684937, 0.36705121397972107, 1.006489634513855, -0.45201775431632996, 1.607966661453247, -0.2221907675266266, 0.8270251750946045, 0.16486921906471252, 0.46733182668685913, 0.023325050249695778, -0.9293562173843384, -1.0472385883331299, -0.005307220853865147, -0.05909375846385956, 1.3069790601730347, -0.957878053188324, 0.45678430795669556, -1.0086077451705933, -0.05266358703374863, -1.2541778087615967, -0.2553364634513855, -0.32913869619369507, 0.023634634912014008, -0.31271642446517944, -0.756894052028656, -0.6423774361610413, -0.19928380846977234, 1.3520445823669434, -1.5331019163131714, -0.30782613158226013, -0.3475353419780731, 0.3718086779117584, 0.29648303985595703, -0.3978271782398224, -2.063271999359131, -0.9034825563430786, 0.6886417269706726, 0.32363468408584595, -0.46063363552093506, -1.0822798013687134, -1.5514780282974243, 0.205844447016716, 1.3344053030014038, -0.30796101689338684, -0.5509809851646423, -0.7096748352050781, 0.307993084192276, 0.03911431506276131, 0.5887762308120728 ] ]
{ "indices": [ 1590456296, 691409538, 2135986242, 1432619228, 1936903680, 5957873, 1296157733, 2035475614, 2516295656, 648501015, 1510964117, 3233814315, 3854329402, 1598346136, 2066971792, 4146668087, 1308276157, 1029574456, 983281070, 2172388066, 1063320047, 3023675989, 3421276872, 3987085787, 2257684172, 100532018, 1121901898, 1612531086, 150695120, 2095749492, 318824902, 422208903, 3881767927, 1481737067 ], "values": [ 0.46785238308125726, 0.6374651681242771, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.7250884400239858, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.6374651681242771, 0.46785238308125726, 0.46785238308125726, 0.6374651681242771, 0.6374651681242771, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726 ] }
{ "context": " If they do, you have to set it up so that it's it's managed across multiple clock cycles. So for example, a floating point unit in a typical modern design might take four or eight, depending on whether you're just adding or multiplying four or eight cycles to complete one operation. But they are usually designed in the high performance systems to accept new operands every cycle" }
750223
[ [ 0.15863119065761566, -0.5264397263526917, 0.32703906297683716, 0.6152868866920471, 0.6256396770477295, -0.05019887536764145, -0.19667991995811462, 0.7308362722396851, 0.5041845440864563, -0.6068140268325806, 0.9236695766448975, 0.8611759543418884, 1.0159164667129517, 0.1667959839105606, 0.14433369040489197, 0.1245696172118187, 0.6259188652038574, -0.027076901867985725, -0.27502819895744324, -0.45181286334991455, 0.984758734703064, -0.5004701018333435, -0.35929539799690247, -0.10138531029224396, -0.8500351309776306, 0.7314598560333252, -0.05505584925413132, -1.2602511644363403, 0.4330112934112549, 0.6773783564567566, -0.7713097929954529, -1.4779047966003418, 0.6987197995185852, -0.08276596665382385, 1.527101993560791, 0.0904560312628746, -0.5233675837516785, -0.419762521982193, 0.09964057058095932, 0.7257854342460632, -0.10045424848794937, 0.2458164244890213, -0.19619235396385193, 0.3887335956096649, -1.301513910293579, 0.21320119500160217, -0.30826887488365173, -0.363925576210022, 1.1486859321594238, -0.9688163995742798, 1.3681672811508179, -0.4041093587875366, 0.855529248714447, 0.4358800947666168, 0.07786878943443298, 0.4425267279148102, 1.1733402013778687, 1.4526067972183228, 0.37600383162498474, -1.2278748750686646, -0.06284981220960617, -0.5242867469787598, 0.5601045489311218, -0.318181574344635, -0.32552316784858704, 0.08328474313020706, -0.3596074879169464, -0.3713686168193817, 0.25451165437698364, -0.3156777024269104, -0.6895831823348999, 0.1734834909439087, 0.316981703042984, 0.7318881750106812, 0.6966481804847717, -0.5594772100448608, 0.17590676248073578, -0.14765867590904236, 0.5923289060592651, 1.0453418493270874, -1.0048186779022217, 0.5028102993965149, -0.9017887711524963, 0.7099719643592834, 0.7294402718544006, -1.0989129543304443, 0.9740628004074097, -0.5313093662261963, -0.14291422069072723, 0.6198622584342957, 0.39357757568359375, -0.6629874110221863, 1.4034329652786255, 0.1552155464887619, -0.6220033168792725, 0.19453918933868408, 0.09282443672418594, 0.9430946707725525, 0.30353763699531555, -0.7306815385818481, 0.1076863557100296, -1.262475609779358, 0.29536178708076477, 1.7509015798568726, -0.35063910484313965, 0.6382455229759216, -0.07945065200328827, 0.07685200124979019, -0.4561042785644531, 0.7834751605987549, -0.5101423263549805, 0.9221135973930359, -0.3208956718444824, 0.8099555969238281, -0.17692705988883972, -0.5920662879943848, -1.0555949211120605, -0.4384706914424896, 1.2535430192947388, 0.8274796009063721, 0.9711370468139648, 0.3855859339237213, 0.9981257915496826, -1.0068531036376953, -1.8248026371002197, 0.8611331582069397, 0.15234628319740295, -0.5226853489875793, -1.1757174730300903, 0.5046371817588806, 0.36392083764076233, -0.01611112430691719, 0.07503918558359146, -0.4579099118709564, 0.3302920162677765, 0.6788100600242615, -1.856837272644043, -1.6889334917068481, 0.6472765803337097, 0.40909093618392944, -0.5250147581100464, -1.724276065826416, 0.45135748386383057, 0.9252893924713135, 1.3502368927001953, 0.8294913172721863, 0.5419533252716064, 0.16045065224170685, -0.19330115616321564, 0.4859813451766968, -1.0297595262527466, -0.08925385028123856, -1.6390976905822754, -0.393883615732193, -0.5473816394805908, -0.1559644341468811, 0.27646735310554504, -0.024972347542643547, -0.7255584597587585, 0.07521098852157593, 0.6353642344474792, -0.43074196577072144, -0.3835289776325226, -0.3923584520816803, -0.5775368809700012, -0.848942220211029, 2.1318700313568115, -0.17933325469493866, 0.2357514202594757, 1.1940034627914429, -0.17534282803535461, -0.10008209198713303, 0.08987054973840714, 0.1202470064163208, 1.4039924144744873, -0.691994309425354, 0.01903596706688404, -0.5435019731521606, -0.3419056236743927, 0.05007511004805565, -0.6450837254524231, -0.7067691683769226, 0.4051142930984497, 0.992337703704834, 0.42155757546424866, 0.4030526280403137, -0.34916114807128906, -0.5438379645347595, 0.9990665316581726, -0.8293761610984802, -0.8383033871650696, -1.347307562828064, 0.5569287538528442, -0.06652945280075073, -0.8609567880630493, -1.0061105489730835, -0.39820629358291626, 0.30724626779556274, 0.8771304488182068, -0.6228294372558594, -0.7036507725715637, 0.3945941925048828, 0.7292454838752747, -0.8220922946929932, -0.12745609879493713, 1.1658766269683838, 0.6244085431098938, -0.8614749312400818, 0.7633945345878601, -0.5697371363639832, -0.21056760847568512, 0.7121827006340027, 0.13069646060466766, 0.3587755560874939, 0.2687864899635315, 0.14712926745414734, 0.37598755955696106, 0.5382934212684631, -0.15012875199317932, 0.36373353004455566, -0.9636105895042419, 1.1957108974456787, 2.392810583114624, 0.9958024621009827, 0.3124217987060547, 0.5355990529060364, -0.023081131279468536, 0.21326278150081635, 0.4064008295536041, -0.34887877106666565, -0.4171586334705353, -1.6447832584381104, 0.057719502598047256, 0.4849461019039154, -0.32609716057777405, 0.04042438790202141, 1.6891956329345703, 0.7782620191574097, 1.042153239250183, -0.12189377844333649, -1.3764591217041016, 0.5948235392570496, 0.47153013944625854, 0.6073970198631287, 0.22919656336307526, 1.4455249309539795, 0.27486252784729004, 1.1556910276412964, -0.33649587631225586, 0.16316960752010345, -0.6892784833908081, 0.3875107169151306, 0.18823833763599396, -0.374734103679657, -0.01817919686436653, -1.4461636543273926, 0.20773710310459137, -1.3512989282608032, -0.6509050726890564, 0.8294311761856079, -0.34367695450782776, -0.7209975123405457, -0.3343820571899414, -0.5565186142921448, 0.159988671541214, 0.30589160323143005, -0.47191905975341797, -0.5770556330680847, 1.438028335571289, -1.1382135152816772, -0.07719820737838745, 0.3547758460044861, -0.5816196799278259, -0.9429370760917664, 0.27857232093811035, 0.6698508262634277, -0.5666634440422058, 1.284055471420288, -0.7319995164871216, -0.7016200423240662, -0.7031185030937195, 0.37720173597335815, 0.49552661180496216, -0.026149505749344826, -1.9389749765396118, 0.43300914764404297, -0.4701303541660309, -0.6931107640266418, 0.9572530388832092, -0.035665545612573624, -0.8409233093261719, 0.2075018286705017, -0.20109356939792633, -0.8543334007263184, 0.22505952417850494, -0.08189953863620758, 0.16830942034721375, 0.04320751503109932, -0.09968956559896469, 0.30946552753448486, 0.10832782834768295, -1.5171223878860474, 0.11471555382013321, -0.8559238910675049, -0.3665238618850708, -0.08611827343702316, -0.41089197993278503, -0.507877767086029, -0.20745067298412323, 0.5452417135238647, -0.01853480003774166, -0.5714569687843323, -0.6202710270881653, -1.2095263004302979, -1.5724129676818848, 0.15233607590198517, 0.7043826580047607, 0.9692128300666809, -0.6794486045837402, -0.45284563302993774, 1.7522236108779907, 0.6510501503944397, -0.7581194043159485, -0.29342120885849, 0.6000710725784302, 0.20544180274009705, -0.7680960893630981, -0.24566873908042908, 0.6088839173316956, 0.03690977394580841, -0.4120182693004608, -0.2871939241886139, 0.5547333359718323, 2.223860025405884, -0.751193642616272, -0.4988158345222473, 0.1831173598766327, 0.32480862736701965, -0.7777272462844849, 0.07500357925891876, 0.10176568478345871, 0.24535752832889557, 1.3141710758209229, 0.02716882899403572, 1.087120532989502, 0.48829662799835205, -0.6177558898925781, 0.8045996427536011, 0.5213009715080261, 0.5455873012542725, -0.1875813752412796, 0.1743328720331192, 0.37558338046073914, -0.5186626315116882, -0.7919843196868896, 0.17649076879024506, 1.0310603380203247, -1.1351234912872314, 0.30438968539237976, 1.0750086307525635, 0.867431640625, 0.1396060436964035, -0.013002969324588776, -0.08956780284643173, 0.19472740590572357, 0.6692476868629456, -0.18604734539985657, -0.1206812933087349, 0.5487524271011353, -0.07189030945301056, 0.22896267473697662, 0.6037955284118652, -0.5194858908653259, -0.7191207408905029, -1.429776906967163, -1.131392240524292, 0.48705190420150757, 1.0282577276229858, 0.333016574382782, 0.9836131930351257, 0.4398079812526703, -0.3356728255748749, -0.8299210071563721, 0.058721013367176056, -1.2933257818222046, 0.4323773980140686, -0.19256362318992615, 0.15203693509101868, 0.6675860285758972, -0.02747582644224167, 0.195730522274971, -0.45413219928741455, -1.624559998512268, -0.010472354479134083, -0.17397373914718628, -1.207475185394287, 0.5049669146537781, 0.14882135391235352, -0.7799992561340332, 0.1307649314403534, 0.9040964245796204, 1.7468725442886353, 0.6594337821006775, 1.1147435903549194, 0.6103075742721558, 0.6473520994186401, -0.5856111645698547, -0.07749491184949875, -0.0005647243233397603, 0.15816988050937653, 0.8010378479957581, 0.14982596039772034, 0.9401350021362305, -0.959980309009552, 0.2827609181404114, 0.6654525995254517, 1.0323752164840698, 0.08788654208183289, 0.3925708532333374, -0.29242464900016785, -0.5186451077461243, -0.9319472908973694, 0.39832180738449097, 1.3259913921356201, -0.17685435712337494, 1.438041090965271, 0.9170594811439514, 0.4912342131137848, -0.2758890986442566, 0.9309406876564026, 1.3488895893096924, 0.10309592634439468, -0.12710802257061005, -0.6977230310440063, -1.1592082977294922, -0.581835925579071, -0.42756587266921997, 0.541094183921814, 0.6823012828826904, 0.8260138034820557, -0.6095674633979797, 0.6551834344863892, -0.011920229531824589, -1.327820062637329, 0.08183309435844421, -0.982466459274292, -0.38263410329818726, -0.2278796136379242, 0.6197075843811035, 0.5651772022247314, 0.07462593913078308, -0.5263756513595581, -0.022055700421333313, -1.1041693687438965, -2.068549871444702, -0.04890967532992363, 0.19499735534191132, 1.1638412475585938, -0.7139456272125244, 0.19543124735355377, -0.698621928691864, -1.2498266696929932, 0.5925955772399902, -0.16758973896503448, 1.5564281940460205, -1.0777380466461182, 0.7623425722122192, -0.2856481671333313, -1.0400513410568237, -1.0532792806625366, 1.1640043258666992, -0.2808987498283386, -0.072884701192379, -0.1371278315782547, 0.38954076170921326, -0.697045624256134, 0.6073895692825317, 0.2692049741744995, 1.0447925329208374, -0.6425036787986755, -0.4237177073955536, 0.24330438673496246, 1.0188740491867065, -0.7390918135643005, -0.37220296263694763, 0.07647255808115005, -1.043701171875, -0.7144625186920166, 0.5164687633514404, -0.3196885287761688, 0.37486177682876587, 0.3749435842037201, -0.11952529102563858, -0.34304794669151306, -0.1565273553133011, -1.6040852069854736, 1.1607297658920288, 0.006289701908826828, 0.8100907206535339, 1.0812054872512817, 0.7563595175743103, -0.6316166520118713, 0.39626309275627136, -0.49982550740242004, 0.24726349115371704, -0.4733715355396271, 1.0550575256347656, -0.8894875049591064, -0.2525833547115326, -1.0532737970352173, 0.8669574856758118, -0.32616695761680603, 0.08367087692022324, -0.4330475926399231, -0.4081200659275055, -0.4239560067653656, 0.7849360704421997, -1.1162123680114746, 0.20817328989505768, -0.45936620235443115, 0.23613005876541138, -0.870211660861969, 0.05005960166454315, 0.6153551340103149, -1.3855903148651123, 0.20297591388225555, 0.6376457214355469, 0.044836387038230896, 1.2813403606414795, -0.9572376012802124, -0.19782263040542603, 0.727712094783783, -1.1136531829833984, 0.5879644155502319, 0.10708826035261154, 0.13500481843948364, -0.31745627522468567, -0.6741979122161865, -0.7858608961105347, 0.31620317697525024, -0.48203831911087036, -0.002711693989112973, 0.06616394221782684, 1.0159947872161865, 0.47480788826942444, -0.7909241914749146, -0.9368212223052979, -0.5686743259429932, -0.8109970092773438, 0.571914792060852, 0.12373491376638412, -0.4087601602077484, -0.03316905349493027, -0.0027838600799441338, -0.4830477833747864, 0.17497044801712036, 1.1024844646453857, -0.09889750182628632, 0.5701584815979004, 0.2821570336818695, 0.4549019932746887, 0.9885943531990051, 0.9986950755119324, 0.7226287722587585, -0.28338757157325745, 0.1417875438928604, 0.06358744949102402, -0.7111049890518188, -1.5473361015319824, -0.531734824180603, -0.17371098697185516, -1.0028611421585083, -0.357246994972229, 1.6043014526367188, -0.03076127916574478, 0.11417555063962936, 0.3480260670185089, -0.4916948676109314, -0.6563982963562012, -0.03509078919887543, -0.30338987708091736, -0.5889641046524048, 0.7229934334754944, -0.7095499038696289, 0.15459471940994263, 0.392579048871994, -0.3737429976463318, -0.7212174534797668, 0.19307716190814972, 0.4727918207645416, 0.2595779001712799, 2.2586307525634766, 0.6791149377822876, -0.029494112357497215, 0.728387713432312, 0.9661267399787903, 0.36136510968208313, -0.2807150185108185, 0.7090703248977661, 0.8196138143539429, 0.4602307081222534, -0.20618008077144623, 0.327145516872406, -1.0048497915267944, 0.5686354637145996, 1.5750508308410645, -0.8474551439285278, 0.40805912017822266, -0.3533841371536255, 0.19029998779296875, -0.3454420268535614, -0.042903199791908264, -0.8268402218818665, 0.5091255307197571, -0.20134402811527252, 2.3765013217926025, -1.3197646141052246, -1.4773868322372437, 1.2526347637176514, 1.2414275407791138, 0.6807836890220642, 0.12250826507806778, 0.4987523555755615, -0.07804597169160843, -0.20164278149604797, -0.8071761727333069, -0.38732144236564636, 0.9089454412460327, -1.120755672454834, 1.0672569274902344, -0.0840676873922348, -0.3028998076915741, 0.4413481056690216, 0.7613142132759094, 0.16608254611492157, -1.6297045946121216, -1.3472779989242554, -1.248305320739746, 0.9885861277580261, 0.12164411693811417, -0.08024132251739502, -0.19242261350154877, -0.08162957429885864, 0.5839757919311523, -0.33584460616111755, 1.0940357446670532, -0.7068392038345337, -1.5295164585113525, -0.24916385114192963, -0.7101425528526306, -0.8925744295120239, 1.6976747512817383, 0.19221490621566772, -0.5089740753173828, 1.265698790550232, -0.2907453775405884, 1.048819899559021, 0.4207470417022705, 0.4056338965892792, 0.11636685580015182, 1.2415636777877808, -0.593201220035553, 0.051080748438835144, -0.3751201033592224, 0.021787874400615692, 4.497145175933838, 1.50637948513031, -0.4057992100715637, -2.204667329788208, -0.09441991150379181, -0.6030351519584656, 0.3438751995563507, -0.03516082838177681, 0.7331490516662598, 0.44650164246559143, 0.6734488010406494, 0.8996431231498718, 0.4403492212295532, -0.08703004568815231, 0.37952864170074463, 0.3081328272819519, -0.47177794575691223, -0.9197536110877991, 0.5676645040512085, 0.353815495967865, 0.3403089940547943, -0.33415186405181885, -1.020399808883667, -1.1721631288528442, 1.1484259366989136, -0.13709619641304016, 0.702166736125946, -0.29016321897506714, 1.0103797912597656, -0.05401970073580742, -0.9154549837112427, 0.9551626443862915, 0.5719677209854126, 0.7101545333862305, 0.9088480472564697, 0.4120374023914337, -0.18440493941307068, -0.6375373601913452, -0.5859593749046326, 0.5996826887130737, 0.32749730348587036, -0.04869050905108452, -0.24660839140415192, -0.19346289336681366, -0.8399723768234253, -0.13752835988998413, -0.5792237520217896, -0.966202437877655, 0.09852195531129837, -0.3919106125831604, 0.8013314008712769, -0.06803187727928162, -0.0321178138256073, -1.2100950479507446, -0.5726438164710999, 0.9523223042488098, 0.15556347370147705, -0.3514009118080139, -0.4529804587364197, -0.5323293209075928, 0.46504008769989014, -0.14373083412647247, 0.6164600253105164, 0.6383078694343567, 0.5093092322349548, 0.49995192885398865, -0.6298018097877502, 2.036661386489868, -0.1489858329296112, -0.49201637506484985, -0.6933997869491577, -0.14282278716564178, -0.2541947662830353, -0.23684349656105042, -0.6504225134849548, 0.9803948998451233, -0.7407172322273254, 0.2885878384113312, -0.676337718963623, -0.5784278512001038, -0.6644651293754578, 0.2863050103187561, 0.09134775400161743, 0.16444367170333862, -0.4549546539783478, -0.7770189642906189, 0.04402165487408638, 0.5499371886253357, 0.42818281054496765, 0.3703138828277588, 0.12002535164356232, 1.594708800315857, 1.2496098279953003, -1.051668405532837, 0.24001149833202362, 0.19523218274116516, -1.1015996932983398, -0.017092211171984673, -0.2689950168132782, 1.1787323951721191, -0.10590292513370514, -0.4983501136302948, -0.4275893568992615, 1.0041989088058472, -0.7263736128807068, -0.2623770236968994, 1.0050392150878906, -1.294182300567627, 0.16982623934745789, -0.0860232561826706, 1.1037784814834595, -0.7896382212638855, -0.5421057939529419, -0.584144115447998, -0.1559249311685562, -0.4686417877674103, -0.2078877091407776, -0.6428695321083069, 0.3793576955795288, -0.38327112793922424, 0.5893568992614746, 0.36696958541870117, 0.1835384964942932, -0.34300222992897034, 0.29907020926475525, 0.2794884145259857, -0.9567680358886719, -0.19454741477966309, -0.22623518109321594, 0.1967983990907669, 0.25272318720817566, -0.020114967599511147, -0.3961638808250427, 0.8514358401298523, -0.9266380071640015, -0.6313366889953613, 0.08898679167032242, 0.5506804585456848, -1.3797721862792969, 0.15830941498279572, 0.1762458235025406, -0.20206014811992645, -0.9686494469642639, 1.2272619009017944, -0.5540934801101685, 0.027501404285430908, 0.3191601634025574, -0.4005691707134247, 0.886316180229187, 0.776185929775238, -1.2887338399887085, -0.24165402352809906, 0.32430630922317505, 0.6342388987541199, 0.14003196358680725, -0.2881162166595459, 0.15679720044136047, 0.17746470868587494, 0.2844715714454651, 0.5477907061576843, 0.4637695550918579, 0.8245871067047119, 0.0072221290320158005, -0.6054713726043701, -0.04554293677210808, 0.823516845703125, -0.5310467481613159, 0.7035322189331055, -0.5779815316200256, 0.7405433654785156, 0.1310887187719345, -0.1506253182888031, 0.1833757907152176, 0.4985620081424713, -1.3237111568450928, -0.34352269768714905, -0.48189255595207214, 0.005390577483922243, 0.5014040470123291, -0.7962595224380493, 0.2347477376461029, 1.0753345489501953, -1.6590224504470825, 0.34351614117622375, -0.7012739777565002, -0.8514739274978638, -1.1560022830963135, 0.4765274226665497, 0.08057884126901627, 0.48087966442108154, -0.029640408232808113, -0.11305458098649979, 1.1367179155349731, -0.27010467648506165, -0.6684296727180481, -0.8389398455619812, 0.40334999561309814, 0.7021325826644897, 0.07721574604511261, -0.39804670214653015, 0.688409149646759, -0.5319933891296387, -1.1759400367736816, -0.3989458680152893, -1.2810271978378296, -0.34741657972335815, -0.34068506956100464, 0.7727763056755066, 0.3016485273838043, 0.6108555793762207, -0.2616170644760132, 0.07415270060300827, 0.14153911173343658, -0.7615370154380798, 0.34474098682403564, 0.05563131719827652, -0.485084593296051, 0.14129532873630524, 0.5991895794868469, 0.46830788254737854, -0.6686241030693054, 0.8037307858467102, -1.2806999683380127, -0.4198518395423889, 0.35330426692962646, -0.13316814601421356, 0.758890688419342, -1.0781410932540894, -0.24328066408634186, -1.2754319906234741, 0.46687397360801697, -0.3752308487892151, 0.25795578956604004, -0.18863603472709656, 0.26192790269851685, -0.6543028354644775, -0.2800549268722534, 0.43656736612319946, 0.18243315815925598, -1.170978307723999, -0.791409432888031, -0.1993076056241989, -0.4551515281200409, 1.034759521484375, 0.5459244251251221, -0.24630922079086304, -0.3671145439147949, 0.9020105600357056, -0.217243030667305, -0.32124966382980347, -0.339082270860672, -0.27259504795074463, 0.4384215474128723, -0.6143029928207397, 1.1691776514053345, 1.3072117567062378, 0.4905756413936615, -0.6623001098632812, -1.329512357711792, -0.2902511954307556, 1.3288761377334595, 1.2326854467391968, -0.3657088875770569, 0.20109763741493225, -1.3128408193588257, -0.02373364567756653, -0.0273924320936203, 0.5265256762504578, -0.13880935311317444, -0.24098460376262665, -0.12375788390636444, -0.10627418756484985, 0.1484374850988388, 0.15413324534893036, 0.860491156578064, 0.8333982825279236, 0.03677436709403992, 0.3180862069129944, -0.7505289912223816, 0.003911403473466635, -0.22535660862922668, 0.42062073945999146, -0.05333983153104782, 1.579994797706604, 0.3447839021682739, 0.02698264643549919, 0.2843022048473358, -0.1749347746372223, -1.4395909309387207, 1.6345570087432861, 0.03639284148812294, 0.3209470510482788, -0.6850024461746216, 0.1231335774064064, 1.150182843208313, -1.8336069583892822, -0.6417316198348999, 0.9041367769241333, 0.04364297166466713, -0.0058094472624361515, 0.5173628330230713, 0.39840736985206604, 0.8738433122634888, 0.03548865020275116, 0.4337907135486603, -0.233484148979187, -0.9065032601356506, 0.6710830926895142, 0.7330886125564575, 0.8210288286209106, -0.3318532705307007, 0.38097482919692993, 0.9310158491134644, -0.5104977488517761, 1.3471505641937256, -0.1777263879776001, 0.2460927814245224, -0.00862360280007124, 0.48094379901885986, -0.6484788656234741, -1.072115421295166, -1.229931354522705, -0.037314653396606445, -0.0638488158583641, 1.391892433166504, -1.0738093852996826, 0.2529415488243103, -1.2126089334487915, -0.1918879896402359, -1.3086702823638916, -0.07078883796930313, -0.1666741818189621, 0.054425012320280075, -0.46924901008605957, -0.41277173161506653, -0.6063075065612793, -0.019725657999515533, 1.5291298627853394, -1.557123064994812, 0.03328360244631767, 0.10296188294887543, 0.4308982193470001, 0.25500333309173584, -0.6705018281936646, -1.796164631843567, -0.7357975244522095, 0.6380885243415833, 0.2550240159034729, -0.35148996114730835, -1.165401816368103, -1.589469075202942, 0.24674740433692932, 1.3995095491409302, -0.15766321122646332, -0.2524172365665436, -0.9455637335777283, 0.5243735313415527, 0.37904122471809387, 0.5950587391853333 ] ]
{ "indices": [ 1590456296, 691409538, 2135986242, 1432619228, 1936903680, 5957873, 1296157733, 2035475614, 2516295656, 648501015, 1510964117, 3233814315, 3854329402, 1598346136, 2066971792, 4146668087, 1308276157, 1029574456, 983281070, 2172388066, 1063320047, 3023675989, 3421276872, 3987085787, 2257684172, 100532018, 1121901898, 1612531086, 150695120, 2095749492, 318824902, 422208903, 3881767927, 1481737067, 2733467792, 1034183227, 2142141949, 4191199403, 953824239, 997012898, 1673618911, 3471378517 ], "values": [ 0.4150083828869232, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.4150083828869232, 0.739427588389358, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.586580811684262, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.6803353783123919, 0.4150083828869232, 0.586580811684262, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232, 0.4150083828869232 ] }
{ "context": "If they do, you have to set it up so that it's it's managed across multiple clock cycles. So for example, a floating point unit in a typical modern design might take four or eight, depending on whether you're just adding or multiplying four or eight cycles to complete one operation. But they are usually designed in the high performance systems to accept new operands every cycle. So you can start a new addition or a new multiplication every cycle, but you still have to wait that long to get your answer back." }
512335
[ [ 0.2559877932071686, -0.5644018054008484, -0.1764630675315857, 0.5716591477394104, 0.5727721452713013, 0.1885281801223755, -0.33859068155288696, 0.7187771201133728, 0.5901601910591125, -0.867572009563446, 0.8380081653594971, 0.9348931908607483, 0.5796154141426086, 0.5282189846038818, 0.37364718317985535, -0.06782057881355286, 0.7151068449020386, -0.218515083193779, 0.15537738800048828, -0.2220241129398346, 0.8787790536880493, -0.6281352043151855, -0.009508952498435974, 0.09082474559545517, -0.6118879318237305, 0.9675703644752502, 0.0039353580214083195, -1.1197727918624878, 0.3932913541793823, 0.03208732232451439, -0.8017128109931946, -1.239445447921753, 0.7665428519248962, 0.3672446310520172, 1.0147173404693604, -0.17054584622383118, -0.7626311182975769, -0.06416698545217514, 0.41500186920166016, 0.8974388837814331, -0.018247533589601517, 0.19352369010448456, -0.6930139064788818, 0.7691483497619629, -1.483600378036499, 0.417704313993454, -0.3248331844806671, -0.45606446266174316, 1.18391752243042, -0.7669727802276611, 1.1517030000686646, -0.6408113837242126, 0.5016465187072754, 0.629189670085907, -0.008318525739014149, 0.28379154205322266, 1.2682446241378784, 1.3792935609817505, 0.44304588437080383, -1.419529676437378, -0.2781219482421875, -0.4768235385417938, 0.6374204754829407, -0.2991953194141388, -0.14326030015945435, -0.3396615982055664, -0.2606055438518524, -0.17084696888923645, 0.25716331601142883, -0.4498455822467804, -0.4198082685470581, -0.04539230838418007, 0.23615920543670654, 0.6964858770370483, 0.6919463872909546, -0.7292313575744629, 0.1453656107187271, -0.0550713874399662, 0.38625258207321167, 0.5788439512252808, -1.134670376777649, 0.15463855862617493, -0.6167243719100952, 0.8292563557624817, 0.20891904830932617, -1.1009938716888428, 1.289808988571167, -0.8192301392555237, -0.3517244756221771, 0.7000426054000854, 0.2863752245903015, -0.3440099358558655, 1.4113352298736572, 0.06756499409675598, -0.48693686723709106, 0.17026105523109436, -0.19345881044864655, 0.9747396111488342, 0.7978137731552124, -0.5439977645874023, -0.14337265491485596, -1.236831545829773, 0.21295708417892456, 1.97693932056427, -0.7442969679832458, 0.18308301270008087, 0.3273460865020752, -0.1272493153810501, 0.06532982736825943, 0.6978943347930908, -0.8891527056694031, 0.5178117156028748, 0.4218526780605316, 0.9577468037605286, -0.4950360059738159, -0.613888144493103, -1.0790328979492188, -0.07769231498241425, 1.4749943017959595, 0.7342267632484436, 1.3672503232955933, 0.03590651974081993, 0.7478480339050293, -0.9422708749771118, -2.1864261627197266, 0.6443765759468079, 0.3983522653579712, -0.2785073220729828, -1.239359736442566, 0.4141964018344879, 0.5449278354644775, -0.5248600244522095, -0.006748540792614222, -0.026122193783521652, 0.6695732474327087, 0.8886890411376953, -2.0844736099243164, -1.486322045326233, 0.7722177505493164, 0.23340098559856415, -0.6648911833763123, -1.2566907405853271, 0.4629836082458496, 0.8423194289207458, 1.377724051475525, -0.05981924757361412, 0.7198368310928345, 0.2060895413160324, -0.06970352679491043, 0.5339317917823792, -0.8239707350730896, -0.09118746221065521, -1.4468438625335693, -0.48881110548973083, -0.19737982749938965, 0.09729671478271484, 0.13831490278244019, 0.21344934403896332, -0.33902862668037415, 0.13310976326465607, 0.9009034037590027, -0.24727211892604828, -0.5521736741065979, 0.2153596431016922, -1.1162221431732178, -1.2155399322509766, 2.4111170768737793, -0.3005126416683197, 0.3653969466686249, 1.2514631748199463, -0.39170151948928833, -0.2720440626144409, -0.05732553452253342, 0.13724038004875183, 1.0913612842559814, -0.9951541423797607, -0.4875272214412689, -0.5328554511070251, 0.125662162899971, 0.12723678350448608, -0.8172035217285156, -0.7356867790222168, 0.4202862083911896, 1.1280981302261353, 0.37337741255760193, 0.16477979719638824, -0.3560371994972229, 0.17195914685726166, 1.1960095167160034, -0.7617352604866028, -0.8886651992797852, -1.1232117414474487, 0.7340264916419983, -0.035261064767837524, -1.0094690322875977, -0.9419773817062378, -0.5615928769111633, 0.4575519263744354, 0.4043954312801361, -0.9500017762184143, -1.7495683431625366, 0.6484682559967041, 0.49856775999069214, -1.0190919637680054, -0.19794094562530518, 0.7961530089378357, 0.8528828024864197, -1.200122356414795, 0.7649002075195312, -1.0036075115203857, -0.07336006313562393, 0.664722204208374, 0.27462854981422424, 0.036497876048088074, 0.3933617174625397, -0.016740161925554276, 0.09283239394426346, 0.1697826236486435, -0.06022823601961136, 0.33041179180145264, -0.7616561055183411, 1.0547945499420166, 2.190307855606079, 1.3593851327896118, -0.08782987296581268, 0.7563819289207458, 0.20671722292900085, 0.6526495218276978, 0.4465659260749817, 0.22088779509067535, -0.8759415149688721, -1.496961236000061, -0.34230342507362366, 0.5126231908798218, -0.6608287692070007, 0.3429625630378723, 1.7981033325195312, 0.5085443258285522, 0.31778058409690857, -0.6213890910148621, -0.9833429455757141, 1.0279310941696167, 0.3754134178161621, 0.8605889081954956, 0.5140284299850464, 1.516567349433899, 0.5583187341690063, 1.6002510786056519, -0.7173726558685303, 0.5029920935630798, -0.31479164958000183, 0.6718045473098755, 0.3654181659221649, -0.6702390313148499, -0.2871033549308777, -1.2730767726898193, 0.25340110063552856, -0.8433146476745605, -0.2756807208061218, 0.42103683948516846, -0.3429429829120636, -0.545440673828125, -0.3116801977157593, -0.6554744243621826, -0.10262596607208252, 0.020901931449770927, -0.17067939043045044, -0.7519299983978271, 1.1612797975540161, -1.2492570877075195, -0.036840517073869705, 0.28162598609924316, -0.37517377734184265, -1.1414202451705933, 0.5380469560623169, 0.4497147798538208, -0.5293605923652649, 0.7389265894889832, -0.9442307353019714, -0.8393219113349915, -0.7378138899803162, 0.15882596373558044, 0.5182675123214722, -0.3323715031147003, -1.9007459878921509, 0.08906422555446625, -0.21179720759391785, -1.0157663822174072, 0.822163999080658, 0.10574894398450851, -1.0753200054168701, 0.5452969074249268, 0.1364843249320984, -0.8276460766792297, -0.16075193881988525, -0.21080943942070007, 0.23120728135108948, -0.21084965765476227, 0.1364022046327591, 0.5450538992881775, 0.1295507252216339, -1.520979404449463, -0.24946175515651703, -0.6767994165420532, -0.22100967168807983, -0.5607233047485352, 0.05492735281586647, -0.6410432457923889, -0.08675213903188705, 0.5780420899391174, 0.19342099130153656, -0.19208920001983643, -0.3777106702327728, -0.9878323674201965, -1.7937954664230347, -0.31921589374542236, 0.8100552558898926, 1.1569448709487915, -0.695450484752655, -0.09461615234613419, 1.5506348609924316, 0.5689390897750854, -0.9332981109619141, -0.2530449330806732, 0.9958088994026184, 0.6128462553024292, -0.9984554648399353, -0.06771115213632584, 1.1472606658935547, 0.37123188376426697, 0.2740926742553711, -0.6702123880386353, 0.583716630935669, 1.9997031688690186, -1.0706956386566162, -0.8386035561561584, 0.17995844781398773, 0.23425668478012085, -0.3963623344898224, 0.6682943105697632, 0.4191720187664032, 0.1047058179974556, 1.0200529098510742, -0.2644306719303131, 0.8980082869529724, 0.6720762848854065, -0.44460561871528625, 0.6324254274368286, 0.011028756387531757, 0.5022754073143005, 0.22683119773864746, 0.1451084166765213, 0.5443234443664551, -1.0426545143127441, -0.2795686423778534, 0.46312734484672546, 0.8389211297035217, -0.94667649269104, 0.17276842892169952, 0.9815993309020996, 0.7582916021347046, 0.4677804112434387, -0.24952685832977295, 0.2461708039045334, 0.13333182036876678, 0.925523579120636, -0.4669051468372345, -0.07789789885282516, 0.11319996416568756, -0.2920715808868408, 0.12488626688718796, 0.3765977919101715, -0.7347649931907654, -0.4562041759490967, -1.4996756315231323, -1.2224889993667603, 0.9371654391288757, 1.2126986980438232, 0.520903468132019, 0.42364832758903503, 0.06314243376255035, -0.01757134683430195, -0.5624390840530396, -0.3888342082500458, -1.4142855405807495, 0.1965859830379486, 0.04503287747502327, -0.32191258668899536, 0.6895055174827576, -0.5266666412353516, 0.6175069808959961, -0.7532347440719604, -1.2811800241470337, 0.11402218788862228, -0.39737221598625183, -1.1626534461975098, 0.799350380897522, 0.6119397282600403, -0.8855223059654236, 0.7186651229858398, 0.9075812101364136, 1.9954910278320312, 0.4537636935710907, 0.6082829833030701, 0.7129995822906494, 0.11843235045671463, -0.23463687300682068, -0.03456730768084526, -0.07826496660709381, 0.17223620414733887, 0.8129100203514099, 0.7115249037742615, 0.5757591128349304, -0.537680447101593, 0.11535245180130005, 0.2409454733133316, 1.2836673259735107, 0.2906820774078369, -0.4095999598503113, 0.12328501045703888, 0.06822532415390015, -0.7453455328941345, 0.48954010009765625, 1.1154775619506836, -0.19752417504787445, 1.69722318649292, 0.6747211217880249, 0.6671295762062073, -0.46140626072883606, 1.3231135606765747, 1.4240894317626953, -0.5440124869346619, -0.3410831391811371, -0.7437077760696411, -0.9888266324996948, -0.6791309118270874, -0.37616053223609924, 0.7910309433937073, 1.0355960130691528, 0.7383597493171692, -0.0007139646913856268, 0.6501672267913818, -0.2301161140203476, -1.006433129310608, -0.24184396862983704, -1.0359052419662476, -0.3903433680534363, 0.10636139661073685, 0.35976794362068176, 0.3510754406452179, -0.010377208702266216, -0.7850466370582581, -0.4847599267959595, -0.9972944259643555, -2.1432316303253174, 0.5893186330795288, 0.3021874725818634, 1.5281872749328613, -0.4591899514198303, 0.025750789791345596, -1.057679295539856, -1.8256466388702393, 0.7768754363059998, -0.26782557368278503, 1.5766109228134155, -1.0780669450759888, 0.7437163591384888, -0.2045728713274002, -0.8155636787414551, -1.170069932937622, 0.5392736196517944, 0.008702298626303673, -0.13933178782463074, -0.38373425602912903, -0.08176327496767044, -0.37705183029174805, 0.451083779335022, 0.08140719681978226, 1.0443373918533325, -0.7749696373939514, -0.5396407842636108, 0.2588445246219635, 1.1337718963623047, -0.9339204430580139, -0.10900849848985672, 0.11982500553131104, -0.9930276870727539, -1.0458511114120483, 0.5938462615013123, -0.2113305777311325, -0.0759710893034935, 0.5974491834640503, -0.22187887132167816, -0.0722925066947937, -0.14848653972148895, -0.9147084951400757, 1.5923939943313599, -0.24184031784534454, 0.3036123514175415, 0.639747142791748, 0.9304319620132446, -0.6140877604484558, 0.2912757992744446, -1.2208530902862549, 0.10980961471796036, -1.0417135953903198, 1.0436755418777466, -0.8185214996337891, 0.10026295483112335, -0.8744220733642578, 0.7758289575576782, 0.000879482424352318, 0.47761738300323486, -0.4770645201206207, -0.6440122723579407, -0.14129069447517395, 0.7729591131210327, -1.0870012044906616, 0.6280855536460876, -0.19521448016166687, 0.09527095407247543, -0.6513434052467346, -0.2896801233291626, 0.31982412934303284, -1.7498613595962524, 0.1999184489250183, 0.21080181002616882, -0.01274504978209734, 1.8642815351486206, -1.1321276426315308, -0.4343043565750122, 0.6436840891838074, -1.0014383792877197, 1.0085852146148682, 0.44442135095596313, -0.424686461687088, -0.34663498401641846, -0.6848316788673401, -0.04269547015428543, 0.4037580192089081, -0.1313401758670807, -0.17592255771160126, 0.0005984031595289707, 0.7652275562286377, 0.07120344042778015, -0.5363361239433289, -1.1435494422912598, -1.414399266242981, -0.7736353278160095, 0.4983108639717102, 0.2237394154071808, 0.0222309697419405, -0.3832261860370636, 1.0360534191131592, -0.5714782476425171, 0.2546691298484802, 1.2380027770996094, -0.05903836339712143, 0.881339967250824, 0.5299631357192993, 0.9055065512657166, 1.108155608177185, 1.3994441032409668, 0.08881530165672302, -0.2712523341178894, 0.1567080318927765, 0.5022220611572266, -0.316299706697464, -1.3730717897415161, -0.8326457142829895, 0.1288243681192398, -1.5807379484176636, -0.4097772240638733, 1.6100326776504517, -0.3918878436088562, 0.35960862040519714, 0.03285403922200203, -0.3686020076274872, -0.5809087157249451, 0.05929458513855934, -0.1757255345582962, -0.6148189306259155, 0.8915546536445618, -0.40995773673057556, -0.1742306798696518, -0.14110206067562103, -0.4278333783149719, -0.8747383952140808, -0.02673427201807499, 0.13862083852291107, 0.3733818829059601, 2.5431580543518066, 0.3679456114768982, 0.764048159122467, 0.7622981667518616, 0.8344743847846985, 0.19987286627292633, -0.4162670373916626, 0.7740995287895203, 1.1479640007019043, 0.5280673503875732, -0.3969474732875824, 0.37533682584762573, -0.8276150822639465, 0.6956389546394348, 1.1987675428390503, -0.638705313205719, 0.2982955574989319, 0.23595350980758667, 0.11469495296478271, -0.756695568561554, -0.18938495218753815, -0.6575628519058228, 0.4005887508392334, -0.27600985765457153, 2.0366404056549072, -1.5846604108810425, -1.8759061098098755, 1.361909031867981, 1.776013970375061, -0.16267797350883484, -0.3776721954345703, 0.2143968641757965, -0.24169863760471344, -0.3009669780731201, -0.55588698387146, -0.5878473520278931, 1.1825213432312012, -1.0798921585083008, 0.8589868545532227, 0.03776432201266289, -0.18885751068592072, 0.353193461894989, 0.8744210600852966, 0.37476348876953125, -1.4669173955917358, -1.251420497894287, -1.4414182901382446, 0.5488177537918091, 0.3442767560482025, -0.22284241020679474, 0.0009308775188401341, -0.17618072032928467, 0.747404932975769, -0.5429937243461609, 0.7190630435943604, -0.6196185946464539, -1.7111533880233765, -0.6273074150085449, -0.3401692509651184, -0.8986466526985168, 1.5007426738739014, 0.14624862372875214, -0.3544519543647766, 1.0337446928024292, -0.45868825912475586, 1.1762913465499878, 0.4935845136642456, 0.8565585017204285, 0.4934892952442169, 1.345377802848816, -0.6903773546218872, 0.2820323407649994, -0.2969117760658264, 0.02240230143070221, 3.9228029251098633, 1.3082997798919678, 0.17331746220588684, -2.077242136001587, 0.14005047082901, -0.512493908405304, 0.3063993453979492, -0.6263265013694763, 0.31372588872909546, 0.324986070394516, 0.4511350691318512, 0.7378370761871338, 0.7150071859359741, -0.20952190458774567, 0.022640453651547432, 0.8214356899261475, -0.3819223642349243, -0.8561877608299255, 0.2423863261938095, 0.4227166473865509, -0.2640315592288971, -0.11594726890325546, -0.9365247488021851, -1.1834512948989868, 1.2109555006027222, -0.05906129255890846, 0.30429723858833313, -0.5878321528434753, 1.025704026222229, 0.10307810455560684, -0.4549533724784851, 0.8237988352775574, 0.6638519167900085, 0.25219443440437317, 0.7521533966064453, 0.40112969279289246, -0.7193167805671692, -0.3824504315853119, -0.6942356824874878, 0.7302393317222595, 0.05696830898523331, -0.3662511706352234, -0.15925714373588562, -0.1690194308757782, -0.4620820879936218, -0.3637664020061493, -0.8875632882118225, -0.7884495854377747, 0.12456963211297989, -0.19685401022434235, 0.2247903198003769, 0.10690928995609283, -0.47410762310028076, -1.0700099468231201, -0.7628763318061829, 1.0122824907302856, -0.0016914727166295052, -0.6311072707176208, -0.7369755506515503, -0.410311222076416, 0.21585579216480255, -0.27229416370391846, 0.4785022437572479, 1.036255121231079, 0.5728910565376282, 0.5188551545143127, -0.4924980103969574, 1.7966772317886353, 0.02885686792433262, -0.5441009402275085, -0.6808141469955444, 0.10458303242921829, -0.11479072272777557, -0.34971991181373596, -0.596497654914856, 0.8049787282943726, -0.5088778734207153, 0.13443386554718018, -0.811652660369873, -0.4413064420223236, -0.6977771520614624, 0.8596640825271606, 0.2754983901977539, -0.09253840893507004, -0.01334961038082838, -0.6309412121772766, -0.062176089733839035, 0.2977108061313629, -0.0883508175611496, 0.20229540765285492, 0.4149599075317383, 1.5975385904312134, 1.1636496782302856, -1.277231216430664, 0.13299866020679474, 0.5921425223350525, -0.750669538974762, -0.23625294864177704, -0.3570972979068756, 1.465641736984253, 0.16051068902015686, -0.7537460327148438, -0.15703712403774261, 1.0851866006851196, -0.5776450037956238, 0.16599252820014954, 0.695575475692749, -1.309659481048584, -0.2154102325439453, -0.11071530729532242, 1.0850577354431152, -0.5535429120063782, -0.7899293899536133, -0.5011134743690491, 0.052527833729982376, 0.2584696114063263, -0.7991132140159607, -0.8538172245025635, 0.6751857995986938, -0.5329231023788452, 0.7964304685592651, 0.076534204185009, 0.1778690665960312, 0.0941450223326683, 0.4185609221458435, -0.1630275845527649, -0.9022618532180786, 0.22865842282772064, -0.5995287299156189, -0.32303324341773987, -0.02295662648975849, 0.1856561303138733, 0.11701629310846329, 0.41047513484954834, -1.127913475036621, -0.49666348099708557, 0.03625023737549782, 0.7187507748603821, -1.2573747634887695, 0.1283906102180481, 0.31958281993865967, -0.5710800886154175, -0.884422779083252, 2.12225341796875, -0.7558606863021851, -0.30700016021728516, 0.8535851240158081, -0.5195401310920715, 0.7468262314796448, 0.7422522306442261, -1.0386137962341309, 0.1786837875843048, 0.5381423234939575, 0.44279977679252625, 0.4469720423221588, -0.19370879232883453, -0.27521607279777527, -0.05508257448673248, 0.19017790257930756, 0.4838584065437317, 0.46757110953330994, 0.7829409837722778, 0.1593032330274582, -0.2826196551322937, 0.3345950245857239, 0.6824734210968018, -0.14106692373752594, 0.5423063039779663, -0.6755694150924683, 0.42470863461494446, 0.4613150656223297, -0.39187943935394287, 0.6430715918540955, 0.7238368988037109, -1.4147752523422241, -0.35572952032089233, -0.2407756894826889, 0.17539125680923462, 1.051500916481018, -0.7355864644050598, 0.3369675278663635, 1.2505966424942017, -1.3645488023757935, 0.3119823932647705, -0.6584311723709106, -0.5769306421279907, -1.3257607221603394, 0.45704302191734314, 0.30095455050468445, 0.27411043643951416, 0.25830307602882385, -0.24651503562927246, 0.6315324902534485, -0.4154841899871826, -0.8683934807777405, -0.8751829266548157, 0.25183823704719543, 0.8842076659202576, -0.7203439474105835, -0.4125850796699524, 0.8926657438278198, -1.259611964225769, -1.3004639148712158, -0.49589961767196655, -1.4941859245300293, 0.015221177600324154, 0.20493412017822266, 0.8276289105415344, 0.3576127588748932, 0.7795937657356262, 0.07075224071741104, -0.0118581997230649, -0.011178415268659592, -0.9573761224746704, 0.6363368630409241, -0.07282085716724396, -0.5734032392501831, -0.22288110852241516, 0.7684682011604309, 0.8150632381439209, -0.57716304063797, 0.7549147009849548, -1.0292772054672241, -0.19352708756923676, -0.12083593010902405, -0.013678213581442833, 0.43881022930145264, -1.4873926639556885, -0.46781909465789795, -1.1170235872268677, 0.4729674756526947, 0.5701757669448853, 0.14908578991889954, 0.010661347769200802, 0.12201310694217682, -0.6227996945381165, -0.33104828000068665, 0.15755310654640198, 0.1271061897277832, -1.3931941986083984, -0.7835596799850464, -0.44561997056007385, -0.3394080698490143, 1.0958471298217773, 0.5369076132774353, -0.14818410575389862, -0.19199781119823456, 1.1942124366760254, -0.11170241981744766, -0.528741180896759, -0.6101875305175781, -0.3827407658100128, 0.8715323805809021, -0.3761906325817108, 1.314452052116394, 1.5900731086730957, 0.7896473407745361, -0.6267539858818054, -1.0468475818634033, 0.36039361357688904, 1.4084981679916382, 1.0657916069030762, -0.011271803639829159, -0.26345863938331604, -1.4310553073883057, -0.060260288417339325, -0.1237475648522377, 0.5527105331420898, -0.12982283532619476, -1.0258090496063232, -0.0031009700614959, 0.11980433017015457, -0.303815633058548, 0.3758181631565094, 0.7179418206214905, 0.9529033899307251, 0.11043793708086014, 0.5611695647239685, -0.794089674949646, 0.030211247503757477, -0.3660622537136078, -0.049633122980594635, -0.5597190856933594, 1.7550573348999023, -0.03752366080880165, -0.29010480642318726, 0.6539017558097839, -0.2704889178276062, -1.2629517316818237, 1.4643542766571045, 0.3210834860801697, 0.2925164997577667, -0.9041666388511658, -0.7315255403518677, 1.4301801919937134, -1.5144104957580566, -0.9845032095909119, 0.7574211359024048, 0.43812546133995056, 0.17327705025672913, 0.4253956377506256, -0.0697084367275238, 0.632560133934021, 0.5592705607414246, 0.6458746790885925, -0.8419315218925476, -0.725090742111206, 1.0845081806182861, 0.7273079752922058, 0.9510344862937927, 0.07224950194358826, 0.4114273488521576, 1.064756155014038, -0.7755674123764038, 1.0767210721969604, -0.11383315920829773, 0.3648195266723633, -0.06394954770803452, 0.4747864007949829, -0.5971801280975342, -0.5057469010353088, -1.5174274444580078, -0.18628382682800293, -0.11566920578479767, 1.5411334037780762, -0.7730978727340698, 0.07482609152793884, -1.9039106369018555, 0.8115215301513672, -0.6986712217330933, -0.16994859278202057, -0.19387595355510712, 0.5756314396858215, -0.2170112431049347, -0.4918504059314728, -0.9457064270973206, 0.21014386415481567, 1.518568754196167, -1.0981762409210205, 0.3188602328300476, 0.08708001673221588, 0.4790131151676178, 0.3657263219356537, -0.7008256912231445, -1.632594108581543, -0.4299789369106293, 0.8521337509155273, 0.23048023879528046, -0.42915502190589905, -1.070027470588684, -1.3541228771209717, 0.26042816042900085, 1.298344612121582, 0.17505954205989838, -0.3736073076725006, -1.0467722415924072, 0.5395528674125671, 0.7657894492149353, 0.7000078558921814 ] ]
{ "indices": [ 1121901898, 1598346136, 1612531086, 150695120, 2095749492, 318824902, 422208903, 3881767927, 1481737067, 1296157733, 2733467792, 1034183227, 1936903680, 2142141949, 4191199403, 953824239, 997012898, 1673618911, 3471378517, 3819098157, 1786548735, 3758798163, 4284532392, 2257684172, 1432087569, 820773949, 1117261085, 1181726436, 3396792551, 3377905009, 1236542976, 1300596145, 2305334529, 2067848296, 1551089265 ], "values": [ 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.7178239640284703, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815 ] }
{ "context": "But they are usually designed in the high performance systems to accept new operands every cycle. So you can start a new addition or a new multiplication every cycle, but you still have to wait that long to get your answer back. So. Okay, so, all right, so we'd finish this one, right? So we've got we've done fetch one. I just want to make sure people notice this is not a don't care." }
355453
[ [ 0.32176315784454346, -0.8129992485046387, 0.4923613369464874, 0.7613288760185242, 1.103585124015808, -0.02677878737449646, -0.3834463059902191, 0.6084553003311157, 0.5150700807571411, -0.6442073583602905, 1.0611823797225952, 0.5680181980133057, 0.6014969944953918, 0.5184798240661621, 0.2930888235569, -0.24836334586143494, 0.43048417568206787, -0.44515642523765564, -0.3425743281841278, -0.7318914532661438, 0.8547553420066833, -0.09289170056581497, -0.27442678809165955, -0.11935076117515564, -0.6563266515731812, 0.3635227680206299, -0.013257867656648159, -0.8305602669715881, 0.007048537954688072, 0.9076788425445557, -0.5325760245323181, -1.2425622940063477, 0.49099478125572205, -0.24680694937705994, 1.9051991701126099, 0.18144573271274567, -0.01570485346019268, -0.610388994216919, -0.03426782414317131, 0.4538213908672333, -0.5496606826782227, 0.31336089968681335, 0.048320818692445755, 0.41498255729675293, -0.9020743370056152, 0.1567663550376892, -0.20482546091079712, -0.027132496237754822, 1.2011150121688843, -0.9116515517234802, 0.9906176328659058, -0.30784857273101807, 1.1412112712860107, 0.1417219638824463, 0.20279435813426971, 0.5146848559379578, 1.1138721704483032, 1.6373451948165894, 0.3776484727859497, -1.41250479221344, -0.10837599635124207, -0.7836434245109558, 0.13502918183803558, -0.4390047788619995, -0.1861111968755722, -0.31357941031455994, -0.5319845080375671, -0.9108501672744751, 0.9049118161201477, -0.2696992754936218, -0.5877317786216736, 0.550471305847168, 0.31634798645973206, 0.18658609688282013, 0.6140498518943787, -0.5977084040641785, 0.18645168840885162, 0.31688636541366577, 0.7848337292671204, 1.1431999206542969, -0.7925962805747986, 0.2992309331893921, -0.4944120943546295, 0.3514658212661743, 0.5864934921264648, -0.7164656519889832, 1.1059865951538086, -0.7874135971069336, 0.5572442412376404, 1.014609694480896, 0.24846912920475006, -0.9324237108230591, 1.54514479637146, 0.5030561685562134, -0.8196230530738831, -0.15979540348052979, 0.6148414015769958, 1.2790614366531372, -0.07432843744754791, -1.1192935705184937, 0.5742682814598083, -1.1767208576202393, 0.1730557084083557, 1.7692919969558716, 0.018969543278217316, 0.7925205230712891, -0.21302098035812378, -0.16873419284820557, -0.9593862295150757, 0.45677757263183594, -0.92465740442276, 1.359932541847229, -0.3542259633541107, 0.8336828947067261, -0.20642386376857758, -0.8264517188072205, -0.6627104878425598, -0.5623100996017456, 1.3741428852081299, 0.8891187906265259, 0.8523010015487671, 0.30792325735092163, 0.8346461057662964, -0.47273901104927063, -2.1047890186309814, 0.6389067769050598, 0.5101719498634338, -0.3919278681278229, -0.9685850143432617, 0.7941738367080688, 0.31682971119880676, 0.299202561378479, 0.17681941390037537, -0.2750933766365051, 0.7194605469703674, 0.8482030630111694, -1.9993059635162354, -1.362732172012329, 0.9333469271659851, 0.1376267373561859, -0.3815689980983734, -1.9203803539276123, 0.3551008105278015, 0.8252542614936829, 1.1955745220184326, 0.7208566069602966, 0.5871528387069702, 0.40212148427963257, -0.1451430320739746, 0.14186899363994598, -0.4209253191947937, 0.02476665750145912, -1.337321400642395, -0.4669879674911499, -0.7734144330024719, -0.8288787007331848, 0.11990576982498169, -0.2908336818218231, -0.8751887679100037, 0.1126054972410202, 0.3449014723300934, -0.2958552837371826, 0.34627407789230347, -0.24453823268413544, -0.6678571105003357, -0.8941395282745361, 1.583941102027893, -0.15772341191768646, 0.45799311995506287, 1.193871259689331, -0.10181822627782822, 0.03099088743329048, 0.207288458943367, 0.39958739280700684, 1.3393768072128296, -0.4592363238334656, 0.1932307928800583, -0.8070374727249146, -0.00022859300952404737, 0.2862056791782379, -0.12205763906240463, -0.46477216482162476, 0.11348401755094528, 1.320483922958374, 0.45309096574783325, 0.11724746972322464, -0.6532114744186401, -0.6247022151947021, 1.163580060005188, -0.8590423464775085, -0.5976974368095398, -1.089354395866394, 0.4947763979434967, -0.05504731833934784, -0.6116318106651306, -1.1048698425292969, -0.5025339126586914, 0.20212163031101227, 0.5307149291038513, -0.4941426217556, -0.4922391474246979, 0.6427936553955078, 0.8543445467948914, -0.7488815784454346, -0.26976320147514343, 1.0158694982528687, 1.0454779863357544, -0.8754453659057617, 0.39242324233055115, -0.5674063563346863, -0.5002154111862183, 0.3484531044960022, -0.17471282184123993, 0.47217684984207153, 0.6104122996330261, -0.005734336096793413, 0.38901466131210327, 0.5036670565605164, -0.27616754174232483, 0.25348764657974243, -1.0793458223342896, 1.1500837802886963, 2.2121925354003906, 1.1319128274917603, 0.0077075837180018425, 0.3919963836669922, 0.10496934503316879, -0.10419902205467224, 0.06096600741147995, -0.5951494574546814, -0.34308895468711853, -1.268720030784607, 0.34108713269233704, 0.478796124458313, -0.1453685164451599, 0.17177805304527283, 1.9755975008010864, 1.1726901531219482, 1.3198680877685547, 0.2363690733909607, -1.398348331451416, 0.8743062019348145, 0.4347872734069824, 0.3360576927661896, 0.4425058364868164, 1.469793677330017, 0.27374809980392456, 1.1846452951431274, -0.25353360176086426, 0.472522109746933, -0.4567190110683441, 0.35053348541259766, 0.1970938891172409, -0.9796075820922852, 0.4271498918533325, -1.1717987060546875, 0.2529936730861664, -0.8378352522850037, -0.3925888240337372, 0.598459780216217, -0.2675565183162689, -0.34835487604141235, -0.4157368838787079, -0.580975353717804, 0.11982440203428268, -0.15862122178077698, -0.3198927640914917, -0.6623844504356384, 1.4429360628128052, -0.546078622341156, 0.3951972424983978, 0.6441735625267029, -0.4024116098880768, -0.5087006688117981, 0.053850602358579636, 0.9462181329727173, -0.6511537432670593, 1.1888301372528076, -0.706195592880249, -0.3195824921131134, -0.16760504245758057, 0.4571698307991028, 0.7029821276664734, -0.06381800770759583, -1.9048221111297607, 0.8223352432250977, -0.522899866104126, -0.7594323754310608, 0.7464751601219177, 0.28859201073646545, -1.2389004230499268, 0.3469485640525818, -0.1261717677116394, -0.47365862131118774, 0.15046077966690063, 0.03955437242984772, -0.05476630851626396, -0.20609624683856964, 0.23079721629619598, 0.5547863245010376, 0.15845656394958496, -1.3924732208251953, 0.2012805938720703, -1.008169174194336, -0.6690732836723328, -0.08494392037391663, -0.769557774066925, -0.6572229862213135, -0.46620211005210876, 0.01981831155717373, -0.5944888591766357, -0.7631288766860962, -0.38915151357650757, -1.243857741355896, -1.7039744853973389, 0.16313160955905914, 0.5036869645118713, 0.9813699126243591, -0.18961095809936523, -0.4898849129676819, 0.9972455501556396, 1.084765076637268, -0.7204591631889343, -0.561894953250885, 0.3764752745628357, -0.07908473163843155, -0.9348101615905762, -0.3332259953022003, 0.7233782410621643, 0.1722794473171234, 0.08084316551685333, -0.35877251625061035, 0.9667421579360962, 1.6517828702926636, -0.2972513437271118, -0.567908763885498, 0.10158558189868927, 0.059188976883888245, -0.9331377744674683, -0.1022249087691307, 0.5032976865768433, 0.5443835258483887, 1.2645310163497925, 0.33981189131736755, 1.2121068239212036, 0.5265392065048218, -0.7310300469398499, 0.4412505626678467, 0.4696102738380432, 0.27052438259124756, -0.22387686371803284, 0.1377134472131729, -0.287142276763916, -0.37956681847572327, -1.171695590019226, 0.5021756291389465, 0.8265846967697144, -0.6369497776031494, -0.29566341638565063, 1.2773312330245972, 0.8703714609146118, 0.322197824716568, -0.09991957247257233, -0.2247723788022995, -0.02657022327184677, 0.4913055896759033, -0.38971051573753357, 0.06525149941444397, 0.6956457495689392, 0.3823901414871216, 0.628078818321228, 0.5697717666625977, -0.30759793519973755, -0.8290115594863892, -1.381567120552063, -0.7971579432487488, 0.2902539372444153, 0.8851654529571533, 0.434358686208725, 0.7655782103538513, 0.14608509838581085, 0.14156025648117065, -0.18918177485466003, 0.3987247347831726, -1.6514625549316406, 0.3065931499004364, 0.33688589930534363, -0.03898455947637558, 0.11334498226642609, -0.06204868480563164, 0.3735746741294861, -0.11037001758813858, -0.7821260690689087, -0.2021993100643158, -0.283355712890625, -1.353496789932251, 0.48387154936790466, 0.31464293599128723, -0.5438801050186157, 0.12494266033172607, 0.7926406264305115, 2.288533926010132, 0.9473534226417542, 0.6818512082099915, 0.3307859003543854, 0.42259034514427185, -0.6179137825965881, -0.12277039140462875, 0.37969648838043213, 0.366828590631485, 0.9585188627243042, 0.7157195210456848, 0.7601327300071716, -1.1380964517593384, 0.03529849275946617, 0.3370783030986786, 1.1205424070358276, 0.319149374961853, 0.3310968577861786, -0.14880068600177765, -0.6452668309211731, -0.5880558490753174, 0.13488134741783142, 1.0112559795379639, -0.8172464966773987, 1.4487380981445312, 0.9651517868041992, -0.002975755138322711, 0.052773330360651016, 1.1373053789138794, 1.3647254705429077, 0.177237868309021, -0.6702220439910889, -0.5798908472061157, -0.8647861480712891, -0.29674196243286133, -0.1150975376367569, 0.3525241017341614, 1.0366489887237549, 0.6499871611595154, -0.5773755311965942, 0.5029286742210388, 0.25780799984931946, -1.361781358718872, 0.31307506561279297, -0.564368724822998, -0.20131148397922516, -0.28194233775138855, 0.4122018814086914, 0.36494097113609314, 0.3325112760066986, -0.38416537642478943, 0.4552268087863922, -0.6812456250190735, -2.589674711227417, -0.495530366897583, 0.0021781031973659992, 0.8503427505493164, -0.7119079232215881, 0.4328319728374481, -0.8860987424850464, -1.0113393068313599, 0.882053017616272, -0.5843871831893921, 1.2321653366088867, -0.717604398727417, 0.19344764947891235, -0.22445477545261383, -1.429792881011963, -1.139554738998413, 1.2892099618911743, -0.30229929089546204, 0.00395912304520607, -0.05008642375469208, 0.3317773640155792, -0.6398878693580627, 0.25990375876426697, 0.608322024345398, 0.327635258436203, -0.4247335195541382, -0.4821421205997467, 0.08775528520345688, 0.6956389546394348, -0.8757447600364685, -0.5939871668815613, 0.3005761504173279, -1.0820708274841309, -0.8431455492973328, 0.5476231575012207, -0.5257842540740967, -0.16429759562015533, 0.7421628832817078, -0.3947804570198059, -0.6056168675422668, -0.8025376200675964, -1.593590259552002, 0.6193302869796753, -0.3501429557800293, 0.9421270489692688, 0.708066463470459, 0.6161924004554749, -0.6531184315681458, 0.1862255483865738, -0.16338305175304413, 0.2791072130203247, -0.572313666343689, 0.6408449411392212, -0.6865438222885132, -0.453187495470047, -0.9310345649719238, 0.8553880453109741, -0.21490973234176636, 0.007114122621715069, -0.3316657245159149, -0.45953360199928284, -0.1426304131746292, 1.5311002731323242, -1.08349609375, 0.2506970763206482, -0.1473698765039444, 0.010468232445418835, -0.7872392535209656, 0.3877239227294922, 0.2616432309150696, -1.2483692169189453, 0.3928283452987671, 0.584294319152832, 0.204365074634552, 1.0970309972763062, -0.6784814596176147, 0.015510709024965763, 0.41297420859336853, -1.2344729900360107, 0.530375063419342, 0.46356382966041565, 0.7483608722686768, -0.14822430908679962, -0.7696811556816101, -0.5823397636413574, 0.6387341022491455, -0.4508236348628998, 0.1621408760547638, -0.05970406532287598, 0.392316997051239, 0.5372440814971924, -0.4865312874317169, -0.8399000763893127, -0.884012758731842, -0.8803771138191223, 0.5473640561103821, -0.0016294654924422503, -0.6379879117012024, 0.1285930722951889, 0.18180884420871735, -0.5521184206008911, 0.12939588725566864, 1.4729509353637695, -0.2758161425590515, 0.5003422498703003, 0.2548913061618805, 0.5070363879203796, 1.1731131076812744, 0.8544175028800964, 0.9102129340171814, -0.3206886947154999, 0.04607424885034561, -0.3708759546279907, -0.7552420496940613, -1.212056040763855, -0.6671305894851685, -0.16546091437339783, -0.9616183638572693, -0.44435903429985046, 1.3388713598251343, -0.1684451401233673, 0.6669924855232239, 0.0321027971804142, -0.7795757055282593, -0.37750887870788574, -0.3692275881767273, -0.5961001515388489, -0.36412930488586426, 0.11910374462604523, -0.14786750078201294, 0.4256560504436493, 0.37490805983543396, -0.9156611561775208, -0.5118075013160706, -0.12977364659309387, 0.34216466546058655, 0.03218588978052139, 2.131345272064209, 0.8283283114433289, 0.26736196875572205, 1.1946582794189453, 0.6567683219909668, 0.6943421959877014, -0.8046205639839172, 0.27575650811195374, 0.6184596419334412, 0.5919215083122253, -0.29531821608543396, 0.36926910281181335, -1.1460926532745361, 0.5327030420303345, 1.2746458053588867, -0.9365962147712708, 0.46971768140792847, -0.7502817511558533, 0.45302608609199524, -0.2192133367061615, 0.5000951886177063, -0.6737959980964661, 0.6033145189285278, -0.3952749967575073, 2.0851049423217773, -1.3629740476608276, -0.5663936734199524, 1.3576973676681519, 1.1800792217254639, 0.8115121126174927, 0.20503003895282745, 0.6350786089897156, -0.4045059382915497, -0.27514639496803284, -0.9494338631629944, -0.7745532989501953, 1.2020199298858643, -1.4990277290344238, 0.9165530800819397, -0.011239520274102688, -0.5914350748062134, 0.6865469217300415, 0.7184883952140808, 0.31891846656799316, -1.8305737972259521, -0.8744416832923889, -1.3098148107528687, 0.8115663528442383, 0.3046454191207886, -0.06525576859712601, -0.48968935012817383, 0.03923095762729645, 0.5240377187728882, -0.3962543308734894, 1.1603132486343384, -0.37637558579444885, -1.6321742534637451, -0.3358500301837921, -0.5190538167953491, -0.9802305698394775, 1.6314729452133179, 0.21311403810977936, -0.5887435078620911, 1.0868723392486572, -0.5786409974098206, 0.931217610836029, 0.3609636127948761, 0.19711613655090332, 0.2913535237312317, 1.329569935798645, -0.36954763531684875, -0.347719669342041, -0.2624823749065399, 0.003468077862635255, 4.573074817657471, 1.7045834064483643, -0.22705121338367462, -2.041065216064453, -0.29118919372558594, -0.8925412893295288, 0.20890501141548157, -0.3915310800075531, 0.5045800805091858, 0.1028779074549675, 0.9134091138839722, 1.195408582687378, 0.3850770592689514, -0.32676762342453003, 0.6065407395362854, 0.720065176486969, -0.61501145362854, -0.7647525072097778, 1.071517825126648, 0.1799001842737198, 0.23510664701461792, -0.3842461109161377, -1.2182583808898926, -1.1804935932159424, 1.147336483001709, -0.23532229661941528, 0.6763759851455688, -0.48790955543518066, 1.0292960405349731, 0.2607975900173187, -0.5076124668121338, 1.1348750591278076, 0.5196446180343628, 1.3510345220565796, 0.5611377954483032, 0.1992844045162201, -0.25962480902671814, -0.7635394930839539, -0.49622291326522827, 0.25984665751457214, 0.3103688061237335, -0.025251198559999466, -0.39244136214256287, -0.43763962388038635, -1.1267156600952148, 0.02208046242594719, -0.3948046565055847, -0.9445863366127014, 0.28177955746650696, -0.022342877462506294, -0.12826305627822876, 0.3002365529537201, -0.3655083477497101, -1.0328201055526733, -0.8534454703330994, 0.8216627836227417, 0.45705339312553406, -0.6312302947044373, -0.4734487533569336, -0.3611721098423004, 0.37847620248794556, -0.24586187303066254, 0.5563691258430481, 0.1733243614435196, 0.7458547353744507, -0.02001318708062172, -0.2599286735057831, 2.309734582901001, 0.06813166290521622, -0.9613301753997803, -1.1889547109603882, 0.1290462613105774, -0.34204068779945374, 0.0007747678901068866, -0.4578978419303894, 1.0249210596084595, -0.4801693260669708, 0.3671152591705322, -0.48061782121658325, -0.5200125575065613, -0.8200957179069519, 0.10076399892568588, -0.4575498700141907, 0.08962451666593552, -0.659084677696228, -1.3567441701889038, -0.4631206691265106, 0.6086329817771912, 0.33410412073135376, 0.2362896353006363, 0.2699592411518097, 1.4702999591827393, 1.013763189315796, -0.8284962773323059, 0.4178934395313263, 0.5287771821022034, -1.7057517766952515, 0.16521987318992615, -0.7721587419509888, 1.0007838010787964, 0.3821648359298706, -0.355503648519516, -0.35556653141975403, 0.748685359954834, -0.959261417388916, -0.2441575676202774, 0.7367502450942993, -0.8857017755508423, 0.020313246175646782, 0.07770472019910812, 0.9378663897514343, -1.4938914775848389, -1.00808846950531, -0.3048643469810486, -0.15562701225280762, -0.21829496324062347, -0.02494034916162491, -0.34271055459976196, 0.11301068961620331, -0.447074294090271, 0.5401749610900879, 0.45471855998039246, 0.5211598873138428, -0.6303392052650452, -0.26141515374183655, 0.1523299366235733, -0.94495689868927, -0.2651512622833252, 0.13067132234573364, -0.4018634557723999, 0.18408453464508057, 0.12561428546905518, -0.639258861541748, 0.5540037155151367, -1.0203355550765991, -0.4191366136074066, 0.07011974602937698, 0.44743478298187256, -0.9664106965065002, 0.09865907579660416, 0.2529050409793854, -0.39724797010421753, -0.9159045815467834, 1.3046611547470093, -0.44960978627204895, 0.14789357781410217, 0.07293817400932312, -0.07448109984397888, 0.701279878616333, 0.6751177906990051, -1.098840594291687, -0.2918863594532013, 0.6109223365783691, 0.3544403910636902, 0.2632014751434326, -0.06781245768070221, 0.2081817090511322, -0.11964429169893265, -0.18939003348350525, 0.21913352608680725, 0.2325184941291809, 1.1715704202651978, -0.3065033555030823, -0.570668637752533, -0.35617271065711975, 0.08545760065317154, -0.5766758918762207, 1.008129596710205, -0.06514407694339752, 0.6405678987503052, 0.31498271226882935, -0.06750761717557907, -0.11585991084575653, 0.6045461297035217, -1.5111318826675415, 0.03928210958838463, -0.268793523311615, 0.12687808275222778, 0.394298791885376, -0.7109500169754028, -0.09515340626239777, 0.7939959764480591, -1.8266780376434326, 0.2727002203464508, -0.2853536605834961, -0.6712725162506104, -1.0820403099060059, 0.7882502675056458, -0.06276518851518631, 0.38458913564682007, 0.4124804735183716, -0.24167384207248688, 1.118062138557434, -0.2224404364824295, -0.44670742750167847, -0.4179161489009857, 0.33763226866722107, 0.8659692406654358, 0.07481874525547028, -0.6934384703636169, 0.6524901986122131, -0.5842511057853699, -1.2704238891601562, -0.2519868314266205, -1.6482737064361572, 0.4904523491859436, 0.18157082796096802, 1.1579986810684204, 0.1682305485010147, 0.5721842050552368, -0.20865634083747864, 0.16262000799179077, -0.36233603954315186, -0.36838623881340027, 0.12843449413776398, 0.4454982578754425, -0.7706009745597839, -0.3388702869415283, 0.24477413296699524, -0.17917871475219727, -0.7495563626289368, 0.20576293766498566, -1.4850438833236694, 0.03999055176973343, 0.5489626526832581, -0.13205988705158234, 0.26128822565078735, -0.9260174632072449, -0.038367755711078644, -1.1329660415649414, 0.2676469683647156, -0.006521070376038551, 0.853354275226593, 0.0573398657143116, 0.08562307059764862, -0.6020016074180603, -0.33249321579933167, 0.07778146862983704, 0.5193853974342346, -1.5733109712600708, -0.8893518447875977, -0.11971130222082138, -0.14440493285655975, 0.799044132232666, 0.6752535700798035, -0.1587250679731369, -0.5114256143569946, 0.6142520308494568, 0.0032777462620288134, 0.07740898430347443, -0.45607689023017883, -0.38043054938316345, 0.5513607263565063, -0.6361382007598877, 0.9614457488059998, 1.4622164964675903, 0.9719756841659546, -0.6047950387001038, -0.9706525206565857, -0.47036266326904297, 1.011687159538269, 0.9687390923500061, -0.02558097615838051, 0.1124824583530426, -1.5534855127334595, -0.06089070439338684, 0.1750076413154602, 0.536059558391571, 0.29843762516975403, -0.29341575503349304, -0.44542497396469116, 0.1613088697195053, 0.24267028272151947, -0.24688738584518433, 0.4851802587509155, 0.7062573432922363, 0.4042690694332123, 0.1908378005027771, -0.501653790473938, -0.360167920589447, -0.12714652717113495, 0.8996022343635559, -0.0038885537069290876, 1.6670929193496704, -0.02510792389512062, 0.32847052812576294, 0.17436976730823517, -0.054780423641204834, -1.1725473403930664, 1.4107052087783813, 0.1377362310886383, -0.10193131864070892, -0.8068658709526062, -0.4481821060180664, 1.2460662126541138, -1.36789071559906, -0.36991816759109497, 1.0843708515167236, 0.04699481651186943, -0.127824068069458, 0.300574392080307, 0.2250289022922516, 0.4536430835723877, 0.053478922694921494, 0.7949696779251099, -0.5903880000114441, -0.7665504813194275, 0.3935216963291168, 0.9648128747940063, 0.507513165473938, -0.29914405941963196, 0.3471457362174988, 1.0142641067504883, -0.4936365485191345, 1.6712911128997803, -0.2507968544960022, 0.7488092184066772, 0.18151149153709412, 0.40511929988861084, 0.1022498682141304, -0.9037346839904785, -1.047203540802002, -0.10287438333034515, -0.08316788077354431, 1.250849723815918, -0.8351973295211792, 0.5789411664009094, -1.003623366355896, 0.2354048490524292, -1.2175204753875732, -0.1679537296295166, -0.3624536991119385, 0.2852616608142853, -0.18245206773281097, -0.6790600419044495, -0.9199749231338501, -0.04785960167646408, 1.404523491859436, -1.4877270460128784, -0.38637471199035645, -0.20481757819652557, 0.42318373918533325, 0.0974011942744255, -0.580001711845398, -2.1089940071105957, -0.8524953722953796, 0.6160236597061157, 0.34182819724082947, -0.481095552444458, -1.256296157836914, -1.531901240348816, 0.13009892404079437, 1.4265480041503906, -0.19726191461086273, -0.546574056148529, -0.7366693615913391, 0.2631218135356903, 0.13287551701068878, 0.6249397397041321 ] ]
{ "indices": [ 3819098157, 1786548735, 3758798163, 4284532392, 2257684172, 1432087569, 820773949, 1117261085, 1181726436, 3396792551, 3377905009, 1236542976, 1300596145, 2305334529, 2067848296, 1551089265, 997012898, 3719127002, 4186256544, 1105706408, 358389376, 722829366, 3774983271, 189734734, 629871972, 2391722386, 4146668087, 1960040400, 1911071232, 4022367199 ], "values": [ 0.45886343419922815, 0.7723054894193192, 0.45886343419922815, 0.45886343419922815, 0.7178239640284703, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.7178239640284703, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815 ] }
{ "context": "Okay, so, all right, so we'd finish this one, right? So we've got we've done fetch one. I just want to make sure people notice this is not a don't care. Right? So don't get confused by that. So now we can go on to fetch stage two, fetch state two, which is this one, right? So now we have the PC and the MAR, we can go get the instruction out of memory, take its bits, put them in the MDR." }
204843
[ [ 0.14051944017410278, -0.9022879004478455, 0.1334112286567688, 0.6207162141799927, 0.8733712434768677, -0.08173730969429016, -0.445395290851593, 0.6227640509605408, 0.665860652923584, -0.7063541412353516, 0.9602912068367004, 0.6547003388404846, 0.72114497423172, 0.47338148951530457, 0.2777804136276245, -0.19917209446430206, 0.48896822333335876, -0.5148254632949829, -0.0839870274066925, -0.49926885962486267, 1.0415711402893066, -0.20081372559070587, -0.4243068993091583, -0.040847357362508774, -0.7734719514846802, 0.3888742923736572, -0.2661946415901184, -0.7409086227416992, 0.20565265417099, 1.0454649925231934, -0.4656367599964142, -1.3169140815734863, 0.3861834704875946, -0.09189630299806595, 1.7575966119766235, 0.13819687068462372, -0.07295472919940948, -0.8751696348190308, 0.11626727879047394, 0.5302059650421143, -0.3058340847492218, 0.3676546812057495, 0.04040994495153427, 0.32366833090782166, -1.053022027015686, 0.2532914876937866, -0.2542378306388855, -0.19780907034873962, 1.3117082118988037, -0.8057676553726196, 1.095426082611084, -0.14340107142925262, 0.967929482460022, 0.22553947567939758, 0.3673379719257355, 0.366960734128952, 1.186152458190918, 1.7853002548217773, 0.4328741729259491, -1.707208514213562, -0.11064905673265457, -0.9544610977172852, 0.33568131923675537, -0.4315597414970398, -0.16396714746952057, -0.4447515308856964, -0.5033588409423828, -0.9743499159812927, 0.7370253205299377, -0.1769293248653412, -0.44262924790382385, 0.44337815046310425, 0.279452919960022, 0.3236544132232666, 0.4746585488319397, -0.2986474931240082, 0.12443766742944717, 0.12660858035087585, 0.7946149706840515, 1.1236603260040283, -0.8691456913948059, 0.2718617022037506, -0.7625534534454346, 0.6402328610420227, 0.5966646075248718, -1.0026367902755737, 1.1200462579727173, -0.8205901980400085, 0.43189218640327454, 0.9258160591125488, 0.34116095304489136, -0.9573165774345398, 1.717690348625183, 0.49729683995246887, -0.7743379473686218, -0.2072053700685501, 0.44212886691093445, 1.3439301252365112, 0.09104730188846588, -0.8587121963500977, 0.36916229128837585, -1.1832705736160278, -0.02915020100772381, 1.7584080696105957, 0.042199358344078064, 0.7696956992149353, -0.33496227860450745, -0.03918825834989548, -0.6227664351463318, 0.6273117065429688, -0.655763566493988, 1.1425933837890625, -0.3942710757255554, 0.8040348291397095, -0.11847037076950073, -0.9351552724838257, -0.8051396012306213, -0.4467253088951111, 1.5063433647155762, 0.8879086971282959, 0.7837545871734619, 0.6788844466209412, 0.772888720035553, -0.646310567855835, -2.163196325302124, 0.7280556559562683, 0.6066902279853821, -0.49222588539123535, -1.0988935232162476, 0.6303240060806274, 0.3842906951904297, 0.23583653569221497, 0.3350174129009247, -0.15838731825351715, 0.6586719155311584, 0.8629451394081116, -1.8183281421661377, -1.44057297706604, 0.9513866305351257, 0.32138460874557495, -0.2704639136791229, -1.9261525869369507, 0.5144430994987488, 0.6925845146179199, 1.18341863155365, 0.5784817337989807, 0.6138463616371155, 0.27900683879852295, 0.03836972266435623, 0.22814209759235382, -0.7391549348831177, -0.18015676736831665, -1.4240180253982544, -0.42503148317337036, -0.8781720399856567, -0.7795777320861816, 0.12716864049434662, -0.1278657764196396, -0.6829991936683655, 0.37399423122406006, 0.35975968837738037, -0.17891086637973785, 0.22553302347660065, -0.28882473707199097, -0.7127800583839417, -0.7634817361831665, 1.660571575164795, -0.09995466470718384, 0.24542705714702606, 1.2919073104858398, -0.15489691495895386, -0.027570944279432297, 0.22697193920612335, 0.33640170097351074, 1.17020583152771, -0.569320559501648, 0.01443949993699789, -0.2965318262577057, -0.09072660654783249, 0.12885335087776184, -0.2866087853908539, -0.46185117959976196, 0.2079157829284668, 1.4374223947525024, 0.5001969337463379, 0.12589330971240997, -0.5419988632202148, -0.43558812141418457, 1.4528778791427612, -0.9891676306724548, -0.8172017335891724, -1.1803561449050903, 0.39450332522392273, 0.06296635419130325, -0.649948239326477, -1.244220495223999, -0.25451114773750305, 0.3240640163421631, 0.608837366104126, -0.5340880155563354, -0.4836561381816864, 0.5248851776123047, 0.6703127026557922, -0.906636118888855, -0.4372157156467438, 0.9732925891876221, 0.9651049375534058, -0.7934758067131042, 0.7366718649864197, -0.614069938659668, -0.5842152237892151, 0.44161778688430786, 0.04249502718448639, 0.22651131451129913, 0.49627187848091125, 0.1718948781490326, 0.529379665851593, 0.6872774362564087, -0.1281418353319168, 0.4652388095855713, -1.0591981410980225, 1.1919960975646973, 2.3794147968292236, 1.1008107662200928, 0.28998544812202454, 0.3191571533679962, 0.11941409111022949, -0.09929882735013962, 0.03941986709833145, -0.6034295558929443, -0.31180599331855774, -1.3596489429473877, 0.26270854473114014, 0.5671664476394653, -0.3554232120513916, 0.17286717891693115, 1.9345051050186157, 1.0915507078170776, 1.135985016822815, 0.01140099111944437, -1.5131746530532837, 0.967705249786377, 0.504601001739502, 0.3737311363220215, 0.43118420243263245, 1.4549320936203003, 0.3062041699886322, 1.2050588130950928, -0.2944883406162262, 0.43274515867233276, -0.3189453184604645, 0.49041110277175903, 0.09237495809793472, -0.9286938309669495, 0.36008167266845703, -1.1941896677017212, 0.27852514386177063, -1.1424109935760498, -0.320475697517395, 0.5019524097442627, -0.15060582756996155, -0.4014497995376587, -0.3221203088760376, -0.5262348055839539, 0.19872884452342987, -0.24317339062690735, -0.2292102575302124, -0.7897329926490784, 1.5708867311477661, -0.6663071513175964, 0.33856087923049927, 0.5503393411636353, -0.5450892448425293, -0.7322350144386292, 0.013026217930018902, 0.7140369415283203, -0.5337390899658203, 1.272765040397644, -0.8548370599746704, -0.615606963634491, -0.3713318407535553, 0.6222774982452393, 0.6628941297531128, 0.03501565009355545, -2.0032308101654053, 0.680522620677948, -0.6576847434043884, -0.7239360213279724, 0.9316579699516296, 0.23876017332077026, -1.2132439613342285, 0.41145098209381104, -0.3039288818836212, -0.788588285446167, 0.20599737763404846, -0.1309008151292801, 0.06626269966363907, -0.16257832944393158, -0.014339246787130833, 0.4321361780166626, 0.22063997387886047, -1.5938997268676758, 0.19498193264007568, -1.0715134143829346, -0.6333675980567932, 0.05937068164348602, -0.6310765743255615, -0.6800875067710876, -0.5502759218215942, 0.29001349210739136, -0.4168490171432495, -0.5144364237785339, -0.4362179636955261, -1.0729291439056396, -1.6922062635421753, 0.030163440853357315, 0.6373999714851379, 0.9838360548019409, -0.4944674074649811, -0.42159146070480347, 1.3595260381698608, 0.7903282642364502, -0.6202970743179321, -0.5614607334136963, 0.4130869507789612, -0.013123919256031513, -0.9892979860305786, -0.35956501960754395, 0.682715117931366, 0.19934862852096558, 0.15942171216011047, -0.1395435333251953, 0.9061996936798096, 1.6623377799987793, -0.372648149728775, -0.5857527256011963, 0.13322442770004272, 0.03460706025362015, -0.9031270742416382, -0.10470028221607208, 0.5879780054092407, 0.4595972001552582, 1.4415451288223267, 0.2337559014558792, 1.2100132703781128, 0.34606388211250305, -0.6713744401931763, 0.7498738169670105, 0.4912017285823822, 0.353002667427063, -0.23807120323181152, 0.09261807799339294, -0.06346861273050308, -0.36871373653411865, -1.0683245658874512, 0.5003740191459656, 0.8375253081321716, -0.7346140146255493, -0.31468504667282104, 1.2466294765472412, 0.7983600497245789, 0.380348801612854, -0.2888157367706299, -0.21129779517650604, 0.17141927778720856, 0.23400114476680756, -0.410129576921463, -0.17787279188632965, 0.672986626625061, 0.11451976746320724, 0.5617809891700745, 0.5571739077568054, -0.449825644493103, -0.6615288257598877, -1.3596073389053345, -0.9035205841064453, 0.29636168479919434, 1.0271320343017578, 0.5140940546989441, 0.903630793094635, 0.422620564699173, 0.004096033051609993, -0.19749142229557037, 0.23667170107364655, -1.5632648468017578, 0.5267919898033142, 0.2676471471786499, 0.03155071660876274, 0.3714231550693512, -0.16753442585468292, 0.30082055926322937, -0.25122109055519104, -0.9256889224052429, -0.11383715271949768, -0.2055967152118683, -1.27180814743042, 0.4653961658477783, 0.1466745287179947, -0.7149728536605835, 0.10603820532560349, 0.7542552947998047, 2.212608575820923, 0.9052098393440247, 0.6504482626914978, 0.17314361035823822, 0.38848382234573364, -0.5540180802345276, -0.0611911416053772, 0.3299850523471832, 0.42997869849205017, 1.07101309299469, 0.791714608669281, 0.8212037086486816, -1.0564268827438354, 0.22076649963855743, 0.43161430954933167, 1.001235008239746, 0.15577827394008636, 0.2585136592388153, -0.0936661809682846, -0.5362277030944824, -0.7615960836410522, 0.0664043053984642, 1.2618868350982666, -0.6082244515419006, 1.600364089012146, 1.0248459577560425, 0.1778414398431778, 0.0074262311682105064, 0.9864750504493713, 1.5758790969848633, 0.4103458821773529, -0.710984468460083, -0.683920681476593, -1.1621254682540894, -0.5717487931251526, -0.1700819432735443, 0.04506561905145645, 1.3074170351028442, 0.499380886554718, -0.5498617887496948, 0.5912632346153259, 0.2611698508262634, -1.2467050552368164, 0.2977486550807953, -0.7210513949394226, -0.3499167859554291, -0.25157469511032104, 0.5392850637435913, 0.3532472550868988, 0.46781373023986816, -0.4311305582523346, 0.41727158427238464, -0.678276002407074, -2.522996425628662, -0.34484750032424927, 0.18322420120239258, 1.0280863046646118, -0.3639061450958252, 0.5145663619041443, -0.9155471920967102, -0.991692841053009, 0.8478907346725464, -0.6316340565681458, 1.2853456735610962, -0.681407630443573, 0.3775082230567932, -0.19426827132701874, -1.4356673955917358, -1.144675612449646, 1.3049484491348267, -0.11150438338518143, 0.06579503417015076, -0.2569740116596222, 0.4007285535335541, -0.7954441905021667, 0.4153079688549042, 0.49621057510375977, 0.561316967010498, -0.45229780673980713, -0.6111651659011841, 0.20244507491588593, 0.7873623967170715, -1.0030332803726196, -0.608148992061615, 0.3095724284648895, -1.0834629535675049, -1.0124564170837402, 0.5599673390388489, -0.6811213493347168, -0.27007558941841125, 0.5921799540519714, -0.3579879105091095, -0.44858306646347046, -0.4670226275920868, -1.651633620262146, 0.9042922854423523, -0.18234020471572876, 1.0452300310134888, 0.8108563423156738, 0.8621457815170288, -0.6948187351226807, 0.05635116249322891, -0.3807641565799713, 0.29667139053344727, -0.49708589911460876, 0.8333970904350281, -0.8717134594917297, -0.35124972462654114, -0.8869995474815369, 0.9950297474861145, -0.1612825244665146, 0.14612209796905518, -0.3469940423965454, -0.46006178855895996, -0.1615157574415207, 1.491808295249939, -1.2702893018722534, 0.16926303505897522, -0.07221029698848724, 0.09585753828287125, -0.8845734000205994, 0.45583412051200867, 0.24781621992588043, -1.3497287034988403, 0.47598254680633545, 0.33669841289520264, 0.2732689678668976, 1.2728327512741089, -0.8082029223442078, -0.3913693130016327, 0.5871996283531189, -1.144508957862854, 0.5358847379684448, 0.29380667209625244, 0.7838016152381897, -0.20877477526664734, -0.6701321005821228, -0.5583612322807312, 0.5846866965293884, -0.60953289270401, 0.04782411456108093, -0.10378912836313248, 0.7527530193328857, 0.4779796898365021, -0.5118290185928345, -1.0976343154907227, -1.000720500946045, -0.8856527805328369, 0.4454350173473358, -0.013301019556820393, -0.5342642664909363, -0.12607963383197784, 0.2550382614135742, -0.39028897881507874, 0.19501525163650513, 1.2935471534729004, -0.2623068690299988, 0.3975497782230377, 0.35992908477783203, 0.48252901434898376, 0.923773467540741, 0.8967143297195435, 0.7959593534469604, -0.3740198314189911, -0.1148706004023552, -0.25677651166915894, -0.5706067085266113, -1.244301438331604, -0.6585546731948853, -0.11743974685668945, -0.9288129210472107, -0.615100622177124, 1.4411860704421997, -0.252032995223999, 0.7304484844207764, 0.3583465814590454, -0.8477363586425781, -0.42012831568717957, -0.3596552908420563, -0.5446277260780334, -0.4452088177204132, 0.29554006457328796, -0.3254103660583496, 0.4159402549266815, 0.3159841299057007, -0.8411592245101929, -0.5815998315811157, -0.07751172035932541, 0.425423264503479, 0.07006054371595383, 2.230616331100464, 0.7109374403953552, 0.437838613986969, 1.0305806398391724, 0.4757823646068573, 0.5239842534065247, -0.6955321431159973, 0.42666351795196533, 0.7891520857810974, 0.6982520222663879, -0.09228617697954178, 0.35304203629493713, -1.0397486686706543, 0.67906254529953, 1.2907739877700806, -0.8194513320922852, 0.5416380763053894, -0.6155214905738831, 0.18344838917255402, -0.4249233603477478, 0.24297866225242615, -0.8851669430732727, 0.7317959666252136, -0.4707326889038086, 2.0391695499420166, -1.2859328985214233, -0.908961296081543, 1.4620097875595093, 1.0516033172607422, 0.8435747027397156, 0.0462421178817749, 0.5773079991340637, -0.37992143630981445, -0.3056105375289917, -0.9467445611953735, -0.7767206430435181, 1.0473145246505737, -1.3374453783035278, 0.9543354511260986, -0.05228807404637337, -0.671180248260498, 0.8671451210975647, 0.7499626278877258, 0.19825664162635803, -1.8099268674850464, -0.9995279908180237, -1.441358208656311, 0.9159464240074158, 0.2041960209608078, -0.04175453260540962, -0.48369356989860535, 0.24348615109920502, 0.4636595845222473, -0.35080888867378235, 0.9328775405883789, -0.4308793842792511, -1.659178376197815, -0.2270480841398239, -0.5450206995010376, -1.0644197463989258, 1.6162809133529663, 0.12834039330482483, -0.4453775882720947, 1.03993558883667, -0.43497973680496216, 0.9062652587890625, 0.2744787931442261, 0.25255510210990906, 0.10702694952487946, 1.5880703926086426, -0.4467005431652069, -0.35489752888679504, -0.1685723215341568, -0.06363993883132935, 4.420982837677002, 1.7008206844329834, -0.2149089127779007, -2.1293084621429443, -0.18986718356609344, -0.861035168170929, 0.23464323580265045, -0.19501008093357086, 0.47448256611824036, 0.32867491245269775, 0.8730316758155823, 1.0789461135864258, 0.3848915994167328, -0.19756920635700226, 0.3664705157279968, 0.7089396119117737, -0.4834333062171936, -0.7414492964744568, 0.8420150279998779, 0.3818037807941437, 0.30395886301994324, -0.3410278558731079, -1.245893120765686, -1.1264041662216187, 1.1996924877166748, -0.28328701853752136, 0.485275000333786, -0.47337087988853455, 0.9806492924690247, 0.0807371512055397, -0.5961874723434448, 1.2787272930145264, 0.7279641032218933, 1.1481293439865112, 0.5297722220420837, 0.07772256433963776, -0.7333369851112366, -0.8698902130126953, -0.40761426091194153, 0.29572296142578125, -0.058385174721479416, -0.09651820361614227, -0.4680962860584259, -0.2113928645849228, -1.0609281063079834, -0.021792933344841003, -0.5611385703086853, -0.9901903867721558, 0.13628052175045013, -0.18984821438789368, 0.1017875075340271, 0.3087025582790375, -0.26062625646591187, -1.0122425556182861, -1.0343670845031738, 0.6460928916931152, 0.3937714397907257, -0.8064149022102356, -0.6077814698219299, -0.3773289620876312, 0.4597285985946655, -0.4015568494796753, 0.4473457336425781, 0.0934896245598793, 0.8863886594772339, 0.1215510219335556, -0.423466295003891, 2.0134963989257812, 0.06845982372760773, -0.633890688419342, -1.0355358123779297, 0.13705112040042877, -0.46990180015563965, -0.12111735343933105, -0.37207111716270447, 0.9842018485069275, -0.35613882541656494, 0.49453994631767273, -0.5876719355583191, -0.7107304930686951, -0.6818702816963196, 0.1702176183462143, -0.38719937205314636, -0.027720406651496887, -0.5774473547935486, -1.0598690509796143, -0.4108203053474426, 0.5879780650138855, 0.4469517171382904, 0.3581083416938782, 0.37536513805389404, 1.4937143325805664, 1.0739779472351074, -0.8136971592903137, 0.39981651306152344, 0.49527236819267273, -1.6480817794799805, 0.1889534741640091, -0.7683261632919312, 1.1020426750183105, 0.33640506863594055, -0.21434158086776733, -0.22801236808300018, 0.9782800078392029, -1.0813205242156982, -0.21495263278484344, 0.8272558450698853, -1.119343876838684, -0.04316826909780502, 0.03817944973707199, 0.9717137217521667, -1.2592405080795288, -0.9721842408180237, -0.4120301604270935, -0.06922153383493423, -0.2254875898361206, -0.02186506986618042, -0.4744221270084381, 0.44192245602607727, -0.4878874123096466, 0.48517635464668274, 0.42775702476501465, 0.40754836797714233, -0.4913475215435028, -0.3286295533180237, 0.3941217064857483, -1.0400413274765015, 0.013589094392955303, 0.1556292027235031, -0.06526842713356018, 0.3675459921360016, 0.030729249119758606, -0.5525550246238708, 0.7825339436531067, -1.0083985328674316, -0.4413753151893616, -0.0780332162976265, 0.3762161433696747, -1.0337886810302734, 0.20429377257823944, 0.15220671892166138, -0.35266345739364624, -0.7987598180770874, 1.2807115316390991, -0.5497080087661743, -0.02462242729961872, 0.23269926011562347, -0.27825504541397095, 0.6283012628555298, 0.5502355098724365, -1.0148818492889404, -0.26518937945365906, 0.4616016447544098, 0.4504610300064087, 0.18021260201931, -0.10030829906463623, -0.09909675270318985, -0.14009113609790802, 0.06008666753768921, 0.013631236739456654, 0.26779791712760925, 1.0191564559936523, -0.31526821851730347, -0.5468184351921082, -0.3271613121032715, 0.33563724160194397, -0.7126626372337341, 0.8672083616256714, -0.2879522740840912, 0.7283333539962769, 0.36518940329551697, -0.1357036530971527, -0.04992574825882912, 0.7549009323120117, -1.450994610786438, -0.08230894804000854, -0.2809906303882599, 0.12258677184581757, 0.41563254594802856, -0.7122023701667786, -0.029742278158664703, 0.9987799525260925, -1.9075675010681152, 0.4066934883594513, -0.3139224648475647, -0.9454357624053955, -1.2479703426361084, 0.548568844795227, 0.15212005376815796, 0.3210700750350952, 0.20058318972587585, -0.3431318700313568, 1.0989477634429932, -0.23066960275173187, -0.437472403049469, -0.472993403673172, 0.3829439878463745, 0.7607483863830566, 0.11150924861431122, -0.5369895100593567, 0.6928500533103943, -0.8025434017181396, -1.2408069372177124, -0.2828047275543213, -1.5915138721466064, 0.36788541078567505, 0.06917276233434677, 1.1815338134765625, 0.22760826349258423, 0.5851273536682129, -0.12281599640846252, -0.02027636207640171, -0.20228548347949982, -0.5745738744735718, 0.2543417513370514, 0.4989190995693207, -0.6997174024581909, -0.3792518079280853, 0.2701363265514374, -0.10157587379217148, -0.8589242696762085, 0.4205801486968994, -1.2747210264205933, -0.06185173615813255, 0.4253939986228943, -0.04934756085276604, 0.22249551117420197, -1.0246011018753052, -0.09390007704496384, -1.2419054508209229, 0.36137083172798157, 0.03780796006321907, 0.7693053483963013, -0.021443983539938927, -0.0352516770362854, -0.5278698205947876, -0.35985851287841797, 0.07921251654624939, 0.1905636340379715, -1.4696177244186401, -0.72951740026474, -0.11377831548452377, -0.24938875436782837, 0.9528437256813049, 0.7088217735290527, -0.03517640754580498, -0.6359256505966187, 0.5740463137626648, -0.02137604169547558, -0.3131968677043915, -0.4328731596469879, -0.357739120721817, 0.511480987071991, -0.4623110592365265, 1.035270094871521, 1.4511806964874268, 0.9517055749893188, -0.4700377583503723, -1.0083073377609253, -0.4089411795139313, 1.1857538223266602, 1.1013684272766113, -0.07434911280870438, 0.1572238653898239, -1.3925533294677734, -0.16698619723320007, 0.1054777204990387, 0.7796577215194702, 0.17664627730846405, -0.2636398375034332, -0.512567400932312, 0.11315798759460449, 0.3000176250934601, -0.2545405328273773, 0.48296815156936646, 0.7316714525222778, 0.5834338068962097, 0.13424360752105713, -0.49689605832099915, -0.24322843551635742, -0.37928706407546997, 0.8154666423797607, -0.1580730825662613, 1.3667083978652954, -0.04105770215392113, 0.0975247174501419, 0.10948529094457626, -0.002455702517181635, -1.306982398033142, 1.5144398212432861, 0.10510718822479248, -0.04434962570667267, -0.7121543884277344, -0.40683674812316895, 1.3118300437927246, -1.352906584739685, -0.39771533012390137, 0.8026182651519775, 0.03250845521688461, -0.2997393012046814, 0.42299625277519226, 0.16008657217025757, 0.5787981152534485, 0.005931701045483351, 0.7624409198760986, -0.3259974718093872, -0.9429863691329956, 0.4986289143562317, 1.1403627395629883, 0.4893786907196045, -0.2889299690723419, 0.44802114367485046, 0.9729582071304321, -0.5415626168251038, 1.5006603002548218, -0.11475608497858047, 0.5723706483840942, 0.1189703419804573, 0.4835085868835449, 0.015545947477221489, -0.9371455311775208, -0.7042645812034607, 0.007581719197332859, -0.1700921207666397, 1.145387053489685, -0.8584709763526917, 0.510805070400238, -1.1406669616699219, 0.280193030834198, -1.2312060594558716, -0.027468359097838402, -0.5719785094261169, 0.4036039113998413, -0.20081907510757446, -0.4905528724193573, -0.7586687207221985, 0.1354745477437973, 1.519867181777954, -1.376944661140442, -0.29643189907073975, -0.07094709575176239, 0.5678727030754089, 0.22958913445472717, -0.5739306807518005, -1.8105988502502441, -0.5992010831832886, 0.5658407807350159, 0.3245391845703125, -0.47478431463241577, -1.192614197731018, -1.4880256652832031, 0.03967614099383354, 1.4934638738632202, -0.028909452259540558, -0.6464619636535645, -0.9374828338623047, 0.28869253396987915, 0.23024776577949524, 0.5956805348396301 ] ]
{ "indices": [ 1786548735, 2067848296, 997012898, 3719127002, 4186256544, 1181726436, 1105706408, 358389376, 722829366, 2257684172, 3774983271, 189734734, 629871972, 2391722386, 4146668087, 1960040400, 1911071232, 4022367199, 3676260520, 3677720983, 1833938074, 1286856114, 691409538, 2006536704, 3819098157, 3686012684, 1904070401 ], "values": [ 0.7723054894193192, 0.45886343419922815, 0.7178239640284703, 0.45886343419922815, 0.7178239640284703, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.7178239640284703, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.7178239640284703, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815 ] }
{ "context": "Right? So don't get confused by that. So now we can go on to fetch stage two, fetch state two, which is this one, right? So now we have the PC and the MAR, we can go get the instruction out of memory, take its bits, put them in the MDR. So this is MDR gets M at MAR, memory at MAR. So which registers are going to change? Just MDR, right? Anything else? That's it. So all zeros, right? Okay, so those are our register load signals." }
456730
[ [ 0.5544893741607666, -0.7862738966941833, 0.21618689596652985, 0.26612305641174316, 0.7646967172622681, -0.3453376293182373, -0.4480014443397522, 0.8401157259941101, 0.7361099123954773, -0.8115831613540649, 0.9738127589225769, 0.3877160847187042, 0.4037688374519348, 0.38508298993110657, 0.25580552220344543, -0.04602633789181709, 0.46967291831970215, -0.18313512206077576, 0.25794869661331177, -0.45724552869796753, 1.1594572067260742, 0.05083640664815903, -0.2622634172439575, -0.215483158826828, -0.7196357846260071, 0.8124828934669495, 0.10628046095371246, -0.6343309283256531, 0.18777717649936676, 0.9694865345954895, -0.3220515549182892, -1.4902985095977783, 0.6012356877326965, -0.4757629632949829, 1.7821621894836426, 0.22129781544208527, -0.3441058099269867, -0.5985342264175415, 0.5069991946220398, 0.6820982098579407, -0.31582361459732056, 0.44340571761131287, -0.23673896491527557, 0.9898199439048767, -1.071075677871704, 0.13713772594928741, -0.260373055934906, -0.08080969005823135, 0.8761268854141235, -0.6920095682144165, 0.8972795605659485, -0.4250256419181824, 0.7105090618133545, 0.3732886016368866, 0.30179840326309204, 0.5297253131866455, 0.914200484752655, 1.3201745748519897, 0.5348814129829407, -1.2325712442398071, 0.029834821820259094, -0.5002159476280212, 0.3265160024166107, -0.47898590564727783, -0.18777267634868622, -0.3884660303592682, -0.4908049702644348, -0.8829858303070068, 0.7359188199043274, -0.23062743246555328, -0.8526076078414917, 0.4836724102497101, 0.698125958442688, 0.13032515347003937, 0.5329758524894714, -0.6381673812866211, -0.04157335311174393, 0.2292516678571701, 0.7408598065376282, 0.9913960695266724, -0.8164429664611816, 0.3429110050201416, -0.695927083492279, 0.6058694124221802, 0.3697984516620636, -0.7017918825149536, 1.11944580078125, -0.3268536627292633, 0.3657972812652588, 0.8185750246047974, 0.2545323073863983, -0.4737299978733063, 1.4243943691253662, 0.23180517554283142, -0.7396589517593384, 0.19535939395427704, 0.7542332410812378, 1.3874883651733398, 0.1809074431657791, -0.9067670106887817, 0.6761268973350525, -0.7585428953170776, -0.17071783542633057, 1.5428318977355957, 0.14925724267959595, 0.36031556129455566, -0.09205128997564316, -0.12261395901441574, -0.8640342354774475, 0.266811728477478, -1.001430630683899, 0.8182786107063293, -0.46105247735977173, 1.0099610090255737, -0.16523566842079163, -0.49036288261413574, -0.5324990153312683, -0.447884738445282, 0.966611385345459, 0.7390679717063904, 0.6947587132453918, 0.6462059020996094, 0.7457557916641235, -0.6041022539138794, -1.7976402044296265, 0.7999335527420044, 0.3049691915512085, 0.20884650945663452, -0.968473494052887, 0.5622522830963135, 0.3824121356010437, 0.06376593559980392, 0.041296470910310745, -0.47813594341278076, 0.7450401186943054, 0.720160186290741, -1.745462417602539, -1.2148263454437256, 1.0119332075119019, 0.21973399817943573, -0.3263222277164459, -1.777425765991211, 0.42057499289512634, 1.3286932706832886, 1.1480036973953247, 0.6223641037940979, 0.5574733018875122, 0.3169856071472168, -0.3234465718269348, 0.3038266897201538, -0.7488264441490173, 0.3832324743270874, -1.0663714408874512, -0.22078756988048553, -0.7302229404449463, -0.9147962331771851, 0.09809312224388123, 0.020430324599146843, -0.9135309457778931, 0.10636788606643677, 0.2551870346069336, -0.23152416944503784, 0.2111058384180069, -0.2623046338558197, -0.9522188305854797, -0.62431800365448, 1.6670689582824707, -0.1774829924106598, 0.7863367199897766, 1.4226411581039429, -0.07395767420530319, -0.007717484142631292, 0.07845765352249146, 0.21129009127616882, 1.3231450319290161, -0.6844408512115479, 0.3845367133617401, -0.13451510667800903, -0.06269608438014984, 0.2278870791196823, -0.3596227467060089, -0.4920196533203125, -0.0621073953807354, 1.3501530885696411, 0.3491533696651459, -0.233597993850708, -0.7164402008056641, -0.268466591835022, 1.4319422245025635, -0.9727619886398315, -0.43548908829689026, -1.126396656036377, 0.5313776135444641, 0.010233835317194462, -0.6743441224098206, -1.0006256103515625, -0.585439145565033, 0.12834471464157104, 0.708551824092865, -0.725078821182251, -1.017289400100708, 0.6793999075889587, 0.885108232498169, -1.118107795715332, -0.015717128291726112, 0.8278174996376038, 1.1192119121551514, -0.3354089558124542, 0.5770077705383301, -0.5998474955558777, -0.5256166458129883, 0.5931656956672668, -0.3684594929218292, 0.3141227066516876, 0.7314035296440125, -0.10017115622758865, 0.4666581153869629, 0.49805840849876404, -0.17738786339759827, 0.42918840050697327, -1.2572636604309082, 1.0294721126556396, 2.0916879177093506, 1.4358055591583252, 0.48964425921440125, 0.25653502345085144, -0.19966870546340942, -0.2599203288555145, 0.14350467920303345, -0.6654083132743835, -0.83174067735672, -0.9591689705848694, 0.21812568604946136, -0.014919662848114967, -0.3054693639278412, 0.06531517952680588, 1.6068216562271118, 0.8567491769790649, 0.9348716735839844, 0.07408419251441956, -1.356175422668457, 0.793558657169342, 0.1343299299478531, 0.01596556045114994, 0.49355968832969666, 1.5449213981628418, 0.25063589215278625, 1.403936743736267, -0.2526542842388153, 0.08559102565050125, -0.5279178619384766, 0.4769865572452545, 0.23613053560256958, -1.3012374639511108, 0.4259832203388214, -1.0842230319976807, 0.1490435153245926, -0.8991137742996216, -0.5600650310516357, 0.6414086222648621, -0.039372432976961136, -0.3020698130130768, -0.3307015001773834, -0.25130823254585266, -0.0381709448993206, -0.21731796860694885, -0.0073059555143117905, -0.8213008046150208, 1.4920014142990112, -0.3835681676864624, 0.5635120868682861, 0.9838051795959473, -0.34226930141448975, -0.5897679328918457, 0.13974061608314514, 0.9869935512542725, -0.434152215719223, 1.235765814781189, -0.6082745790481567, -0.3892798125743866, -0.18201200664043427, 0.4688447117805481, 0.29331856966018677, -0.28147050738334656, -1.5799615383148193, 0.5083354115486145, -0.4417051672935486, -0.7393567562103271, 0.3318760097026825, 0.17594558000564575, -0.8851332068443298, 0.1645572930574417, -0.5572876930236816, -1.1736730337142944, 0.14631251990795135, -0.07952024042606354, 0.04831511527299881, 0.15154026448726654, 0.056507643312215805, 0.6577883958816528, 0.11776478588581085, -1.4431731700897217, 0.12818342447280884, -1.2511742115020752, -0.08848299831151962, 0.21094341576099396, -0.6008321046829224, -0.6941630840301514, -0.7201958298683167, 0.18464572727680206, -0.2030390501022339, -0.24675703048706055, -0.20351086556911469, -0.9609892964363098, -1.59492027759552, -0.14507444202899933, 0.5538634657859802, 0.8537911176681519, -0.32287338376045227, -0.5923150181770325, 0.9592202305793762, 1.0283191204071045, -0.6801875233650208, -0.3313334882259369, 0.04859096556901932, 0.18397438526153564, -1.122327208518982, -0.14794184267520905, 0.8341949582099915, 0.3317495286464691, 0.30736398696899414, -0.47255614399909973, 1.1761417388916016, 1.3962370157241821, -0.278900146484375, -1.4881036281585693, 0.28880447149276733, 0.051748938858509064, -0.8403430581092834, -0.36349251866340637, 0.6687273979187012, 0.638817548751831, 0.858131468296051, 0.22917413711547852, 1.0913668870925903, 0.39609068632125854, -0.7238365411758423, 0.3738418221473694, 0.45961153507232666, 0.356330007314682, -0.1961991786956787, 0.08532187342643738, -0.030475463718175888, -0.33766746520996094, -1.1375353336334229, 0.767016589641571, 0.8726138472557068, -0.7830197811126709, -0.0044365376234054565, 0.6848599910736084, 0.9511999487876892, -0.12604615092277527, -0.18224146962165833, -0.4155314564704895, 0.5015665888786316, 0.5164692401885986, -0.37823358178138733, 0.006473553832620382, 0.792159378528595, -0.057439353317022324, 0.5507464408874512, 0.7867768406867981, -0.3032396733760834, -0.8757531046867371, -1.7878566980361938, -0.691107451915741, 0.18291804194450378, 0.8630935549736023, 0.4247589707374573, 0.5851646661758423, 0.05270235612988472, 0.22454345226287842, -0.05201461538672447, -0.16377335786819458, -1.7345277070999146, 0.18007715046405792, 0.3613666892051697, -0.28663283586502075, 0.5701982975006104, -0.3552420437335968, 0.056351903825998306, -0.3338012397289276, -0.7575324773788452, -0.05530048534274101, -0.17697681486606598, -0.8146728277206421, 0.42753806710243225, 0.07391009479761124, -0.3728286623954773, 0.7653139233589172, 0.5455160140991211, 1.9624981880187988, 1.0997282266616821, 0.31423091888427734, 0.1264134645462036, -0.07347012311220169, -0.7612905502319336, -0.1311393529176712, 0.4984278082847595, 0.22153930366039276, 0.803244411945343, 0.7182984948158264, 0.9011861681938171, -0.9578217267990112, -0.05798334255814552, 0.46980372071266174, 1.207688331604004, 0.3048166036605835, 0.270931601524353, -0.3044699728488922, -0.818410336971283, -0.4955279529094696, 0.10585366934537888, 1.15280020236969, -0.4556673765182495, 1.679823398590088, 0.824643075466156, 0.24382922053337097, 0.21036233007907867, 1.060326337814331, 1.3090587854385376, 0.03708616644144058, -0.266226589679718, -0.6759148240089417, -0.8698949813842773, -0.11526073515415192, -0.4267498254776001, 0.36966851353645325, 1.0901594161987305, 0.6765750646591187, -0.6699296832084656, 0.23326066136360168, 0.30848604440689087, -1.1780760288238525, 0.29387810826301575, -0.25841888785362244, -0.23374296724796295, -0.49069684743881226, 0.927025556564331, 0.26660847663879395, 0.20191897451877594, -0.36536362767219543, 0.3181898295879364, -0.46205878257751465, -2.5113422870635986, -0.6456577777862549, 0.3084193766117096, 0.7397311925888062, -0.660352349281311, -0.03275550529360771, -0.6584819555282593, -0.7236727476119995, 0.6176859140396118, -0.5222647786140442, 1.2080185413360596, -0.5608099102973938, 0.3174017071723938, -0.2641974091529846, -1.4522807598114014, -0.7689536213874817, 0.879043459892273, -0.2194261997938156, -0.03318279609084129, -0.04209119454026222, -0.16171763837337494, -0.25187787413597107, 0.27240830659866333, 0.2618887722492218, 0.41460591554641724, -0.32288652658462524, -0.5370966196060181, 0.010715153999626637, 0.4989321231842041, -1.2276434898376465, -0.7335137128829956, 0.5604292750358582, -1.282089352607727, -0.8034956455230713, 0.8285431265830994, -0.5916510820388794, -0.366910845041275, 0.5430710315704346, -0.28471338748931885, -0.6907128095626831, -0.7671934366226196, -1.0685949325561523, 0.7729503512382507, -0.4747644066810608, 0.8337886929512024, 0.35230231285095215, 0.4676385819911957, -0.6854891777038574, -0.30908215045928955, -0.13474644720554352, -0.030835343524813652, -0.6659603714942932, 0.5117426514625549, -0.6866675019264221, -0.513297975063324, -0.4488172233104706, 0.9639351963996887, 0.19738799333572388, -0.058226391673088074, -0.3792235553264618, -0.2725203037261963, -0.29730403423309326, 1.520290493965149, -1.0286900997161865, 0.3111119568347931, -0.08133916556835175, 0.34064021706581116, -0.8504564166069031, 0.17051343619823456, 0.5271937847137451, -1.9158146381378174, 0.550801694393158, 0.4900849759578705, 0.20328760147094727, 1.1012704372406006, -0.5779361128807068, -0.012601434253156185, 0.525730550289154, -1.229907751083374, 0.8615630269050598, 0.5014163255691528, 0.6622551679611206, -0.06066463142633438, -1.1695152521133423, 0.034723009914159775, 0.8218497633934021, -0.415850430727005, 0.30344924330711365, -0.5978214740753174, 0.8137019872665405, 0.26779407262802124, -0.4264792799949646, -0.6311769485473633, -1.3990592956542969, -0.8010119199752808, 0.24104133248329163, 0.17213790118694305, -0.6835725903511047, 0.07536645978689194, 0.16108067333698273, -0.4301445186138153, 0.262265145778656, 1.4463199377059937, 0.1764747053384781, 0.2664417028427124, 0.3578411936759949, 0.23676951229572296, 1.209092617034912, 0.8530517816543579, 0.4192015826702118, -0.10899320989847183, -0.2556716799736023, -0.46709027886390686, -0.33775094151496887, -1.0027490854263306, -0.48534855246543884, -0.2116408795118332, -0.8766291737556458, -0.37892794609069824, 1.0665595531463623, -0.33707451820373535, 0.6812922954559326, -0.03193984553217888, -0.5726633667945862, -0.5950037240982056, -0.3420428931713104, -0.7015243768692017, -0.35478371381759644, 0.10897692292928696, -0.1710098683834076, 0.48799893260002136, -0.011722022667527199, -0.6263095736503601, -0.6681981682777405, 0.21543267369270325, 0.03269324451684952, 0.02733141928911209, 1.9308315515518188, 0.3198581337928772, 0.6811966300010681, 1.1048320531845093, 0.24103263020515442, 0.8338621258735657, -0.5430266857147217, 0.17982755601406097, 0.7943248152732849, 0.7302679419517517, 0.023195311427116394, 0.29606664180755615, -1.0793334245681763, -0.03835884854197502, 1.2297441959381104, -0.4613087773323059, 0.6712055206298828, -0.7354903817176819, 0.49008649587631226, -0.14585581421852112, 0.4145681858062744, -0.6183556914329529, 0.9839665293693542, -0.3836385905742645, 1.8410967588424683, -1.1878012418746948, -0.2114451676607132, 1.4290615320205688, 0.9753233194351196, 0.47535422444343567, -0.062423449009656906, 0.3177338242530823, -0.3728109300136566, -0.2177859991788864, -0.9865591526031494, -0.7529801726341248, 1.3501639366149902, -1.4556471109390259, 0.699777364730835, -0.1777208149433136, -0.7343688607215881, 0.5931008458137512, 0.3848823010921478, -0.04731961712241173, -1.4897838830947876, -0.6823793053627014, -1.177473783493042, 0.5005497336387634, 0.6389793753623962, -0.36320316791534424, 0.08176849782466888, 0.40372925996780396, 0.6130257248878479, -0.10049208253622055, 0.7698993682861328, -0.533112645149231, -1.9096827507019043, 0.13793079555034637, -0.5233173370361328, -1.3041446208953857, 1.2949906587600708, 0.11326668411493301, -0.44957852363586426, 1.1897883415222168, -0.502173900604248, 0.7358092069625854, 0.4831252992153168, 0.3564658761024475, -0.09459994733333588, 1.563199758529663, -0.6964781880378723, -0.2751975655555725, -0.6121802926063538, -0.7887663841247559, 4.790127277374268, 1.337939739227295, -0.04865853115916252, -2.1104187965393066, 0.22795584797859192, -0.9699218273162842, 0.055496592074632645, 0.05553364008665085, 0.18451863527297974, 0.07044266164302826, 0.5745059847831726, 1.109994649887085, 0.3464844822883606, -0.26698800921440125, 0.5680925846099854, 0.5156505703926086, -0.4378817081451416, -0.6922678351402283, 0.8545565009117126, -0.0912390947341919, 0.17219200730323792, -0.09383293986320496, -0.9557994604110718, -1.1748933792114258, 1.1865826845169067, -0.15976747870445251, 0.6948857307434082, -0.8630965948104858, 0.9888457655906677, -0.05458056926727295, -0.5651577115058899, 0.794163167476654, 0.4109758734703064, 1.2973073720932007, 0.6057275533676147, 0.16576498746871948, -0.46481654047966003, -0.37446534633636475, -0.4832092225551605, 0.3115078806877136, 0.4664711058139801, -0.17674505710601807, -0.5512115359306335, -0.5274868607521057, -1.2904481887817383, 0.03619634732604027, -0.5256799459457397, -0.9221705198287964, 0.6811859607696533, -0.13018272817134857, -0.010108050890266895, 0.33348095417022705, -0.6771753430366516, -0.9031383395195007, -0.8813233971595764, 0.8933060169219971, -0.008328366093337536, -0.8071413636207581, -0.08362792432308197, -0.6254135966300964, 0.296344518661499, -0.4523096978664398, 0.7018336057662964, 0.10359655320644379, 0.8714492321014404, 0.11055760830640793, 0.16695043444633484, 2.340087890625, -0.18296588957309723, -0.6753842830657959, -1.1562919616699219, 0.6380026340484619, -0.26862257719039917, -0.7025536298751831, -0.28541263937950134, 1.1826471090316772, -0.7733951807022095, 0.1575334221124649, -1.0949945449829102, -0.5995001196861267, -1.149699330329895, 0.1719604730606079, -0.9901506900787354, 0.48234641551971436, -0.305107444524765, -0.7005254626274109, 0.12379541248083115, 0.17794987559318542, 0.03520144894719124, 0.16417203843593597, 0.4101351499557495, 1.6085823774337769, 0.7180630564689636, -0.7406722903251648, 0.14101842045783997, 0.5616868734359741, -1.8932684659957886, -0.22217236459255219, -1.249165415763855, 0.9307288527488708, 0.35648244619369507, -0.4144453704357147, -0.1621801108121872, 0.8642423152923584, -0.8214188814163208, -0.3221089243888855, 0.5505569577217102, -1.0190534591674805, -0.37741732597351074, -0.1564503163099289, 0.9572612643241882, -1.7084263563156128, -0.8579796552658081, -0.3621441423892975, -0.3157497048377991, 0.27380022406578064, -0.29710423946380615, -0.6366839408874512, 0.349944531917572, -0.18521742522716522, 0.7674599289894104, 0.4329710900783539, 0.5412198305130005, -0.639437735080719, -0.6528087258338928, 0.19965048134326935, -0.9770573973655701, -0.1620393544435501, -0.03015896864235401, -0.3320139944553375, 0.549538254737854, 0.20183034241199493, 0.14554481208324432, 0.5717639923095703, -0.7362979650497437, 0.29927298426628113, 0.008011072874069214, 0.7017003297805786, -1.0043364763259888, 0.05606798827648163, 0.47305870056152344, -0.29892808198928833, -0.7951977849006653, 1.2022290229797363, -0.38359397649765015, 0.044683706015348434, 0.18622659146785736, 0.13894779980182648, 1.1418111324310303, 0.39938363432884216, -0.8141096234321594, -0.23845793306827545, 0.58409184217453, 0.6038689017295837, 0.273436963558197, 0.3669593334197998, 0.025000296533107758, 0.32573217153549194, 0.05726061761379242, -0.07697819173336029, 0.6862732172012329, 0.9258732199668884, -0.31162229180336, -0.6124463081359863, -0.32508015632629395, 0.10535508394241333, -0.706007182598114, 0.8433688879013062, -0.6103218197822571, 0.435054749250412, 0.2665863037109375, -0.24559623003005981, 0.2794228494167328, 1.061880111694336, -1.3457390069961548, -0.1079225093126297, -0.08419324457645416, 0.4570889472961426, 0.6972109079360962, -1.0231691598892212, 0.1112016886472702, 0.8201666474342346, -1.7127183675765991, 0.25078085064888, -0.23061171174049377, -1.1497230529785156, -0.856462299823761, 0.7715383768081665, -0.24438340961933136, 0.6511179804801941, 0.036500051617622375, -0.13816358149051666, 1.1285613775253296, -0.03604326397180557, -0.616966724395752, -0.5399297475814819, 0.228171706199646, 0.5911436080932617, 0.07948672026395798, -0.27094969153404236, 0.54114830493927, -0.8995460867881775, -1.3524750471115112, -0.2693335711956024, -1.463695764541626, 0.6602465510368347, -0.16581431031227112, 1.2543978691101074, 0.25939279794692993, 0.6667597889900208, -0.35389944911003113, 0.1915314644575119, -0.35143139958381653, -0.13457636535167694, 0.31395071744918823, 0.9279168248176575, -0.4472332000732422, -0.39878663420677185, 0.21607345342636108, 0.03271587938070297, -0.9213495850563049, 0.48448553681373596, -1.1964088678359985, -0.01460985466837883, 0.18127138912677765, -0.0053984662517905235, 0.2569746971130371, -1.1738561391830444, 0.007113453466445208, -1.3579678535461426, 0.2538604438304901, 0.25740334391593933, 0.736395001411438, 0.2828565239906311, 0.02786482684314251, -0.5794536471366882, -0.6493644714355469, 0.29527708888053894, 0.4473510980606079, -1.1530297994613647, -0.560383141040802, 0.0194248016923666, -0.5053789615631104, 1.2297664880752563, 0.432668000459671, -0.019526565447449684, -0.5472618937492371, 0.8011272549629211, -0.31621018052101135, 0.00007316772826015949, -0.40101099014282227, 0.20568126440048218, 0.8218328952789307, -0.19128815829753876, 0.9661133289337158, 1.2109549045562744, 1.0563199520111084, -0.557048499584198, -1.2024540901184082, -0.03948844224214554, 1.1921594142913818, 0.4373313784599304, -0.32588431239128113, -0.02977018430829048, -1.71274995803833, 0.24099616706371307, 0.4341191351413727, 0.8630087375640869, 0.19133971631526947, -0.5596479177474976, -0.4033344089984894, 0.22735460102558136, 0.1335412859916687, -0.16478340327739716, 0.4076157212257385, 0.6074566841125488, 0.8831130266189575, 0.18996308743953705, -0.65944504737854, -0.3398060202598572, -0.3324950337409973, 0.6730847954750061, -0.1551523059606552, 1.294256329536438, -0.24106508493423462, 0.06433089077472687, 0.20211830735206604, -0.35872387886047363, -1.4477394819259644, 1.7331069707870483, 0.3652190864086151, -0.1339193880558014, -0.8709186315536499, -0.420774906873703, 0.9781227111816406, -1.386305570602417, -0.2428026795387268, 0.7810500264167786, 0.14103418588638306, -0.18326684832572937, 0.38917848467826843, 0.27367493510246277, 0.13420940935611725, 0.2231280654668808, 0.7849507927894592, -0.6386828422546387, -0.49315106868743896, 0.44532087445259094, 0.9215251207351685, 0.7279858589172363, -0.31519919633865356, 0.4424096345901489, 1.0882512331008911, -0.686744213104248, 1.7443220615386963, -0.03602254390716553, -0.12412916123867035, 0.18232105672359467, 0.4148719310760498, 0.1908414214849472, -1.219546914100647, -0.6761680841445923, -0.055630993098020554, 0.1609530746936798, 1.5468236207962036, -0.8198317885398865, 0.6124362945556641, -0.7383283972740173, 0.12752439081668854, -1.0928856134414673, -0.24083353579044342, 0.3087593913078308, 0.4244028627872467, -0.047334540635347366, -0.35341525077819824, -1.3644607067108154, -0.10130791366100311, 1.4322967529296875, -1.1934974193572998, -0.29308101534843445, -0.2960720956325531, 0.27537092566490173, 0.4690643548965454, -0.6136215329170227, -2.201531171798706, -0.906230628490448, 0.5754956603050232, 0.15278302133083344, -0.33931848406791687, -0.9659155011177063, -1.4987248182296753, -0.01430041529238224, 1.347869634628296, -0.19696854054927826, -0.3380134701728821, -0.9481049180030823, -0.22517220675945282, 0.4058386981487274, 0.5828315615653992 ] ]
{ "indices": [ 3676260520, 4186256544, 3677720983, 4022367199, 1786548735, 1833938074, 1286856114, 691409538, 2006536704, 3819098157, 3686012684, 1904070401, 4075116728, 4068491112, 997012898, 189734734, 989116115, 2542944140, 2391722386, 3882113197, 1041011349, 3174900812, 168664787, 647928480, 1349070631, 2067848296, 1491351846, 2066971792, 3741174264, 2305334529, 1736378643, 448220673 ], "values": [ 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.721437915187976, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.721437915187976, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905 ] }
{ "context": "So which registers are going to change? Just MDR, right? Anything else? That's it. So all zeros, right? Okay, so those are our register load signals. So let's see. So we have MDR gets MAR. So data comes out of the memory and goes through this MUX, which is controlled by MIO enable anyway, so we don't need to do anything there, goes into the MDR. Now, you might actually notice there's this funny path through this other MUX over here." }
212782
[ [ 0.4735938310623169, -0.8776962161064148, -0.4365462362766266, 0.8156576752662659, 0.3140294849872589, 0.16287627816200256, -0.14291171729564667, 0.5268265604972839, 0.9162076711654663, -0.6229910850524902, 0.9984508752822876, 0.3844272792339325, 0.6026819348335266, 0.32946449518203735, 0.3438432514667511, -0.24841678142547607, 0.6030794978141785, 0.012077451683580875, -0.11853199452161789, -0.4900740683078766, 1.02165687084198, -0.5205520987510681, -0.22917872667312622, 0.14987455308437347, -0.7592307329177856, 1.0041265487670898, -0.01990428939461708, -0.9672645330429077, 0.23411603271961212, 0.7293274402618408, -0.8349402546882629, -1.400730013847351, 0.30925318598747253, -0.052407994866371155, 1.2889240980148315, -0.15839169919490814, -0.5221754312515259, -0.32164266705513, 0.16993175446987152, 0.6244810223579407, 0.3236580193042755, 0.24171724915504456, -0.6489511728286743, 1.0231221914291382, -1.4611417055130005, 0.29953473806381226, -0.4369411766529083, -0.7916983962059021, 1.2214112281799316, -0.8161455392837524, 1.0592601299285889, -0.5504409074783325, 0.7143580317497253, 0.2755669951438904, 0.2491827756166458, 0.2310972362756729, 1.0220755338668823, 1.4990642070770264, 0.32923340797424316, -1.2703065872192383, -0.04848650097846985, -0.14019356667995453, 0.8614897131919861, -0.41984865069389343, -0.17175427079200745, -0.3506724536418915, -0.4301990568637848, -0.7078150510787964, 0.5454609990119934, -0.48270371556282043, -0.8339342474937439, 0.12749874591827393, 0.3894975781440735, 0.3665413558483124, 0.7065418362617493, -0.3979733884334564, -0.16795213520526886, 0.04621458053588867, 0.39624980092048645, 0.825204610824585, -1.370495080947876, 0.2722339332103729, -0.7986315488815308, 0.6608937382698059, 0.2579914331436157, -0.8277047276496887, 1.3787020444869995, -0.5758264064788818, -0.08615840971469879, 0.6872024536132812, 0.32753196358680725, -0.7036919593811035, 1.672298789024353, 0.29706329107284546, -0.2594074606895447, -0.15950772166252136, -0.046329792588949203, 1.2275631427764893, 0.7570657730102539, -0.6403394937515259, -0.08810341358184814, -1.3077985048294067, 0.3041627109050751, 1.4456095695495605, -0.1875458061695099, 0.6328114867210388, -0.05646737292408943, -0.008495024405419827, -0.18244169652462006, 0.3966437578201294, -0.6515240669250488, 0.745810866355896, 0.08458399027585983, 0.6492504477500916, -0.1710820198059082, -0.38612765073776245, -1.0472345352172852, -0.05201755091547966, 0.8910608887672424, 0.11677685379981995, 0.976828396320343, 0.5529628396034241, 0.45876190066337585, -1.0099986791610718, -2.2826952934265137, 0.42495089769363403, 0.07973778247833252, -0.23625501990318298, -1.0897727012634277, 0.6040734648704529, 0.40821054577827454, -0.13726632297039032, 0.11046726256608963, 0.03434419259428978, 0.6849318146705627, 1.0798178911209106, -1.979432463645935, -1.4216792583465576, 0.9053954482078552, 0.08990035951137543, -0.5263656377792358, -1.298560619354248, 0.39820802211761475, 0.8264979124069214, 1.3519929647445679, 0.1321132779121399, 0.7934668660163879, 0.1776827573776245, -0.14668065309524536, 0.5353188514709473, -0.6571131348609924, 0.1158725917339325, -1.5208419561386108, -0.5207964181900024, -0.3232499659061432, -0.06601916998624802, -0.009540230967104435, 0.20165984332561493, -0.6475608348846436, -0.15254780650138855, 0.5919904708862305, -0.1458165943622589, -0.4277932941913605, 0.06086760759353638, -0.7805242538452148, -0.8533087372779846, 2.144580602645874, -0.2574324309825897, 0.34963053464889526, 1.0673185586929321, -0.04355185478925705, -0.410866916179657, 0.28209152817726135, 0.3542240858078003, 0.9117341637611389, -0.732914388179779, 0.2793790102005005, 0.1370401680469513, 0.04175705090165138, 0.12109823524951935, -0.7438024282455444, -0.536030113697052, 0.40399545431137085, 1.2491776943206787, 0.6864381432533264, 0.04341687262058258, -0.42277273535728455, 0.47559356689453125, 1.3551503419876099, -1.0487068891525269, -0.6721981167793274, -1.1705726385116577, 0.6522857546806335, 0.2799469530582428, -0.8946231603622437, -1.092866063117981, -0.5778208374977112, 0.397452175617218, 0.29298222064971924, -0.8206333518028259, -1.2621533870697021, 0.3688247799873352, 0.8898686170578003, -1.2179054021835327, -0.13208073377609253, 0.5624337196350098, 1.0648733377456665, -0.7188780307769775, 0.7321634888648987, -0.544628918170929, -0.4830377697944641, 0.8916060328483582, 0.1817387342453003, 0.2147979438304901, 0.16925570368766785, 0.2821047008037567, 0.11666262894868851, 0.4961273968219757, -0.13180187344551086, 0.6192783117294312, -0.8204876184463501, 0.9303291440010071, 2.4308159351348877, 1.0192660093307495, 0.29328614473342896, 0.5130260586738586, 0.10551127791404724, 0.137247234582901, 0.14651086926460266, -0.6297076940536499, -1.0965479612350464, -1.324541687965393, 0.0358227975666523, 0.3918609619140625, -0.4023527503013611, -0.038001690059900284, 1.7553623914718628, 0.41818466782569885, 0.455576092004776, -0.4276218116283417, -1.2195388078689575, 0.8924761414527893, 0.3854641020298004, 0.5588724613189697, 0.3593124449253082, 1.56849205493927, 0.7232804894447327, 1.6289410591125488, -0.49211567640304565, 0.30319222807884216, -0.5760481357574463, 0.7235242128372192, 0.25550737977027893, -0.5463616251945496, -0.4355381429195404, -1.2940340042114258, 0.5254901647567749, -0.9101253747940063, -0.7043974995613098, 0.3771746754646301, -0.387041300535202, -0.4355515241622925, -0.23430706560611725, -0.4341524839401245, 0.004080406855791807, 0.37836194038391113, 0.0003077524888794869, -0.630617618560791, 1.4407559633255005, -1.010151982307434, 0.1722523421049118, 0.250492662191391, -0.4830046594142914, -1.192229151725769, 0.38437074422836304, 0.43676528334617615, -0.4657432436943054, 0.9483774304389954, -1.0616474151611328, -0.867944061756134, -0.8218956589698792, 0.4226342439651489, 0.27054286003112793, -0.21256409585475922, -1.8606576919555664, -0.06980554759502411, -0.7202012538909912, -0.7639982104301453, 0.609870195388794, 0.23484766483306885, -1.0213403701782227, 0.5608416199684143, -0.39172616600990295, -1.126198410987854, 0.09792545437812805, -0.1933521181344986, 0.12625151872634888, -0.12102744728326797, -0.24341368675231934, 0.22540052235126495, 0.3101634085178375, -1.5912569761276245, -0.2247190624475479, -0.7141187191009521, 0.282927542924881, -0.0003694127080962062, -0.12277428060770035, -0.379448801279068, -0.059370290488004684, 0.4938845634460449, 0.2992650270462036, -0.12292289733886719, -0.580116868019104, -1.0335196256637573, -1.528012752532959, 0.2534078359603882, 0.8500593304634094, 1.1361240148544312, -0.618503749370575, -0.4721018373966217, 1.1365633010864258, 0.1496640145778656, -0.7049984335899353, -0.1495775729417801, 0.7437236905097961, 0.281570702791214, -1.298399567604065, 0.11111840605735779, 0.9273772239685059, 0.44766366481781006, 0.2722017467021942, -0.5527198910713196, 0.92237788438797, 1.800511121749878, -0.8567865490913391, -1.0780991315841675, 0.10304400324821472, 0.39601001143455505, -0.6779288053512573, 0.15694616734981537, 0.5592424869537354, 0.2397616058588028, 1.2558187246322632, -0.12996754050254822, 1.0948195457458496, 0.3363933265209198, -0.10940613597631454, 0.879515528678894, 0.15181520581245422, 0.3002341389656067, -0.06025991216301918, 0.10626707226037979, 0.4945872724056244, -0.8763174414634705, -0.6473496556282043, 0.865117073059082, 0.9439013600349426, -0.8951560258865356, 0.23128707706928253, 0.6608970165252686, 0.5772604942321777, 0.3504997491836548, -0.3512989282608032, 0.194918692111969, 0.31558990478515625, 0.3449745774269104, -0.2498335987329483, 0.20159484446048737, 0.48715054988861084, 0.09955793619155884, 0.22548899054527283, 0.8354140520095825, -0.9371380805969238, -0.649457573890686, -1.7841644287109375, -0.908430814743042, 0.3926151692867279, 1.0992703437805176, 0.4856277406215668, 0.2696065306663513, -0.06282249838113785, 0.2469608038663864, -0.5908199548721313, -0.3466923236846924, -1.467876672744751, 0.5871894359588623, -0.23582492768764496, -0.39020755887031555, 0.6703422665596008, -0.28857412934303284, 0.25638219714164734, -0.5620940327644348, -1.2445677518844604, 0.16705644130706787, -0.4034958481788635, -1.0386418104171753, 0.6983190774917603, 0.34862110018730164, -0.5207866430282593, 0.7256951332092285, 0.5084019303321838, 1.8722563982009888, 0.4742923974990845, 0.0945189967751503, 0.7368214726448059, 0.18563219904899597, -0.24250353872776031, -0.3309045135974884, 0.12359241396188736, 0.1160741001367569, 0.8646788001060486, 0.594249963760376, 0.6654120683670044, -1.046102523803711, 0.07807120680809021, 0.3558568060398102, 1.0745749473571777, 0.1760670691728592, 0.2376929372549057, -0.007383083924651146, 0.29117465019226074, -0.9329431056976318, 0.37553784251213074, 1.5279229879379272, -0.30254456400871277, 1.6890757083892822, 0.7559088468551636, 0.4940440356731415, -0.5044386982917786, 0.9989246726036072, 1.412736177444458, 0.1697472631931305, -0.24608607590198517, -0.7565897703170776, -0.6701257228851318, -0.5513238906860352, -0.5563750267028809, 0.6468446254730225, 1.2855494022369385, 0.5827373266220093, -0.4311266541481018, 0.6447988748550415, 0.034834008663892746, -0.8293981552124023, 0.07895898818969727, -0.7679044008255005, -0.5098409056663513, 0.17910349369049072, 0.7389771342277527, 0.16998137533664703, 0.312242716550827, -0.7613753080368042, 0.08154255151748657, -1.099518895149231, -2.1420607566833496, 0.1858585923910141, 0.3086489140987396, 1.14789879322052, -0.6248988509178162, 0.20467671751976013, -0.985443651676178, -1.1321831941604614, 0.4222867488861084, -0.40188124775886536, 1.6135834455490112, -0.8117552399635315, 0.8274155259132385, -0.38275235891342163, -0.5946940779685974, -1.5608351230621338, 0.9334902167320251, -0.08576108515262604, 0.09810593724250793, -0.2689550518989563, 0.019592419266700745, -0.08514407277107239, 0.3203945755958557, 0.30479755997657776, 0.8203943371772766, -0.7700417041778564, -0.29116758704185486, -0.01711440086364746, 0.9912155270576477, -1.2107031345367432, -0.13579466938972473, 0.6448023319244385, -0.8143256902694702, -0.7900130748748779, 0.6566575765609741, -0.603085994720459, -0.07720673829317093, 0.5968368053436279, 0.037149570882320404, -0.2881118357181549, -0.17178204655647278, -0.966903567314148, 1.2677918672561646, -0.07309312373399734, 0.35932424664497375, 0.21709518134593964, 0.9020318984985352, -0.777777910232544, 0.10167374461889267, -0.849461019039154, -0.17940197885036469, -0.6901017427444458, 0.9762148261070251, -1.0299475193023682, -0.13113991916179657, -1.0123944282531738, 0.7027126550674438, -0.12469974905252457, 0.3268801271915436, -0.3660864531993866, -0.5496243834495544, -0.28168925642967224, 0.8622416257858276, -1.0585254430770874, 0.29839110374450684, -0.2519298791885376, 0.20121081173419952, -0.7049449682235718, -0.0029983173590153456, 0.4208582937717438, -1.750346064567566, 0.553769052028656, 0.47423022985458374, -0.015134204179048538, 1.4692251682281494, -0.8024133443832397, -0.6036949753761292, 0.3844245672225952, -1.173698902130127, 0.8550693988800049, 0.10774260014295578, 0.04400315135717392, -0.399596244096756, -0.8759041428565979, 0.07197076082229614, 0.3839602768421173, -0.34571775794029236, 0.13746440410614014, -0.05885598063468933, 0.742109477519989, -0.1193801686167717, -0.37521591782569885, -0.7796409130096436, -1.3646621704101562, -0.6749933958053589, 0.4261963665485382, 0.30712148547172546, -0.312322199344635, -0.2720375955104828, 0.5708982348442078, -0.5180008411407471, 0.17799603939056396, 1.1677871942520142, -0.09265772998332977, 0.6154872179031372, 0.4197898209095001, 0.8816176652908325, 1.0655807256698608, 1.196002721786499, -0.12665392458438873, -0.01827234961092472, -0.09257730096578598, 0.18084757030010223, -0.30396053194999695, -1.3248212337493896, -0.5260021686553955, 0.14434368908405304, -0.9957514405250549, -0.5235872864723206, 1.2516322135925293, -0.4620254337787628, 0.48720166087150574, -0.21749664843082428, -0.31125396490097046, -0.5449201464653015, 0.0045305099338293076, -0.6145899295806885, -0.47357526421546936, 0.6331266164779663, -0.7715767621994019, 0.30247461795806885, 0.023024417459964752, -0.849226713180542, -0.999252200126648, -0.10147376358509064, 0.17010343074798584, 0.3814387917518616, 2.3896942138671875, 0.3902529776096344, 0.5847941040992737, 0.6991658806800842, 0.587257444858551, 0.08029911667108536, -0.1428879350423813, 0.6123088598251343, 1.1354900598526, 0.6310117840766907, -0.384846031665802, 0.3393996059894562, -0.963823139667511, 0.477845698595047, 1.572777509689331, -0.8286193013191223, 0.7786560654640198, -0.09546616673469543, -0.12032236903905869, -0.3635433614253998, 0.11871735751628876, -0.8374466896057129, 0.8421420454978943, -0.48390474915504456, 2.2663588523864746, -1.1451592445373535, -1.4291627407073975, 1.451189637184143, 1.6370046138763428, 0.436068594455719, 0.1157282218337059, 0.32003718614578247, -0.3551563024520874, 0.10754679888486862, -0.9946457147598267, -0.54130619764328, 1.208723545074463, -1.0919643640518188, 0.594012439250946, -0.32451575994491577, -0.4405561685562134, 0.38370636105537415, 0.33740782737731934, 0.30052873492240906, -1.5067800283432007, -0.9878191351890564, -1.6628843545913696, 1.0266441106796265, 0.4055766463279724, -0.30919140577316284, -0.4334348440170288, 0.03280339762568474, 0.7779595851898193, -0.44968441128730774, 0.7314940690994263, -0.3947310447692871, -1.5995134115219116, -0.1099245473742485, -0.2504361569881439, -1.205612063407898, 1.3897076845169067, 0.4060310423374176, -0.36259788274765015, 1.026008129119873, -0.3912312686443329, 1.0885835886001587, 0.054791904985904694, 0.6599941253662109, 0.4723998010158539, 1.0911948680877686, -1.0272901058197021, -0.16072475910186768, -0.7574316263198853, -0.35423022508621216, 4.492225170135498, 1.3199673891067505, -0.259535551071167, -1.7654767036437988, 0.12044622749090195, -0.5225236415863037, 0.4887908399105072, -0.11576718837022781, 0.36850595474243164, 0.24646614491939545, 0.6391874551773071, 0.7569313049316406, 0.27573516964912415, -0.06090189889073372, 0.23124513030052185, 0.870405912399292, -0.5265596508979797, -0.5740932822227478, 0.5934668779373169, 0.566005289554596, 0.2665870785713196, 0.026024123653769493, -0.7309029698371887, -1.0813292264938354, 0.6691850423812866, -0.30348917841911316, 0.4997433125972748, -0.8286697864532471, 1.0248420238494873, -0.11969244480133057, -0.5517190098762512, 0.8222802877426147, 0.5021109580993652, 0.3564329147338867, 0.6887242197990417, 0.33369579911231995, -0.5378276705741882, -0.21644382178783417, -0.5549779534339905, 0.7504093050956726, 0.24517503380775452, -0.14648845791816711, -0.10826894640922546, -0.3121013045310974, -0.9226347804069519, -0.26667678356170654, -0.7761223316192627, -0.7324522137641907, 0.03607048839330673, -0.5356482267379761, 0.4426770806312561, 0.09412042796611786, -0.7625821232795715, -1.1062086820602417, -0.820205807685852, 0.8878135681152344, 0.0752960741519928, -0.6292882561683655, -0.9819227457046509, -0.5379502773284912, 0.08968140929937363, -0.18041768670082092, 0.8886722326278687, 0.6994282007217407, 0.8083450794219971, 0.4873751401901245, -0.7048823833465576, 2.208455801010132, 0.46192532777786255, -0.6000528931617737, -0.8788589239120483, 0.33636072278022766, -0.37768450379371643, -0.8541482090950012, -0.5878433585166931, 1.1268513202667236, -0.549940824508667, 0.382888525724411, -1.1240301132202148, -0.6005468964576721, -0.6599732041358948, 0.7429179549217224, -0.09562142193317413, -0.02876037359237671, -0.09760057181119919, -0.4658934772014618, -0.01163565181195736, 0.5593034029006958, 0.02906809002161026, 0.16740338504314423, 0.45175448060035706, 1.640272617340088, 0.9746420979499817, -0.9306098818778992, 0.3949097692966461, 0.5393965840339661, -0.8840376734733582, -0.11495298147201538, -0.5083756446838379, 1.2905546426773071, 0.5324224233627319, -0.5184299349784851, -0.3158726692199707, 1.2386490106582642, -0.8457766771316528, -0.04250827059149742, 0.7980429530143738, -1.7736822366714478, -0.42560169100761414, -0.03010428510606289, 0.9935449957847595, -0.9751343131065369, -0.5217294692993164, -0.7045474052429199, -0.5370017886161804, -0.22382882237434387, -0.5694260597229004, -0.5814650654792786, 0.8997074961662292, -0.6719953417778015, 0.4299699068069458, 0.106235571205616, 0.28308072686195374, -0.16132918000221252, -0.22813837230205536, 0.04151373356580734, -0.4846479594707489, 0.43936267495155334, -0.38218146562576294, -0.04671259969472885, 0.45461568236351013, 0.02153506502509117, 0.24752706289291382, 0.5201234221458435, -0.8384106159210205, -0.2571048140525818, 0.030853834003210068, 0.7604158520698547, -0.8903419971466064, 0.0767817273736, 0.05009203404188156, -0.6064684391021729, -0.8039909601211548, 1.5624991655349731, -0.3816770613193512, -0.1844090074300766, 0.6828176379203796, -0.27446722984313965, 0.9573125243186951, 0.6074154376983643, -0.5921167135238647, -0.05645950138568878, 0.6383840441703796, 0.8256235718727112, 0.4296678602695465, -0.4905329644680023, -0.18503518402576447, 0.11855974048376083, 0.29928603768348694, 0.4613015949726105, 0.48473045229911804, 1.029066562652588, 0.11950793117284775, -0.567905068397522, -0.12539787590503693, 0.4079161584377289, -0.3786276876926422, 0.6646109819412231, -0.915588915348053, 0.774071216583252, 0.6531291007995605, -0.44488850235939026, 0.5932522416114807, 0.8156797885894775, -1.2845947742462158, -0.3702549636363983, -0.5924609899520874, 0.07021810859441757, 0.7433841824531555, -0.6892644166946411, 0.6549466848373413, 1.2732577323913574, -1.6697099208831787, 0.2827960252761841, -0.800011396408081, -1.0288736820220947, -1.1008163690567017, 0.48114994168281555, 0.1738821268081665, 0.35569828748703003, -0.019849764183163643, -0.06516852974891663, 0.7368484735488892, -0.05510963872075081, -0.7335003614425659, -0.6227651238441467, 0.1516498178243637, 0.6039741039276123, -0.24774859845638275, -0.32791996002197266, 0.9847272634506226, -1.3558732271194458, -1.1414592266082764, -0.34137001633644104, -1.263763189315796, -0.3232501745223999, 0.10225043445825577, 1.0698961019515991, 0.1570935696363449, 0.9188334345817566, 0.02136297896504402, -0.17460447549819946, -0.14588958024978638, -0.8617405295372009, 0.6116910576820374, 0.3654113709926605, -0.5776271224021912, 0.26436662673950195, 0.6115654706954956, 0.3774641156196594, -1.000397801399231, 0.9134413003921509, -0.970263659954071, -0.046040330082178116, 0.019353292882442474, -0.19157575070858002, 0.4611652195453644, -1.2177761793136597, 0.05561980605125427, -1.2516673803329468, 0.6813843846321106, 0.10593372583389282, 0.1792251467704773, 0.34288668632507324, -0.05355922132730484, -0.21062691509723663, -0.15043891966342926, 0.11553698778152466, 0.16387391090393066, -1.000259280204773, -0.872186005115509, -0.27325648069381714, -0.7452908158302307, 1.1345304250717163, 0.34653934836387634, -0.2682291567325592, -1.016209602355957, 1.0967504978179932, -0.058207958936691284, -0.5973954796791077, -0.640291154384613, -0.32943692803382874, 0.8509479761123657, -0.19328194856643677, 1.5307166576385498, 1.5326112508773804, 0.7108331918716431, -0.8572623133659363, -1.010806918144226, 0.07360311597585678, 1.4176253080368042, 0.8119495511054993, -0.17985455691814423, -0.24230344593524933, -1.1435775756835938, 0.12825030088424683, -0.15665678679943085, 1.023511290550232, -0.09786659479141235, -0.914477527141571, -0.2524421513080597, 0.035536229610443115, -0.10099530965089798, 0.45928147435188293, 0.6692562103271484, 0.7629578709602356, 0.40787553787231445, 0.5816060304641724, -0.7903020977973938, -0.04054616764187813, -0.449136346578598, 0.2659221589565277, -0.5217919945716858, 1.6117151975631714, 0.0512804351747036, -0.10924667865037918, 0.40178120136260986, -0.25536611676216125, -1.5074487924575806, 1.3964176177978516, 0.3342656195163727, 0.2029418796300888, -0.659593939781189, -0.5809928178787231, 1.3827483654022217, -1.1147137880325317, -0.9189150333404541, 0.5223804116249084, -0.1763208657503128, 0.07655707001686096, 0.6093522310256958, 0.21243193745613098, 0.5227900743484497, 0.25361984968185425, 0.953594982624054, -0.6217376589775085, -0.3839881122112274, 1.081075668334961, 0.7941291928291321, 0.7215825915336609, -0.01774509623646736, 0.18742863833904266, 0.6162521243095398, -0.8074148893356323, 1.296201467514038, -0.12339815497398376, 0.02474869415163994, 0.4098195433616638, 0.29382890462875366, -0.25594401359558105, -0.784095048904419, -1.3777921199798584, -0.15397071838378906, -0.15772207081317902, 1.3205746412277222, -0.62901371717453, 0.19662733376026154, -1.148790955543518, -0.04956184700131416, -0.7267324924468994, -0.18995267152786255, 0.07919362932443619, 1.033494234085083, -0.10246089845895767, -0.33866938948631287, -1.2165915966033936, 0.023879721760749817, 1.6277438402175903, -1.2186123132705688, 0.012278847396373749, 0.09612485766410828, 0.5908311009407043, 0.20927509665489197, -0.581396222114563, -1.6151186227798462, -0.6638880968093872, 0.4597790837287903, 0.31014546751976013, -0.5587128400802612, -1.1941089630126953, -1.0293231010437012, -0.000298342143651098, 1.274591088294983, -0.2418624758720398, -0.3563213348388672, -1.1662523746490479, -0.08212481439113617, 0.656517744064331, 0.6102793216705322 ] ]
{ "indices": [ 989116115, 2542944140, 2391722386, 3882113197, 1041011349, 3174900812, 168664787, 647928480, 1349070631, 2067848296, 1491351846, 1833938074, 4022367199, 2066971792, 3741174264, 2305334529, 691409538, 1736378643, 448220673, 28501148, 3944559304, 4078800703, 2095749492, 1793137844, 1463597126, 182814590, 1284918442, 2257684172 ], "values": [ 0.6504870267441352, 0.4820161344390456, 0.6504870267441352, 0.6504870267441352, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.4820161344390456, 0.6504870267441352, 0.4820161344390456, 0.6504870267441352, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456 ] }
{ "context": "So data comes out of the memory and goes through this MUX, which is controlled by MIO enable anyway, so we don't need to do anything there, goes into the MDR. Now, you might actually notice there's this funny path through this other MUX over here. This is part of the memory mapped IO system, and we didn't talk about it. So, so just ignore that part. There's actually simpler diagrams of the data path, but this is the one I had." }
380085
[ [ 0.28037354350090027, -0.7484316229820251, 0.5398162007331848, 0.1202460303902626, 0.6346428990364075, -0.2313411980867386, -0.8887426853179932, 0.9321402907371521, 0.8035745024681091, -0.28054866194725037, 0.639909029006958, 0.3531925678253174, 0.41824421286582947, 0.44223135709762573, 0.3170403242111206, -0.17233280837535858, 0.7840346097946167, -0.6467103362083435, 0.014480652287602425, -0.5330066084861755, 0.8810407519340515, 0.059828296303749084, -0.2447156012058258, -0.25448423624038696, -0.9223113656044006, 1.056563138961792, 0.05935778468847275, -0.7282114624977112, 0.25534752011299133, 0.7179420590400696, -0.6166201829910278, -1.2439279556274414, 0.7857160568237305, -0.7649552822113037, 1.5724685192108154, 0.5503052473068237, -0.019716843962669373, -0.21634796261787415, 0.4370615482330322, 0.7294433116912842, -0.440537691116333, 0.42042186856269836, -0.28591522574424744, 0.730512797832489, -1.0265332460403442, 0.34278178215026855, -0.14375361800193787, 0.022746114060282707, 1.025885820388794, -0.6065011024475098, 0.8994275331497192, -0.4818653166294098, 0.717929482460022, 0.21435852348804474, 0.4144284129142761, 0.7831361293792725, 0.8248872756958008, 1.6645967960357666, 0.35213592648506165, -1.2502437829971313, -0.0031404781620949507, -0.30342304706573486, -0.10076531022787094, -0.5469518303871155, -0.07348044216632843, -0.13898423314094543, -0.4840507209300995, -1.1767908334732056, 0.7500126361846924, -0.08029787987470627, -0.347840815782547, 0.3095036745071411, 0.7749796509742737, 0.2888411283493042, 0.5781599283218384, -0.7125864624977112, 0.13283295929431915, 0.3301083743572235, 0.5317822694778442, 0.7490471005439758, -0.8448153138160706, -0.0075141494162380695, -0.5636611580848694, -0.038151953369379044, 0.5910438895225525, -0.8576717972755432, 1.3134554624557495, -0.6226087212562561, 0.4320205748081207, 0.6738269925117493, 0.45072609186172485, -0.30052390694618225, 1.7700039148330688, -0.0832541435956955, -0.33697062730789185, -0.07922103255987167, 0.7986521124839783, 1.504150629043579, 0.0215742364525795, -0.906349778175354, 0.5772846341133118, -1.006606936454773, -0.27532801032066345, 1.9225068092346191, 0.17334827780723572, 0.2577287554740906, -0.010735292918980122, -0.5929009914398193, -0.9824807047843933, 0.20805902779102325, -0.8052878379821777, 1.1282398700714111, -0.5255550742149353, 0.7748994827270508, 0.12405068427324295, -0.5601001977920532, -0.5859425663948059, -0.5770649313926697, 1.1313751935958862, 0.7160360813140869, 0.7919767498970032, 0.34283381700515747, 1.0124164819717407, -0.3993716239929199, -1.6984035968780518, 0.767338752746582, 0.48101624846458435, 0.12279652059078217, -0.5932526588439941, 0.6117734313011169, 0.40557971596717834, 0.7144571542739868, -0.07106349617242813, 0.10631716251373291, 0.03524697944521904, 0.626345157623291, -1.9003245830535889, -1.1991502046585083, 1.174088716506958, 0.19534842669963837, -0.16165779531002045, -2.080228090286255, 0.1535443663597107, 0.7001692056655884, 1.372963786125183, 0.7099510431289673, 0.3956740200519562, 0.43377938866615295, -0.534925103187561, 0.30242660641670227, -0.4887111485004425, 0.2139289826154709, -1.189489483833313, -0.02867608331143856, -0.9743849039077759, -1.5230157375335693, 0.07350002229213715, -0.30095165967941284, -0.3258282244205475, 0.3609671890735626, 0.5397973656654358, -0.5586709976196289, 0.20103594660758972, -0.2226121872663498, -1.0029172897338867, -1.235537052154541, 1.4801018238067627, -0.2958933711051941, 0.3451519012451172, 1.1087794303894043, -0.029715918004512787, 0.21768896281719208, 0.038365498185157776, 0.17174257338047028, 1.2616499662399292, -0.4494897127151489, 0.3945198357105255, -0.32962968945503235, -0.0651378333568573, 0.21450841426849365, 0.044879499822854996, -0.775442898273468, -0.18407894670963287, 1.153910756111145, 0.46541738510131836, 0.12758272886276245, -0.5797244310379028, -0.39162158966064453, 0.7887229919433594, -1.054806113243103, -0.4658418893814087, -0.8742313981056213, 0.8939768075942993, -0.16664141416549683, -0.6260687708854675, -0.5743425488471985, -0.7146925926208496, -0.29258278012275696, 0.819517195224762, -0.655967116355896, -0.7637432813644409, 0.659833550453186, 0.48907461762428284, -0.6736792325973511, -0.008947196416556835, 0.9666273593902588, 1.3525313138961792, -0.39870285987854004, 0.6543260216712952, -0.4431053698062897, -0.5435989499092102, 0.631961464881897, -0.12075602263212204, 0.3234963119029999, 0.4777306318283081, 0.07948318123817444, 0.27346518635749817, 0.2031066119670868, -0.2798772156238556, 0.03398076817393303, -1.4499531984329224, 0.9671905040740967, 1.773832082748413, 0.8864085078239441, 0.4198964834213257, 0.2965277135372162, -0.5062429904937744, -0.460286408662796, 0.23925913870334625, -0.6640755534172058, -0.5399612188339233, -1.1638028621673584, 0.1460477113723755, 0.132918581366539, 0.009174063801765442, -0.14576710760593414, 1.65138840675354, 0.8194034099578857, 0.8946623206138611, 0.5011273622512817, -1.1288487911224365, 0.8530779480934143, 0.11786562204360962, 0.2076645940542221, 0.24521760642528534, 1.3942229747772217, 0.11954805254936218, 0.8926775455474854, -0.22087179124355316, 0.023165231570601463, -0.7046542167663574, 0.8887255191802979, 0.37421590089797974, -0.9404961466789246, 0.7840732932090759, -1.1005303859710693, 0.17406736314296722, -1.1172990798950195, -0.379681795835495, 0.8105282783508301, -0.1145908311009407, -0.11674483865499496, -0.8819400072097778, -0.6282866597175598, 0.11206115037202835, 0.08682853728532791, -0.2019808441400528, -0.7109129428863525, 1.0213634967803955, -0.18420031666755676, 0.5856091380119324, 0.7390798926353455, -0.36094483733177185, -0.32751110196113586, 0.13910174369812012, 1.1215019226074219, -0.3664706349372864, 0.9979873895645142, -0.9240527153015137, -0.4253985583782196, -0.004160519689321518, 0.20514631271362305, 0.3790658116340637, -0.36810895800590515, -1.6602495908737183, 0.7367324829101562, -0.5116439461708069, -0.9184870719909668, 0.6265052556991577, 0.15526001155376434, -0.941352128982544, 0.4688510298728943, -0.39795270562171936, -0.7963965535163879, 0.19228993356227875, 0.44346532225608826, -0.07099730521440506, 0.15896181762218475, -0.07753770053386688, 0.5114406943321228, -0.2640172839164734, -1.1510604619979858, 0.16164599359035492, -1.3219889402389526, -0.5297083854675293, 0.2581423223018646, -1.313908576965332, -0.4052802622318268, -0.8101904392242432, 0.08635307103395462, -0.10243912786245346, -0.6526073813438416, -0.25949376821517944, -1.2179133892059326, -1.274100422859192, 0.15273404121398926, 0.19158101081848145, 1.1373859643936157, -0.21356844902038574, -0.6413136720657349, 1.5348292589187622, 0.8336815237998962, -0.5867941379547119, -0.39427608251571655, 0.15112389624118805, -0.09162211418151855, -0.7352678775787354, -0.1407429575920105, 0.6291875839233398, 0.37327709794044495, -0.045504745095968246, -0.6537743806838989, 0.9274035096168518, 1.1853758096694946, -0.019324729219079018, -0.8409708142280579, 0.21116885542869568, 0.08308430761098862, -0.835152268409729, -0.030992968007922173, 0.7292383909225464, 0.48808643221855164, 0.6675962805747986, 0.6007857918739319, 0.8376903533935547, 0.4158982038497925, -0.6374284625053406, 0.5053666234016418, 0.06957893073558807, 0.4682060778141022, -0.13162469863891602, -0.1904176026582718, -0.05083250626921654, -0.3950040638446808, -1.2780202627182007, 0.4426460862159729, 0.9770312905311584, -0.8295451998710632, 0.22558441758155823, 0.7107287645339966, 0.8187471628189087, -0.33800774812698364, -0.47068318724632263, -0.692875325679779, 0.10020387917757034, 0.08546077460050583, -0.4210079312324524, -0.18432116508483887, 0.977699875831604, 0.05715906620025635, 0.5087013840675354, 0.6452993750572205, -0.36051270365715027, -0.4848683774471283, -1.4742522239685059, -0.7911667227745056, 0.03893173113465309, 0.8339943289756775, 0.5329121947288513, 0.9003098607063293, -0.12384235858917236, -0.01132674515247345, -0.08962725847959518, -0.18502077460289001, -1.6278154850006104, 0.09424271434545517, 0.5717470049858093, 0.22650060057640076, 0.20372076332569122, -0.48309415578842163, 0.22563016414642334, -0.04298687353730202, -0.8765963315963745, -0.33904072642326355, 0.15967023372650146, -1.0949304103851318, 0.2534179389476776, 0.10439953207969666, -0.1300617903470993, 0.36051037907600403, 0.3610508143901825, 1.873942255973816, 0.8578776717185974, 0.3729795813560486, 0.00923163816332817, -0.01789691671729088, -0.735947847366333, -0.14574706554412842, 0.7430797219276428, 0.7477417588233948, 0.6135029792785645, 1.1652733087539673, 0.894668459892273, -0.6063137650489807, 0.05691444128751755, 0.2008606493473053, 1.1173975467681885, 0.4819476902484894, 0.32552722096443176, -0.24346143007278442, -0.7658570408821106, -0.4510972797870636, 0.02326919510960579, 0.954001784324646, -0.6672340631484985, 1.228193759918213, 0.6590357422828674, -0.09209448099136353, 0.18011930584907532, 1.2258268594741821, 1.2135158777236938, 0.046361662447452545, -0.3222132623195648, -0.9158409833908081, -0.43006861209869385, -0.07780303061008453, 0.012984498403966427, 0.04653698205947876, 0.7278458476066589, 0.7146623730659485, -0.7247850298881531, 0.33210131525993347, 0.06422941386699677, -1.6656672954559326, 0.6332350373268127, -0.5550081729888916, -0.5877891182899475, -0.5112197995185852, 0.776244580745697, 0.48176097869873047, 0.4741153120994568, -0.3169765770435333, 0.35677990317344666, -0.72630774974823, -2.4780004024505615, -0.5549420118331909, 0.35713931918144226, 0.2224854826927185, -0.8874827027320862, 0.2240108996629715, -0.5540770292282104, -0.7277577519416809, 0.5979248285293579, -0.7593528628349304, 0.8707810044288635, -0.6129267811775208, 0.027027837932109833, -0.15975797176361084, -1.7478930950164795, -0.8261387348175049, 0.8896082043647766, -0.10367108881473541, 0.518739640712738, -0.11220923066139221, -0.19047687947750092, 0.1529192179441452, 0.8676853775978088, 0.49462708830833435, 0.3245581090450287, -0.5036256313323975, -0.7129026651382446, 0.16829814016819, 0.45885810256004333, -1.2083817720413208, -0.7315871715545654, 0.4829014539718628, -1.2343391180038452, -0.5098508596420288, 0.7473047971725464, -0.4972645938396454, -0.29682764410972595, 0.5214441418647766, -0.0760333240032196, -0.8627906441688538, -0.5708082318305969, -1.137014627456665, 0.8011762499809265, -0.5940291285514832, 0.8658350110054016, 0.42168620228767395, 0.4518662393093109, -0.54021817445755, -0.06063664332032204, 0.001093805069103837, 0.30956724286079407, -0.4238624572753906, 0.6867327690124512, -0.8676797747612, -0.259189635515213, -0.7346839904785156, 0.9306765198707581, -0.5253401398658752, 0.02478371188044548, 0.09156173467636108, -0.03895529359579086, 0.006458370015025139, 1.2436330318450928, -0.9475696086883545, 0.36530256271362305, -0.017157575115561485, 0.1241145133972168, -0.6898942589759827, 0.33092597126960754, 0.4278640151023865, -1.4465620517730713, 0.39920616149902344, 0.7842081785202026, 0.3286215662956238, 0.730990469455719, -0.5413728952407837, -0.08148621767759323, 0.37256866693496704, -1.0734187364578247, 1.4042561054229736, 0.5970619320869446, 0.7119268178939819, 0.06398738920688629, -0.8429937362670898, -0.4234231412410736, 0.8428743481636047, -0.18340499699115753, 0.2672690451145172, -0.07693904638290405, 0.7288240194320679, 0.8685668706893921, -0.01429970283061266, -0.6105424761772156, -1.2213704586029053, -0.3851495087146759, 0.48005229234695435, -0.003606477752327919, -1.2787514925003052, -0.0570070818066597, 0.1507849395275116, -0.9042134284973145, 0.6783249378204346, 1.5228705406188965, 0.09641294181346893, 0.18416818976402283, 0.26803725957870483, 0.01970011740922928, 1.3078075647354126, 0.5742499828338623, 0.544700026512146, -0.1647837609052658, -0.10807126760482788, -0.8034482598304749, -0.8910802006721497, -0.9909112453460693, -0.4627836048603058, -0.20554831624031067, -0.8557245135307312, -0.44071540236473083, 0.7961713671684265, 0.15327605605125427, 0.41095632314682007, 0.13218285143375397, -1.0711557865142822, -0.459634393453598, -0.7785946726799011, -0.7991089224815369, -0.25648078322410583, 0.15707865357398987, 0.044748254120349884, 0.3042740225791931, 0.5113853812217712, -0.9237788319587708, -0.22373008728027344, 0.3829764723777771, 0.28182944655418396, 0.28851085901260376, 1.8363189697265625, 0.7532751560211182, 0.41557613015174866, 1.07380211353302, 0.5971060395240784, 0.7773064970970154, -0.6659287214279175, 0.43964874744415283, 0.48973655700683594, 0.28225839138031006, -0.278730571269989, -0.01929071545600891, -1.103470802307129, 0.37183284759521484, 0.9705135226249695, -0.8117281198501587, 0.6336767673492432, -0.231282576918602, 0.3274185061454773, -0.051205482333898544, 0.5723463296890259, -0.6730004549026489, 0.6764233112335205, -0.1859792023897171, 1.7573057413101196, -1.1540923118591309, -0.5628891587257385, 1.553732991218567, 0.7557440400123596, 0.6711099743843079, 0.22981123626232147, 0.6344647407531738, -0.29362425208091736, -0.6624764204025269, -0.6124284863471985, -0.661486804485321, 1.0797615051269531, -1.868467092514038, 0.8689911961555481, 0.15960244834423065, -0.39280882477760315, 0.43792036175727844, 0.7386097311973572, -0.11370532959699631, -1.1155434846878052, -0.6748331785202026, -1.3083932399749756, 0.202438086271286, 0.48373106122016907, -0.37388336658477783, 0.016211234033107758, -0.34213000535964966, 0.5731909871101379, -0.07887602597475052, 0.7781034111976624, -0.6850996017456055, -1.9221681356430054, -0.259717732667923, -0.6187688708305359, -1.226597785949707, 1.7207434177398682, -0.06323158740997314, -0.4595225155353546, 0.9207736253738403, -0.34058815240859985, 0.9476887583732605, 0.47790026664733887, 0.5461583137512207, 0.0451989471912384, 1.2664875984191895, -0.3022709786891937, -0.15391646325588226, -0.31840264797210693, -1.0209962129592896, 5.050241470336914, 1.2428594827651978, -0.03502751514315605, -2.0911831855773926, 0.24683775007724762, -0.8672091364860535, -0.045498378574848175, -0.3263544738292694, 0.2879093885421753, -0.2547754943370819, 0.8528583645820618, 1.0508060455322266, 0.5155169367790222, 0.10002899914979935, 0.8114481568336487, 0.679826021194458, -0.4631386399269104, -0.8347390294075012, 0.9916989803314209, -0.10290917009115219, -0.0594121478497982, -0.5050978064537048, -1.1788511276245117, -1.0592535734176636, 1.3104946613311768, 0.4391520619392395, 0.637792706489563, -0.6489196419715881, 1.1720309257507324, 0.10114424675703049, -0.2716258764266968, 0.8284103274345398, 0.549662172794342, 1.004899024963379, 0.3532539904117584, 0.3495118021965027, -0.21809569001197815, -0.5163648724555969, -0.4703272581100464, 0.2678821384906769, 0.2824017107486725, -0.15897835791110992, -0.3935924172401428, -0.6098051071166992, -1.4383922815322876, -0.008201413787901402, -0.5423251390457153, -0.6685106158256531, 0.6171925067901611, -0.1326259821653366, -0.23201525211334229, 0.38690072298049927, -0.5360502004623413, -0.8791221976280212, -0.5292608141899109, 0.566051721572876, 0.45759856700897217, -0.32996833324432373, 0.1267484724521637, -0.46095502376556396, 0.5692603588104248, -0.17151165008544922, 0.5709369778633118, 0.2930380403995514, 0.539162278175354, -0.13872192800045013, 0.20979462563991547, 2.0829920768737793, -0.3290248215198517, -0.4585416316986084, -1.0577337741851807, 0.3804641664028168, -0.1523253172636032, -0.32974740862846375, -0.5244969725608826, 1.3738248348236084, -0.46484845876693726, 0.370662122964859, -0.8456436395645142, -0.6010367274284363, -1.508365273475647, 0.023064743727445602, -0.5058214068412781, 0.44716647267341614, -0.6083118319511414, -0.8061285018920898, -0.45658960938453674, 0.34486979246139526, 0.24944373965263367, 0.11134147644042969, 0.42691633105278015, 1.0899899005889893, 0.8023613691329956, -0.7468665242195129, 0.02877562679350376, 0.1254669576883316, -1.9815089702606201, -0.05330290272831917, -1.187374234199524, 1.1587131023406982, 0.33352476358413696, -0.39397573471069336, -0.2912960648536682, 0.8456348776817322, -0.7479779124259949, 0.008022040128707886, 0.7388231754302979, -0.7401337027549744, -0.11795216798782349, -0.1563379168510437, 0.9431195855140686, -1.4824904203414917, -0.9231225848197937, -0.34338754415512085, -0.15813295543193817, -0.15757592022418976, -0.30964305996894836, -0.5295931696891785, 0.14324435591697693, -0.5651308298110962, 0.41812664270401, 0.3305463492870331, 0.7251628041267395, -0.5375078916549683, -0.45648035407066345, 0.045749444514513016, -0.9445722699165344, -0.34672626852989197, 0.21408258378505707, -0.8733507394790649, 0.4890706539154053, 0.23002536594867706, -0.20848064124584198, 0.3613797724246979, -0.9195955395698547, 0.1244821548461914, 0.07542052119970322, 0.31194111704826355, -0.9644497036933899, -0.11990711092948914, 0.6420113444328308, 0.02859734557569027, -0.6846206188201904, 1.2979629039764404, -0.3318324685096741, 0.03884300962090492, 0.24812522530555725, 0.25934284925460815, 1.1240322589874268, 0.7523195147514343, -1.1711986064910889, -0.23720930516719818, 0.2397576868534088, 0.48134344816207886, 0.46324440836906433, 0.3171529173851013, -0.1513662040233612, -0.203221395611763, -0.2794301211833954, 0.22877223789691925, 0.49175211787223816, 1.0190774202346802, -0.2086949497461319, -0.8693947196006775, -0.24293223023414612, -0.2632088363170624, -0.3821435570716858, 0.685129702091217, -0.080830879509449, 0.2847612202167511, 0.4744972288608551, -0.3931126892566681, -0.08126553893089294, 0.6766714453697205, -1.187776803970337, -0.29072946310043335, -0.060793742537498474, 0.7303357124328613, 0.7581989765167236, -0.6832762956619263, 0.09493185579776764, 0.5825999975204468, -1.6491942405700684, 0.7047426700592041, 0.11582469940185547, -0.9383174180984497, -0.537712037563324, 0.9621880054473877, -0.13733042776584625, 0.3826386332511902, 0.12663280963897705, -0.5901270508766174, 0.755910336971283, 0.08390827476978302, -0.7613753080368042, -0.4318320155143738, 0.43501925468444824, 0.811482846736908, -0.014528868719935417, -0.2035984992980957, 0.5158261656761169, -0.5788444876670837, -1.1383544206619263, -0.30321425199508667, -1.531837821006775, 0.8063950538635254, 0.2673163414001465, 1.3371278047561646, 0.08700606226921082, 0.6517854332923889, -0.3746870458126068, 0.13176703453063965, -0.3649652600288391, 0.02283542789518833, 0.2435929924249649, 0.836325466632843, -0.597547709941864, -0.3106172978878021, 0.0766926109790802, 0.009852658025920391, -0.506299614906311, 0.22774723172187805, -1.769885540008545, -0.02493276260793209, 0.21795646846294403, 0.04681519791483879, 0.30044299364089966, -0.8901262283325195, -0.07233434915542603, -1.2015889883041382, 0.158685103058815, 0.13279984891414642, 1.0315730571746826, 0.25388985872268677, 0.021798819303512573, -0.524355947971344, -0.3945843279361725, 0.6458798050880432, 0.5480093359947205, -1.3193867206573486, -0.8625628352165222, -0.0058535244315862656, -0.14467860758304596, 0.8678851127624512, 0.6406394839286804, -0.10174386948347092, -0.8230830430984497, 0.6447627544403076, -0.36530569195747375, 0.060250815004110336, -0.790589451789856, 0.07168281823396683, 0.7291175127029419, -0.5054705739021301, 0.733246386051178, 0.6712881922721863, 0.9231491684913635, -0.5927209854125977, -1.0196528434753418, -0.48236823081970215, 0.9385731220245361, 0.5406059622764587, -0.277265727519989, 0.4618668854236603, -1.8486047983169556, 0.14411763846874237, 0.552358865737915, 0.4295543432235718, -0.02638503722846508, -0.1784571260213852, -0.8339622616767883, 0.28874534368515015, 0.4746655821800232, 0.07606179267168045, 0.48824626207351685, 0.5924654006958008, 0.8980591893196106, 0.0059379348531365395, -0.28036847710609436, -0.2272009402513504, 0.20392102003097534, 0.8692907094955444, 0.17572258412837982, 1.4963129758834839, -0.3523499369621277, 0.29721492528915405, 0.11296737194061279, -0.3331199586391449, -1.2995400428771973, 1.3977731466293335, 0.41257616877555847, -0.05433640629053116, -0.892974317073822, -0.5768691897392273, 1.4159361124038696, -1.4602857828140259, -0.0451916940510273, 0.9018486142158508, -0.04549277946352959, -0.009054960682988167, 0.3721091151237488, 0.29870280623435974, 0.2509293258190155, 0.5144227147102356, 0.9280210137367249, -0.9427044987678528, -0.8093159198760986, -0.11357756704092026, 0.7290016412734985, 0.4782184660434723, -0.29692351818084717, 0.8040110468864441, 0.946736216545105, -0.32251930236816406, 1.7200902700424194, 0.06996337324380875, 0.3982473313808441, 0.22335322201251984, 0.2752334177494049, 0.5299401879310608, -0.700494110584259, -0.7484065294265747, -0.21768249571323395, -0.22364817559719086, 1.5500372648239136, -0.8089854121208191, 0.13763068616390228, -0.7050958871841431, 0.5192149877548218, -0.6693544387817383, -0.10750164836645126, -0.6070117354393005, 0.15264737606048584, -0.18717852234840393, -0.2277185469865799, -1.3192410469055176, 0.22336101531982422, 0.9926707744598389, -1.3411329984664917, -0.23310577869415283, -0.316780686378479, -0.041862234473228455, -0.073887400329113, -0.26885050535202026, -1.6574300527572632, -0.948008120059967, 0.9746004343032837, 0.3147175908088684, -0.4742090106010437, -0.8446370363235474, -1.359819769859314, -0.23455922305583954, 1.5401607751846313, -0.48906052112579346, -0.12437419593334198, -0.5648756623268127, 0.03145363926887512, 0.14415937662124634, 0.4119899868965149 ] ]
{ "indices": [ 1463597126, 28501148, 691409538, 3741174264, 182814590, 1284918442, 989116115, 448220673, 2257684172, 2140356128, 1041011349, 262840313, 2136405083, 2578007438, 3471378517, 3819098157, 1911071232, 3009698197, 695926169, 1786548735, 2194093370, 662754433, 1075238684, 2351523834, 2067848296, 1551089265, 4075116728, 1830401145, 3076736765, 4119782854, 3476027533, 1960040400, 4186256544, 3688822001, 1590456296, 2006536704 ], "values": [ 0.46785238308125726, 0.46785238308125726, 0.6374651681242771, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.6374651681242771, 0.7250884400239858, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726, 0.46785238308125726 ] }
{ "context": "So, so just ignore that part. There's actually simpler diagrams of the data path, but this is the one I had. So I just kept this one. This MUX will be configured to forward this output back. So the path is okay. So what should be put on the bus? Nothing, right? We could technically probably leave one as a don't care, but let's not do that kind of thing. Because then we have to worry about well, so what bits go where? So we'll just set them all to zero." }
198079
[ [ 0.27521467208862305, -0.6677647233009338, -0.08261052519083023, 0.5034936666488647, 0.5079469084739685, 0.07020620256662369, -0.4717288315296173, 0.8181236982345581, 0.7361052632331848, -0.6651425361633301, 0.8728692531585693, 0.8088797330856323, 0.4224497377872467, 0.256050705909729, 0.30270177125930786, -0.2430374175310135, 0.8457118272781372, 0.07460696250200272, 0.14628136157989502, -0.1767583042383194, 1.2208372354507446, -0.29129859805107117, -0.6141480207443237, 0.1710778772830963, -0.5563169121742249, 0.7845942378044128, 0.2700592577457428, -0.7399216890335083, 0.19930468499660492, 0.581718385219574, -0.7488388419151306, -1.500776767730713, 0.39116474986076355, 0.1391213983297348, 1.1728495359420776, -0.17232991755008698, -0.47016897797584534, -0.10137756168842316, 0.6362791061401367, 0.7111225128173828, -0.09624036401510239, 0.5104615092277527, -0.5726364850997925, 0.7663503885269165, -1.4043105840682983, 0.4729140102863312, -0.6631923317909241, -0.3793826699256897, 1.0805212259292603, -0.7550487518310547, 1.080467939376831, -0.28494614362716675, 0.6384806036949158, 0.554948091506958, 0.15532729029655457, 0.32313108444213867, 1.2167218923568726, 1.3808358907699585, 0.3380299210548401, -1.3297619819641113, -0.0746435597538948, -0.36189576983451843, 0.8283445835113525, -0.6712362170219421, -0.13260681927204132, -0.07734837383031845, -0.4478626549243927, -0.8522407412528992, 0.3739723265171051, -0.5921627283096313, -0.6018134951591492, 0.13939976692199707, 0.6023420095443726, 0.42372897267341614, 0.728136420249939, -0.3391842842102051, 0.378347247838974, 0.10358991473913193, 0.14738589525222778, 0.9685618281364441, -1.056328535079956, 0.14300104975700378, -0.784946858882904, 0.4915391504764557, 0.3391594886779785, -0.8169112801551819, 1.4841594696044922, -0.5621405243873596, 0.06629947572946548, 0.8476840853691101, 0.39010366797447205, -0.5372886061668396, 1.4812525510787964, 0.33448129892349243, -0.34797292947769165, 0.008736245334148407, -0.053118735551834106, 1.040330171585083, 0.8167555928230286, -0.10880155861377716, -0.08677110075950623, -1.205690860748291, 0.10999871790409088, 1.3976722955703735, -0.11636930704116821, 0.6064237356185913, 0.23560824990272522, 0.0017369084525853395, -0.20824715495109558, 0.4249228537082672, -0.847631573677063, 0.6750964522361755, 0.5389370322227478, 0.7523084282875061, -0.3525416851043701, -0.3929414451122284, -0.9381138682365417, -0.28764066100120544, 1.2389018535614014, 0.40382668375968933, 0.9967202544212341, 0.4861988127231598, 0.34625503420829773, -1.2413783073425293, -2.197251558303833, 0.577324628829956, 0.2627234160900116, -0.2559908628463745, -0.8707717657089233, 0.4290994703769684, 0.18399547040462494, -0.49366018176078796, 0.1715073138475418, -0.05180962011218071, 0.6420502662658691, 1.0683972835540771, -2.2033820152282715, -1.1458613872528076, 0.912074625492096, 0.15638771653175354, -0.6809892654418945, -1.3616259098052979, 0.499602347612381, 0.7038973569869995, 1.3851631879806519, 0.46836405992507935, 0.6394810676574707, 0.10859032720327377, 0.027195747941732407, 0.5935853719711304, -0.5912358164787292, 0.08162765949964523, -1.5160936117172241, -0.44868722558021545, -0.5306395292282104, -0.32940033078193665, 0.11267223954200745, -0.06938110291957855, -0.3616121709346771, -0.052087318152189255, 0.6331743597984314, -0.26097050309181213, -0.25995394587516785, 0.006243275012820959, -0.7834495902061462, -0.8726706504821777, 2.0781874656677246, -0.3822818696498871, 0.08556786179542542, 1.3092955350875854, 0.007247319445014, -0.19919998943805695, 0.10164022445678711, 0.36838263273239136, 1.1653480529785156, -1.0177724361419678, -0.041121192276477814, -0.3488742411136627, -0.018436508253216743, 0.10448244959115982, -0.7001141905784607, -0.661902129650116, 0.407223641872406, 1.2992180585861206, 0.32422372698783875, 0.20245955884456635, -0.41694650053977966, 0.4040871858596802, 1.340896487236023, -0.932473361492157, -0.6676343083381653, -1.3390090465545654, 0.6281264424324036, 0.12219569087028503, -1.0193679332733154, -0.9649730324745178, -0.7181305289268494, 0.39761707186698914, 0.43820449709892273, -0.6503310203552246, -1.4174132347106934, 0.743287205696106, 0.6776478886604309, -1.0026335716247559, 0.030621208250522614, 0.3878422677516937, 1.210799217224121, -0.8557766079902649, 0.8802716135978699, -0.603122889995575, -0.35351529717445374, 0.7829638719558716, 0.2273431122303009, 0.16541266441345215, 0.617997407913208, 0.16276468336582184, 0.3690037131309509, 0.7034657597541809, -0.1350475400686264, 0.223350390791893, -0.6601904630661011, 0.8858027458190918, 2.2905020713806152, 1.3078809976577759, 0.2714332640171051, 0.4191896915435791, 0.1580348163843155, 0.3601265251636505, 0.35869699716567993, -0.5036211609840393, -0.8882105946540833, -1.7121363878250122, -0.08355026692152023, 0.41839003562927246, -0.6082102060317993, 0.13717053830623627, 2.0991358757019043, 0.277752161026001, 0.5143107771873474, -0.39489057660102844, -1.0550308227539062, 0.9790343046188354, 0.552643358707428, 0.461575984954834, 0.639667272567749, 1.660075306892395, 0.34127509593963623, 1.4205589294433594, -0.696963369846344, 0.854125440120697, -0.6939024925231934, 0.6169312596321106, -0.2067064344882965, -0.6791170835494995, -0.14740200340747833, -1.1361596584320068, 0.4883079528808594, -1.0172843933105469, -0.5253745317459106, 0.04098466783761978, -0.3944365084171295, -0.11707527190446854, -0.2777438461780548, -0.820205807685852, 0.040048934519290924, 0.23609261214733124, 0.0406264066696167, -0.7514708638191223, 1.3795255422592163, -0.8851173520088196, 0.05762380361557007, 0.3493834435939789, -0.1900513470172882, -1.2166715860366821, 0.3525438904762268, 0.8257161378860474, -0.6290189027786255, 0.9998601078987122, -1.0403019189834595, -0.8581265211105347, -0.786993682384491, 0.4262118339538574, 0.4271446168422699, -0.282182514667511, -1.8254958391189575, -0.11921987682580948, -0.5558675527572632, -1.0549445152282715, 0.725389301776886, 0.07984771579504013, -1.1902656555175781, 0.36761274933815, -0.3489752411842346, -0.9859392642974854, 0.21177321672439575, -0.06129012256860733, -0.13868670165538788, -0.10257086157798767, -0.17118637263774872, 0.7325470447540283, 0.07239119708538055, -1.439029574394226, -0.030074508860707283, -0.875629186630249, -0.22161678969860077, 0.07656488567590714, -0.3711300790309906, -0.4568789005279541, -0.2431296706199646, 0.5551424622535706, 0.24009661376476288, -0.26004594564437866, -0.46601593494415283, -1.2118092775344849, -1.438985824584961, -0.12871457636356354, 0.9535791277885437, 0.9658514857292175, -0.610094428062439, -0.23880980908870697, 1.0399457216262817, 0.43817588686943054, -0.9866159558296204, -0.28080523014068604, 0.7898971438407898, 0.2419472187757492, -1.2321110963821411, -0.02964463271200657, 0.9771934151649475, 0.5139984488487244, 0.30055132508277893, -0.24492277204990387, 1.1854981184005737, 1.7490499019622803, -0.4898270070552826, -1.2309589385986328, 0.2742845416069031, 0.2162882387638092, -0.589013397693634, 0.398983359336853, 0.6019907593727112, 0.2287788987159729, 0.8146243095397949, 0.16853338479995728, 1.1281100511550903, 0.3892907500267029, -0.30099329352378845, 1.047755479812622, 0.38054245710372925, 0.37734854221343994, -0.10262259095907211, -0.07620666921138763, 0.4093530774116516, -0.7876031398773193, -0.7863536477088928, 0.5527915358543396, 1.1479288339614868, -0.7606037855148315, 0.1336519569158554, 1.031017780303955, 0.601341187953949, 0.28953447937965393, -0.38617372512817383, 0.0896076187491417, 0.15522240102291107, 0.6992688179016113, -0.5412352085113525, 0.035217542201280594, 0.19799727201461792, -0.17443066835403442, 0.3116418123245239, 0.49289628863334656, -0.6252018809318542, -0.6961194276809692, -1.4785104990005493, -0.8496968746185303, 0.623272716999054, 1.1208019256591797, 0.5521757006645203, 0.5266975164413452, 0.156905859708786, 0.3600006699562073, -0.24158762395381927, -0.023895282298326492, -1.7118457555770874, 0.22088710963726044, 0.39293402433395386, -0.26830971240997314, 0.5310125946998596, -0.45996955037117004, 0.3052411377429962, -0.6099663376808167, -1.0460346937179565, -0.2705298960208893, -0.4965285658836365, -1.0548241138458252, 0.5637689232826233, 0.462708979845047, -0.3652072250843048, 0.766620934009552, 0.5870155692100525, 1.8606398105621338, 0.6003925800323486, 0.3976900279521942, 0.6268035769462585, -0.07813075184822083, -0.34677407145500183, -0.2654125392436981, 0.06031939387321472, 0.4206402003765106, 0.8510674238204956, 0.8915818929672241, 0.6898093819618225, -0.8239588141441345, 0.2616842985153198, 0.3918859362602234, 1.2264007329940796, 0.28122061491012573, -0.047533560544252396, -0.3156706392765045, 0.11145519465208054, -0.9021040201187134, 0.4493226706981659, 1.106355905532837, -0.2597636580467224, 1.9041895866394043, 0.9035533666610718, 0.7311305403709412, -0.40783900022506714, 1.1187771558761597, 1.6782991886138916, -0.554246187210083, -0.1164972335100174, -0.8880616426467896, -0.907906711101532, -0.4017569422721863, -0.6390084624290466, 0.5361114144325256, 1.4227668046951294, 0.6268759369850159, -0.44931817054748535, 0.5811533331871033, -0.4128614664077759, -1.2265063524246216, 0.1272691935300827, -0.8199892640113831, -0.4830987751483917, -0.1720682829618454, 0.12027966976165771, 0.27853256464004517, 0.5647550225257874, -0.8458310961723328, 0.1113036498427391, -0.8665937185287476, -2.365206241607666, 0.02797107957303524, 0.544610321521759, 1.181128978729248, -0.7212835550308228, 0.10936739295721054, -1.1087989807128906, -1.402114987373352, 0.5418298840522766, -0.759928822517395, 1.3269644975662231, -1.0417664051055908, 0.5679527521133423, -0.6820275187492371, -1.0650943517684937, -0.9211783409118652, 0.8493859767913818, 0.12859445810317993, 0.230864480137825, -0.41892436146736145, -0.21438990533351898, -0.14928315579891205, 0.18189823627471924, 0.23734326660633087, 0.8353137969970703, -0.6366825103759766, -0.4954458773136139, -0.1698576658964157, 0.9892158508300781, -0.9909685254096985, -0.23958005011081696, 0.363359659910202, -1.1172114610671997, -0.82679682970047, 0.5278326272964478, -0.7396724224090576, -0.4587911069393158, 0.516495406627655, 0.011616001836955547, -0.3044475018978119, -0.14403808116912842, -1.1140776872634888, 1.2505080699920654, -0.17609944939613342, 0.40142038464546204, 0.2960145175457001, 0.8303883075714111, -0.6838306784629822, -0.19226819276809692, -0.8461252450942993, -0.16052041947841644, -0.8170299530029297, 0.8489552736282349, -0.9742752909660339, -0.10478386282920837, -0.637109100818634, 0.8813430070877075, 0.004305507987737656, 0.34752362966537476, -0.4466114938259125, -0.55937659740448, -0.23217524588108063, 0.6675094366073608, -1.0664523839950562, 0.657422661781311, -0.12772099673748016, 0.28311556577682495, -0.7735608816146851, -0.2010679990053177, 0.465342253446579, -1.4896881580352783, 0.5934718251228333, 0.40683045983314514, 0.018327835947275162, 1.7022231817245483, -1.1157424449920654, -0.455579936504364, 0.6193523406982422, -1.0195308923721313, 0.9991734027862549, 0.4079075753688812, 0.0657023936510086, -0.059384118765592575, -0.9208564758300781, 0.2943991720676422, 0.5486131310462952, -0.5347524285316467, -0.11703723669052124, -0.2375205159187317, 0.6245765089988708, -0.22681330144405365, -0.19105178117752075, -0.8761783838272095, -1.6303240060806274, -0.655707836151123, 0.32497432827949524, 0.24678795039653778, -0.44813472032546997, -0.2574876844882965, 0.494538277387619, -0.7289984226226807, 0.3148350119590759, 1.16594398021698, -0.018489230424165726, 0.8591683506965637, 0.5028463006019592, 0.7584391832351685, 0.9986459016799927, 1.3155099153518677, 0.20473654568195343, -0.05352037027478218, -0.2889678478240967, 0.3826431930065155, -0.43872466683387756, -1.1590170860290527, -0.6147643327713013, 0.10712606459856033, -1.2456532716751099, -0.6290647387504578, 1.32845139503479, -0.490229994058609, 0.34873121976852417, -0.12873923778533936, -0.3037700057029724, -0.5132408738136292, -0.25950464606285095, -0.5551974773406982, -0.40257009863853455, 0.5558319091796875, -0.43443867564201355, 0.118070088326931, -0.13573716580867767, -0.6458348035812378, -0.926837146282196, -0.05292658507823944, 0.0854860469698906, 0.38712701201438904, 2.5606024265289307, 0.22343775629997253, 0.8474675416946411, 0.7910739183425903, 0.7850843667984009, 0.3613646328449249, -0.5496838092803955, 0.6788648962974548, 1.2699440717697144, 0.5247135162353516, -0.10178942233324051, 0.39495474100112915, -1.091449499130249, 0.4371333122253418, 1.1998735666275024, -0.6420155763626099, 0.7238122224807739, 0.02212660200893879, -0.11125816404819489, -0.702980101108551, -0.3032086193561554, -0.9132784605026245, 0.7199156284332275, -0.5722739100456238, 2.0247905254364014, -1.3975677490234375, -1.22501540184021, 1.5823723077774048, 1.4750869274139404, 0.3569867014884949, 0.18444877862930298, 0.3764667809009552, -0.4869857728481293, -0.22708162665367126, -0.772406816482544, -0.7278750538825989, 1.3123266696929932, -1.3607701063156128, 0.731652557849884, -0.09229922294616699, -0.3977232873439789, 0.7022352814674377, 0.5659840106964111, 0.15951359272003174, -1.3458187580108643, -0.9187467098236084, -1.6984734535217285, 0.8074315190315247, 0.47725167870521545, -0.4039442241191864, -0.3062482178211212, 0.25749775767326355, 0.8988133072853088, -0.5062690377235413, 0.6365914344787598, -0.6423611044883728, -1.462774395942688, -0.1675681173801422, -0.3452031910419464, -1.148290991783142, 1.3895560503005981, 0.3845770061016083, -0.28991976380348206, 0.9159218072891235, -0.21127170324325562, 1.1924880743026733, 0.30114254355430603, 0.8824307918548584, 0.2999770939350128, 1.4405007362365723, -0.603183925151825, 0.05572285130620003, -0.4593421220779419, -0.3390730321407318, 4.320506572723389, 1.0827752351760864, 0.08493093401193619, -1.984126329421997, 0.4610837996006012, -0.66733318567276, 0.281451553106308, -0.30728575587272644, 0.32577845454216003, 0.3707536458969116, 0.6409268975257874, 0.8058915734291077, 0.34124913811683655, -0.4689258635044098, 0.3562206029891968, 0.891766369342804, -0.015355322510004044, -0.6466688513755798, 0.7308193445205688, 0.4126627743244171, 0.3773331940174103, -0.13955573737621307, -0.7360735535621643, -1.2178239822387695, 1.0185177326202393, -0.7583439946174622, 0.37206795811653137, -0.9251731038093567, 1.2215957641601562, 0.019589202478528023, -0.6420113444328308, 1.0138823986053467, 0.7040548324584961, 0.5705670714378357, 0.5097633600234985, 0.3018910884857178, -0.8689998984336853, -0.3770489990711212, -0.5752865076065063, 0.7094102501869202, 0.3809920847415924, -0.05894627049565315, -0.30377060174942017, -0.3213811218738556, -0.4666624963283539, -0.43655744194984436, -0.8548282384872437, -0.898800253868103, 0.17478728294372559, -0.32577574253082275, 0.1727645993232727, 0.4023362398147583, -0.6427635550498962, -1.211890459060669, -1.0810656547546387, 0.8264546990394592, 0.0502263680100441, -0.6422125101089478, -0.6731622219085693, -0.36662235856056213, 0.13103033602237701, -0.21248367428779602, 0.8019775748252869, 0.5853889584541321, 0.45019519329071045, 0.7507457733154297, -0.5465367436408997, 2.000985622406006, 0.1934526562690735, -0.44848862290382385, -0.8110402822494507, 0.1050933301448822, -0.41957539319992065, -0.4693320393562317, -0.3747875690460205, 0.9184338450431824, -0.36873412132263184, 0.3890518844127655, -0.927026093006134, -0.433163046836853, -1.0465631484985352, 0.5541231632232666, -0.16569139063358307, -0.1002657562494278, -0.157980278134346, -0.7163145542144775, -0.32751935720443726, 0.5630409717559814, 0.5811139941215515, 0.3644735813140869, 0.6504307985305786, 1.9151166677474976, 1.098892092704773, -0.9766933917999268, 0.4123467803001404, 0.7670483589172363, -1.3565138578414917, -0.28081586956977844, -0.7276937365531921, 1.2128175497055054, 0.6723067164421082, -0.4433247447013855, -0.36194294691085815, 1.0321197509765625, -0.9628161787986755, 0.040199317038059235, 0.621459424495697, -1.2190269231796265, -0.5711737275123596, 0.07566531747579575, 0.9593469500541687, -0.9691886901855469, -0.7522976994514465, -0.5321680903434753, -0.11187750846147537, 0.23932136595249176, -0.7917007803916931, -0.5229343175888062, 0.7876991629600525, -0.7277847528457642, 0.5206803679466248, 0.1627601683139801, 0.38692760467529297, -0.17647087574005127, -0.058905910700559616, -0.06756645441055298, -0.8513848781585693, 0.3080495297908783, -0.4211210310459137, -0.27513980865478516, 0.37708088755607605, 0.23210011422634125, -0.06541864573955536, 0.6549451351165771, -1.0104560852050781, -0.22650611400604248, 0.2778375744819641, 0.5781136751174927, -0.8027533292770386, -0.008707662113010883, 0.053792133927345276, -0.5657868385314941, -0.9680396914482117, 1.773591160774231, -0.8907670378684998, -0.19737885892391205, 0.7219721078872681, -0.2972021698951721, 1.0547269582748413, 0.37705305218696594, -0.4947147071361542, -0.024947861209511757, 0.56782066822052, 0.6895449757575989, 0.555795431137085, 0.15127289295196533, -0.3114597201347351, -0.12711858749389648, 0.07782901078462601, 0.4475269317626953, 0.5266292691230774, 0.8012816905975342, 0.3270007371902466, -0.3603338897228241, -0.14878666400909424, 0.002925433684140444, -0.8032700419425964, 0.5564606785774231, -0.97629714012146, 0.5909585952758789, 0.5861049294471741, -0.26406779885292053, 0.780693769454956, 0.8273739218711853, -1.5912542343139648, -0.44925570487976074, -0.5934189558029175, 0.08751361817121506, 0.735300600528717, -0.5266895294189453, 0.6236103177070618, 1.2673078775405884, -2.0151612758636475, 0.203706294298172, -0.5841717720031738, -0.5073486566543579, -1.1905887126922607, 0.6648896932601929, 0.08780529350042343, 0.3464629054069519, -0.15269891917705536, -0.3252323865890503, 0.8669455647468567, 0.01588967815041542, -0.8500099778175354, -0.6959731578826904, 0.19102735817432404, 0.8364977240562439, -0.4287698566913605, -0.12523269653320312, 0.7895347476005554, -1.257743239402771, -1.3852262496948242, -0.3471866250038147, -1.5178890228271484, -0.03155463933944702, -0.11625055223703384, 1.0477418899536133, 0.06374197453260422, 0.8851575255393982, 0.07130256295204163, -0.029590381309390068, -0.007515519857406616, -0.6528195142745972, 0.6975195407867432, 0.4637378752231598, -0.42012858390808105, -0.18213073909282684, 0.5024541616439819, 0.48388800024986267, -0.803717851638794, 0.5552905201911926, -0.8878849148750305, 0.1702338308095932, -0.3819752633571625, -0.2879142463207245, 0.2782805263996124, -1.4746915102005005, -0.17073865234851837, -1.0600024461746216, 0.6044156551361084, 0.6264654397964478, 0.28819191455841064, 0.3067483603954315, -0.12232504040002823, -0.4940873086452484, -0.3010631203651428, -0.19514532387256622, 0.23258554935455322, -1.0920636653900146, -0.7776579856872559, 0.025024913251399994, -0.39555981755256653, 0.9926353096961975, 0.42968428134918213, -0.048469677567481995, -0.5650638341903687, 1.0447417497634888, -0.17051057517528534, -0.22886313498020172, -0.7525030970573425, -0.25613436102867126, 0.9506075382232666, -0.3071255385875702, 1.2773642539978027, 1.5201044082641602, 0.5729954838752747, -0.7470566034317017, -1.0442246198654175, 0.12441999465227127, 1.3094308376312256, 0.5925518870353699, -0.2728426456451416, -0.12022420018911362, -1.2225595712661743, 0.5891972184181213, -0.007076961919665337, 0.6575520038604736, -0.16109701991081238, -0.8271903991699219, -0.37647512555122375, 0.07210508733987808, -0.10128609091043472, 0.24256539344787598, 0.7285532355308533, 0.8640963435173035, 0.7712340950965881, -0.03194872662425041, -0.5669834613800049, -0.1066291555762291, -0.5714278221130371, 0.27206549048423767, -0.5624411106109619, 1.1208049058914185, -0.23778609931468964, -0.21651244163513184, 0.30318424105644226, 0.09036591649055481, -1.6132709980010986, 1.3487266302108765, 0.45238739252090454, 0.05299539864063263, -0.8267735242843628, -0.4816482365131378, 1.4808155298233032, -1.4044287204742432, -0.6763692498207092, 0.40988895297050476, 0.05165112763643265, 0.014400250278413296, 0.6373387575149536, -0.16021102666854858, 0.3479328751564026, 0.645883321762085, 0.7821977138519287, -0.6833906769752502, -0.6965326070785522, 0.620222806930542, 0.937779426574707, 0.8472306728363037, -0.28651583194732666, 0.23324382305145264, 0.7948512434959412, -0.9575700759887695, 0.9745959639549255, 0.09650257229804993, 0.05722447857260704, 0.2946597933769226, 0.21995407342910767, -0.0722837895154953, -0.7042474150657654, -1.3407481908798218, 0.12951341271400452, -0.14691895246505737, 1.450419306755066, -0.6809360980987549, 0.15147128701210022, -1.4090481996536255, 0.36587852239608765, -0.9281785488128662, -0.027418198063969612, -0.28374001383781433, 0.687019407749176, 0.04569622874259949, -0.42106756567955017, -0.8150333166122437, -0.08002977818250656, 1.4996240139007568, -1.2023786306381226, 0.12238159775733948, 0.11311307549476624, 0.52510666847229, 0.16850706934928894, -0.529094398021698, -1.7739192247390747, -0.4035526216030121, 0.8905184864997864, 0.513175368309021, -0.46676889061927795, -1.004534125328064, -1.1912287473678589, -0.2592029869556427, 1.4869343042373657, -0.09963831305503845, -0.6526340246200562, -1.2820374965667725, 0.43747931718826294, 0.33016443252563477, 0.7225846648216248 ] ]
{ "indices": [ 1911071232, 3009698197, 695926169, 1786548735, 2194093370, 662754433, 1075238684, 2351523834, 2257684172, 2067848296, 1551089265, 4075116728, 691409538, 1830401145, 3076736765, 4119782854, 3476027533, 1960040400, 4186256544, 3688822001, 1590456296, 2006536704, 2516295656, 1041011349, 3713535024, 2874966370, 3352697882, 3174900812, 168664787, 647928480, 1063320047, 3377905009, 1236542976, 2394752843, 997012898, 2391722386, 2578007438, 4022367199 ], "values": [ 0.4299508493493549, 0.6013505282996094, 0.6013505282996094, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.693505763745749, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.7510542103959614, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549 ] }
{ "context": "So what should be put on the bus? Nothing, right? We could technically probably leave one as a don't care, but let's not do that kind of thing. Because then we have to worry about well, so what bits go where? So we'll just set them all to zero. So nothing's on the bus. It's just floating. So what MUXs matter? Yeah, this one is set though, right? This is not in our control. This one does matter, but MIO enable, we're going to have to make sure it's turned on to get the memory output into the MDR." }
188038
[ [ 0.16418740153312683, -0.783584713935852, 0.31014108657836914, 0.22515220940113068, 0.1726742535829544, 0.06831872463226318, -0.8453232049942017, 0.3538948893547058, 0.29367658495903015, -0.06521053612232208, 0.4590921998023987, 0.5324519872665405, 0.41387805342674255, 0.24592159688472748, 0.155595064163208, -0.2020551860332489, 0.6003186702728271, -0.6036330461502075, -0.1736152023077011, -0.43186432123184204, 0.2254251390695572, 0.45314449071884155, -0.12946243584156036, -0.3684762418270111, -0.8543329238891602, 1.067315936088562, 0.20919959247112274, -0.8785083293914795, -0.11114801466464996, 0.7755651473999023, -0.30938538908958435, -1.270577311515808, 0.8772501349449158, -0.5621289610862732, 2.0296645164489746, 0.23975397646427155, -0.15508507192134857, -0.3664124310016632, 0.39642950892448425, 0.7332424521446228, -0.6393240690231323, 0.663425624370575, -0.35260048508644104, 0.30494508147239685, -0.8783565163612366, 0.3590104579925537, -0.2622273564338684, -0.02266846038401127, 1.1494868993759155, -0.6628760695457458, 0.9500198364257812, -0.2764647901058197, 1.0202429294586182, -0.05896991863846779, 0.01353257056325674, 0.5798935294151306, 0.975622296333313, 1.9033336639404297, 0.3264315724372864, -1.1981723308563232, 0.037032872438430786, -0.3863239288330078, -0.5486302971839905, -0.5701969861984253, -0.08960650861263275, 0.021739967167377472, -0.5353708267211914, -1.3365838527679443, 0.5277453064918518, -0.02975112572312355, -0.6022802591323853, 0.4975389540195465, 0.44858837127685547, 0.0672498419880867, 0.4491329491138458, -0.7247028946876526, 0.33732378482818604, 0.5393154621124268, 0.23446525633335114, 0.8251926302909851, -0.3837522864341736, 0.3897983133792877, -0.5957580208778381, 0.0443662665784359, 0.5349118113517761, -0.917136013507843, 1.4234025478363037, -0.6013690829277039, 0.3498547673225403, 0.6985341906547546, 0.6146838665008545, -0.41104140877723694, 1.6136842966079712, 0.1647152602672577, -0.8377777934074402, -0.1527383178472519, 0.47150275111198425, 1.0458838939666748, 0.16738012433052063, -0.9466345310211182, 0.5556249618530273, -0.7683718800544739, -0.23814688622951508, 1.7490875720977783, 0.21994410455226898, 0.4298437237739563, 0.02812724933028221, -0.32674258947372437, -0.9910870790481567, 0.5321781039237976, -0.82762610912323, 1.1421393156051636, -0.739046573638916, 0.620277464389801, 0.1007213220000267, -0.7493335008621216, -0.45403310656547546, -0.5353721380233765, 1.0092098712921143, 1.2075437307357788, 0.5625256896018982, 0.515932559967041, 0.8262484669685364, -0.4198558032512665, -1.6025556325912476, 0.882236123085022, 0.2850967049598694, -0.0350424125790596, -0.46059948205947876, 0.7205434441566467, 0.1225283145904541, 0.12350698560476303, -0.2389913648366928, 0.14301598072052002, 0.2928866744041443, 0.19164195656776428, -2.0070598125457764, -1.0616345405578613, 0.7757681012153625, 0.09403865039348602, 0.0033748107962310314, -2.0246357917785645, 0.1666438728570938, 0.8661314249038696, 1.0373365879058838, 0.8129010796546936, 0.5982459187507629, 0.12368687987327576, -0.3677286207675934, 0.22427242994308472, -0.6426209211349487, 0.12059193849563599, -0.989128828048706, 0.21096578240394592, -0.7498842477798462, -1.5905277729034424, 0.3318670988082886, -0.4279562830924988, -0.4716772139072418, 0.4181067645549774, 0.12437348067760468, -0.2785399258136749, 0.11625403165817261, -0.30398431420326233, -1.0187220573425293, -0.7759574055671692, 1.4162297248840332, -0.18837110698223114, 0.3384067714214325, 1.2381559610366821, -0.0074668461456894875, 0.19854776561260223, 0.2680480480194092, 0.26389166712760925, 1.269137978553772, -0.570499062538147, 0.4760860204696655, -0.16146406531333923, -0.28445684909820557, 0.290112167596817, 0.1222245991230011, -0.5799102187156677, -0.056243762373924255, 1.4175498485565186, 0.11029593646526337, 0.2621059715747833, -0.5125479102134705, -0.686201274394989, 0.9727385640144348, -0.8217523097991943, -0.5802830457687378, -0.890192449092865, 0.7852573990821838, -0.19073544442653656, -0.3418489396572113, -0.6897917985916138, -0.6653211116790771, -0.20530296862125397, 0.42113202810287476, -0.8553274273872375, -0.8984364867210388, 0.6630619168281555, 0.38760843873023987, -0.41002121567726135, 0.1898813247680664, 1.24996018409729, 0.9669312834739685, -0.568052351474762, 0.8666561245918274, -0.638564944267273, -0.5273173451423645, 0.3238109350204468, -0.5190237760543823, 0.1344684511423111, 0.4509458541870117, -0.40447351336479187, 0.11644624173641205, 0.27526992559432983, -0.5401089787483215, -0.3745918273925781, -1.3157795667648315, 0.753196120262146, 1.8098862171173096, 1.0477746725082397, 0.5173407793045044, 0.2185012847185135, -0.3419184684753418, -0.15116974711418152, 0.47924789786338806, -0.6506274938583374, -0.24555471539497375, -0.9611318111419678, 0.4820367097854614, 0.30579549074172974, 0.17221520841121674, 0.03628292679786682, 1.3428303003311157, 0.839469313621521, 0.9680991172790527, 0.6051881313323975, -1.1486035585403442, 1.1971092224121094, 0.3500281572341919, -0.0314766950905323, 0.3207560181617737, 1.3619227409362793, -0.03404076024889946, 1.0989861488342285, -0.44959279894828796, 0.07960916310548782, -0.8837775588035583, 0.7476813793182373, 0.4371809959411621, -0.8738589286804199, 1.0099209547042847, -1.4488914012908936, 0.10758909583091736, -0.9450616240501404, -0.01407608762383461, 1.0281492471694946, -0.26145079731941223, -0.26245567202568054, -0.8780128955841064, -0.6505923867225647, 0.2814309298992157, 0.4143112599849701, -0.2560892105102539, -0.8458626866340637, 1.5507915019989014, -0.2712790071964264, 0.7946293950080872, 0.7903053164482117, 0.1748218983411789, -0.5678300261497498, 0.20336271822452545, 0.8895109295845032, -0.443116158246994, 1.1393457651138306, -0.3680305778980255, -0.27036893367767334, -0.09137267619371414, 0.1562129557132721, 0.21564173698425293, -0.7102470397949219, -1.640358328819275, 0.4806443154811859, -0.5384803414344788, -1.0140010118484497, 0.707714319229126, 0.36575767397880554, -0.70880126953125, 0.6438599228858948, -0.02404775656759739, -0.6775599122047424, 0.04603573679924011, 0.5162099599838257, 0.20898567140102386, 0.5243698954582214, -0.33156150579452515, 0.8026678562164307, 0.11876586079597473, -1.1194912195205688, 0.3599739670753479, -1.1583411693572998, -0.7549839615821838, 0.15962056815624237, -1.0755115747451782, -0.4363570213317871, -0.3369660973548889, 0.12668092548847198, -0.0037947583477944136, -0.6812902092933655, -0.2762407660484314, -1.2835757732391357, -1.5533270835876465, 0.22854603826999664, 0.16464823484420776, 1.1180769205093384, -0.3311169445514679, -0.5921133756637573, 1.0073778629302979, 0.8977823853492737, -0.8044252991676331, -0.545006513595581, -0.0726790502667427, -0.1658245325088501, -0.6833745241165161, -0.34059950709342957, 0.6028936505317688, 0.6292482018470764, -0.03868091478943825, -0.34702783823013306, 1.0560170412063599, 1.0138179063796997, -0.06286826729774475, -0.8269841074943542, 0.41836339235305786, 0.18292087316513062, -0.8948863744735718, 0.07895131409168243, 0.46224337816238403, 0.4133724868297577, 0.47516316175460815, 0.21007433533668518, 0.7288931608200073, 0.41510283946990967, -0.5431057810783386, 0.288614422082901, -0.09466888755559921, 0.5090259313583374, -0.029944024980068207, -0.09557463973760605, -0.06389998644590378, -0.4706537127494812, -0.883292555809021, 0.40315940976142883, 0.7585091590881348, -0.3618813455104828, 0.02433706447482109, 0.9303522706031799, 0.6556966304779053, -0.34290310740470886, -0.36367490887641907, -0.6800103783607483, 0.09638285636901855, 0.20471960306167603, -0.6200294494628906, -0.04039281606674194, 0.9089343547821045, 0.3462580144405365, 0.42996710538864136, 0.5222029089927673, -0.11548924446105957, -0.7484840154647827, -1.4768884181976318, -0.6492404341697693, 0.09548710286617279, 1.1523807048797607, 0.29500484466552734, 1.1474777460098267, -0.1748114377260208, 0.07255291193723679, -0.24651217460632324, 0.2656514644622803, -1.3801969289779663, 0.4628985822200775, 0.45658934116363525, 0.3227042555809021, 0.10640574246644974, -0.03866070508956909, 0.4305906295776367, -0.08014507591724396, -0.7629624605178833, -0.30712974071502686, -0.27649345993995667, -0.8643183708190918, 0.3021754026412964, -0.36116376519203186, -0.035760875791311264, 0.31979408860206604, 0.22299255430698395, 1.7783260345458984, 0.7201379537582397, 0.4977776110172272, 0.1138540729880333, 0.17716330289840698, -0.39916104078292847, -0.4129636883735657, 0.7442341446876526, 0.4022466242313385, 0.7466749548912048, 0.8934516906738281, 0.6201589107513428, -0.8329440951347351, -0.12057158350944519, 0.03242872282862663, 0.7989722490310669, 0.8791103363037109, 0.456515908241272, -0.4661480784416199, -0.49543309211730957, 0.1002812534570694, 0.4143807888031006, 0.8925965428352356, -0.9450550079345703, 0.9841765761375427, 0.5495641827583313, -0.3234413266181946, 0.48243334889411926, 1.2831226587295532, 1.0158334970474243, -0.07400301098823547, -0.6908940076828003, -0.837083101272583, -0.14146333932876587, 0.24619793891906738, -0.39734601974487305, 0.2989252805709839, 0.7006485462188721, 0.21400080621242523, -0.8643457293510437, 0.5854921340942383, -0.5431666374206543, -1.646924614906311, 0.1852969229221344, -0.3968977630138397, -0.6628554463386536, -0.4505894184112549, 0.9160793423652649, 0.2667112350463867, 0.7218909859657288, -0.18254338204860687, -0.058690063655376434, -0.4538777768611908, -2.6379878520965576, -0.16681961715221405, 0.47314244508743286, 0.3430294096469879, -0.43129709362983704, 0.12136296927928925, -0.6534411311149597, -0.829376757144928, 0.6491028070449829, -1.1484501361846924, 0.9295021295547485, -0.9910171031951904, -0.15302668511867523, -0.21970991790294647, -1.7572286128997803, -1.190526008605957, 1.3962353467941284, -0.001365447649732232, 0.2523266673088074, 0.15953554213047028, -0.13864417374134064, 0.19504648447036743, 0.824609637260437, 0.4063725173473358, 0.32929733395576477, -0.045896753668785095, -0.5165616273880005, 0.27746230363845825, 0.7751518487930298, -1.581915020942688, -0.8801124095916748, 0.4144461750984192, -0.865237832069397, -0.62657231092453, 0.5409626960754395, -0.4938752055168152, -0.31464534997940063, 0.5299766659736633, -0.13958638906478882, -0.7345234751701355, -0.5101122856140137, -1.4308745861053467, 0.6641532182693481, -0.2570158839225769, 0.6397460699081421, 0.7675836682319641, 0.18963177502155304, -0.4428630769252777, -0.047220174223184586, -0.004194729030132294, 0.4099868834018707, -0.3954787254333496, 0.637215793132782, -0.7563377022743225, -0.7757137417793274, -0.42116278409957886, 0.9487059712409973, -0.24936668574810028, -0.032856591045856476, -0.08571922034025192, -0.09386399388313293, -0.23438988626003265, 0.9464715719223022, -1.0114006996154785, 0.2937692403793335, -0.2639007270336151, 0.22242790460586548, -0.7806760668754578, 0.18936756253242493, 0.42258524894714355, -1.4230682849884033, 0.4008050858974457, 0.6120932102203369, 0.5842046141624451, 0.4870036840438843, -0.6007097959518433, 0.7383817434310913, 0.08449564129114151, -1.1265283823013306, 0.8431380987167358, 0.18245021998882294, 0.8880614638328552, 0.17686840891838074, -0.8198996186256409, -0.5970324873924255, 0.6885634064674377, 0.24634496867656708, 0.22080661356449127, 0.045187968760728836, 0.5633713006973267, 0.8568710684776306, 0.07055935263633728, -0.5255157351493835, -1.1821763515472412, -0.19392581284046173, 0.2951352596282959, 0.00395281333476305, -0.8646738529205322, 0.14577357470989227, 0.4221091866493225, -1.3031948804855347, 0.4773605167865753, 1.6565070152282715, 0.3924178183078766, 0.09995768219232559, 0.3537750840187073, 0.008116059005260468, 1.132662296295166, 0.5276505947113037, 0.5147526860237122, 0.22270891070365906, -0.11249024420976639, -0.8641302585601807, -0.9510380029678345, -0.9144054651260376, -0.477453351020813, -0.05813552439212799, -0.7799915671348572, -0.5758452415466309, 0.9937720894813538, 0.13463348150253296, 0.7821397185325623, 0.04068410024046898, -1.203494668006897, -0.46170949935913086, -0.8932374119758606, -0.5939099788665771, -0.25941646099090576, 0.5559571385383606, 0.41303616762161255, 0.28526759147644043, 0.9960694909095764, -0.6423496007919312, 0.40983691811561584, 0.2824828326702118, 0.3842330276966095, 0.36631810665130615, 1.783479928970337, 0.20847603678703308, 0.6040633320808411, 1.2529056072235107, 0.5782483816146851, 0.8844362497329712, -0.5777810215950012, 0.13823559880256653, 0.757339358329773, 0.27296867966651917, -0.17160622775554657, -0.10847523808479309, -1.0366785526275635, 0.7414674758911133, 1.134145736694336, -0.7359524965286255, 0.6437953114509583, -0.3870961368083954, 0.46812447905540466, -0.342267245054245, 0.6708076000213623, -0.8432918787002563, 0.5258751511573792, -0.367666095495224, 1.7797776460647583, -1.3770301342010498, -0.43915775418281555, 1.141289234161377, 0.9578154683113098, 0.673937201499939, 0.39639291167259216, 0.478561133146286, -0.19399961829185486, -0.4951590299606323, -0.5245943069458008, -0.6373060941696167, 1.0141191482543945, -2.024160623550415, 0.8635786771774292, 0.1021421030163765, -0.20225510001182556, 0.46017155051231384, 0.5224226713180542, 0.057164452970027924, -1.0800509452819824, -0.6426251530647278, -0.8498165607452393, 0.23213782906532288, 0.35634753108024597, -0.44959425926208496, 0.017988989129662514, 0.12720775604248047, 1.0198925733566284, -0.24469269812107086, 1.0507253408432007, -0.711087703704834, -1.9159451723098755, -0.09187532961368561, -0.6553884744644165, -1.0278245210647583, 1.5449680089950562, 0.24386224150657654, -0.5789930820465088, 1.1549590826034546, -0.3834248483181, 0.7722009420394897, 0.6750677227973938, 0.5934867262840271, -0.03440174460411072, 1.280052661895752, -0.20338872075080872, -0.28452369570732117, -0.33783063292503357, -0.765296459197998, 5.1109299659729, 1.382797360420227, -0.19291076064109802, -1.7727351188659668, 0.016325127333402634, -1.3287559747695923, 0.030900176614522934, -0.0669604018330574, -0.030153630301356316, 0.03288201615214348, 0.7227649688720703, 1.368188738822937, 0.7661697268486023, -0.19246768951416016, 0.7444767355918884, 0.9218485355377197, -0.6978541612625122, -0.3331068456172943, 1.0927485227584839, -0.16000661253929138, -0.06997887045145035, -0.7196359634399414, -0.9212287664413452, -0.8877725601196289, 1.2410491704940796, 0.1275765299797058, 0.8722119331359863, -0.7266194224357605, 0.9575551152229309, -0.33434978127479553, -0.416637659072876, 0.8466349840164185, 0.4383474886417389, 0.9620742201805115, 0.195196270942688, 0.16572453081607819, -0.1051398366689682, -0.14090090990066528, -0.4479818344116211, 0.26441556215286255, 0.4374614655971527, -0.4772694408893585, -0.5191238522529602, -0.3592713177204132, -1.1068402528762817, 0.11361505091190338, -0.6931500434875488, -0.6861130595207214, 0.5975766777992249, -0.14650845527648926, -0.27065786719322205, 0.5333752632141113, -0.5535134673118591, -0.9063325524330139, -0.6427808403968811, 0.6393476724624634, 0.3541989028453827, -0.07883673906326294, -0.31430697441101074, -0.8903512358665466, 0.22547973692417145, 0.09984654933214188, 0.334665983915329, -0.016728930175304413, 0.6216336488723755, 0.06562734395265579, 0.3383157551288605, 1.5757724046707153, -0.20925158262252808, -0.49331939220428467, -0.8295178413391113, 0.3802993595600128, -0.4250694811344147, -0.6117928624153137, -0.379763126373291, 1.1850963830947876, -0.3980746865272522, 0.18060865998268127, -0.6287205815315247, -0.5112906694412231, -1.2677321434020996, 0.12533842027187347, -0.23822270333766937, 0.29546281695365906, -0.5910676121711731, -0.6536528468132019, -0.3537130057811737, 0.37248244881629944, -0.4396384656429291, 0.07082317024469376, 0.030625103041529655, 1.4751842021942139, 0.5840993523597717, -0.5984863042831421, -0.46947598457336426, 0.21694277226924896, -1.7350928783416748, -0.1574109047651291, -1.3142290115356445, 1.409988522529602, 0.6549217104911804, -0.3747149109840393, -0.18315117061138153, 0.7768908739089966, -1.0908825397491455, 0.15656597912311554, 0.6937733292579651, -0.8551582098007202, -0.1969681680202484, -0.0029203458689153194, 0.6655627489089966, -1.5167200565338135, -0.7400095462799072, -0.5645250082015991, -0.13080693781375885, 0.15218479931354523, -0.1999840885400772, -0.6450108885765076, -0.21050401031970978, -0.5853221416473389, 0.5935413241386414, 0.6454850435256958, 0.44466274976730347, -0.7112736701965332, -0.28467631340026855, 0.16844375431537628, -1.1075752973556519, -0.76341313123703, 0.30647537112236023, -0.9519588947296143, 0.19946300983428955, 0.03156435489654541, -0.4489406645298004, 0.5756316184997559, -0.8713875412940979, 0.05643140897154808, 0.16421298682689667, 0.4733106195926666, -1.1262457370758057, -0.07330237329006195, 0.42641040682792664, -0.2861011028289795, -0.38397476077079773, 1.0506430864334106, -0.2921227514743805, 0.20999792218208313, 0.3043901324272156, 0.07703699916601181, 1.1552977561950684, 0.9256656765937805, -1.1068795919418335, -0.9478572607040405, 0.2221590280532837, 0.4547255337238312, 0.1794886589050293, 0.25787556171417236, 0.017667852342128754, -0.0903145894408226, -0.6114723086357117, 0.34287092089653015, 0.7623869180679321, 0.6790765523910522, -0.12999658286571503, -1.0457082986831665, -0.2557294964790344, -0.008469945751130581, -0.2752310037612915, 0.7343701720237732, 0.010338489897549152, 0.153290793299675, 0.4528768062591553, -0.1232304722070694, -0.036204997450113297, 0.371437668800354, -0.9813700318336487, -0.22178561985492706, -0.19973303377628326, 0.8664491772651672, 0.9817981719970703, -0.6363947987556458, -0.09084949642419815, 0.43029046058654785, -1.6665668487548828, 0.8811962604522705, 0.07950884848833084, -1.071211814880371, -0.41894543170928955, 1.3339089155197144, -0.253935843706131, 0.14551247656345367, 0.21792921423912048, -0.44337764382362366, 0.9011207818984985, 0.6210094690322876, -0.5712489485740662, -0.6658641695976257, 1.0899239778518677, 0.6790531873703003, 0.16129295527935028, -0.4711155593395233, 0.2032458782196045, -0.4954901933670044, -1.4273022413253784, -0.0751231461763382, -1.5493252277374268, 0.6707918643951416, 0.13193589448928833, 1.0297504663467407, -0.23214520514011383, 0.5227480530738831, -0.23761773109436035, 0.2299245297908783, -0.023368336260318756, -0.08998050540685654, -0.07320237159729004, 0.9427546858787537, -0.5931534171104431, -0.20846162736415863, 0.402753084897995, -0.08853066712617874, -0.38863837718963623, 0.08486108481884003, -1.6539095640182495, -0.20561783015727997, 0.11437851935625076, -0.11239483207464218, 0.21259847283363342, -0.7358072996139526, -0.34261372685432434, -1.2284820079803467, 0.03372789919376373, 0.40889009833335876, 0.9411793351173401, 0.23599502444267273, 0.000032287094654748216, -0.8783822655677795, -0.2949371933937073, 0.268052339553833, 0.4716498851776123, -1.3248997926712036, -1.0032577514648438, 0.04095914959907532, 0.07303456962108612, 0.34888678789138794, 0.9261337518692017, -0.21756070852279663, -0.8866452574729919, 0.7800456285476685, -0.28515076637268066, 0.07878334075212479, -1.007022738456726, 0.33367103338241577, 1.1573306322097778, -0.6480454802513123, 0.8864057660102844, 0.5705893039703369, 0.8903788328170776, -0.2697867155075073, -0.4730371832847595, -0.3230489194393158, 1.093255639076233, 0.5686702728271484, -0.05939128249883652, 0.3044346570968628, -1.5875378847122192, 0.15772981941699982, 0.4005524814128876, 0.05864422768354416, 0.09024310857057571, -0.43270108103752136, -0.30469271540641785, 0.3651670515537262, 0.5400874018669128, -0.08411137759685516, 0.6321334838867188, 0.46020111441612244, 0.6159741282463074, 0.5311302542686462, -0.2957025468349457, -0.0065625510178506374, -0.09974740445613861, 0.6029446125030518, 0.18687260150909424, 1.0683743953704834, -0.6305373907089233, 0.3349834382534027, 0.5615867376327515, -0.5023202300071716, -0.864608645439148, 1.0328965187072754, 0.15401394665241241, -0.4195220470428467, -0.8979558348655701, -0.6234021782875061, 1.0242629051208496, -1.7317487001419067, -0.03489774093031883, 0.7223645448684692, 0.05818711966276169, -0.19322681427001953, 0.4206233620643616, 0.1039886325597763, 0.3076205849647522, 0.8044589757919312, 0.6984685659408569, -1.1573632955551147, -1.1014368534088135, 0.2622472643852234, 0.5142508745193481, 0.2536676824092865, -0.7593280673027039, 0.7059712409973145, 1.1189892292022705, -0.2928030490875244, 1.4777467250823975, 0.11952850222587585, 0.8614981174468994, 0.13105662167072296, 0.3739931285381317, 0.3918755054473877, -0.41261038184165955, -0.7936134338378906, -0.07699515670537949, 0.41366904973983765, 1.7769502401351929, -0.6628120541572571, 0.40772926807403564, -0.6261435151100159, 0.3201856315135956, -0.8232746720314026, 0.30248698592185974, -0.6912575960159302, 0.3053578734397888, -0.09469415247440338, -0.5151512622833252, -1.3844724893569946, 0.014665725640952587, 0.7057644724845886, -1.080332636833191, -0.14799240231513977, -0.2031835913658142, -0.019224852323532104, -0.28527456521987915, -0.43164554238319397, -1.5014135837554932, -0.5862859487533569, 0.9321036338806152, 0.3728393614292145, -0.1332402378320694, -1.3774367570877075, -1.1140044927597046, -0.32239970564842224, 1.3757209777832031, -0.4545292854309082, -0.023565489798784256, -0.8131977319717407, -0.1486402302980423, 0.2320406138896942, 0.18108831346035004 ] ]
{ "indices": [ 1041011349, 3713535024, 2874966370, 2257684172, 1590456296, 3352697882, 1786548735, 3174900812, 168664787, 647928480, 1063320047, 4186256544, 3377905009, 1236542976, 691409538, 2394752843, 997012898, 2391722386, 2578007438, 4022367199, 448220673, 3741174264, 640124220, 3748190670, 3172858508, 1960040400, 1181726436, 1491351846, 3449948193, 2542944140, 2516331022, 1904070401 ], "values": [ 0.4460095183914834, 0.616883240004695, 0.4460095183914834, 0.616883240004695, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.616883240004695, 0.616883240004695, 0.616883240004695, 0.616883240004695, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.7071961376506675, 0.4460095183914834, 0.4460095183914834, 0.7071961376506675, 0.4460095183914834, 0.616883240004695, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834, 0.4460095183914834 ] }
{ "context": "So what MUXs matter? Yeah, this one is set though, right? This is not in our control. This one does matter, but MIO enable, we're going to have to make sure it's turned on to get the memory output into the MDR. This path is actually what we use for stores. We set up the MDR before we write the bits into memory. But when we're fetching, we need to read memory. So that's coming through here, and it's already going to be controlled by MIO enable signal." }
175739
[ [ 0.06954526901245117, -0.47052285075187683, 0.1500462293624878, 0.3733065128326416, 0.9426124095916748, 0.21188725531101227, -0.3268270492553711, 0.6016319394111633, 0.6729736328125, -0.6306918859481812, 0.8344595432281494, 0.9251505732536316, 0.7740822434425354, 0.5592717528343201, 0.2755494713783264, -0.3171813488006592, 0.7399824261665344, -0.41100770235061646, 0.03515668213367462, 0.030426256358623505, 0.9849439263343811, -0.49031469225883484, -0.4127480387687683, -0.1772077977657318, -0.48595553636550903, 1.044143795967102, 0.16559015214443207, -0.690961480140686, 0.16419143974781036, 0.4555829167366028, -0.6940065622329712, -1.1500554084777832, 0.6518077254295349, -0.05877668783068657, 1.319765329360962, 0.0378231555223465, -0.7326059937477112, 0.11883099377155304, 0.3441765308380127, 0.8963444232940674, -0.06558538973331451, 0.26141390204429626, -0.5469294786453247, 0.7940340638160706, -1.4587494134902954, 0.4251675307750702, -0.5681686997413635, -0.22091007232666016, 1.2638154029846191, -0.8008853793144226, 1.0017257928848267, -0.4300457537174225, 0.5829808115959167, 0.7893965840339661, 0.2751968204975128, 0.5900364518165588, 1.0054750442504883, 1.6086030006408691, 0.2696656882762909, -1.3235992193222046, -0.03843774273991585, -0.36717885732650757, 0.6997154355049133, -0.43409842252731323, -0.06895039230585098, -0.08399801701307297, -0.556388795375824, -0.3960082232952118, 0.3068469762802124, -0.6237590312957764, -0.4309017062187195, 0.08061609417200089, 0.5759084224700928, 0.513454794883728, 0.6092322468757629, -0.5302589535713196, 0.1707441359758377, 0.0854581743478775, 0.6121370792388916, 0.6516544222831726, -1.143453598022461, 0.21706382930278778, -0.5941537022590637, 0.6955676674842834, 0.4002353549003601, -0.8887715935707092, 1.602376103401184, -0.7320919632911682, -0.012407652102410793, 0.8349348902702332, 0.531428337097168, -0.11779133230447769, 1.4695929288864136, -0.023765211924910545, -0.43502500653266907, 0.0031650925520807505, 0.13346189260482788, 0.9042311906814575, 0.9237731695175171, -0.12404827773571014, -0.14144708216190338, -1.2928476333618164, 0.27311694622039795, 1.6764700412750244, -0.26305797696113586, 0.34864962100982666, 0.011784703470766544, -0.08936917781829834, 0.17649617791175842, 0.6134300231933594, -0.8651060461997986, 0.42331477999687195, 0.5010741949081421, 1.1613636016845703, -0.5449308753013611, -0.4109151065349579, -0.9977083802223206, -0.4316195249557495, 1.3848398923873901, 0.624294638633728, 0.9913231134414673, 0.24953943490982056, 0.6451199650764465, -1.2816704511642456, -2.5043842792510986, 0.44399794936180115, 0.35845130681991577, -0.31411096453666687, -1.1003756523132324, 0.2511236071586609, 0.5523039698600769, -0.31515437364578247, 0.19870367646217346, -0.12027238309383392, 0.6262885332107544, 1.0563470125198364, -2.1747944355010986, -1.3042608499526978, 0.9437898397445679, 0.15741395950317383, -0.7583113312721252, -1.2941315174102783, 0.5070047974586487, 0.6688291430473328, 1.3309071063995361, 0.31622669100761414, 0.5865307450294495, 0.2136758714914322, -0.27527138590812683, 0.7058836221694946, -0.6043707132339478, 0.05734932795166969, -1.3202414512634277, -0.4917445182800293, -0.5253385901451111, -0.0746370404958725, 0.04267568141222, -0.07800577580928802, -0.37397128343582153, -0.03628767654299736, 0.793057382106781, -0.13327868282794952, -0.3428056240081787, -0.06208352372050285, -1.1227569580078125, -1.0391069650650024, 1.942628026008606, -0.22607383131980896, 0.16409698128700256, 1.1573923826217651, -0.15529020130634308, -0.15168613195419312, 0.02312767691910267, 0.23188281059265137, 1.1194747686386108, -1.2650089263916016, -0.36360371112823486, -0.5609836578369141, 0.015906555578112602, -0.038810838013887405, -0.5352885723114014, -0.6052369475364685, 0.3159883916378021, 1.1250642538070679, 0.3579912483692169, 0.1816636621952057, -0.4540982246398926, 0.258208692073822, 1.3970072269439697, -0.8342982530593872, -0.6907503008842468, -1.3642373085021973, 0.19124770164489746, -0.1663714200258255, -0.9640238285064697, -0.8823230266571045, -0.6668620109558105, 0.3480946719646454, 0.4946155548095703, -0.740047812461853, -1.263366937637329, 0.7500413656234741, 0.7261158227920532, -0.8975782990455627, 0.08599574118852615, 0.8450130224227905, 0.9871419668197632, -1.2349083423614502, 0.683931827545166, -0.45846641063690186, -0.07239164412021637, 0.8586261868476868, 0.06491158157587051, 0.18360649049282074, 0.4614350497722626, 0.002150654559955001, 0.2677256166934967, 0.49957337975502014, -0.31609225273132324, 0.3440007269382477, -0.6923595070838928, 0.9176467061042786, 1.9995348453521729, 1.4061013460159302, 0.035961803048849106, 0.5910953879356384, -0.02967771701514721, 0.6516776084899902, 0.19303978979587555, 0.09080830216407776, -0.828700840473175, -1.6449657678604126, -0.33259016275405884, 0.5030962824821472, -0.6122758388519287, 0.31898218393325806, 2.0345749855041504, 0.4043707847595215, 0.38010072708129883, -0.21648234128952026, -0.9633318781852722, 1.0510094165802002, 0.5567562580108643, 0.698482096195221, 0.472556471824646, 1.4130380153656006, 0.33438605070114136, 1.420621395111084, -0.8597011566162109, 0.5192894339561462, -0.5092002749443054, 0.8149767518043518, 0.11444749683141708, -0.4216667711734772, -0.13758473098278046, -1.033859133720398, 0.5402685403823853, -1.1425973176956177, -0.5312357544898987, 0.15581518411636353, -0.3495664894580841, -0.38352176547050476, -0.5023296475410461, -0.8431163430213928, -0.1189580112695694, -0.21290796995162964, -0.2436460256576538, -0.6170441508293152, 0.7766582369804382, -0.8723620176315308, -0.1296391487121582, 0.1505967229604721, -0.32105517387390137, -1.2035248279571533, 0.4135461151599884, 0.6448528170585632, -0.707839846611023, 0.7475464344024658, -0.9352993965148926, -0.9585990309715271, -0.7605167627334595, 0.10191763192415237, 0.7838361263275146, -0.3089078962802887, -2.1801021099090576, -0.12672460079193115, -0.5945548415184021, -1.156611680984497, 1.0578757524490356, 0.008584326133131981, -1.307956576347351, 0.7646392583847046, 0.010847377590835094, -0.9888582229614258, 0.10250557959079742, -0.16446994245052338, 0.0628575012087822, -0.0835447683930397, 0.19693760573863983, 0.7042338848114014, -0.06183750554919243, -1.412979006767273, -0.11890299618244171, -0.9073206186294556, -0.4392779469490051, 0.06941603869199753, 0.011181612499058247, -0.5824815630912781, -0.46189168095588684, 0.19059579074382782, 0.11916331946849823, -0.1281738579273224, -0.23863071203231812, -1.2418111562728882, -1.2980923652648926, -0.24252592027187347, 0.9328455328941345, 1.1038060188293457, -0.33248722553253174, -0.015246625989675522, 1.321807861328125, 0.48308050632476807, -1.0488784313201904, -0.27151215076446533, 1.0162794589996338, 0.4482755661010742, -1.1312541961669922, -0.2888944745063782, 1.1241997480392456, 0.37711000442504883, 0.26660478115081787, -0.23366086184978485, 0.9630334973335266, 1.9927423000335693, -0.7217927575111389, -0.998140275478363, 0.056299980729818344, 0.044000547379255295, -0.3427709639072418, 0.4367181062698364, 0.42452603578567505, 0.05076414346694946, 0.7785505652427673, -0.304302453994751, 1.0014961957931519, 0.4260287582874298, -0.6637572646141052, 0.9509661793708801, 0.37730273604393005, 0.6142471432685852, 0.13287317752838135, 0.1409446746110916, 0.6387223601341248, -0.9437242746353149, -0.5371922850608826, 0.3172283470630646, 0.997680127620697, -0.6608213782310486, 0.1027257889509201, 1.0466723442077637, 0.7015175819396973, 0.6088747382164001, -0.5149312019348145, -0.09060203284025192, -0.029097160324454308, 1.1168668270111084, -0.5888919234275818, -0.1972932070493698, 0.2291772961616516, 0.024505775421857834, 0.038819365203380585, 0.10417210310697556, -0.4986138939857483, -0.6671784520149231, -1.4955811500549316, -1.083571434020996, 0.7840523719787598, 1.2811768054962158, 0.4831062853336334, 0.560616672039032, 0.20276464521884918, 0.2451918125152588, -0.27472808957099915, -0.17690393328666687, -1.4390159845352173, 0.3752496540546417, 0.501062273979187, -0.21476538479328156, 0.5234007239341736, -0.5982343554496765, 0.2834194302558899, -0.581670880317688, -0.953295111656189, -0.36867469549179077, -0.3519549071788788, -0.9192062616348267, 0.6012393832206726, 0.6411691904067993, -0.5276796817779541, 0.7313336730003357, 0.7046912312507629, 1.7016252279281616, 0.4634752869606018, 0.3066553473472595, 0.6022976636886597, -0.25336864590644836, -0.5344780087471008, -0.30449599027633667, -0.04044962301850319, 0.38367167115211487, 0.8954644203186035, 0.5958778262138367, 0.6849306225776672, -0.2734743356704712, 0.20110763609409332, 0.1517813801765442, 1.070263385772705, 0.3454327881336212, -0.3336484134197235, -0.21456469595432281, -0.22833581268787384, -0.5858661532402039, 0.4461509585380554, 1.1811529397964478, -0.33521372079849243, 1.7824891805648804, 0.6459497213363647, 0.5739085674285889, -0.32275429368019104, 1.332763910293579, 1.3676742315292358, -0.47874757647514343, -0.23206892609596252, -0.7812260389328003, -0.7612410187721252, -0.5418109893798828, -0.5763652324676514, 0.7509579658508301, 1.1848855018615723, 1.0957990884780884, -0.2550228238105774, 0.4374416172504425, -0.34443721175193787, -1.145308017730713, 0.14028571546077728, -0.7526370286941528, -0.4665066599845886, -0.13292409479618073, 0.1629539579153061, 0.384964257478714, -0.20424784719944, -0.7143703103065491, -0.2943863272666931, -0.9775456190109253, -2.3395519256591797, 0.19219884276390076, 0.6364179253578186, 1.2285338640213013, -0.6199648380279541, 0.16901296377182007, -1.2010293006896973, -1.4367411136627197, 0.45887356996536255, -0.5002372860908508, 1.2389458417892456, -1.1697540283203125, 0.4720974266529083, -0.5894884467124939, -0.9730686545372009, -0.7930635809898376, 0.49788427352905273, 0.13186649978160858, 0.27911075949668884, -0.5786318182945251, -0.010036132298409939, -0.35472622513771057, 0.67174232006073, 0.3343164026737213, 0.9378326535224915, -0.8554930090904236, -0.7281789779663086, -0.012324671261012554, 0.9057154655456543, -1.0835280418395996, -0.3116726875305176, 0.16133101284503937, -1.1504110097885132, -0.9886859655380249, 0.7202665209770203, -0.5835557579994202, -0.4136340916156769, 0.4396623373031616, 0.22244668006896973, -0.3312320113182068, -0.2272351235151291, -0.8205640912055969, 1.5130287408828735, 0.056771259754896164, 0.4071395695209503, 0.7241617441177368, 1.0395711660385132, -0.4106873571872711, -0.12258020788431168, -0.9599257707595825, -0.12743325531482697, -0.9833307266235352, 0.9584968686103821, -1.1300865411758423, 0.06057494878768921, -0.46347448229789734, 0.938312828540802, -0.04347795620560646, 0.20495560765266418, -0.45330798625946045, -0.6349055767059326, -0.4531763195991516, 0.635506272315979, -1.2899584770202637, 0.6069487929344177, -0.04812253266572952, -0.10885927081108093, -0.6063874959945679, -0.2154005616903305, 0.5186523795127869, -1.2673537731170654, 0.3897274434566498, 0.40535616874694824, -0.0846947506070137, 1.7095228433609009, -1.2233693599700928, -0.28726866841316223, 0.6608914732933044, -1.3797330856323242, 1.104095220565796, 0.6858803629875183, -0.10030917823314667, -0.07966281473636627, -0.6050862669944763, 0.25354084372520447, 0.1172223761677742, -0.5168970823287964, 0.10184650868177414, -0.16847212612628937, 0.7201237082481384, 0.10143377631902695, -0.2502475678920746, -1.0327013731002808, -1.4944407939910889, -0.562700629234314, 0.3323703706264496, -0.11026709526777267, -0.17367158830165863, -0.3762013614177704, 0.9929811358451843, -0.8951936364173889, 0.27059072256088257, 1.1682727336883545, -0.17512953281402588, 0.9150373935699463, 0.6230195760726929, 0.9784373044967651, 1.0344315767288208, 1.4296754598617554, 0.11244005709886551, -0.27119749784469604, 0.053429603576660156, 0.24002136290073395, -0.48216137290000916, -1.454253911972046, -0.6388447284698486, -0.11460976302623749, -1.2602207660675049, -0.47479021549224854, 1.3706544637680054, -0.5164159536361694, 0.1263306587934494, 0.15636295080184937, -0.3090828061103821, -0.5285696387290955, -0.10477297753095627, -0.3918777108192444, -0.18194489181041718, 0.6094759106636047, -0.44719985127449036, -0.010187080129981041, -0.07088293135166168, -0.537538468837738, -0.7104830145835876, 0.07810264825820923, 0.2971931993961334, 0.39784133434295654, 2.4029300212860107, 0.19546706974506378, 0.7156297564506531, 0.9960744380950928, 0.9892741441726685, 0.294076144695282, -0.47108539938926697, 0.8990500569343567, 0.8807793259620667, 0.6266945600509644, -0.20410043001174927, 0.5584173202514648, -0.7401653528213501, 0.5732578635215759, 1.3240017890930176, -0.5690571069717407, 0.5443592667579651, 0.2966926097869873, 0.37606000900268555, -0.7206026315689087, -0.1813902109861374, -0.8667781949043274, 0.7069668173789978, -0.2916969358921051, 1.8513809442520142, -1.6219329833984375, -1.5227564573287964, 1.4480403661727905, 1.4241806268692017, 0.04958966746926308, 0.22454474866390228, 0.14437830448150635, -0.4651097059249878, -0.6294666528701782, -0.5822506546974182, -0.6935434341430664, 1.0420422554016113, -1.3222498893737793, 0.6543174386024475, 0.12888477742671967, -0.15845122933387756, 0.558462917804718, 0.8050759434700012, -0.03815949708223343, -1.363364815711975, -1.2644331455230713, -1.5236384868621826, 0.8396964073181152, 0.40126878023147583, -0.2883545458316803, -0.3336324691772461, -0.3275563716888428, 0.8501679301261902, -0.5793066620826721, 0.6009209156036377, -0.48131030797958374, -1.6620820760726929, -0.6801417469978333, -0.4451793134212494, -0.9205708503723145, 1.2037941217422485, 0.3074270784854889, -0.536482572555542, 1.0350369215011597, -0.4378771185874939, 1.3006197214126587, 0.3274373412132263, 0.6430118083953857, 0.2512798309326172, 1.2953218221664429, -0.5228480696678162, 0.12524810433387756, -0.13782045245170593, -0.12398498505353928, 4.331815719604492, 1.1962321996688843, 0.1541147083044052, -1.971765398979187, 0.2619693875312805, -0.4079083502292633, 0.0796632468700409, -0.5577067732810974, 0.3821936547756195, 0.35991403460502625, 0.8458639979362488, 0.8321146368980408, 0.7259147763252258, -0.20865298807621002, 0.18273964524269104, 0.8454166650772095, -0.15855512022972107, -1.1167494058609009, 0.3735322952270508, 0.3571537733078003, 0.3592701852321625, -0.004961956292390823, -0.8403988480567932, -1.3480039834976196, 1.2769371271133423, -0.46369001269340515, 0.18281152844429016, -0.7094939351081848, 1.1801655292510986, -0.022865688428282738, -0.46100008487701416, 1.0288467407226562, 0.7073498368263245, 0.39200466871261597, 0.5675387382507324, 0.46651092171669006, -0.8410753607749939, -0.37875881791114807, -0.44920793175697327, 0.7477335929870605, 0.1112770289182663, -0.14234694838523865, -0.6347910165786743, -0.0586770698428154, -0.6001284122467041, -0.4976629316806793, -0.7074176073074341, -0.9212934374809265, 0.0365457683801651, 0.08271411806344986, -0.06540660560131073, 0.47829750180244446, -0.6251795887947083, -1.2330913543701172, -1.0144684314727783, 0.8523609638214111, -0.046099718660116196, -0.5221476554870605, -0.7241032123565674, -0.49161407351493835, 0.11744662374258041, -0.08264296501874924, 0.411382794380188, 1.0940585136413574, 0.5143359303474426, 0.4410612881183624, -0.5960187911987305, 1.7781693935394287, 0.0786290243268013, -0.561133861541748, -0.6325898170471191, 0.33692994713783264, -0.2937880754470825, -0.2399088442325592, -0.5642186403274536, 0.9154573678970337, -0.5669600963592529, 0.10456663370132446, -0.49724718928337097, -0.5938153862953186, -0.8784033060073853, 0.7361989617347717, -0.036374665796756744, -0.05731571838259697, -0.11438529938459396, -0.9711450934410095, -0.5164352059364319, 0.38531145453453064, 0.5079331398010254, 0.3815576732158661, 0.7489067912101746, 1.3558986186981201, 1.2917258739471436, -0.8962043523788452, 0.5386476516723633, 0.8274679780006409, -1.524684190750122, -0.21550123393535614, -0.4045279324054718, 1.0527563095092773, 0.3007310628890991, -0.5329660773277283, -0.26754674315452576, 0.9872356057167053, -0.7022605538368225, 0.3222339153289795, 0.7302348017692566, -1.2492786645889282, -0.24073532223701477, 0.07957172393798828, 0.7103955149650574, -1.1339421272277832, -0.7996363639831543, -0.6103733777999878, 0.12483136355876923, 0.1840096116065979, -0.7003349661827087, -0.5695086717605591, 0.4333375096321106, -0.5339198708534241, 0.7742561101913452, 0.14147110283374786, 0.3329802453517914, -0.20284400880336761, 0.19995395839214325, -0.214774027466774, -0.9507715702056885, 0.23794709146022797, -0.6585911512374878, -0.31900474429130554, 0.17053212225437164, 0.10456565767526627, 0.16521859169006348, 0.49136707186698914, -1.1726964712142944, -0.3889012038707733, 0.1959182173013687, 0.512620747089386, -0.8859760761260986, 0.02582760527729988, 0.27600014209747314, -0.59858638048172, -1.171228051185608, 1.7859734296798706, -0.9926289319992065, -0.3138863742351532, 0.7460721731185913, -0.7386289238929749, 0.8868260383605957, 0.44674476981163025, -0.780153751373291, 0.39843130111694336, 0.3507607579231262, 0.5445279479026794, 0.9077964425086975, 0.15349261462688446, -0.2901180386543274, -0.16900263726711273, 0.15735524892807007, 0.7102260589599609, 0.48492294549942017, 0.6249447464942932, -0.06379683315753937, -0.3812412619590759, 0.11782536655664444, 0.39194491505622864, -0.5156869888305664, 0.47015053033828735, -0.5339000225067139, 0.6169506311416626, 0.3697633743286133, 0.012687677517533302, 0.5203846096992493, 0.8110126256942749, -1.6724271774291992, -0.4662820100784302, -0.418203204870224, 0.15287189185619354, 0.6872298717498779, -0.580051064491272, 0.4925031363964081, 1.2684202194213867, -1.5612190961837769, 0.4711282551288605, -0.6387343406677246, -0.8182043433189392, -1.1209282875061035, 0.4070839583873749, 0.29783445596694946, 0.17060771584510803, 0.06615718454122543, -0.3601897060871124, 0.6980668902397156, -0.03357330337166786, -0.6067696809768677, -0.707257091999054, 0.41889944672584534, 0.9862595796585083, -0.5004276037216187, -0.128020778298378, 0.9234024882316589, -1.2179317474365234, -1.3778036832809448, -0.4323347210884094, -1.6191139221191406, -0.09081725776195526, 0.09435664117336273, 1.2442567348480225, 0.3246653079986572, 0.7733826041221619, 0.23719187080860138, 0.09443593770265579, -0.1934424340724945, -0.8475428819656372, 0.5822700262069702, 0.016498247161507607, -0.5269188284873962, -0.40600162744522095, 0.5738722085952759, 0.5848512053489685, -0.6789617538452148, 0.33990955352783203, -1.0048253536224365, 0.12080948054790497, -0.3816213011741638, -0.2762477397918701, 0.16096554696559906, -1.558631181716919, 0.16122543811798096, -1.0687382221221924, 0.8572165966033936, 0.6667070388793945, 0.3641660511493683, 0.1340494155883789, 0.2096548080444336, -0.5643635988235474, -0.5480071902275085, -0.11563406884670258, 0.03560219705104828, -1.3252345323562622, -0.8113540410995483, 0.05450716242194176, -0.20491831004619598, 0.9614434838294983, 0.5900100469589233, 0.02439429797232151, -0.4137369990348816, 1.1680883169174194, -0.0936749130487442, -0.1035863384604454, -0.8520058989524841, -0.24146458506584167, 0.8516653776168823, -0.4876442849636078, 1.3559718132019043, 1.7586567401885986, 0.6960995197296143, -0.9432170391082764, -1.2560791969299316, 0.09045092016458511, 1.1837658882141113, 0.8613225817680359, -0.38416358828544617, -0.4119209051132202, -1.3056490421295166, -0.04793104529380798, -0.07122142612934113, 0.3246570825576782, -0.21794931590557098, -0.6989540457725525, -0.1586938053369522, 0.1713646501302719, 0.08997052162885666, 0.44412949681282043, 0.5793456435203552, 0.7580086588859558, 0.592362105846405, 0.4932604730129242, -0.6417034268379211, -0.06622092425823212, -0.38707441091537476, 0.5049935579299927, -0.3616846203804016, 1.4522393941879272, -0.1628488302230835, -0.13936418294906616, 0.6054749488830566, 0.01493597961962223, -1.1403348445892334, 1.6614538431167603, 0.466180682182312, 0.2994495630264282, -0.673562228679657, -0.6246109008789062, 1.2204684019088745, -1.510122299194336, -0.7815941572189331, 0.7907285690307617, -0.1072157770395279, 0.05907324701547623, 0.6814717054367065, -0.34008947014808655, 0.4298105239868164, 0.5986810326576233, 0.9048216342926025, -0.7175960540771484, -0.7605575323104858, 0.7591741681098938, 0.7459326982498169, 0.8671813011169434, -0.05623991787433624, 0.6063100099563599, 0.8716580271720886, -0.8204496502876282, 0.9816221594810486, 0.09829991310834885, 0.3476167917251587, -0.03121618740260601, 0.46746712923049927, -0.20227967202663422, -0.49735110998153687, -1.453850269317627, -0.029947223141789436, -0.14462122321128845, 1.6422858238220215, -0.7482402324676514, 0.029787832871079445, -1.4524707794189453, 0.6085111498832703, -0.6711751818656921, 0.055232830345630646, -0.28480085730552673, 0.40375229716300964, -0.034213803708553314, -0.5088030099868774, -0.963384747505188, 0.011612482368946075, 1.3072402477264404, -1.1734552383422852, 0.27159667015075684, 0.019389966502785683, 0.6065560579299927, 0.4125864505767822, -0.5894871354103088, -1.527539610862732, -0.3771979808807373, 0.8805404305458069, 0.17716091871261597, -0.48925405740737915, -0.7862255573272705, -1.4661719799041748, -0.2528761029243469, 1.2479114532470703, -0.3070060908794403, -0.5192061066627502, -1.0137817859649658, 0.5156728625297546, 0.39848557114601135, 0.5820566415786743 ] ]
{ "indices": [ 1063320047, 1181726436, 1491351846, 3449948193, 2391722386, 691409538, 2542944140, 2516331022, 4186256544, 3174900812, 168664787, 647928480, 1904070401, 1765639896, 1041011349, 1793137844, 3774983271, 2132027491, 2257684172, 358389376, 1753603297, 3713535024, 695926169, 28501148, 989116115, 448220673, 1786548735, 2394752843 ], "values": [ 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.8381805801102006, 0.4633143131816905, 0.4633143131816905, 0.721437915187976, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.7754399580265844, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.721437915187976, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778 ] }
{ "context": "But when we're fetching, we need to read memory. So that's coming through here, and it's already going to be controlled by MIO enable signal. So do any of the six MUXs we talked about, PC MUX or address one or two MUX or SR1 MUX, do any of those matter? There's nothing going on in that part of the data path, right? That's all just nothing. It's all turned off. Not turned off, but there's nothing going on." }
726012
[ [ -0.05839373916387558, -0.7356377840042114, 0.07302112877368927, 0.7952725887298584, 0.39549073576927185, 0.28477248549461365, -0.40037187933921814, 1.0253082513809204, 0.6623255610466003, -0.38802316784858704, 0.7775517106056213, 0.9044294357299805, 1.0428591966629028, 0.10148745775222778, 0.19931171834468842, -0.19165681302547455, 0.6219984292984009, -0.051397789269685745, -0.515564501285553, -0.43671751022338867, 0.8335384726524353, -0.612174928188324, 0.06951948255300522, 0.030451690778136253, -0.6867565512657166, 0.49565598368644714, 0.11501691490411758, -1.3121109008789062, 0.2032242715358734, 0.773905873298645, -0.25705260038375854, -1.4318851232528687, 0.8470839262008667, 0.05105917900800705, 1.3029006719589233, -0.15222038328647614, -0.2959977090358734, -0.37223419547080994, 0.15538881719112396, 0.5553464889526367, -0.22713302075862885, 0.12169985473155975, -0.3370816111564636, 0.3484129309654236, -1.162736177444458, 0.2001849114894867, -0.30883902311325073, -0.154944509267807, 1.222098708152771, -0.765090823173523, 1.1039385795593262, -0.5449323654174805, 1.0143787860870361, 0.562293291091919, 0.3075108230113983, 0.36194807291030884, 1.568116545677185, 1.5818138122558594, 0.36922600865364075, -1.2278879880905151, -0.09164600074291229, -0.15893806517124176, 0.9911232590675354, -0.1650111824274063, -0.463032603263855, -0.18167728185653687, -0.36903801560401917, -0.17964540421962738, 0.04730701074004173, -0.41501912474632263, -0.638613224029541, 0.02418672665953636, 0.3192058503627777, 0.8302779197692871, 1.083425760269165, -0.5660637617111206, 0.4296385645866394, -0.22763249278068542, 0.11531229317188263, 0.7460181713104248, -0.9366137385368347, 0.621288537979126, -0.7784773111343384, 1.1546244621276855, 0.3849383294582367, -0.9166209697723389, 1.2485623359680176, -0.6279423832893372, -0.34565895795822144, 0.8243114352226257, 0.368354469537735, -0.6767266988754272, 1.5933144092559814, 0.16066090762615204, -0.577436089515686, 0.36486679315567017, -0.221166729927063, 0.8476686477661133, 0.32450443506240845, -0.7052809596061707, -0.042305756360292435, -1.177077054977417, 0.37532907724380493, 1.688630223274231, -0.3632703125476837, 0.5138930082321167, 0.007399110123515129, 0.06360185146331787, -0.43164926767349243, 0.4643721878528595, -0.6121553778648376, 0.614432692527771, -0.33018758893013, 0.6499623656272888, -0.22067596018314362, -0.7956609129905701, -0.9947018027305603, -0.22749729454517365, 1.2110660076141357, 0.8022934794425964, 1.107374668121338, 0.38768133521080017, 1.147606372833252, -0.8818705677986145, -2.025674819946289, 0.5808221697807312, 0.17178981006145477, -0.01634211838245392, -1.0297935009002686, 0.6409502625465393, 0.3531343936920166, 0.19841592013835907, -0.13713470101356506, -0.5690467357635498, 0.36482757329940796, 0.7602128386497498, -1.6856597661972046, -1.5461342334747314, 0.5480215549468994, 0.1401641070842743, -0.3940863609313965, -1.3931766748428345, 0.5573241114616394, 0.6760686635971069, 1.4595154523849487, 0.45793962478637695, 0.7323657870292664, 0.4489527940750122, 0.010809686966240406, 0.7131895422935486, -0.9848893284797668, -0.4544762670993805, -1.640305519104004, -0.26057830452919006, -0.5414209365844727, -0.004683561623096466, 0.38297802209854126, 0.39890819787979126, -0.3374594748020172, -0.3502849340438843, 0.7615493535995483, -0.581329882144928, -0.28491663932800293, 0.13422103226184845, -0.5972822308540344, -1.2560031414031982, 1.955432653427124, -0.22009539604187012, 0.23504257202148438, 0.9029642939567566, -0.21321682631969452, -0.4299934208393097, 0.25658556818962097, -0.2360609918832779, 1.4744795560836792, -0.8297191262245178, -0.1284826695919037, -0.46820759773254395, 0.05878065526485443, 0.0054009719751775265, -0.7288731336593628, -0.535314679145813, 0.2999374568462372, 1.0364108085632324, 0.11900927871465683, 0.11438693106174469, -0.21773536503314972, -0.40106335282325745, 1.1165179014205933, -0.5776301622390747, -0.7352282404899597, -1.2173064947128296, 0.37321150302886963, -0.09630527347326279, -0.9025328159332275, -1.002135157585144, -0.5908128023147583, 0.15730150043964386, 0.6132074594497681, -0.5350759029388428, -0.7535620331764221, 0.08529795706272125, 0.8181765675544739, -0.7391005754470825, -0.025394782423973083, 0.9684423804283142, 0.7533532381057739, -0.7684920430183411, 0.7069188952445984, -0.5852051973342896, -0.3887384831905365, 0.46791473031044006, -0.05744147300720215, 0.1377037614583969, 0.24624861776828766, 0.055479541420936584, 0.38016363978385925, 0.3949171006679535, 0.03638630732893944, 0.2911612093448639, -1.023335337638855, 1.1425721645355225, 2.0190541744232178, 0.8095496296882629, 0.22523535788059235, 0.5875934362411499, 0.0735718309879303, 0.4438343048095703, 0.46745947003364563, -0.055273912847042084, -0.725003182888031, -1.9151636362075806, -0.21992288529872894, 0.28185346722602844, -0.27457571029663086, 0.18514548242092133, 1.694047212600708, 0.8494676947593689, 0.6302005648612976, -0.28009912371635437, -1.5017143487930298, 0.6372370719909668, 0.2605600655078888, 0.661435067653656, 0.06491455435752869, 1.3489501476287842, 0.2956330180168152, 1.131343126296997, -0.2617999315261841, -0.0962168499827385, -0.6809127330780029, 0.4954364001750946, 0.22874915599822998, -0.5499592423439026, -0.1087559387087822, -1.1355268955230713, 0.09586480259895325, -0.980400800704956, -0.504552960395813, 0.4422575831413269, -0.4680097997188568, -0.8693497180938721, -0.28729844093322754, -0.5746381282806396, 0.08477666974067688, 0.20877699553966522, -0.5018394589424133, -0.5566694140434265, 1.4848079681396484, -1.1387640237808228, 0.10075240582227707, -0.04178030043840408, -0.5032287836074829, -1.0063358545303345, -0.054660554975271225, 0.5282927751541138, -0.33186039328575134, 1.11444091796875, -0.6073883175849915, -0.5268539190292358, -1.0328468084335327, 0.052099622786045074, 0.5473593473434448, -0.21670806407928467, -1.9391318559646606, 0.49165070056915283, -0.3992278277873993, -0.7172062397003174, 0.959683358669281, -0.09944607317447662, -1.0058046579360962, 0.6239922642707825, 0.007953356951475143, -0.8295554518699646, 0.07215239107608795, -0.22288699448108673, 0.2965899705886841, -0.061239540576934814, -0.3401980996131897, 0.3036040961742401, -0.041219986975193024, -1.7415387630462646, -0.11486837267875671, -0.7962613701820374, -0.28793758153915405, -0.06801056116819382, -0.35956326127052307, -0.45592355728149414, -0.08000367879867554, 0.7242759466171265, 0.18968409299850464, -0.034483131021261215, -0.3865596354007721, -1.118960976600647, -1.476552128791809, -0.1234472319483757, 0.516164243221283, 0.8400574326515198, -0.8580602407455444, -0.3117227256298065, 1.7122972011566162, 0.6638931035995483, -0.4519865810871124, -0.3013543486595154, 0.7776774168014526, 0.5242241621017456, -0.8168556094169617, 0.12528856098651886, 0.41495487093925476, -0.003321252064779401, 0.10170888900756836, -0.3800540268421173, 1.0213595628738403, 2.0406458377838135, -0.7126139402389526, -0.4481554329395294, 0.1474037766456604, 0.36348459124565125, -0.6017278432846069, -0.04538672789931297, 0.2605627179145813, 0.08262299001216888, 1.196970820426941, -0.1415385752916336, 0.8025199770927429, 0.31008365750312805, -0.3584959805011749, 0.7040684819221497, 0.07439545542001724, 0.5301243662834167, 0.031880490481853485, 0.3010210394859314, 0.5648906230926514, -0.918069064617157, -0.590709924697876, 0.18047332763671875, 1.2091673612594604, -1.2103482484817505, 0.40508610010147095, 0.8624377250671387, 0.686686635017395, 0.14345157146453857, -0.11198541522026062, 0.12358695268630981, -0.2603377103805542, 0.7424265146255493, -0.28087711334228516, -0.04500927776098251, 0.30742037296295166, -0.3298446536064148, 0.1961842030286789, 0.7728832960128784, -0.7947854995727539, -0.37923017144203186, -1.3205411434173584, -1.137184977531433, 0.6851403117179871, 1.1635053157806396, 0.5449879169464111, 0.867339015007019, 0.22195638716220856, -0.3263155519962311, -0.8668004870414734, -0.008998812176287174, -1.3951959609985352, 0.36271044611930847, 0.07382258027791977, 0.0222843736410141, 0.6364721655845642, -0.007775296922773123, 0.35158106684684753, -0.527077317237854, -1.8114080429077148, 0.2813221514225006, -0.05739116668701172, -1.3679299354553223, 0.6280101537704468, 0.213827446103096, -0.6851714253425598, 0.4760321080684662, 1.1018240451812744, 1.8913871049880981, 0.4759122133255005, 0.6647494435310364, 0.6740319728851318, 0.3808509409427643, -0.38332003355026245, 0.12716476619243622, -0.1524336338043213, -0.015078074298799038, 0.9518951773643494, 0.03637348487973213, 0.9083823561668396, -0.9708212614059448, -0.04426638036966324, 0.5674261450767517, 0.9334133267402649, 0.15998710691928864, 0.02966642938554287, -0.18180778622627258, -0.05546692758798599, -0.8687801957130432, 0.15593889355659485, 1.345934271812439, -0.1261056363582611, 1.4277328252792358, 0.8839214444160461, 0.5723084211349487, -0.36517393589019775, 0.9469355940818787, 1.336737871170044, -0.20506785809993744, 0.16850461065769196, -0.47675347328186035, -0.9488104581832886, -0.6934877634048462, -0.029240386560559273, 0.3700766861438751, 0.6673520803451538, 0.4767824411392212, -0.30822211503982544, 0.8579403758049011, 0.1110885962843895, -1.0292108058929443, -0.010587315075099468, -0.7187431454658508, -0.334587037563324, 0.2931070625782013, 0.5569272637367249, 0.8543511629104614, 0.1821649968624115, -0.8180933594703674, -0.7425507307052612, -0.9399566650390625, -2.009385824203491, -0.27582764625549316, 0.1042717695236206, 1.7198200225830078, -0.6087217926979065, 0.36783286929130554, -0.854333758354187, -1.2737058401107788, 0.4574308395385742, -0.36444416642189026, 1.6027864217758179, -1.0215635299682617, 0.9771633744239807, 0.20906426012516022, -0.9432374238967896, -1.1486005783081055, 1.050379991531372, -0.28847745060920715, -0.4363947808742523, -0.33647722005844116, 0.45209646224975586, -0.923336386680603, 0.35907721519470215, 0.165108323097229, 1.2371174097061157, -0.6044519543647766, -0.502946138381958, 0.3149423897266388, 1.5281085968017578, -0.8611510396003723, -0.29034557938575745, 0.19766369462013245, -0.9728413820266724, -0.7431630492210388, 0.7964044809341431, -0.2166306972503662, -0.07096007466316223, 0.6444875597953796, -0.2935260832309723, -0.28299447894096375, -0.17476175725460052, -1.3554105758666992, 1.4865669012069702, 0.139951691031456, 0.25430548191070557, 0.8590952754020691, 0.8188987970352173, -0.6447286009788513, 0.26437386870384216, -0.9448673725128174, 0.2189003825187683, -0.7210267782211304, 1.4401633739471436, -0.849467933177948, 0.08321099728345871, -0.9696835279464722, 0.8206124901771545, -0.248149573802948, -0.04072928801178932, -0.3420901596546173, -0.032480545341968536, -0.4640735983848572, 0.8966626524925232, -0.8594384789466858, 0.1924794465303421, -0.3173671066761017, 0.04770278185606003, -0.5251444578170776, -0.054136425256729126, 0.476321816444397, -1.605770230293274, 0.14393997192382812, 0.713296115398407, 0.1862436681985855, 1.2167918682098389, -0.976518452167511, -0.49689432978630066, 0.6826274394989014, -1.2031047344207764, 0.766176164150238, 0.32786494493484497, -0.09793166071176529, -0.3762199580669403, -0.8099018931388855, -0.08879037201404572, 0.5342805981636047, -0.3224877715110779, 0.3730601668357849, 0.24046584963798523, 0.9119828343391418, 0.01285246480256319, -0.8247029185295105, -0.9837689995765686, -0.531920313835144, -0.8213489055633545, 0.47963637113571167, 0.29009687900543213, -0.1488974690437317, 0.0919308215379715, 0.22272451221942902, -0.47149017453193665, 0.25577834248542786, 1.0013914108276367, -0.15334534645080566, 0.4658523201942444, 0.6715840697288513, 0.6905356049537659, 0.5732415318489075, 1.072403073310852, 0.8352345824241638, -0.39844709634780884, 0.1518964320421219, 0.1746807098388672, -0.6473954319953918, -1.5272825956344604, -0.67059326171875, -0.12323169410228729, -1.0079669952392578, -0.08897080272436142, 1.5476199388504028, -0.09598303586244583, 0.5049499869346619, 0.21562540531158447, -0.15119794011116028, -0.7019370198249817, -0.10050402581691742, -0.7041222453117371, -0.7827050089836121, 0.6891748309135437, -0.8086522817611694, 0.3851955235004425, 0.07532119750976562, -0.3054955005645752, -0.8258726000785828, 0.31400325894355774, 0.36856967210769653, 0.06422388553619385, 2.0511062145233154, 0.4968746304512024, 0.05923954397439957, 0.6731907725334167, 0.7685064673423767, 0.1438317447900772, -0.1882058084011078, 0.5372956991195679, 1.0474375486373901, 0.42643606662750244, -0.4780583083629608, 0.19264227151870728, -0.9855397343635559, 0.6267048716545105, 1.6974819898605347, -0.9209021925926208, 0.45477038621902466, -0.35557520389556885, 0.06317254155874252, -0.9295294880867004, -0.29458069801330566, -0.8347350358963013, 0.45528799295425415, -0.3400062620639801, 2.273104429244995, -1.267863154411316, -1.771535873413086, 0.9307602643966675, 1.446976661682129, 0.26906654238700867, -0.1688666194677353, 0.6234627962112427, -0.13745838403701782, -0.3181743919849396, -1.1610971689224243, -0.12792477011680603, 0.82063889503479, -1.321960210800171, 0.9815467596054077, -0.03635706007480621, -0.4281391501426697, 0.4138878583908081, 0.7353114485740662, 0.37181785702705383, -1.5562695264816284, -1.428329586982727, -1.325494408607483, 0.9190641641616821, -0.005392636172473431, -0.28065505623817444, -0.18578556180000305, -0.16798506677150726, 0.4094336926937103, -0.3702937066555023, 0.8271844983100891, -0.42965880036354065, -1.4966057538986206, -0.6875144839286804, -0.843843400478363, -0.9440296292304993, 1.4715834856033325, 0.18769308924674988, -0.3860199451446533, 1.0372802019119263, -0.3187856078147888, 1.1440988779067993, 0.4200519025325775, 0.565875232219696, 0.15220215916633606, 1.2652795314788818, -0.6919130086898804, 0.21860922873020172, -0.5058777332305908, 0.0863402932882309, 4.503379821777344, 1.0908201932907104, -0.45068734884262085, -2.2639968395233154, -0.15839232504367828, -0.5039914846420288, 0.44023874402046204, -0.007563760504126549, 0.6002548933029175, 0.20481422543525696, 0.8646196126937866, 0.7148913741111755, 0.31404897570610046, -0.3666416108608246, 0.363281786441803, 0.7969358563423157, -0.7295845746994019, -0.9194994568824768, 0.3934655785560608, 0.609340488910675, 0.2620605230331421, -0.29113999009132385, -1.119880199432373, -0.929871141910553, 0.8561233282089233, -0.15884746611118317, 0.46129080653190613, -0.344962477684021, 1.053175926208496, -0.1070650964975357, -0.9466821551322937, 0.8853309154510498, 0.7658278942108154, 0.34241342544555664, 0.9439210295677185, 0.3275582790374756, -0.4611929655075073, -0.4140118956565857, -0.628762423992157, 0.7011843323707581, 0.24497845768928528, -0.2153557538986206, -0.1895759403705597, -0.08457548171281815, -0.7835691571235657, -0.33404237031936646, -0.5204862356185913, -0.8150399923324585, 0.027657506987452507, -0.3425821363925934, 0.7655600309371948, 0.15048345923423767, 0.30613330006599426, -1.044886589050293, -0.6006264090538025, 1.12045419216156, 0.2889088988304138, -0.6237936615943909, -0.706169843673706, -0.6745492815971375, 0.6111968159675598, -0.39608949422836304, 0.44025614857673645, 0.84685879945755, 0.5079514384269714, 0.6904293894767761, -0.8933365345001221, 1.7456998825073242, -0.017339402809739113, -0.3410930931568146, -0.27511754631996155, 0.22039972245693207, -0.17217594385147095, -0.5568814873695374, -0.73613440990448, 0.7965384125709534, -0.9393283724784851, 0.7294642925262451, -0.7993463277816772, -0.7034376859664917, -0.5824295878410339, 0.5988717079162598, 0.11336806416511536, 0.049876295030117035, -0.5479647517204285, -0.7105253338813782, -0.13366875052452087, 0.7952476739883423, 0.4170728325843811, 0.046205710619688034, 0.3656439781188965, 1.3230440616607666, 1.150039553642273, -1.1478171348571777, 0.2572667896747589, 0.14096063375473022, -0.6356739401817322, 0.347854882478714, -0.3999601900577545, 1.2719076871871948, -0.07672282308340073, -0.42555320262908936, -0.468301385641098, 0.9426811337471008, -0.624305248260498, -0.007948803715407848, 0.9032907485961914, -1.3818073272705078, -0.09115378558635712, -0.0038726269267499447, 0.9795079231262207, -0.8153476715087891, -0.5739038586616516, -0.3130367696285248, 0.24933257699012756, -0.3924831449985504, -0.11758241057395935, -0.8170025944709778, 0.5784661769866943, -0.2132267951965332, 0.4059646725654602, 0.011444809846580029, 0.0968354195356369, 0.08834929764270782, -0.002273180056363344, 0.21510575711727142, -1.005218505859375, 0.03848346322774887, -0.548525869846344, 0.3674842417240143, 0.30018746852874756, -0.1694299876689911, -0.255103200674057, 0.9700188636779785, -1.0313341617584229, -0.5215854048728943, 0.06110304221510887, 0.3068375885486603, -1.3821712732315063, 0.25802233815193176, 0.5111053586006165, -0.253047376871109, -0.5353333950042725, 1.5772100687026978, -0.6682760119438171, -0.14988619089126587, 0.593636691570282, -0.3946334719657898, 0.5128614902496338, 0.8815857768058777, -1.0671889781951904, -0.26657044887542725, 0.44399213790893555, 0.4450512230396271, 0.343059241771698, -0.3072715699672699, -0.21244697272777557, 0.18962520360946655, 0.469754159450531, 0.7028729319572449, 0.19178172945976257, 0.7057871222496033, 0.1338576376438141, -0.7346288561820984, 0.15447095036506653, 1.1473402976989746, -0.3813093304634094, 0.3472752869129181, -0.5633421540260315, 0.7403756976127625, 0.3161824941635132, -0.30908283591270447, 0.12414853274822235, 0.5216449499130249, -1.3621493577957153, -0.5957340002059937, -0.23531650006771088, 0.07071352750062943, 0.8287336826324463, -0.7333696484565735, 0.3081071078777313, 1.2119495868682861, -1.22881281375885, 0.2067527174949646, -0.8977762460708618, -0.4816884994506836, -1.210763692855835, 0.3827148973941803, 0.32491397857666016, 0.3026116192340851, 0.09202806651592255, 0.036581192165613174, 0.9871625304222107, -0.3406359553337097, -0.5431238412857056, -0.9940676093101501, 0.3116777241230011, 0.3492726981639862, -0.39541709423065186, -0.2644485533237457, 1.071603775024414, -0.9383405447006226, -1.1525721549987793, -0.42313051223754883, -1.2091366052627563, -0.11028030514717102, -0.18113821744918823, 0.656238317489624, 0.15377643704414368, 0.7910407185554504, -0.21871043741703033, 0.18822629749774933, -0.16389651596546173, -0.7931497693061829, 0.5301178693771362, -0.04589327052235603, -0.32077813148498535, 0.022273998707532883, 0.6507062315940857, 0.9243949055671692, -0.8274543285369873, 0.782443106174469, -0.9310078024864197, -0.34301888942718506, 0.2909996211528778, 0.19812360405921936, 0.41542163491249084, -1.238389015197754, -0.320724219083786, -1.0377180576324463, 0.8193429708480835, 0.308381587266922, 0.06025681644678116, -0.16059377789497375, 0.12300709635019302, -0.836398720741272, -0.11665931344032288, 0.4992588758468628, 0.22138778865337372, -1.0156936645507812, -0.6629846096038818, -0.36273452639579773, -0.11271287500858307, 0.9975016117095947, 0.30891352891921997, -0.03659489378333092, -0.534855842590332, 0.9098736643791199, -0.4077543616294861, -0.5846697688102722, -0.7008342742919922, -0.27770259976387024, 0.3123616874217987, -0.5573701858520508, 1.3551310300827026, 1.53663170337677, 0.3891974985599518, -0.7450157999992371, -1.0854110717773438, -0.36806634068489075, 1.4822742938995361, 1.2679561376571655, 0.01266265008598566, -0.14839108288288116, -1.7199097871780396, 0.05695502832531929, -0.49644485116004944, 0.6374387741088867, -0.2090708166360855, -0.4167318642139435, -0.09416386485099792, -0.0453263483941555, -0.029713377356529236, 0.1197633370757103, 0.5901774764060974, 0.543984591960907, -0.10651818662881851, 0.5119491815567017, -0.835052490234375, -0.04270884767174721, -0.23611478507518768, 0.2701425850391388, -0.2542055547237396, 1.5725443363189697, 0.2811463177204132, -0.010566309094429016, 0.16430003941059113, -0.297968327999115, -1.5423471927642822, 1.498904824256897, 0.08424992859363556, 0.4102884531021118, -0.6298808455467224, -0.17146503925323486, 1.4713751077651978, -1.1621146202087402, -0.7457585334777832, 0.7920218110084534, 0.22315840423107147, 0.017374055460095406, 0.6765475273132324, 0.5833830237388611, 0.8611811399459839, 0.27238893508911133, 0.3234471380710602, -0.538234531879425, -0.6734311580657959, 0.652997612953186, 0.8388480544090271, 0.5587962865829468, -0.3123478591442108, 0.23923629522323608, 0.9371858239173889, -0.6325110793113708, 1.3185845613479614, -0.16857264935970306, 0.3432166874408722, -0.06668978929519653, 0.8247115015983582, -0.9141660928726196, -1.015672206878662, -1.186385989189148, -0.026228023692965508, -0.036044083535671234, 1.334967017173767, -1.1005345582962036, 0.1973119080066681, -1.5423260927200317, 0.2150111198425293, -1.3385509252548218, -0.2829859256744385, -0.16230426728725433, 0.5252394080162048, -0.5662800669670105, -0.5640650391578674, -0.7537017464637756, 0.09518607705831528, 1.4715226888656616, -1.2767795324325562, 0.31004658341407776, 0.3708553910255432, 0.7020986676216125, 0.5097070336341858, -0.6568847298622131, -1.6374528408050537, -0.4483376145362854, 0.8851370215415955, 0.14301316440105438, -0.1279904991388321, -0.9501216411590576, -1.6870934963226318, 0.5876580476760864, 1.2571501731872559, 0.13692209124565125, -0.2012939304113388, -1.1354918479919434, 0.5352550745010376, 0.2510285973548889, 0.3734447956085205 ] ]
{ "indices": [ 691409538, 2394752843, 695926169, 4186256544, 3731741184, 3713535024, 1590456296, 2067848296, 1551089265, 1786548735, 1911071232, 115441729, 3062174764, 640124220, 2391722386, 3449948193 ], "values": [ 0.7244842587629744, 0.7244842587629744, 0.567993193137979, 0.567993193137979, 0.567993193137979, 0.567993193137979, 0.567993193137979, 0.7244842587629744, 0.7244842587629744, 0.7244842587629744, 0.567993193137979, 0.567993193137979, 0.7244842587629744, 0.567993193137979, 0.567993193137979, 0.567993193137979 ] }
{ "context": " It's all turned off. Not turned off, but there's nothing going on. So none of them matter. So what should I do? Just set them all to don't care, right? Put all don't cares there. Good. What about ALU? ALU is not used, right? But memory has to do a read" }
939027
[ [ -0.17932772636413574, -0.46581321954727173, -0.09716018289327621, 0.7094293236732483, 0.5628345608711243, 0.37946873903274536, -0.141525000333786, 1.1008951663970947, 0.6225737929344177, -0.6370477080345154, 0.875635027885437, 1.0072195529937744, 0.8350306153297424, 0.485988974571228, 0.16965311765670776, -0.04498724639415741, 0.569464921951294, 0.12089022248983383, -0.4622240662574768, -0.3171541392803192, 0.7345162630081177, -0.6800098419189453, 0.07819805294275284, 0.00023839186178520322, -0.6131477952003479, 0.98722243309021, 0.2666873037815094, -1.069809079170227, 0.04336605221033096, 0.26145970821380615, -0.6714430451393127, -1.1656053066253662, 0.9430128931999207, 0.21282875537872314, 0.9226621389389038, -0.13439251482486725, -0.6498954892158508, -0.041044365614652634, 0.308658242225647, 0.5446850061416626, -0.09699426591396332, -0.048119641840457916, -0.781013548374176, 0.38343873620033264, -1.1960080862045288, 0.32102468609809875, -0.35589492321014404, -0.4389357268810272, 1.2317146062850952, -0.7400625348091125, 1.2150156497955322, -0.845947265625, 0.8810990452766418, 0.5631135702133179, 0.28648409247398376, 0.4071003496646881, 1.6793692111968994, 1.3717881441116333, 0.3038555383682251, -1.357287049293518, -0.020273644477128983, -0.3848848342895508, 0.8090264201164246, -0.11507437378168106, -0.35098588466644287, -0.024322811514139175, -0.2620876729488373, 0.0806582048535347, -0.10690546035766602, -0.4323888123035431, -0.4596533179283142, 0.06257428228855133, 0.42963021993637085, 0.755452036857605, 1.1373169422149658, -0.8606426119804382, 0.30752941966056824, 0.06278399378061295, -0.223384290933609, 0.4109591245651245, -0.8923550844192505, 0.2694857716560364, -0.6178450584411621, 1.3719245195388794, 0.22502177953720093, -0.8228991031646729, 1.1662431955337524, -0.7469595670700073, -0.5210074186325073, 0.7664137482643127, 0.3914298415184021, -0.5997865796089172, 1.373447060585022, 0.1932009607553482, -0.4969801604747772, 0.3010995388031006, -0.38584598898887634, 0.7826917767524719, 0.49763941764831543, -0.6711577773094177, -0.16124802827835083, -1.3243939876556396, 0.2953470051288605, 1.7949851751327515, -0.826056718826294, 0.25822651386260986, 0.15933430194854736, 0.19417259097099304, 0.021451247856020927, 0.6510545015335083, -0.5010696649551392, 0.36411163210868835, 0.03464554622769356, 0.9514532685279846, -0.489739328622818, -0.866705060005188, -0.8560463786125183, -0.167271688580513, 1.4065074920654297, 0.6569221019744873, 1.2563594579696655, -0.12134607136249542, 1.143293023109436, -1.0128757953643799, -2.3061087131500244, 0.48353761434555054, 0.25237274169921875, -0.06714773178100586, -1.0641463994979858, 0.5155837535858154, 0.5748335123062134, -0.3618481457233429, 0.02667034976184368, -0.20678403973579407, 0.5895615816116333, 0.8860477805137634, -1.896237850189209, -1.290427327156067, 0.6098805665969849, 0.14594930410385132, -0.5198361873626709, -1.1267123222351074, 0.48677757382392883, 0.7940430045127869, 1.5807119607925415, 0.007516286335885525, 0.6582639813423157, 0.4397343695163727, 0.040956687182188034, 0.8002067804336548, -1.037409782409668, -0.41766154766082764, -1.4341009855270386, -0.4308840036392212, -0.3582606613636017, 0.26976487040519714, 0.5518476366996765, 0.37179332971572876, 0.007419137749820948, -0.03429766371846199, 0.9457153081893921, -0.18798600137233734, -0.513860285282135, 0.441070020198822, -1.025296688079834, -1.3679488897323608, 2.2584421634674072, -0.12822465598583221, 0.25186586380004883, 0.8869484663009644, -0.37093764543533325, -0.6421284675598145, 0.34330418705940247, -0.18310707807540894, 1.1173185110092163, -0.9508704543113708, -0.42733708024024963, -0.5851016044616699, 0.3387218713760376, -0.32894694805145264, -0.7596718668937683, -0.49288007616996765, 0.42468106746673584, 1.0708047151565552, 0.3385363519191742, 0.20577658712863922, -0.23454098403453827, 0.12569954991340637, 1.1139153242111206, -0.46777695417404175, -0.709609866142273, -1.3023966550827026, 0.6928763389587402, -0.19373300671577454, -0.9029467105865479, -0.6852756142616272, -0.9045542478561401, 0.025648457929491997, 0.43676090240478516, -0.713789165019989, -1.4792585372924805, 0.28897345066070557, 0.9079683423042297, -0.8079932332038879, -0.0793912410736084, 0.7710928916931152, 0.9478165507316589, -1.2951645851135254, 0.8029306530952454, -0.8559313416481018, -0.05999409034848213, 0.4192991256713867, 0.09096813201904297, -0.21467818319797516, 0.1900903731584549, -0.27754220366477966, 0.35527074337005615, -0.030210455879569054, -0.3156002461910248, 0.3739265203475952, -0.738365888595581, 1.1555002927780151, 1.9258805513381958, 1.1372698545455933, 0.12188756465911865, 0.6427239775657654, 0.21979272365570068, 0.6829438209533691, 0.263211190700531, 0.403617262840271, -0.9535403847694397, -1.8404992818832397, -0.338817298412323, 0.29189249873161316, -0.49533790349960327, 0.37988102436065674, 1.5274752378463745, 0.44209665060043335, 0.07480443269014359, -0.4530881643295288, -1.4073163270950317, 1.043967604637146, 0.5223681926727295, 0.7979281544685364, 0.36324626207351685, 1.535780429840088, 0.43781936168670654, 1.5098490715026855, -0.5110816955566406, 0.12774190306663513, -0.582081139087677, 0.45740267634391785, 0.5239350199699402, -0.34235677123069763, 0.15833261609077454, -0.9866175651550293, 0.19634407758712769, -0.8143101930618286, -0.11919590830802917, 0.4666353464126587, -0.8697630167007446, -0.5628855228424072, -0.25926315784454346, -0.28349074721336365, -0.22168734669685364, 0.403432697057724, -0.3024548292160034, -0.704008936882019, 0.8187041282653809, -1.225851058959961, -0.06905106455087662, 0.04542877525091171, -0.36524006724357605, -0.948421061038971, 0.31057655811309814, 0.5022228360176086, -0.35467246174812317, 1.0553572177886963, -0.9682301878929138, -0.5501341223716736, -1.1459733247756958, -0.17252475023269653, 0.5633018612861633, -0.2903432846069336, -1.9853365421295166, 0.38748371601104736, -0.3513042628765106, -1.0465576648712158, 1.0227088928222656, 0.09197881817817688, -0.9017948508262634, 0.5826762914657593, 0.3614043891429901, -0.6614804863929749, -0.06599021703004837, -0.2217606008052826, 0.2719818651676178, -0.13350766897201538, -0.2530086040496826, 0.410135418176651, -0.1690196841955185, -1.638155460357666, 0.046530164778232574, -0.767611026763916, -0.41557568311691284, -0.4279409348964691, -0.20312665402889252, -0.38465437293052673, 0.009553086943924427, 0.9145042300224304, 0.3760508596897125, -0.12104538828134537, -0.07697876542806625, -1.2387757301330566, -1.4982576370239258, -0.4011898338794708, 0.6100632548332214, 1.019439935684204, -0.9608343839645386, -0.2166975438594818, 1.7735366821289062, 0.5578660368919373, -0.7152818441390991, -0.10331954807043076, 1.1700304746627808, 0.7179587483406067, -0.7064268589019775, 0.03957654535770416, 0.936130166053772, 0.25215211510658264, 0.04517166316509247, -0.6253864765167236, 0.5970984101295471, 2.218869924545288, -1.1798847913742065, -0.8504887819290161, 0.45168930292129517, 0.18867240846157074, -0.5525943636894226, 0.2989814281463623, 0.262923002243042, 0.007680830080062151, 1.1440956592559814, -0.36260661482810974, 0.7880905270576477, 0.3739126920700073, -0.4115050137042999, 0.5510314702987671, -0.07952839136123657, 0.4136401116847992, 0.45426619052886963, 0.2608935832977295, 0.5204855799674988, -1.1803098917007446, -0.31423696875572205, 0.4666437804698944, 1.321859359741211, -1.106486201286316, 0.36039045453071594, 0.9286661148071289, 1.028023600578308, 0.3624296486377716, -0.42538389563560486, 0.18145997822284698, -0.1717119812965393, 0.9784925580024719, -0.3999166488647461, 0.08167901635169983, 0.19266703724861145, -0.45453014969825745, 0.1846577674150467, 0.33994966745376587, -0.7040529251098633, -0.1485833078622818, -1.6761311292648315, -1.0585886240005493, 0.8408277630805969, 1.353485345840454, 0.6514354944229126, 0.37831375002861023, -0.04732144623994827, -0.19758863747119904, -1.0218873023986816, -0.3185330033302307, -1.2908486127853394, 0.38144540786743164, 0.1769610494375229, -0.5376026630401611, 0.5509476065635681, -0.4006076157093048, 0.38784059882164, -0.6821261644363403, -1.8754099607467651, 0.05127932131290436, -0.3657674491405487, -1.2610981464385986, 0.7903844118118286, 0.3597395420074463, -0.6129446029663086, 0.7560340166091919, 1.1048154830932617, 2.2117693424224854, 0.12165376543998718, 0.4500621557235718, 0.8174504637718201, 0.0975005254149437, -0.08985631167888641, 0.2069786638021469, -0.20286794006824493, -0.041720084846019745, 0.9733770489692688, 0.2726810872554779, 0.4446593225002289, -0.534283459186554, -0.15971137583255768, 0.3392368257045746, 1.0653108358383179, 0.46055319905281067, -0.3615778982639313, 0.13362565636634827, 0.18042662739753723, -0.6712597012519836, 0.4750041961669922, 1.364304780960083, -0.08215589821338654, 1.307484745979309, 0.6039636135101318, 0.8947286605834961, -0.49124181270599365, 1.127874493598938, 1.2261664867401123, -0.33829808235168457, -0.03785408288240433, -0.5608130693435669, -0.8828611373901367, -0.6913811564445496, -0.20361396670341492, 0.4890293478965759, 0.7733709216117859, 0.3716330826282501, -0.0674738883972168, 0.6703898906707764, -0.16692903637886047, -0.7264492511749268, -0.46934932470321655, -0.7138632535934448, 0.00825456902384758, 0.07736492156982422, 0.6838622689247131, 0.7554451823234558, 0.13174225389957428, -0.9480010867118835, -1.1524688005447388, -0.6924835443496704, -2.1110222339630127, 0.2931278347969055, 0.16553492844104767, 1.9311469793319702, -0.39921414852142334, 0.1921352595090866, -1.1698009967803955, -1.866342306137085, 0.4422546923160553, -0.570070743560791, 1.7356069087982178, -1.0602823495864868, 1.0163853168487549, -0.06742579489946365, -0.7013210654258728, -1.1640357971191406, 0.6794761419296265, -0.10016080737113953, -0.39353176951408386, -0.6732412576675415, 0.2446591705083847, -0.6406205892562866, 0.5058554410934448, -0.2344113141298294, 1.243342399597168, -0.9848197102546692, -0.4123065173625946, 0.37965723872184753, 1.1078128814697266, -0.7696377038955688, -0.15509329736232758, 0.05021324381232262, -0.8356026411056519, -1.011932611465454, 0.6865493059158325, -0.3168971538543701, -0.18808990716934204, 0.4753902554512024, -0.3438330590724945, -0.02772548608481884, 0.07566718757152557, -1.0903724431991577, 1.6968239545822144, 0.1073777824640274, -0.19513088464736938, 0.6265926957130432, 0.7439267635345459, -0.46730178594589233, 0.1812020093202591, -1.4475070238113403, 0.24299365282058716, -1.09902822971344, 1.3600056171417236, -0.6354116797447205, 0.11236497014760971, -0.8142164945602417, 0.8032568097114563, -0.3648393154144287, 0.11228787899017334, -0.266214519739151, -0.1212649866938591, -0.438676655292511, 0.833824872970581, -1.19193434715271, 0.31385675072669983, -0.1777554154396057, -0.021442370489239693, -0.34567517042160034, -0.4876643419265747, 0.41535472869873047, -1.552445888519287, 0.17091020941734314, 0.5177837610244751, 0.18725495040416718, 1.7136025428771973, -0.9815386533737183, -0.44915229082107544, 0.7293013334274292, -1.0389254093170166, 0.9111040234565735, 0.4142999053001404, -0.46457502245903015, -0.1968943327665329, -0.6854421496391296, 0.14154738187789917, 0.23462863266468048, -0.07580819725990295, 0.16647087037563324, 0.30978861451148987, 0.7919593453407288, -0.10705799609422684, -0.6798577308654785, -0.8533247709274292, -0.8707538843154907, -0.6598498225212097, 0.4019410014152527, 0.38173773884773254, -0.03550254926085472, -0.04584646597504616, 0.9078428745269775, -0.5177853107452393, 0.09562894701957703, 1.2118028402328491, -0.23886463046073914, 0.6571993827819824, 0.728963315486908, 0.8743631839752197, 0.6224801540374756, 0.8717808127403259, 0.415277898311615, -0.32599255442619324, 0.07572178542613983, 0.6238642334938049, -0.1824381798505783, -1.3862441778182983, -0.6052594184875488, -0.00009647345723351464, -1.1289112567901611, -0.42074453830718994, 1.5687816143035889, -0.38811177015304565, 0.37304097414016724, 0.10474790632724762, -0.16108179092407227, -0.7089077234268188, 0.02059725858271122, -0.4187542200088501, -0.6226527094841003, 0.7954785823822021, -0.563389241695404, 0.24085213243961334, -0.3108054995536804, -0.3410756587982178, -0.9382022023200989, 0.19659431278705597, 0.017398526892066002, 0.1785486489534378, 2.1747803688049316, 0.5511565208435059, 0.5818794369697571, 0.8958321809768677, 0.6910030841827393, -0.20679759979248047, -0.4040176272392273, 0.9160794615745544, 1.2486615180969238, 0.37815919518470764, -0.42280903458595276, 0.49163123965263367, -0.735485851764679, 0.7600494623184204, 1.5034586191177368, -0.6917818784713745, 0.3576660454273224, 0.29387491941452026, 0.3101230263710022, -0.8791101574897766, -0.1782161444425583, -0.901634156703949, 0.27937135100364685, -0.358638733625412, 2.208479404449463, -1.5351163148880005, -2.163970708847046, 1.3076047897338867, 1.9399014711380005, -0.025805039331316948, -0.2933177649974823, 0.6271038055419922, -0.31638655066490173, -0.3206731677055359, -0.9604863524436951, -0.48017415404319763, 0.9952003955841064, -1.2665579319000244, 0.8212185502052307, 0.19174396991729736, -0.37167155742645264, 0.3639868497848511, 1.033663272857666, 0.6596028208732605, -1.5179466009140015, -1.6318408250808716, -1.3957499265670776, 0.6530605554580688, 0.18118369579315186, -0.11150350421667099, -0.025124339386820793, -0.43462657928466797, 0.5247178077697754, -0.46207287907600403, 0.8358178734779358, -0.41628214716911316, -1.7372394800186157, -1.0384409427642822, -0.8364649415016174, -0.8723598718643188, 1.3826243877410889, 0.13207566738128662, -0.5089409947395325, 0.9470001459121704, -0.4575749933719635, 1.4233661890029907, 0.33163005113601685, 0.7046744227409363, 0.41394180059432983, 1.0736960172653198, -0.6713854074478149, 0.29175490140914917, -0.4377578794956207, 0.09320176392793655, 3.9678375720977783, 1.0991508960723877, 0.20619630813598633, -1.9974206686019897, -0.3277507722377777, -0.622509777545929, 0.30581092834472656, -0.2061641812324524, 0.6412899494171143, 0.2518084645271301, 0.7145087718963623, 0.6743552088737488, 0.6637665629386902, -0.1695190817117691, 0.3013838529586792, 0.8879386186599731, -0.6348675489425659, -1.0629465579986572, 0.2246445268392563, 0.5964037775993347, -0.04546356201171875, -0.14743535220623016, -1.0236402750015259, -0.949732780456543, 1.1897512674331665, 0.04941637068986893, 0.15671995282173157, -0.38581883907318115, 1.091747760772705, 0.013356971554458141, -0.6529930830001831, 0.4632512032985687, 1.0242561101913452, 0.04264214262366295, 0.8982119560241699, 0.376126766204834, -0.956682562828064, -0.2974947690963745, -0.7802819013595581, 0.47513633966445923, 0.21505539119243622, -0.4386674761772156, -0.060253676027059555, -0.18772952258586884, -0.4374887943267822, -0.5056086182594299, -0.6862204670906067, -0.6380758881568909, -0.05408173426985741, -0.06933615356683731, 0.7126182317733765, 0.2581554651260376, -0.04454353451728821, -0.8097533583641052, -0.6247061491012573, 1.0996992588043213, 0.3050568699836731, -0.4737890660762787, -0.6605514287948608, -0.6093159914016724, 0.6842480301856995, -0.4204147160053253, 0.31103751063346863, 0.8442598581314087, 0.6012354493141174, 0.7513940930366516, -0.6552678346633911, 1.7471117973327637, -0.10232957452535629, -0.4867580533027649, -0.28288668394088745, 0.14550186693668365, 0.10359330475330353, -0.562075674533844, -0.8927478790283203, 0.7609091997146606, -0.7564197182655334, 0.5528358221054077, -0.7702338099479675, -0.3136604428291321, -0.49415841698646545, 0.8242672085762024, 0.4097159504890442, 0.05961072817444801, -0.1794721931219101, -0.4078257381916046, -0.23995324969291687, 0.1599859893321991, -0.3304170072078705, 0.0835193619132042, 0.43547412753105164, 1.357424259185791, 1.067545771598816, -1.3582205772399902, 0.2947567105293274, 0.35154372453689575, -0.31345903873443604, 0.02515307627618313, -0.17854005098342896, 1.518736481666565, -0.04515063390135765, -0.6177202463150024, -0.33645203709602356, 0.7053011059761047, -0.461616188287735, 0.3538561761379242, 0.8008328676223755, -1.4232667684555054, -0.03422833979129791, -0.21988393366336823, 0.8294351100921631, -0.5589015483856201, -0.6564847230911255, -0.36459463834762573, 0.26874005794525146, -0.16966362297534943, -0.42405927181243896, -0.7937577962875366, 0.7419240474700928, -0.4325833320617676, 0.4464104473590851, 0.01880316063761711, -0.19556941092014313, 0.036139436066150665, 0.05719281733036041, 0.08203587681055069, -0.914543092250824, 0.3228687047958374, -0.6605530381202698, -0.24629747867584229, -0.07007203251123428, 0.030282974243164062, 0.2794596552848816, 0.5772101879119873, -1.0518834590911865, -0.5330072641372681, 0.0779375284910202, 0.28397804498672485, -1.2840534448623657, -0.0015668346313759685, 0.2791031002998352, -0.3763238191604614, -0.5894502997398376, 2.1093063354492188, -0.7024571299552917, -0.1744464635848999, 0.6483103632926941, -0.7163172364234924, 0.47399449348449707, 0.7992890477180481, -1.2332000732421875, 0.03849227726459503, 0.37570229172706604, 0.3244478106498718, 0.696029782295227, 0.018024927005171776, -0.21757009625434875, -0.022142846137285233, 0.29310843348503113, 0.6386856436729431, 0.23451150953769684, 0.6619122624397278, 0.2126840353012085, -0.43261364102363586, 0.23284107446670532, 0.7535783648490906, -0.2653404474258423, 0.4080049395561218, -0.7183828949928284, 0.8554267287254333, 0.37409311532974243, -0.22693496942520142, 0.5017598271369934, 0.7425921559333801, -1.2490224838256836, -0.5206189751625061, -0.039673853665590286, 0.11892496794462204, 1.040326714515686, -1.0455021858215332, 0.24365098774433136, 1.2856804132461548, -1.118139386177063, 0.29803869128227234, -0.859699547290802, -0.5262028574943542, -1.3802138566970825, 0.3891395032405853, 0.4621170163154602, 0.03955404460430145, 0.2007741779088974, -0.18175117671489716, 0.7242394685745239, -0.10341636091470718, -0.4469461441040039, -1.1150175333023071, 0.10187488049268723, 0.7486907839775085, -0.7500163316726685, -0.5552054643630981, 1.0256085395812988, -1.5556613206863403, -1.2540816068649292, -0.6041997671127319, -1.1199374198913574, 0.09569541364908218, 0.2274755984544754, 0.7651828527450562, 0.1311669498682022, 0.8150524497032166, -0.09262323379516602, -0.07110672444105148, -0.033483147621154785, -0.9236159324645996, 0.49554166197776794, -0.027467720210552216, -0.4917917251586914, -0.09095434844493866, 0.8625397682189941, 0.8443688154220581, -0.9478664398193359, 0.5434573292732239, -0.9326471090316772, -0.06674317270517349, 0.13953110575675964, 0.35879215598106384, 0.36338576674461365, -1.4175143241882324, -0.16125349700450897, -0.9967402815818787, 0.5379077196121216, 0.45115482807159424, -0.23237232863903046, -0.36451855301856995, 0.3377766013145447, -1.0173430442810059, -0.05195292830467224, 0.13344354927539825, 0.14217281341552734, -1.2300562858581543, -0.4532968997955322, -0.47488901019096375, -0.10729209333658218, 1.015058159828186, 0.4533158242702484, -0.035459037870168686, -0.26999494433403015, 1.1093038320541382, -0.33235985040664673, -0.4031966030597687, -0.6765857934951782, -0.5332496762275696, 0.6326131224632263, -0.38520723581314087, 1.120298147201538, 1.4165234565734863, 0.6439886689186096, -0.6296138763427734, -1.046507477760315, -0.08206342905759811, 1.4881081581115723, 1.158800721168518, 0.011728045530617237, -0.435861200094223, -1.701312780380249, -0.08414766192436218, -0.3281298577785492, 0.5714077353477478, -0.3652971684932709, -0.9493122100830078, -0.02831443026661873, 0.01877133548259735, -0.1733378767967224, 0.419875830411911, 0.6988711953163147, 0.903279185295105, 0.15618310868740082, 0.7768179178237915, -0.9209302067756653, 0.21463195979595184, -0.11788053065538406, 0.04713201895356178, -0.43591442704200745, 2.0016262531280518, -0.028704682365059853, -0.42646437883377075, 0.4506921172142029, -0.16253098845481873, -1.215919017791748, 1.5676311254501343, 0.3303568363189697, 0.3927319049835205, -0.7399531006813049, -0.6121097803115845, 1.3908451795578003, -1.0202558040618896, -0.8971619009971619, 0.7187876105308533, 0.4747265577316284, 0.2000807225704193, 0.5234643220901489, -0.05882936343550682, 0.9316415786743164, 0.23466756939888, 0.4782138168811798, -0.9222092628479004, -0.7131921648979187, 0.857391893863678, 0.5292242765426636, 0.5131126642227173, -0.17756730318069458, 0.1775028109550476, 1.1211817264556885, -0.9910521507263184, 0.9866710901260376, -0.17785987257957458, 0.6794894337654114, -0.11973010003566742, 0.516250491142273, -0.8014700412750244, -0.6514422297477722, -1.4454669952392578, -0.28083041310310364, -0.10061005502939224, 1.2874643802642822, -0.939469575881958, 0.08552204072475433, -1.8156518936157227, 0.5648213624954224, -0.30942755937576294, -0.27867192029953003, 0.06136883422732353, 0.46671468019485474, -0.4326796531677246, -0.5123809576034546, -0.9952753186225891, 0.08748521655797958, 1.7298308610916138, -1.314674973487854, 0.30157235264778137, 0.2793053090572357, 0.6774693727493286, 0.47619131207466125, -0.6916373372077942, -1.3183680772781372, -0.3889331519603729, 1.1685112714767456, 0.11744510382413864, -0.2982136607170105, -1.0339730978012085, -1.5552977323532104, 0.6628055572509766, 1.3310291767120361, 0.29804322123527527, 0.032195184379816055, -1.1861076354980469, 0.5414949655532837, 0.4753621816635132, 0.4474432170391083 ] ]
{ "indices": [ 691409538, 2394752843, 695926169, 4186256544, 3731741184, 3713535024, 1590456296, 2067848296, 1551089265, 1786548735, 1911071232, 115441729, 3062174764, 640124220, 2391722386, 3449948193, 4078800703, 647928480, 2257684172, 3172858508, 2006536704 ], "values": [ 0.6640519892753799, 0.6640519892753799, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.7477917007047616, 0.7477917007047616, 0.6640519892753799, 0.6640519892753799, 0.49706424497402196, 0.7477917007047616, 0.49706424497402196, 0.6640519892753799, 0.7477917007047616, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196 ] }
{ "context": "It's all turned off. Not turned off, but there's nothing going on. So none of them matter. So what should I do? Just set them all to don't care, right? Put all don't cares there. Good. What about ALU? ALU is not used, right? But memory has to do a read. So what should I put here? So what about ALU? Don't cares. What about memory IO enable? A one. What about read or write? Zero for read." }
283473
[ [ -0.14083249866962433, -0.6906516551971436, 0.03898528218269348, 0.41827869415283203, 0.6712000370025635, -0.016025064513087273, -0.16699741780757904, 0.6895824074745178, 0.6612052321434021, -0.5440006256103516, 0.9813005924224854, 0.3578103184700012, 1.113078236579895, 0.4707036316394806, 0.37720388174057007, -0.09738712012767792, 0.5145514607429504, -0.16010577976703644, -0.2311888188123703, -0.39629027247428894, 0.9380141496658325, -0.34652113914489746, -0.5906928777694702, -0.196886345744133, -0.7729423642158508, 0.6101859211921692, -0.26020485162734985, -0.7629032731056213, 0.21275858581066132, 0.9339658617973328, -0.3848564326763153, -1.2038228511810303, 0.5870705842971802, -0.09267713129520416, 1.7406634092330933, -0.08113867789506912, -0.48346051573753357, -0.6546098589897156, 0.13104315102100372, 0.7664452195167542, -0.17659521102905273, 0.37143802642822266, 0.08044002205133438, 0.488679438829422, -1.3899483680725098, 0.4737021028995514, -0.5013371706008911, -0.35879191756248474, 1.421341061592102, -0.8393722772598267, 1.1045141220092773, -0.48013198375701904, 1.0110496282577515, 0.3044740557670593, 0.11654485762119293, 0.20808586478233337, 0.9283592104911804, 1.6893380880355835, 0.46723300218582153, -1.2286816835403442, 0.08008281141519547, -0.45396697521209717, 0.6071676015853882, -0.3643611967563629, -0.1458211988210678, -0.287621408700943, -0.6495928764343262, -0.7472425699234009, 0.5860143303871155, -0.5241842269897461, -0.8676188588142395, 0.4006427228450775, 0.5140799880027771, 0.3981771469116211, 0.7593979239463806, -0.4336245059967041, -0.0004199113172944635, 0.0008114831289276481, 0.6869622468948364, 1.2001738548278809, -1.0115786790847778, 0.2709192633628845, -0.6219022870063782, 0.9807941317558289, 0.673011064529419, -0.6122465133666992, 0.9767093062400818, -0.4829427897930145, 0.24133385717868805, 0.9769260287284851, 0.31571972370147705, -0.7804902195930481, 1.6537625789642334, 0.4331014156341553, -0.744627833366394, -0.2016986608505249, 0.2799658179283142, 1.1946457624435425, 0.37762555480003357, -0.6399585604667664, 0.05688629671931267, -1.2068123817443848, 0.1462547481060028, 1.4226047992706299, 0.14173553884029388, 0.7683252096176147, -0.4227459728717804, -0.05744532495737076, -0.6649168729782104, 0.5796712040901184, -0.6556772589683533, 0.7987659573554993, -0.3385973274707794, 0.846264123916626, 0.05487184599041939, -0.7274108529090881, -0.6528381705284119, -0.27804532647132874, 1.1675597429275513, 0.9035933613777161, 0.7039368748664856, 0.6575533151626587, 0.79978346824646, -0.8382284641265869, -1.9385545253753662, 0.6191118955612183, 0.4476654529571533, -0.297553688287735, -1.2332388162612915, 0.7889670729637146, 0.18958550691604614, 0.1527908742427826, 0.5178991556167603, -0.2732622027397156, 0.2931738793849945, 1.0172632932662964, -1.6837003231048584, -1.3342673778533936, 0.7619165182113647, -0.023809505626559258, -0.2406483143568039, -1.691525936126709, 0.24885770678520203, 0.9601211547851562, 1.0647438764572144, 0.7395620346069336, 0.4908730089664459, 0.15456333756446838, 0.17017073929309845, 0.44035840034484863, -0.49226728081703186, 0.07059232145547867, -1.5782784223556519, -0.3734390139579773, -0.567559540271759, -0.5427767634391785, 0.238468736410141, 0.07756610959768295, -0.5898091197013855, 0.11918550729751587, 0.3697936534881592, -0.33028095960617065, -0.15671588480472565, -0.24835501611232758, -0.8752696514129639, -0.8280619978904724, 1.7824699878692627, -0.023728830739855766, 0.38896211981773376, 1.0111445188522339, -0.1551533341407776, -0.49706676602363586, 0.27015265822410583, 0.20867030322551727, 1.0363919734954834, -0.966852605342865, 0.24536912143230438, -0.4562821388244629, -0.19268202781677246, 0.0702965036034584, -0.7875958681106567, -0.5274257659912109, 0.23339347541332245, 1.1733191013336182, 0.49339237809181213, -0.21712729334831238, -0.4445761442184448, -0.257942259311676, 1.2120640277862549, -1.088012456893921, -0.5447114706039429, -1.361711025238037, 0.38877907395362854, 0.1435663402080536, -0.7914405465126038, -1.2133101224899292, -0.3327678442001343, 0.35295605659484863, 0.8173143863677979, -0.4220643639564514, -0.8208655714988708, 0.47985386848449707, 0.8091734051704407, -0.9672662615776062, -0.1361796259880066, 0.8909295797348022, 0.8509801030158997, -0.8590942025184631, 0.8015784025192261, -0.5265094041824341, -0.6935887336730957, 0.6310153007507324, 0.14996911585330963, 0.11782348155975342, 0.48946231603622437, 0.2581813633441925, 0.26696622371673584, 1.174741268157959, -0.07546351104974747, 0.6611674427986145, -0.9676691293716431, 1.2002393007278442, 2.264035940170288, 0.982572078704834, 0.6148842573165894, 0.4065277874469757, -0.11601758748292923, 0.030459748581051826, 0.17616061866283417, -0.7595677971839905, -0.4339008033275604, -1.3520796298980713, 0.37189528346061707, 0.7188339829444885, -0.495651513338089, 0.07254394888877869, 1.9085079431533813, 0.7057773470878601, 1.1006137132644653, 0.01042679138481617, -1.5896793603897095, 0.5795432329177856, 0.5821609497070312, 0.4120684564113617, 0.3180086314678192, 1.4100720882415771, 0.26703494787216187, 1.2427359819412231, -0.2723920941352844, 0.05559063330292702, -0.8062475919723511, 0.45756447315216064, 0.12217846512794495, -0.5358563661575317, -0.026003824546933174, -1.45059335231781, 0.3988649845123291, -1.2120157480239868, -0.722699761390686, 0.5193557739257812, -0.3635503053665161, -0.25177666544914246, -0.050125353038311005, -0.42714595794677734, 0.024570100009441376, 0.19095177948474884, -0.18167494237422943, -0.6152100563049316, 1.473861575126648, -0.8309208154678345, 0.2919265329837799, 0.6113004088401794, -0.6409483551979065, -1.2355412244796753, 0.049954820424318314, 0.7871790528297424, -0.5016660094261169, 1.4171029329299927, -0.8993114829063416, -1.1048669815063477, -0.57187819480896, 0.6226711869239807, 0.5071228742599487, 0.1835131198167801, -1.8259966373443604, 0.42147591710090637, -0.2994176149368286, -0.6995412111282349, 0.5641716718673706, 0.38307520747184753, -0.9304383397102356, 0.5271868109703064, -0.37307843565940857, -0.7084428668022156, 0.16722844541072845, -0.17004458606243134, 0.11534377932548523, 0.14808176457881927, -0.021260133013129234, 0.5256502628326416, -0.008943901397287846, -1.694394588470459, 0.06314459443092346, -1.1728873252868652, -0.14383551478385925, 0.23825770616531372, -0.5188371539115906, -0.5189196467399597, -0.731639564037323, 0.5654694437980652, -0.11629492044448853, -0.6047212481498718, -0.5079712271690369, -1.139892339706421, -1.6042437553405762, 0.01166382897645235, 0.7416326999664307, 0.9774587154388428, -0.39027926325798035, -0.777527391910553, 1.416741132736206, 0.5503489971160889, -0.7552048563957214, -0.025668049231171608, 0.4078578054904938, 0.26047447323799133, -1.1531587839126587, -0.36168020963668823, 0.5158074498176575, 0.11858271062374115, 0.0481555238366127, -0.2803287208080292, 0.8564819693565369, 1.6372170448303223, -0.6206814646720886, -0.8283827900886536, 0.08063382655382156, 0.3355066776275635, -1.2453155517578125, -0.3260476589202881, 0.6373407244682312, 0.4339042901992798, 1.3747599124908447, 0.16982316970825195, 1.1258598566055298, 0.295916348695755, -0.5388588905334473, 0.3701947033405304, 0.7423676252365112, 0.34775033593177795, -0.3854540288448334, 0.2189919501543045, 0.09929903596639633, -0.4011825919151306, -0.8206377029418945, 0.4936630427837372, 1.1615750789642334, -0.9826183915138245, 0.17940327525138855, 1.1252392530441284, 0.9039018750190735, 0.20405441522598267, -0.28175055980682373, -0.2852017879486084, 0.5318737030029297, 0.3352401554584503, -0.16254352033138275, 0.042032718658447266, 0.7670160531997681, 0.041701629757881165, 0.26760774850845337, 0.5929633975028992, -0.5011844635009766, -0.8772261142730713, -1.7413053512573242, -0.5939875245094299, 0.28821054100990295, 0.955018937587738, 0.5222121477127075, 0.8047155141830444, 0.3629201054573059, 0.2989271879196167, -0.4468872845172882, 0.14094331860542297, -1.329387903213501, 0.3685048520565033, 0.020414862781763077, 0.08076213300228119, 0.5792500376701355, -0.14677578210830688, 0.3208523988723755, -0.25448063015937805, -1.1780765056610107, 0.057686805725097656, -0.5465040802955627, -1.1158294677734375, 0.36477139592170715, 0.2320103645324707, -0.38206997513771057, 0.41091856360435486, 0.7182568311691284, 1.9123835563659668, 1.1000679731369019, 0.5204750299453735, 0.4433768093585968, 0.2724628448486328, -0.7167579531669617, -0.445682168006897, 0.4449670910835266, 0.3238562047481537, 0.923345685005188, 0.4908457398414612, 0.5672903656959534, -0.9782990217208862, 0.42283469438552856, 0.4134458899497986, 0.9533032774925232, -0.045274700969457626, 0.3219558298587799, 0.04127851128578186, -0.39504575729370117, -0.7790663242340088, 0.5027389526367188, 1.2661875486373901, -0.23172836005687714, 1.8507660627365112, 1.08089280128479, 0.3444229066371918, -0.09151202440261841, 0.6699719429016113, 1.4911713600158691, 0.3017309308052063, 0.0742572620511055, -0.5365396738052368, -1.1026744842529297, -0.4374765157699585, -0.20975220203399658, 0.19701789319515228, 1.2039320468902588, 0.5994497537612915, -0.5132390856742859, 0.45779260993003845, 0.0005968152545392513, -1.065469741821289, 0.22633959352970123, -0.46687695384025574, -0.45073091983795166, -0.4218730032444, 0.6162203550338745, 0.3575247526168823, 0.46848100423812866, -0.4811023771762848, 0.23667550086975098, -1.053699016571045, -2.6052443981170654, -0.09366101771593094, 0.20354335010051727, 1.2529184818267822, -0.5101010799407959, -0.023670438677072525, -0.8050258159637451, -0.884764552116394, 0.49812474846839905, -0.36647945642471313, 1.2813754081726074, -0.9172045588493347, 0.5696787238121033, -0.05842095613479614, -1.2159862518310547, -0.8662863373756409, 1.1738909482955933, -0.25028079748153687, -0.0837007462978363, -0.3953038454055786, -0.10455618053674698, -0.49964457750320435, 0.4520692825317383, 0.6120951771736145, 0.6801570057868958, -0.4728595018386841, -0.3543544411659241, 0.1994696706533432, 0.7424693703651428, -1.0441168546676636, -0.5483155250549316, 0.25933462381362915, -1.0047764778137207, -0.9370926022529602, 0.437287837266922, -0.8120930194854736, -0.16961799561977386, 0.2141411006450653, -0.11056208610534668, -0.34488219022750854, -0.29848626255989075, -1.4604402780532837, 0.6692833304405212, -0.2772999107837677, 0.5704113841056824, 0.9448854923248291, 0.7543815970420837, -0.7582947611808777, 0.1003430187702179, -0.3974701464176178, 0.07809833437204361, -0.4287175238132477, 0.786691427230835, -0.9118750095367432, -0.44583258032798767, -1.0847517251968384, 1.0187677145004272, -0.03504921495914459, 0.02188594453036785, -0.43917396664619446, -0.5406602621078491, -0.30944764614105225, 1.1703827381134033, -1.3673959970474243, 0.12705017626285553, -0.43370264768600464, -0.0029698070138692856, -0.8818354606628418, 0.4847889244556427, 0.45310717821121216, -1.3984489440917969, 0.5853811502456665, 0.5685704350471497, 0.1308463215827942, 1.2358673810958862, -0.794968843460083, -0.14530277252197266, 0.6231245994567871, -1.2656254768371582, 0.6451900601387024, 0.23122826218605042, 0.3966895043849945, -0.2686367630958557, -0.6754704117774963, -0.34435170888900757, 0.28509435057640076, -0.6916868686676025, 0.4636058509349823, -0.322761207818985, 0.7657715678215027, 0.24518179893493652, -0.30463290214538574, -0.9173204302787781, -0.9130712747573853, -0.8180908560752869, 0.6256959438323975, 0.21795372664928436, -0.2976984679698944, 0.05482041463255882, 0.37918248772621155, -0.557034432888031, 0.10167752206325531, 1.1462016105651855, -0.399387001991272, 0.505083441734314, 0.22710935771465302, 0.34066808223724365, 0.7836451530456543, 1.2314419746398926, 0.35347408056259155, -0.09384453296661377, 0.10305364429950714, 0.04882824420928955, -0.6306663751602173, -1.2014307975769043, -0.5048362016677856, -0.22082661092281342, -0.7820867300033569, -0.5166367292404175, 1.495408058166504, -0.2359129786491394, 0.14486151933670044, 0.13558870553970337, -0.4620078206062317, -0.49838629364967346, -0.07151053845882416, -0.4654252231121063, -0.2943447232246399, 0.3586312532424927, -0.6042596101760864, 0.2070329189300537, 0.10975033789873123, -0.5996106863021851, -0.664120078086853, 0.12293196469545364, 0.5032901167869568, 0.23349855840206146, 2.3186604976654053, 0.36276936531066895, 0.558577835559845, 0.572390615940094, 0.9185082912445068, 0.41262754797935486, -0.3945438861846924, 0.45846959948539734, 0.8051388263702393, 0.8013249635696411, -0.29846492409706116, 0.08509267866611481, -1.1462637186050415, 0.18750526010990143, 1.7136788368225098, -0.9620763659477234, 0.9218699336051941, -0.45890921354293823, 0.21532443165779114, -0.26297110319137573, 0.19486145675182343, -0.9896649122238159, 0.891258955001831, -0.33355405926704407, 1.9682198762893677, -1.1776576042175293, -0.5292301177978516, 1.5166358947753906, 0.9878482818603516, 0.6267557144165039, 0.06511465460062027, 0.4315110743045807, -0.33273035287857056, -0.1501491367816925, -1.275452971458435, -0.6834210157394409, 1.093005657196045, -1.1281673908233643, 0.9369298815727234, -0.2844000458717346, -0.6655449271202087, 0.8827344179153442, 0.7273532748222351, -0.10308650135993958, -1.6609169244766235, -0.8571389317512512, -1.3150651454925537, 0.9192870855331421, 0.31605085730552673, -0.03872976079583168, -0.43094468116760254, -0.0033697516191750765, 0.7190334796905518, -0.10758340358734131, 0.9840502738952637, -0.4952212870121002, -1.7830513715744019, 0.012199909426271915, -0.3881795406341553, -0.9156967401504517, 1.207425832748413, 0.21885773539543152, -0.3784556984901428, 0.8984870910644531, -0.309710830450058, 1.2093369960784912, -0.09545881301164627, 0.37160205841064453, 0.14990182220935822, 1.262939691543579, -0.7121856808662415, -0.4455723166465759, -0.39756208658218384, -0.20488210022449493, 4.625022888183594, 1.7004507780075073, -0.3591870069503784, -2.142885208129883, 0.2277596890926361, -0.5886334180831909, 0.4071572422981262, 0.11361515522003174, 0.47027719020843506, 0.3801558315753937, 0.7555711269378662, 0.9722846746444702, 0.22021493315696716, -0.09414924681186676, 0.480461448431015, 0.40643325448036194, -0.5176864862442017, -0.870326578617096, 0.9450711011886597, 0.3654240667819977, 0.5483564734458923, -0.15056248009204865, -1.1239075660705566, -1.1719905138015747, 0.924447774887085, -0.5298645496368408, 0.5678368210792542, -0.513096272945404, 1.0990612506866455, -0.19164849817752838, -1.0005561113357544, 1.0611320734024048, 0.4020998775959015, 0.710508406162262, 0.5510461330413818, 0.1620187610387802, -0.798997700214386, -0.7039036154747009, -0.5491310954093933, 0.4077613651752472, 0.17727503180503845, -0.33719906210899353, -0.4154796302318573, -0.42610782384872437, -1.0753706693649292, -0.2873370945453644, -0.5023459196090698, -0.9452934861183167, -0.004417756572365761, -0.31303203105926514, 0.051481932401657104, 0.3575945198535919, -0.516659677028656, -1.1353793144226074, -0.6434718370437622, 0.8266733288764954, 0.20433132350444794, -0.7919548749923706, -0.5482721328735352, -0.5528766512870789, 0.25187113881111145, -0.40113717317581177, 0.7867193818092346, 0.6848074793815613, 0.8879379630088806, 0.4253995418548584, -0.6989729404449463, 2.115626573562622, 0.2689161002635956, -0.6442242860794067, -0.956317126750946, 0.3752622902393341, -0.21578577160835266, -0.4344603717327118, -0.3044171631336212, 0.9230964779853821, -0.6445373296737671, 0.45997878909111023, -0.7586792707443237, -0.5397015810012817, -1.0477144718170166, 0.37437504529953003, -0.43914684653282166, 0.15944676101207733, -0.5236871838569641, -0.775213897228241, -0.3022423982620239, 0.5970816016197205, 0.4382179379463196, 0.04620535671710968, 0.39376136660575867, 1.6596343517303467, 1.0615365505218506, -0.7934395670890808, 0.21338631212711334, 0.7159542441368103, -1.4144904613494873, -0.07164343446493149, -0.5474207997322083, 1.1072112321853638, 0.15804125368595123, -0.4544638991355896, -0.07356145232915878, 1.1305660009384155, -0.9610967636108398, -0.11801387369632721, 1.1401715278625488, -1.416529893875122, -0.24142199754714966, 0.035836923867464066, 0.7974052429199219, -1.3329740762710571, -0.5458735227584839, -0.5511016249656677, -0.248360738158226, -0.25749659538269043, -0.11544153839349747, -0.3867871165275574, 0.2914481461048126, -0.4528365433216095, 0.2486383616924286, 0.28191640973091125, 0.3774724006652832, -0.429688423871994, -0.264729768037796, 0.36690372228622437, -0.8284013271331787, 0.04217017814517021, -0.10820150375366211, -0.025842729955911636, 0.3337015211582184, -0.12293487787246704, -0.138347789645195, 0.6742367744445801, -0.899376392364502, -0.5799738168716431, 0.05859502777457237, 0.5035775303840637, -0.8589369654655457, 0.32904481887817383, 0.032400429248809814, -0.35300275683403015, -0.7964820265769958, 1.1462994813919067, -0.6424428820610046, -0.05196099355816841, 0.46532049775123596, -0.27819082140922546, 0.6354700326919556, 0.5482137203216553, -0.633469820022583, -0.2291443794965744, 0.4672275185585022, 0.5848991274833679, 0.07227867841720581, 0.5154569149017334, 0.05077919363975525, 0.29732638597488403, 0.10695651918649673, 0.48317769169807434, 0.5302138328552246, 0.7493054866790771, -0.12427466362714767, -0.4297717809677124, -0.2580302357673645, 0.08693292737007141, -0.6896053552627563, 0.5456717014312744, -0.3864840567111969, 1.0624854564666748, 0.42165204882621765, -0.10402396321296692, 0.22738976776599884, 0.506186306476593, -1.3535321950912476, -0.09955287724733353, -0.6315114498138428, 0.07804720848798752, 0.2970271408557892, -0.7645562887191772, 0.5111814737319946, 1.1234629154205322, -1.5804405212402344, 0.32306426763534546, -0.5717507004737854, -0.782699704170227, -1.0121821165084839, 0.48212388157844543, 0.32668718695640564, 0.05461079999804497, -0.06566836684942245, -0.0007822690531611443, 1.0473895072937012, -0.025330591946840286, -0.6168285608291626, -0.7882161140441895, 0.01687130704522133, 0.6453015208244324, 0.118581123650074, -0.3139284551143646, 0.8450595140457153, -0.7210158109664917, -1.114366888999939, -0.49793294072151184, -1.4846142530441284, -0.14123748242855072, -0.11774938553571701, 1.3003807067871094, 0.30743372440338135, 0.6697494983673096, -0.18088559806346893, 0.16376669704914093, 0.17350797355175018, -0.6629010438919067, 0.45405110716819763, 0.29879724979400635, -0.678336501121521, 0.22182029485702515, 0.3244144916534424, 0.03962721303105354, -1.1772700548171997, 0.7855226397514343, -0.9018571972846985, -0.19123640656471252, 0.21449638903141022, -0.18841668963432312, 0.37857094407081604, -1.123619794845581, -0.015689436346292496, -1.1240730285644531, 0.6283235549926758, -0.08784664422273636, 0.7432579398155212, 0.15247341990470886, -0.13948076963424683, -0.770751953125, -0.3841991424560547, 0.21929483115673065, 0.1264733523130417, -0.9272183179855347, -0.774760901927948, 0.07435675710439682, -0.26541775465011597, 1.1598544120788574, 0.3849979043006897, -0.09015817940235138, -0.6366366744041443, 0.7859376668930054, -0.2865602970123291, -0.38419023156166077, -0.42066678404808044, -0.3098123073577881, 0.5045059323310852, -0.2784523367881775, 1.1335805654525757, 1.599033236503601, 0.6993209719657898, -0.6940203309059143, -1.3673803806304932, -0.1348681002855301, 1.2632505893707275, 1.0015348196029663, -0.43395018577575684, 0.149552583694458, -1.382377028465271, 0.10039865970611572, -0.4154946804046631, 1.2209686040878296, 0.08108025044202805, -0.3543473780155182, -0.2947379946708679, 0.10553998500108719, 0.22221913933753967, 0.033554911613464355, 0.3956363797187805, 0.5485760569572449, 0.356767863035202, 0.08368764072656631, -0.5746010541915894, -0.22749802470207214, -0.15988007187843323, 0.8208522796630859, -0.1418302357196808, 1.243591547012329, 0.16621172428131104, 0.14341598749160767, 0.20939837396144867, -0.1561059057712555, -1.580335259437561, 1.6293686628341675, 0.20303376019001007, 0.20347915589809418, -0.8234206438064575, -0.35678741335868835, 1.0446616411209106, -1.6225897073745728, -0.5658771395683289, 0.7420229911804199, -0.08248618990182877, -0.020622167736291885, 0.7563409805297852, 0.44462984800338745, 0.73494553565979, 0.03400503471493721, 0.6244938969612122, -0.3695308268070221, -0.5540350079536438, 0.8211408257484436, 0.9418323636054993, 0.6888976097106934, -0.39697694778442383, 0.11114978045225143, 0.7165910601615906, -0.6209611892700195, 1.2108991146087646, -0.033594340085983276, 0.5347310900688171, 0.05788309499621391, 0.5451527833938599, -0.15723437070846558, -1.1705713272094727, -0.8398205041885376, 0.011900294572114944, -0.20926964282989502, 1.2616851329803467, -0.9778724312782288, 0.4624030292034149, -1.1515967845916748, 0.014505268074572086, -1.125510573387146, -0.10963862389326096, -0.028867051005363464, 0.6401987671852112, -0.32460227608680725, -0.44039446115493774, -0.9251683354377747, -0.29342177510261536, 1.8101701736450195, -1.267378807067871, -0.23374143242835999, -0.25830137729644775, 0.6719722747802734, 0.2225138396024704, -0.7477976679801941, -1.9300537109375, -0.8222781419754028, 0.44099581241607666, 0.24549883604049683, -0.45774659514427185, -0.9450052976608276, -1.6611757278442383, 0.06717445701360703, 1.3699129819869995, -0.05201305076479912, -0.38224223256111145, -1.1013848781585693, 0.024700095877051353, 0.6318747401237488, 0.44087764620780945 ] ]
{ "indices": [ 2391722386, 4078800703, 647928480, 2257684172, 3449948193, 3172858508, 2006536704, 2236453805, 3413443226, 3819098157, 1590456296, 3396792551, 2394752843, 202708381, 3062174764, 2067848296, 1551089265, 691409538, 4082485121, 1682803238, 1349070631, 436751995, 1181726436, 722829366, 1391639301, 4284532392 ], "values": [ 0.7362650941286006, 0.4820161344390456, 0.4820161344390456, 0.7882364613641718, 0.7362650941286006, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.4820161344390456, 0.6504870267441352, 0.6504870267441352, 0.4820161344390456, 0.6504870267441352 ] }
{ "context": "What about memory IO enable? A one. What about read or write? Zero for read. I did them one at a time. Thanks. Okay. So these are then the settings for memory. We want to turn memory on and tell it to do a read. ALU, we don't care. It's being thrown away anyway. So that's it for the second fetch state. So what about the third fetch state? So we finished this one. We finished this one." }
617993
[ [ 0.24667960405349731, -0.5019992589950562, 0.2091299444437027, 0.37767863273620605, 1.0321197509765625, -0.24256004393100739, -0.3112320005893707, 0.18937364220619202, 0.4890346825122833, -0.5116232633590698, 0.935223400592804, 0.6838662028312683, 0.36631786823272705, 0.4391510784626007, 0.12400917708873749, -0.18640735745429993, 0.37633976340293884, -0.2641821801662445, -0.2118912935256958, -0.6459222435951233, 0.6626324653625488, -0.09148592501878738, 0.1289052516222, -0.18144580721855164, -0.4954754114151001, 0.4351061284542084, -0.01572275348007679, -0.922950804233551, -0.3715158998966217, 0.7715103030204773, -0.24794833362102509, -1.2069261074066162, 0.4116160571575165, -0.5905659198760986, 2.0939197540283203, 0.2946632504463196, 0.12082229554653168, -0.42561712861061096, 0.21498721837997437, 0.2684076130390167, -0.598816990852356, 0.5175670385360718, 0.09603936970233917, 0.6572079658508301, -1.0109554529190063, -0.1604757159948349, -0.10098820179700851, -0.2597331404685974, 1.2433148622512817, -1.1874589920043945, 1.162711501121521, -0.40745455026626587, 1.0175502300262451, 0.1195402666926384, 0.042040079832077026, 0.4192841947078705, 0.9040148258209229, 1.551027536392212, 0.2904545068740845, -1.1318702697753906, -0.21737201511859894, -0.8775393962860107, -0.05821477249264717, -0.33374184370040894, -0.24558493494987488, -0.09127628058195114, -0.7833293080329895, -0.7846351265907288, 0.6836434602737427, -0.4738706052303314, -0.8340122103691101, 0.5334653258323669, 0.6584264636039734, 0.13594968616962433, 1.2398961782455444, -0.7200108766555786, 0.12015896290540695, 0.273752361536026, 0.7637752294540405, 1.3989166021347046, -0.6259867548942566, 0.14568273723125458, -0.44043198227882385, 0.8146443367004395, 0.5023398995399475, -0.6315906047821045, 1.0692660808563232, -0.7464436888694763, 0.5082811117172241, 1.0355870723724365, 0.3500008285045624, -0.7692232728004456, 1.2853446006774902, 0.24332158267498016, -0.6520649194717407, -0.35977891087532043, 0.8686186075210571, 1.4089529514312744, 0.01344888936728239, -1.0659459829330444, 0.49268457293510437, -0.905866265296936, 0.25583502650260925, 1.5716578960418701, 0.24875740706920624, 0.5166237354278564, -0.11008554697036743, -0.1320166140794754, -1.0221115350723267, 0.34105604887008667, -1.1499536037445068, 0.8632685542106628, -0.5911793112754822, 1.0752147436141968, 0.05768663063645363, -0.6999368071556091, -0.5608551502227783, -0.6933138966560364, 1.342056393623352, 0.9726096391677856, 1.0894893407821655, 0.4654548168182373, 1.2405526638031006, -0.28721076250076294, -2.1885640621185303, 0.5678438544273376, 0.3730561137199402, -0.27220046520233154, -1.2498397827148438, 0.8380935788154602, 0.5810550451278687, 0.33660024404525757, 0.2733292579650879, -0.6615282893180847, 0.4553084969520569, 1.0208631753921509, -1.859687089920044, -1.1434681415557861, 0.821038007736206, 0.06598839163780212, -0.2018817663192749, -2.119792938232422, 0.20117390155792236, 0.66844642162323, 1.4041526317596436, 0.8310445547103882, 0.591586709022522, 0.6077857613563538, -0.001549126347526908, 0.25166618824005127, -0.6251510381698608, 0.21263475716114044, -1.3337751626968384, -0.0646795928478241, -0.961249589920044, -0.8661405444145203, 0.5034226775169373, -0.2892914414405823, -1.0347446203231812, 0.18649309873580933, 0.0736204981803894, -0.4274761378765106, 0.30369994044303894, -0.23991969227790833, -0.6015287041664124, -0.8793944120407104, 1.4022427797317505, -0.024176131933927536, 0.6600890159606934, 0.9685030579566956, -0.09159684181213379, 0.031946707516908646, 0.12549884617328644, 0.52065110206604, 1.014356017112732, -0.4341472089290619, 0.2236945629119873, -0.20694343745708466, 0.04010314494371414, 0.3157714903354645, 0.02248065173625946, -0.47758951783180237, 0.28453734517097473, 1.490513801574707, 0.5541389584541321, 0.5769566297531128, -0.6536998748779297, -0.9897666573524475, 1.1945717334747314, -0.7349331974983215, -0.4470805823802948, -0.9576664566993713, 0.4308975040912628, -0.13631750643253326, -0.6978277564048767, -1.1224554777145386, -0.6030296087265015, 0.14131172001361847, 0.6922340989112854, -0.5550014972686768, -0.19557404518127441, 0.7655653357505798, 1.1128487586975098, -0.63799649477005, -0.1782403141260147, 0.9224194884300232, 0.9946373105049133, -0.6532911062240601, 0.14093807339668274, -0.8919623494148254, -0.6509323120117188, 0.522584855556488, -0.15962812304496765, 0.37379342317581177, 0.5790007710456848, -0.2376026064157486, 0.3205983340740204, 0.398002952337265, -0.34283316135406494, 0.2734479010105133, -1.0354406833648682, 0.8900052309036255, 2.164850950241089, 1.237092137336731, 0.3745819330215454, 0.3147553503513336, -0.08189333230257034, -0.27231597900390625, 0.1721648871898651, -0.6301345825195312, -0.10625869035720825, -1.2299976348876953, 0.2769910395145416, 0.44511139392852783, -0.039634786546230316, 0.4892043173313141, 1.5402252674102783, 1.074360966682434, 1.2590458393096924, 0.7202330827713013, -1.4424197673797607, 0.627235472202301, 0.2622438967227936, 0.00016707424947526306, 0.2666163742542267, 1.445114254951477, 0.19910022616386414, 0.9415896534919739, 0.19206392765045166, 0.17969761788845062, -0.6230524778366089, 0.44823917746543884, 0.452754408121109, -1.1599804162979126, 0.7357791662216187, -1.2709990739822388, 0.07935686409473419, -0.9339135885238647, -0.47373682260513306, 0.7379770874977112, -0.31420469284057617, -0.44030478596687317, -0.5100547671318054, -0.39441385865211487, 0.2519986033439636, 0.4602397382259369, -0.12768681347370148, -0.6593536138534546, 1.3554259538650513, -0.4783271849155426, 0.5171898603439331, 0.5420923829078674, -0.2382476031780243, -0.44608089327812195, -0.27561065554618835, 0.8146596550941467, -0.6139346957206726, 1.4397687911987305, -0.5310139060020447, -0.07707393914461136, -0.10265575349330902, 0.26166531443595886, 0.5595304369926453, -0.13639527559280396, -1.9741252660751343, 1.0584501028060913, -0.7643903493881226, -0.6471841931343079, 0.6834167838096619, 0.6247300505638123, -1.2741222381591797, 0.4326040744781494, 0.049900949001312256, -0.8140324354171753, 0.4020698666572571, 0.014663747511804104, 0.13543711602687836, -0.09613922238349915, 0.49140363931655884, 0.7539101243019104, -0.09516892582178116, -0.9939044117927551, 0.1485893428325653, -1.1722581386566162, -0.7968438267707825, -0.26325157284736633, -0.6948544383049011, -0.543547511100769, -0.5695911049842834, -0.04812612012028694, -0.37106528878211975, -0.5768014192581177, -0.32483190298080444, -1.1119695901870728, -1.8269083499908447, 0.018120113760232925, 0.2838151156902313, 0.8195492029190063, -0.2751048803329468, -0.564258337020874, 1.0400502681732178, 1.1454792022705078, -0.5994342565536499, -0.664447009563446, 0.226972296833992, -0.3753836154937744, -0.6118178367614746, -0.08276862651109695, 0.6834627389907837, 0.12003052234649658, 0.11911322176456451, -0.6292299628257751, 1.287356972694397, 1.5279752016067505, -0.03518058732151985, -0.7282832860946655, 0.39061102271080017, 0.2104729264974594, -1.1782761812210083, -0.279569536447525, 0.5360288619995117, 0.4893415570259094, 1.2135785818099976, 0.13585947453975677, 1.438977599143982, 0.5142865777015686, -0.8485981225967407, 0.22693684697151184, 0.3594866693019867, 0.20276594161987305, -0.2930372357368469, 0.2677590847015381, -0.120216004550457, -0.28411611914634705, -1.335825800895691, 0.21684083342552185, 0.988873302936554, -0.8546068072319031, 0.0043373266234993935, 1.1671005487442017, 1.0040347576141357, -0.056220248341560364, -0.2298799604177475, -0.33068668842315674, 0.2304200977087021, 0.5863763093948364, -0.5114006400108337, 0.11160515248775482, 1.0434092283248901, 0.23002994060516357, 0.9807463884353638, 0.48809298872947693, -0.12118904292583466, -0.6491369009017944, -1.3183454275131226, -0.8413141965866089, -0.31282028555870056, 0.8563695549964905, 0.25828585028648376, 0.9151805639266968, 0.04570431634783745, 0.28328806161880493, 0.22135965526103973, 0.10651569068431854, -1.3870141506195068, 0.34713199734687805, 0.05051061138510704, 0.37335819005966187, 0.07797066867351532, 0.1363343447446823, 0.43808379769325256, 0.10316891968250275, -0.8374100923538208, 0.004088739864528179, -0.19233064353466034, -1.2242763042449951, 0.2785419821739197, 0.06950007379055023, -0.39542919397354126, -0.012615538202226162, 0.8341374397277832, 2.140040874481201, 1.1588784456253052, 0.36654916405677795, 0.16245388984680176, 0.3919433653354645, -0.7895365357398987, -0.38494816422462463, 0.40465235710144043, 0.6218249797821045, 0.6585843563079834, 0.5969417691230774, 0.7034170627593994, -0.8614121079444885, 0.024169383570551872, 0.19797030091285706, 0.8420848846435547, 0.3240179717540741, 0.33112218976020813, -0.06977952271699905, -0.494189590215683, -0.39605456590652466, 0.22504661977291107, 0.9964139461517334, -0.9628985524177551, 1.5834304094314575, 0.7372077703475952, -0.08243492990732193, 0.1464693546295166, 1.045977234840393, 1.1877686977386475, 0.0991472527384758, -0.2339961677789688, -0.7183892130851746, -0.8664748668670654, -0.4099452495574951, -0.27387309074401855, 0.23717762529850006, 1.0232512950897217, 0.445905864238739, -0.5225479006767273, 0.40195176005363464, 0.11471676081418991, -1.0654176473617554, 0.5632661581039429, -0.4425209164619446, -0.03207102417945862, -0.03045697510242462, 0.7674823999404907, 0.4090205132961273, 0.27129030227661133, -0.22799861431121826, 0.17656661570072174, -0.5459009408950806, -2.4005584716796875, -0.7067495584487915, 0.07382473349571228, 0.6807625889778137, -0.5526106953620911, 0.21956603229045868, -0.6452943682670593, -0.41133153438568115, 0.8520154356956482, -0.7763308882713318, 1.0103689432144165, -0.7522119283676147, 0.204325869679451, -0.2595185339450836, -1.4853318929672241, -1.3993382453918457, 1.1664233207702637, -0.41162821650505066, -0.15764936804771423, -0.07109145820140839, 0.5401808023452759, -0.7333379983901978, 0.6595785021781921, 0.44676047563552856, 0.1985604465007782, -0.2627364993095398, -0.36893773078918457, -0.15019108355045319, 0.5487286448478699, -1.1201997995376587, -0.526404857635498, 0.7017092704772949, -1.092189073562622, -0.8022450804710388, 0.4397558867931366, -0.8727744221687317, 0.011649411171674728, 0.5972381830215454, -0.04677385464310646, -0.5219088792800903, -0.7337789535522461, -1.2304539680480957, 0.5932632088661194, -0.516651451587677, 1.027490258216858, 0.8761189579963684, 0.2940189242362976, -0.5461205840110779, -0.11211409419775009, -0.27273479104042053, 0.3526553213596344, -0.5166316628456116, 0.45746469497680664, -0.3487631678581238, -1.0475473403930664, -0.9235367774963379, 0.813713550567627, -0.35547754168510437, -0.18647922575473785, -0.6041756868362427, -0.3746988773345947, -0.2996695935726166, 1.5332748889923096, -1.2265444993972778, -0.07953773438930511, -0.06731383502483368, 0.5367326140403748, -1.0938578844070435, 0.23698817193508148, 0.1274053007364273, -1.1466217041015625, 0.3351331949234009, 0.984588623046875, 0.3850834369659424, 1.1999082565307617, -0.2705380320549011, 0.4794429540634155, 0.4803638458251953, -1.5482124090194702, 0.5475755333900452, 0.4273045063018799, 0.9240648150444031, 0.06317366659641266, -1.0050463676452637, -0.11999718099832535, 0.4772273600101471, -0.40734684467315674, 0.17052975296974182, -0.2156156301498413, 0.7160372138023376, 0.820660412311554, -0.559070885181427, -0.5001586675643921, -0.8124585151672363, -1.149435043334961, 0.5917367935180664, 0.17447307705879211, -0.7150729298591614, 0.21663376688957214, 0.05332233011722565, -0.4878326654434204, 0.19193674623966217, 1.7479122877120972, 0.06887879967689514, 0.26553332805633545, 0.2759976387023926, 0.10473551601171494, 1.0090850591659546, 0.5216625928878784, 0.9510794281959534, -0.20003920793533325, 0.050769492983818054, -0.5242133140563965, -0.7609671950340271, -1.0032185316085815, -0.5565379858016968, -0.0066073061898350716, -0.6665538549423218, -0.35011541843414307, 1.050080418586731, -0.17241385579109192, 0.893599808216095, 0.1865452080965042, -0.4618380665779114, -0.37584903836250305, -0.43861401081085205, -0.6251459121704102, -0.27515357732772827, 0.10882199555635452, -0.2524450719356537, 0.39586588740348816, 1.031387209892273, -0.786350429058075, -0.4053879678249359, -0.028601305559277534, 0.15593621134757996, -0.25805580615997314, 1.9558848142623901, 0.8938069343566895, 0.5468780398368835, 1.113155722618103, 0.7755727171897888, 0.9998093843460083, -0.6561837196350098, 0.21234984695911407, 0.5147609114646912, 0.3164849877357483, -0.2069382220506668, -0.3081098198890686, -1.1483283042907715, 0.40504494309425354, 1.204390287399292, -0.6950015425682068, 0.4355328679084778, -0.7487022280693054, 0.7674371004104614, -0.11369117349386215, 0.7899210453033447, -0.48166272044181824, 0.4781770706176758, -0.3092307150363922, 2.0231082439422607, -1.622749924659729, -0.02376260608434677, 1.2069370746612549, 0.8879921436309814, 0.809451699256897, 0.20157597959041595, 0.36857303977012634, -0.2510526776313782, -0.4845072627067566, -1.583010196685791, -0.8449671864509583, 1.0906221866607666, -1.6224969625473022, 0.9169318079948425, -0.11217515170574188, -0.5849786996841431, 0.596617579460144, 0.5317650437355042, 0.17342884838581085, -1.63151216506958, -0.9122864007949829, -1.4998334646224976, 0.6574774384498596, 0.8663032054901123, -0.23024527728557587, -0.45777416229248047, -0.0763828456401825, 0.5426733493804932, -0.1912282109260559, 1.195827603340149, -0.2462952435016632, -1.7244154214859009, -0.2294824719429016, -0.7839875817298889, -0.9967626333236694, 1.4372568130493164, 0.04247278720140457, -0.8476743102073669, 1.3423845767974854, -0.6970855593681335, 0.8208932876586914, 0.4325375556945801, -0.02817760780453682, -0.1765514761209488, 1.2253444194793701, -0.4097234010696411, -0.22331316769123077, 0.19370053708553314, -0.16773457825183868, 4.53273868560791, 1.4033560752868652, -0.161708265542984, -2.081151008605957, -0.2563304603099823, -1.0692263841629028, 0.5401654839515686, -0.24266815185546875, 0.26474055647850037, 0.19521799683570862, 0.4390102028846741, 1.2824581861495972, 0.39623087644577026, -0.6123219132423401, 0.8524512648582458, 0.824019193649292, -0.589934229850769, -0.7066144943237305, 1.226138710975647, 0.06695649772882462, 0.052861686795949936, -0.5712798237800598, -0.9046644568443298, -1.1594058275222778, 1.2065387964248657, 0.3392026424407959, 0.9620213508605957, -0.2196265310049057, 0.5677896738052368, 0.14372597634792328, -0.7200571298599243, 0.8167331218719482, 0.5261626839637756, 1.4931344985961914, 0.6590816974639893, 0.13301362097263336, -0.03525688871741295, -0.820098876953125, -0.32328784465789795, -0.13980481028556824, 0.3288784325122833, 0.022702490910887718, -0.4313459098339081, -0.5074941515922546, -1.2977497577667236, -0.28171664476394653, -0.3984318673610687, -0.8567480444908142, 0.22168780863285065, 0.03723066300153732, 0.005417050793766975, 0.5320874452590942, -0.6618907451629639, -0.9582259058952332, -0.733818531036377, 1.139757513999939, 0.319455087184906, -0.31864359974861145, -0.15789204835891724, -0.6867710947990417, 0.40902894735336304, -0.4726966917514801, 0.36292168498039246, -0.050079625099897385, 0.5181878805160522, -0.1111874058842659, 0.00751026626676321, 2.42536997795105, -0.09138685464859009, -0.6700358390808105, -1.1309024095535278, 0.3997584581375122, -0.1372617483139038, -0.07544451951980591, -0.2584325969219208, 1.288582682609558, -0.5734934210777283, -0.020939402282238007, -0.6196393966674805, -0.7484455108642578, -0.7707887291908264, -0.2721408009529114, -0.45093002915382385, 0.5095449686050415, -0.6570819020271301, -1.2840546369552612, -0.45065027475357056, 0.47149497270584106, -0.1563923954963684, -0.1933373212814331, 0.2560095191001892, 1.2313058376312256, 0.7518505454063416, -0.7192677855491638, 0.281821072101593, 0.4907524883747101, -1.994247555732727, 0.1097123771905899, -0.9465189576148987, 0.7163518667221069, 0.09361957758665085, -0.5073415637016296, -0.22355344891548157, 0.756104588508606, -0.6776483654975891, -0.2380436658859253, 0.7131728529930115, -1.0126787424087524, -0.1903330385684967, -0.06739188730716705, 0.6393085718154907, -1.77593994140625, -0.8504730463027954, -0.4837583899497986, -0.6797482967376709, -0.42349007725715637, 0.23892174661159515, -0.43857473134994507, 0.07445387542247772, -0.3888428211212158, 0.44305750727653503, 0.44372230768203735, 0.3282422423362732, -0.8534144759178162, -0.7003689408302307, 0.39145320653915405, -1.3499013185501099, -0.47133803367614746, 0.26740729808807373, -0.30481261014938354, 0.362765371799469, 0.33271345496177673, -0.38803938031196594, 0.3049698770046234, -0.800198495388031, -0.3897307813167572, 0.06324249505996704, 0.5046599507331848, -0.9054189920425415, 0.011668933555483818, 0.4959715008735657, -0.20493178069591522, -0.947323739528656, 1.1864556074142456, -0.3298698961734772, 0.10825125873088837, 0.07967771589756012, -0.25551557540893555, 0.9998845458030701, 0.5969982743263245, -1.0528697967529297, -0.7419706583023071, 0.40293827652931213, 0.42836645245552063, 0.38628697395324707, 0.1329967975616455, 0.14291898906230927, 0.0846049040555954, -0.3001268804073334, 0.12787781655788422, 0.5548832416534424, 1.0180147886276245, -0.21335478127002716, -0.7783556580543518, -0.3978845775127411, -0.1098332479596138, -0.5715293288230896, 1.2160650491714478, 0.2340230792760849, 0.782264232635498, 0.25984007120132446, -0.0023108432069420815, -0.3171658217906952, 0.4982873201370239, -1.466468095779419, -0.24827229976654053, -0.09893345832824707, 0.44002121686935425, 0.49837201833724976, -0.8774543404579163, -0.18154825270175934, 0.6558256149291992, -1.916286587715149, 0.3529977798461914, -0.21541030704975128, -0.5844659209251404, -0.7635229825973511, 1.0061829090118408, -0.43359798192977905, 0.0005577384727075696, 0.30353060364723206, -0.19934605062007904, 1.436187744140625, 0.02412448450922966, -0.36376866698265076, -0.49448758363723755, 0.37211892008781433, 0.7667031288146973, 0.13570204377174377, -0.44304612278938293, 0.6737868189811707, -0.5795630216598511, -1.227028727531433, -0.247298464179039, -1.322299599647522, 0.6547966003417969, 0.07151396572589874, 1.3231539726257324, 0.27761250734329224, 0.32075464725494385, -0.30714648962020874, 0.5105693936347961, -0.6202240586280823, -0.335941344499588, 0.27070853114128113, 0.392906129360199, -0.23218923807144165, -0.46802619099617004, -0.05244679003953934, -0.3646203875541687, -0.4033477306365967, -0.12565600872039795, -1.2963638305664062, 0.061638638377189636, 0.38872024416923523, -0.0022441765759140253, -0.08725353330373764, -1.0709068775177002, -0.18112364411354065, -1.1063709259033203, 0.16280046105384827, 0.09489484131336212, 1.0269770622253418, -0.07206559181213379, 0.4553508758544922, -0.7383102178573608, -0.606287956237793, 0.36786532402038574, 0.7551602721214294, -0.9975019693374634, -1.0367448329925537, -0.19643425941467285, 0.12103992700576782, 0.7963793873786926, 0.40987148880958557, 0.0651678815484047, -0.5201115012168884, 0.4700828492641449, 0.1745753288269043, 0.14729589223861694, -0.338082879781723, 0.08438169211149216, 0.46230804920196533, -0.7190119028091431, 1.0573021173477173, 1.1555449962615967, 0.817145049571991, -0.6232997179031372, -1.2069976329803467, -0.25525128841400146, 0.9890744686126709, 0.732913613319397, -0.12218473851680756, 0.03687768056988716, -2.006105422973633, -0.10725096613168716, 0.27232804894447327, 0.07084086537361145, 0.46931931376457214, -0.35019156336784363, -0.3016396760940552, 0.17411422729492188, 0.2985156178474426, -0.3106246888637543, 0.5478581190109253, 0.8567116856575012, 0.2901337742805481, 0.22664639353752136, -0.27836447954177856, -0.4584181606769562, -0.025209832936525345, 0.9694826602935791, 0.2195223867893219, 1.505178451538086, -0.4119550287723541, 0.32335367798805237, 0.40345197916030884, -0.46030116081237793, -1.102752447128296, 1.390358805656433, 0.20387238264083862, -0.5237627029418945, -1.1656060218811035, -0.3970806896686554, 1.0318599939346313, -1.5555609464645386, -0.48444774746894836, 0.9331082105636597, 0.14863714575767517, -0.05897817388176918, 0.4226578176021576, 0.5328355431556702, 0.5847647786140442, 0.2833499014377594, 0.836147129535675, -0.41072502732276917, -0.8386374115943909, 0.40168458223342896, 1.043505072593689, 0.21829600632190704, -0.21633052825927734, 0.31408801674842834, 1.2966914176940918, -0.4626152813434601, 1.9009400606155396, -0.3072933256626129, 1.063852071762085, 0.2410273253917694, 0.8236219882965088, 0.1865118443965912, -0.8730520606040955, -0.5632458329200745, -0.13760188221931458, 0.07712695002555847, 1.3986268043518066, -0.7613323926925659, 0.8440109491348267, -0.7921300530433655, -0.21406687796115875, -0.9772456884384155, -0.4324079751968384, 0.21128292381763458, 0.33256036043167114, -0.5490821599960327, -0.6807825565338135, -1.1574434041976929, 0.0767289400100708, 0.8731595873832703, -1.4302655458450317, -0.3194434642791748, -0.44036102294921875, 0.2291988730430603, 0.1700037121772766, -0.6245139241218567, -1.9994807243347168, -0.8705540895462036, 0.7404565215110779, 0.1307375580072403, -0.25915098190307617, -0.9548022747039795, -1.2320719957351685, 0.08867678046226501, 1.45196533203125, -0.5293996334075928, -0.32051703333854675, -0.8988386988639832, -0.04647179692983627, 0.08580273389816284, 0.6180565357208252 ] ]
{ "indices": [ 1391639301, 1181726436, 722829366, 4284532392, 2257684172, 3172858508, 4040153055, 3476027533, 3174900812, 1904070401, 2895605406, 4146668087, 4022367199, 3474293978, 639386442, 4075116728, 691409538, 1404934148, 3676260520, 4186256544, 3677720983, 1786548735, 1833938074, 1286856114, 3883028566, 2006536704, 989116115, 448220673, 820773949, 2542944140, 1432619228, 3009698197 ], "values": [ 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.6249544369532175, 0.6249544369532175, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.6249544369532175, 0.45449725721703593, 0.7142460396515701, 0.45449725721703593, 0.7142460396515701, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593 ] }
{ "context": "So what about the third fetch state? So we finished this one. We finished this one. So now we have to write RTL, well, write control signals to implement this RTL. So we take MDR, copy it to IR. So let's take a look. So what registers are going to change? Just IR, right? Anything else? It's just that it. So a bunch of zeros. So here's the data path. So MDR has got to come out across the bus, go into the IR." }
262523
[ [ 0.4493085741996765, -0.9325656294822693, 0.46281757950782776, 0.05945279821753502, 0.802943229675293, -0.2699343264102936, -0.33024469017982483, 0.603449821472168, 0.7642752528190613, -0.7081204056739807, 0.7795259356498718, 0.7873395681381226, 0.457879900932312, 0.38174787163734436, 0.2061908096075058, -0.15241234004497528, 0.24930109083652496, -0.24981997907161713, 0.013920892030000687, -0.34889355301856995, 1.1701953411102295, 0.013123439624905586, 0.02411356009542942, -0.24273176491260529, -0.7858689427375793, 0.8475322723388672, -0.000827449606731534, -0.7169027924537659, 0.25426140427589417, 0.9429281949996948, -0.5542800426483154, -1.432049036026001, 0.6686022281646729, -0.44916975498199463, 1.8122873306274414, 0.22819358110427856, -0.2836446464061737, -0.6329558491706848, 0.2619345486164093, 0.7719104290008545, -0.3626804053783417, 0.4143539369106293, -0.21704478561878204, 0.9250216484069824, -1.1234389543533325, 0.1655554175376892, -0.0962313860654831, -0.1889483779668808, 0.8682968020439148, -0.6442338824272156, 0.8084656000137329, -0.44454169273376465, 0.8235892653465271, 0.4866444170475006, 0.26854655146598816, 0.5299899578094482, 0.9550462961196899, 1.3855395317077637, 0.6493103504180908, -1.1352077722549438, 0.07506151497364044, -0.5776644945144653, 0.15933258831501007, -0.5099871754646301, -0.40328145027160645, -0.4180905520915985, -0.6542229652404785, -0.813044011592865, 0.8857613205909729, -0.342428982257843, -0.9558194279670715, 0.40195897221565247, 0.7077053785324097, 0.07013007998466492, 0.6288716197013855, -0.6355058550834656, 0.09710069000720978, 0.3368898034095764, 0.9083564281463623, 1.2382396459579468, -0.963525116443634, 0.1493757665157318, -0.675766110420227, 0.5033226609230042, 0.3838728368282318, -0.6756365299224854, 1.0399165153503418, -0.35431018471717834, 0.40305095911026, 0.8755911588668823, 0.31526607275009155, -0.6015221476554871, 1.3796825408935547, 0.17734284698963165, -0.7391851544380188, 0.05586478114128113, 0.799330472946167, 1.3556818962097168, 0.027509000152349472, -1.0633131265640259, 0.6293538212776184, -0.8002426624298096, -0.08774050325155258, 1.563857913017273, 0.0781908705830574, 0.5492255091667175, -0.30080491304397583, -0.1508723795413971, -0.8980532884597778, 0.2781127393245697, -0.8568122386932373, 0.8099985122680664, -0.4138292372226715, 0.667898952960968, 0.05636041238903999, -0.5985183119773865, -0.4251733720302582, -0.4455455243587494, 1.1008002758026123, 0.8086197376251221, 0.719298243522644, 0.602448046207428, 0.8897767066955566, -0.738228440284729, -1.9851394891738892, 0.8488466739654541, 0.4017465114593506, 0.282131165266037, -1.0859856605529785, 0.7900829315185547, 0.3886238932609558, 0.09629134833812714, 0.07708145678043365, -0.34763914346694946, 0.588182806968689, 0.8741153478622437, -1.7463879585266113, -1.1205315589904785, 1.057548999786377, 0.13651379942893982, -0.43204089999198914, -1.7803213596343994, 0.3295504152774811, 1.2065078020095825, 1.1230955123901367, 0.5497927069664001, 0.506699800491333, 0.5605350732803345, -0.4035260081291199, 0.39526602625846863, -0.6693572998046875, 0.34482723474502563, -1.2092204093933105, -0.19994638860225677, -0.6742607951164246, -0.5816102027893066, -0.040281571447849274, 0.04276539385318756, -0.9089568853378296, 0.16987787187099457, 0.1885291337966919, -0.2574607729911804, 0.22027921676635742, -0.47832387685775757, -0.8544809818267822, -0.8675349354743958, 1.5975083112716675, -0.06281249970197678, 0.6684831380844116, 1.3689059019088745, -0.08528639376163483, -0.1616537719964981, 0.11040940880775452, 0.19880405068397522, 1.1395235061645508, -0.6505787968635559, 0.4186271131038666, -0.2206263691186905, -0.0467444583773613, 0.14554724097251892, -0.5617111325263977, -0.4774590730667114, -0.011269167996942997, 1.4902950525283813, 0.5400465726852417, 0.05925436690449715, -0.653281569480896, -0.2712375819683075, 1.4202296733856201, -1.190267562866211, -0.33154305815696716, -0.9354388117790222, 0.6019728183746338, -0.20528624951839447, -0.663252592086792, -0.8538042306900024, -0.552420973777771, 0.21151569485664368, 0.6928796768188477, -0.6781414151191711, -0.9155649542808533, 0.6375860571861267, 0.9311403632164001, -1.1374950408935547, -0.09633524715900421, 0.9435362219810486, 1.2315257787704468, -0.47382569313049316, 0.48512259125709534, -0.5043769478797913, -0.48267245292663574, 0.5477403998374939, -0.3509075343608856, 0.2134796380996704, 0.6884520053863525, -0.1450996845960617, 0.5670689344406128, 0.4936077892780304, -0.09717568755149841, 0.4540450870990753, -1.2855815887451172, 1.0481436252593994, 2.126004934310913, 1.1872907876968384, 0.5001093745231628, 0.5195960402488708, -0.2231930047273636, -0.1968763768672943, 0.30916672945022583, -0.7156104445457458, -0.6486141085624695, -0.7014512419700623, 0.13575193285942078, 0.12906156480312347, -0.21238796412944794, 0.03514597937464714, 1.5219160318374634, 0.7679346799850464, 1.0347589254379272, 0.305317759513855, -1.275053858757019, 0.651541531085968, 0.11932966858148575, -0.14333343505859375, 0.3903619647026062, 1.5234295129776, 0.27224069833755493, 1.3378320932388306, -0.1265530288219452, 0.10956985503435135, -0.6042139530181885, 0.5324190258979797, 0.2928311228752136, -1.248019814491272, 0.4992336928844452, -0.967833399772644, 0.16846369206905365, -1.0568002462387085, -0.5395674705505371, 0.640376091003418, -0.04188873618841171, -0.3165300786495209, -0.21356743574142456, -0.38073214888572693, -0.013632052578032017, -0.1652294248342514, 0.05080970749258995, -0.8613784909248352, 1.437659740447998, -0.3229712247848511, 0.5403926968574524, 0.9549970626831055, -0.4210257828235626, -0.6336984634399414, 0.09843803197145462, 1.03628408908844, -0.33918023109436035, 1.053856372833252, -0.48944810032844543, -0.3729671835899353, -0.28233930468559265, 0.29457491636276245, 0.5242642164230347, -0.1714855581521988, -1.7036752700805664, 0.6138184070587158, -0.4759046733379364, -0.7121365666389465, 0.3143323063850403, 0.23066173493862152, -0.5998373031616211, 0.08401072025299072, -0.43630218505859375, -0.9131535887718201, 0.2704981863498688, 0.174395352602005, 0.03858667239546776, 0.29416951537132263, 0.13416580855846405, 0.6756578683853149, 0.01534341275691986, -1.3107011318206787, 0.17314568161964417, -1.2402667999267578, -0.21423140168190002, 0.1552579402923584, -0.6187352538108826, -0.630073070526123, -0.7615975141525269, 0.15761952102184296, -0.2811175584793091, -0.37982887029647827, -0.11363933980464935, -0.9948673248291016, -1.7722173929214478, -0.11875058710575104, 0.28051307797431946, 0.7704094648361206, -0.46800634264945984, -0.5273141860961914, 1.217892050743103, 1.0710136890411377, -0.8454135060310364, -0.3142983913421631, 0.1306820958852768, 0.12333186715841293, -0.9877954125404358, -0.15959957242012024, 0.9257600903511047, 0.2676502764225006, 0.29206058382987976, -0.5109609365463257, 1.2426408529281616, 1.539527177810669, -0.15707829594612122, -1.5577092170715332, 0.26972654461860657, 0.04219391942024231, -1.026466727256775, -0.4976346492767334, 0.73490309715271, 0.3987851142883301, 0.8906254172325134, 0.3427619934082031, 1.1993069648742676, 0.43028756976127625, -0.7188750505447388, 0.5019192695617676, 0.36582353711128235, 0.43414828181266785, -0.28294336795806885, 0.10501344501972198, -0.10973191261291504, -0.3256402313709259, -1.152703046798706, 0.731540858745575, 0.8505411744117737, -0.812437117099762, 0.013616316951811314, 0.7671985030174255, 0.8239647150039673, -0.0616958923637867, -0.10430887341499329, -0.46369484066963196, 0.5748584866523743, 0.4064377546310425, -0.4174880087375641, 0.1187005490064621, 0.6546876430511475, -0.06808169931173325, 0.7596433758735657, 0.6801919341087341, -0.30201590061187744, -0.9386746883392334, -1.6569452285766602, -0.795590341091156, 0.018648656085133553, 0.9084896445274353, 0.3898240327835083, 0.6048264503479004, -0.040734585374593735, 0.19303320348262787, -0.07604088634252548, -0.19066205620765686, -1.3017138242721558, 0.1549084335565567, 0.4313177764415741, -0.24568116664886475, 0.5225200653076172, -0.31529489159584045, -0.10237615555524826, -0.4007219076156616, -0.9377988576889038, -0.1498406082391739, -0.07895319908857346, -0.9964252710342407, 0.3859608471393585, 0.07990605384111404, -0.44800376892089844, 0.7278055548667908, 0.5566436052322388, 1.9624892473220825, 1.0549741983413696, 0.43318772315979004, 0.09963586926460266, -0.084339439868927, -0.6683459281921387, -0.15405987203121185, 0.7449280023574829, 0.2211739718914032, 0.6869328618049622, 0.6291963458061218, 0.8735806941986084, -0.8409152626991272, -0.0854082703590393, 0.4405241310596466, 1.0388388633728027, 0.36534032225608826, 0.3163730204105377, -0.06519069522619247, -0.6336771249771118, -0.36980506777763367, -0.15682566165924072, 1.0714259147644043, -0.6198166608810425, 1.6812880039215088, 0.9338494539260864, 0.21720658242702484, 0.3780171275138855, 1.2319271564483643, 1.1822445392608643, -0.40534183382987976, -0.4124620854854584, -0.5161009430885315, -0.9844587445259094, -0.1640334278345108, -0.33427688479423523, 0.26089775562286377, 0.9827552437782288, 0.7945014834403992, -0.6564453840255737, 0.24746091663837433, 0.33408287167549133, -1.1579930782318115, 0.15145394206047058, -0.31106868386268616, -0.1689457893371582, -0.21824383735656738, 0.9685711860656738, 0.33290186524391174, -0.05293228104710579, -0.29625850915908813, 0.3125823736190796, -0.45989954471588135, -2.3664538860321045, -0.651130199432373, 0.37564852833747864, 0.7761867046356201, -0.7160604596138, 0.09440150111913681, -0.783387303352356, -0.6309252381324768, 0.6751191020011902, -0.5499088764190674, 1.2027744054794312, -0.5027530193328857, 0.33920228481292725, -0.13017010688781738, -1.4932748079299927, -1.169628381729126, 0.8023406267166138, -0.18772615492343903, 0.05856895074248314, -0.10670402646064758, -0.16733385622501373, -0.1433202177286148, 0.38526517152786255, 0.48844993114471436, 0.48607689142227173, -0.33061742782592773, -0.4757806658744812, 0.13074207305908203, 0.4876040816307068, -1.2312382459640503, -0.7435575127601624, 0.48555272817611694, -1.168570637702942, -0.6284206509590149, 0.7544708847999573, -0.8393769264221191, -0.535295307636261, 0.540923535823822, -0.214774489402771, -0.7405968308448792, -0.7508575320243835, -1.2890756130218506, 0.8977386951446533, -0.6092631220817566, 0.9025078415870667, 0.4772551953792572, 0.36663976311683655, -0.6146252155303955, -0.08499986678361893, -0.2437358945608139, 0.2240828573703766, -0.5383989810943604, 0.5035775303840637, -0.5837740898132324, -0.5299201011657715, -0.6653323769569397, 1.0039496421813965, 0.3404961824417114, 0.005117927677929401, -0.18305036425590515, -0.3587731719017029, -0.3378872275352478, 1.4941364526748657, -1.085578203201294, 0.3102574348449707, -0.0802503302693367, 0.2736648619174957, -0.7474542856216431, 0.2849595248699188, 0.5481219291687012, -1.7973613739013672, 0.53980553150177, 0.6092938780784607, 0.18770839273929596, 1.1382979154586792, -0.35846197605133057, -0.12881454825401306, 0.5210956931114197, -1.3162423372268677, 0.8113958239555359, 0.5748038291931152, 0.6419230699539185, -0.15454693138599396, -1.0316147804260254, 0.12487949430942535, 0.7272657752037048, -0.38621804118156433, 0.3491763472557068, -0.42184314131736755, 0.7520341873168945, 0.38289204239845276, -0.38580572605133057, -0.6980823874473572, -1.3306503295898438, -0.819172203540802, 0.35551944375038147, 0.2855237126350403, -0.6295172572135925, 0.17503602802753448, 0.13494624197483063, -0.4200308620929718, 0.20061789453029633, 1.3763160705566406, 0.06313350796699524, 0.442314475774765, 0.3005635142326355, 0.1181359589099884, 1.0448004007339478, 0.6338555812835693, 0.3213699758052826, -0.04552799090743065, -0.15664832293987274, -0.4639023542404175, -0.2950044572353363, -0.9952743649482727, -0.4294378459453583, -0.07069403678178787, -0.7401560544967651, -0.3351559042930603, 1.051250696182251, -0.15930165350437164, 0.5494096875190735, 0.07440227270126343, -0.5695966482162476, -0.5898386240005493, -0.3324432671070099, -0.6890267729759216, -0.3259173035621643, 0.12529247999191284, -0.27630695700645447, 0.3579971194267273, 0.04438163712620735, -0.6317815780639648, -0.5134072303771973, 0.23084992170333862, 0.05776252970099449, 0.012696114368736744, 1.90012788772583, 0.4199029207229614, 0.5715354084968567, 0.9871054291725159, 0.6201903223991394, 0.8082784414291382, -0.6043745279312134, 0.20745553076267242, 0.6381464004516602, 0.6245537400245667, -0.12283828109502792, 0.27293434739112854, -1.1758934259414673, -0.0673224925994873, 1.2622205018997192, -0.9209631085395813, 0.7111092805862427, -0.5893985033035278, 0.47463470697402954, -0.0038486861158162355, 0.48625004291534424, -0.560774028301239, 0.8723849058151245, -0.3509550988674164, 1.6902070045471191, -1.1870272159576416, -0.3331170380115509, 1.4426627159118652, 0.9320191144943237, 0.4431001842021942, -0.07123509049415588, 0.30506569147109985, -0.3409687280654907, -0.27620014548301697, -0.8742983937263489, -0.6463261246681213, 1.3020843267440796, -1.4105504751205444, 0.718921422958374, -0.2097533941268921, -0.646837592124939, 0.6478284597396851, 0.42735496163368225, -0.05083731934428215, -1.3690539598464966, -0.6735854148864746, -1.2287194728851318, 0.5059657096862793, 0.6619561314582825, -0.38173067569732666, -0.023168936371803284, 0.19373159110546112, 0.6076086163520813, -0.2929883599281311, 0.7262353897094727, -0.6991807222366333, -1.8890882730484009, 0.12687985599040985, -0.6646854281425476, -1.2968165874481201, 1.3516957759857178, 0.17834250628948212, -0.35848498344421387, 1.255130648612976, -0.5224452614784241, 0.9896577000617981, 0.3634586036205292, 0.2978149950504303, 0.01505147386342287, 1.4487245082855225, -0.5615841150283813, -0.5787809491157532, -0.400043249130249, -0.9627395272254944, 4.922895908355713, 1.3239047527313232, -0.07047665864229202, -2.230724811553955, 0.34191206097602844, -0.8687301278114319, 0.16544827818870544, 0.033007603138685226, 0.3194016218185425, 0.08552304655313492, 0.6101644039154053, 1.1037547588348389, 0.4175778329372406, -0.040367886424064636, 0.554631769657135, 0.44828522205352783, -0.4147874712944031, -0.7514416575431824, 0.934306263923645, 0.0384322889149189, 0.10480773448944092, -0.19487135112285614, -1.2082496881484985, -1.1670125722885132, 1.174106478691101, -0.09156234562397003, 0.7132322192192078, -0.7808392643928528, 0.9218927621841431, 0.047029003500938416, -0.765882670879364, 0.7305305600166321, 0.42535555362701416, 1.3049622774124146, 0.7468002438545227, -0.09953050315380096, -0.3528745770454407, -0.5319458246231079, -0.4479936957359314, 0.12323813140392303, 0.43912434577941895, -0.09034215658903122, -0.4948410987854004, -0.4979836046695709, -1.3641180992126465, -0.04442904144525528, -0.47857341170310974, -0.9046536684036255, 0.510087251663208, 0.013632597401738167, -0.1561248004436493, 0.2808176875114441, -0.6571449637413025, -0.9911035895347595, -0.8622743487358093, 0.8301630020141602, 0.138239786028862, -0.7623491287231445, 0.012462773360311985, -0.7188808917999268, 0.21805471181869507, -0.46238192915916443, 0.7763225436210632, 0.09905993938446045, 0.6481496095657349, 0.007310827262699604, 0.17921675741672516, 2.4190893173217773, -0.3452244997024536, -0.7348760962486267, -1.0127460956573486, 0.5658586621284485, -0.21389596164226532, -0.15326759219169617, -0.41077813506126404, 1.132932424545288, -0.7885942459106445, 0.11425916850566864, -1.0530046224594116, -0.6212174892425537, -1.2228089570999146, 0.17794576287269592, -0.8347975611686707, 0.4785269796848297, -0.17135708034038544, -0.5215120315551758, -0.07141845673322678, 0.3935994803905487, 0.19874447584152222, -0.0004733556997962296, 0.4051295518875122, 1.573849081993103, 0.7421736121177673, -0.9749161601066589, 0.3752182126045227, 0.45367032289505005, -1.9313815832138062, -0.33522745966911316, -1.2018277645111084, 0.8180062770843506, 0.17976708710193634, -0.3608764708042145, -0.06104808673262596, 1.0446943044662476, -0.8314253687858582, -0.4445081055164337, 0.34377941489219666, -1.0642786026000977, -0.517300009727478, -0.14233052730560303, 0.9228302240371704, -1.7290267944335938, -0.8171799778938293, -0.4430822432041168, -0.1909041404724121, 0.10226719081401825, -0.3282506763935089, -0.7580499649047852, 0.40852171182632446, -0.2025499790906906, 0.6948175430297852, 0.3544139862060547, 0.4624236524105072, -0.550917387008667, -0.6219011545181274, 0.3253805339336395, -0.8586441874504089, -0.06325582414865494, 0.087851881980896, -0.5856117010116577, 0.4836427867412567, 0.32945990562438965, 0.05553058907389641, 0.5981624722480774, -0.6572523713111877, 0.08138624578714371, 0.004069416783750057, 0.7405003905296326, -0.8611412644386292, 0.08455683290958405, 0.4564785063266754, -0.20820659399032593, -0.673780620098114, 1.1670664548873901, -0.4871281385421753, -0.06172061339020729, 0.1094651147723198, 0.04028909280896187, 0.9520707726478577, 0.4101112484931946, -0.9515965580940247, -0.39914369583129883, 0.5527529120445251, 0.6955019235610962, 0.2636986970901489, 0.3327793776988983, 0.05138296261429787, 0.19606046378612518, -0.0514141321182251, 0.003229052061215043, 0.714820146560669, 0.8465270400047302, -0.13816498219966888, -0.685826301574707, -0.4413481056690216, 0.1302916407585144, -0.7644245624542236, 0.7210114598274231, -0.4672742784023285, 0.550986647605896, 0.3361421823501587, 0.22657592594623566, 0.25139379501342773, 0.8821649551391602, -1.2247081995010376, -0.0974343791604042, -0.13827764987945557, 0.376611590385437, 0.7516528367996216, -0.9031155109405518, 0.43335554003715515, 0.7423280477523804, -1.7237393856048584, 0.43680045008659363, -0.15687914192676544, -0.5970125198364258, -0.7885710597038269, 0.8546642661094666, -0.25843945145606995, 0.28048762679100037, 0.08530253916978836, -0.18525278568267822, 1.2974045276641846, -0.02144373580813408, -0.6087244749069214, -0.49288302659988403, 0.23256564140319824, 0.5222811102867126, 0.009069263935089111, -0.28343892097473145, 0.5255792140960693, -0.6888115406036377, -1.3774234056472778, -0.3148629665374756, -1.5085368156433105, 0.7484937310218811, -0.049198757857084274, 1.1500415802001953, 0.29121899604797363, 0.7762486934661865, -0.28793519735336304, 0.01960388384759426, -0.4044942259788513, -0.3768726885318756, 0.228301540017128, 0.7240763306617737, -0.49049460887908936, -0.3565894067287445, 0.1710427701473236, 0.10278958827257156, -0.7529996633529663, 0.4185396730899811, -1.2269172668457031, -0.09372618049383163, 0.2073056697845459, -0.02636321261525154, 0.31747016310691833, -1.1246495246887207, -0.09567854553461075, -1.2584315538406372, 0.18249209225177765, 0.05157871171832085, 0.7592567205429077, 0.06718312948942184, 0.2417861968278885, -0.6285445094108582, -0.6688432097434998, 0.3901114761829376, 0.5794930458068848, -1.0478835105895996, -0.5645369291305542, 0.011885607615113258, -0.3867093622684479, 1.240848183631897, 0.4297390580177307, -0.05649086833000183, -0.5984525680541992, 0.7360602617263794, -0.32258427143096924, 0.039955828338861465, -0.6597853302955627, 0.058817267417907715, 0.677391529083252, -0.3576221168041229, 0.8298070430755615, 0.9837372303009033, 1.044624924659729, -0.638038158416748, -1.3281493186950684, -0.11132432520389557, 1.123078465461731, 0.5602747797966003, -0.22658419609069824, 0.001110000885091722, -1.7966865301132202, 0.012999056838452816, 0.44767242670059204, 0.6876404881477356, 0.20215104520320892, -0.5169243216514587, -0.5034304261207581, 0.21252363920211792, 0.2181362509727478, -0.27677321434020996, 0.3404386341571808, 0.5272610187530518, 0.7436115741729736, 0.2815997004508972, -0.5789839029312134, -0.2974932789802551, -0.27592337131500244, 0.7195897698402405, -0.04053090140223503, 1.1426914930343628, -0.2903240919113159, 0.052997685968875885, 0.24661540985107422, -0.339040607213974, -1.4462015628814697, 1.6666252613067627, 0.4847312271595001, -0.12027191370725632, -0.9310382604598999, -0.3192465007305145, 0.8409222960472107, -1.4766236543655396, -0.23049457371234894, 0.9893913865089417, 0.18159720301628113, -0.06270415335893631, 0.31034091114997864, 0.2734113037586212, 0.13480974733829498, 0.3092332184314728, 0.7819156646728516, -0.6401655673980713, -0.49239581823349, 0.44886136054992676, 0.9093086123466492, 0.6427470445632935, -0.4484170973300934, 0.4807610809803009, 0.9042446613311768, -0.53927081823349, 1.6716662645339966, -0.03402900695800781, 0.006192582659423351, 0.0015338058583438396, 0.14769554138183594, 0.26524463295936584, -1.1908036470413208, -0.7620936632156372, 0.008752131834626198, 0.08491423726081848, 1.509378433227539, -0.813747227191925, 0.6408045291900635, -0.7408152222633362, 0.2133524864912033, -0.8531994819641113, -0.33440205454826355, 0.3255985975265503, 0.30768945813179016, 0.1838478296995163, -0.5185282230377197, -1.4477360248565674, -0.17952276766300201, 1.4184373617172241, -1.2619709968566895, -0.09883274883031845, -0.4123610854148865, 0.22119638323783875, 0.35250505805015564, -0.4981901943683624, -1.5433425903320312, -0.936791181564331, 0.5040361285209656, 0.1983403116464615, -0.399103045463562, -0.9401865005493164, -1.4803485870361328, -0.09599786251783371, 1.346794605255127, -0.40477147698402405, -0.26575005054473877, -1.037804126739502, -0.09395180642604828, 0.43848446011543274, 0.6041523218154907 ] ]
{ "indices": [ 691409538, 989116115, 448220673, 4022367199, 820773949, 2542944140, 1432619228, 3009698197, 4186256544, 639386442, 2063350695, 1904070401, 1491351846, 1590456296, 1786548735, 1833938074, 1286856114, 3796669908, 3377905009, 1236542976, 1866681274, 2006536704, 2325784421, 2257684172, 2394752843, 3819098157, 1041011349, 3713535024, 3731741184, 28501148, 2067848296, 2707362363, 570652574 ], "values": [ 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.721437915187976, 0.6332396382760778, 0.4633143131816905, 0.721437915187976, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905 ] }
{ "context": "So here's the data path. So MDR has got to come out across the bus, go into the IR. There we go. So what should be, which gate bus gating signal do we need to set? MDR, right? Anything else? Better make sure the rest are zero. You can never have more than one bus gating signal turned on. Okay. So which MUXs matter? None of them. Because all the MUXs are up here in this part that I didn't even show." }
977895
[ [ 0.3080773651599884, -0.7849898338317871, 0.38949111104011536, 0.16315501928329468, 0.3082928955554962, -0.009386999532580376, -0.7741144895553589, 0.5363172292709351, 0.5461978316307068, -0.2556246519088745, 0.702849805355072, 0.46969538927078247, 0.3101864755153656, 0.4331643283367157, 0.1424846053123474, -0.17347094416618347, 0.7091771960258484, -0.5419190526008606, 0.06343965977430344, -0.3975008428096771, 0.5681226253509521, 0.12610197067260742, -0.4730384945869446, -0.2599433958530426, -0.8600789904594421, 1.082445740699768, 0.1956203132867813, -0.7911038398742676, 0.023871125653386116, 0.872360110282898, -0.372529536485672, -1.3724490404129028, 0.6216955780982971, -0.6183910369873047, 2.030200719833374, 0.2522144913673401, -0.11721184104681015, -0.26010122895240784, 0.3909846246242523, 0.8009845614433289, -0.4460445046424866, 0.7868865728378296, -0.3092409074306488, 0.5505043864250183, -0.9934196472167969, 0.30013787746429443, -0.32583141326904297, -0.03862274810671806, 1.0323089361190796, -0.5838496685028076, 0.9582611918449402, -0.34876856207847595, 0.8474363684654236, 0.17224423587322235, 0.07477639615535736, 0.6013151407241821, 1.0253422260284424, 1.7846146821975708, 0.2160155326128006, -1.202103853225708, -0.029706167057156563, -0.20516511797904968, -0.2736996114253998, -0.47774383425712585, -0.1729741096496582, -0.24224334955215454, -0.45297008752822876, -1.150062918663025, 0.7421885132789612, -0.02250148169696331, -0.8042357563972473, 0.2636161148548126, 0.5021568536758423, 0.03497129678726196, 0.46108344197273254, -0.7071336507797241, 0.38297027349472046, 0.46816444396972656, 0.308761864900589, 0.6819521188735962, -0.5688024759292603, 0.0972575917840004, -0.5182581543922424, -0.05638497322797775, 0.48787060379981995, -0.8836840391159058, 1.3153917789459229, -0.3101971447467804, 0.12249094247817993, 0.8064886331558228, 0.3612689971923828, -0.3667777478694916, 1.6604918241500854, 0.07779489457607269, -0.7472893595695496, -0.12256181240081787, 0.5613593459129333, 1.2919639348983765, 0.10545513033866882, -0.8967695832252502, 0.5531275272369385, -1.0321773290634155, -0.3930719792842865, 1.7069799900054932, 0.16627278923988342, 0.23320356011390686, 0.005469727795571089, -0.28944382071495056, -0.9621299505233765, 0.3667313754558563, -0.9233258962631226, 0.9871746301651001, -0.7721757292747498, 0.7348520755767822, 0.04612891748547554, -0.6618230938911438, -0.49169042706489563, -0.6709577441215515, 1.2806607484817505, 1.029467225074768, 0.6761272549629211, 0.5329891443252563, 1.0522730350494385, -0.4444899559020996, -1.7387845516204834, 0.9366506934165955, 0.3097911477088928, 0.056523919105529785, -0.4375482201576233, 0.7193045616149902, 0.257648229598999, 0.11347273737192154, -0.28034594655036926, 0.11073660850524902, 0.15692010521888733, 0.3797718584537506, -1.86487877368927, -1.0297529697418213, 0.7418482303619385, 0.08900351077318192, -0.30382615327835083, -1.9174453020095825, -0.02002682164311409, 0.7804274559020996, 1.0343620777130127, 0.7878813147544861, 0.5199417471885681, 0.19182328879833221, -0.4550759494304657, 0.1980075091123581, -0.6272110342979431, 0.08672221750020981, -1.1613928079605103, 0.022464733570814133, -0.7173998355865479, -1.4315232038497925, 0.3017151951789856, -0.17446336150169373, -0.5439792275428772, 0.47331538796424866, 0.14329266548156738, -0.4399201571941376, 0.14257687330245972, -0.3290499746799469, -0.8976173400878906, -0.770470142364502, 1.543447732925415, -0.22397449612617493, 0.2977004647254944, 1.145481824874878, 0.10420703142881393, 0.26825734972953796, 0.08374709635972977, 0.23338665068149567, 1.2464382648468018, -0.5167925357818604, 0.3990372121334076, -0.19554954767227173, -0.12623608112335205, 0.3643747866153717, -0.020918019115924835, -0.7100454568862915, 0.056741632521152496, 1.2809685468673706, 0.32137078046798706, 0.24212321639060974, -0.4464705288410187, -0.32674315571784973, 0.8178849220275879, -0.9010533690452576, -0.5439487099647522, -1.1222752332687378, 1.005821943283081, -0.10766472667455673, -0.40366050601005554, -0.7612305283546448, -0.7111948728561401, -0.17174813151359558, 0.44342735409736633, -0.7617369294166565, -1.1589634418487549, 0.7774239778518677, 0.6786946058273315, -0.5229849815368652, 0.08091530948877335, 0.7614399194717407, 1.3668791055679321, -0.5330184102058411, 0.6933156847953796, -0.5890827775001526, -0.3168148398399353, 0.5297163128852844, -0.4636118710041046, 0.19902925193309784, 0.2525102198123932, -0.159362331032753, 0.16525398194789886, 0.290783554315567, -0.6585717797279358, -0.17846693098545074, -1.3150118589401245, 0.9245496392250061, 1.824007272720337, 1.106516718864441, 0.5129849910736084, 0.2598991096019745, -0.3905332684516907, -0.32436805963516235, 0.4395594894886017, -0.7762876152992249, -0.6327634453773499, -0.8149021863937378, 0.134417325258255, 0.05536586046218872, -0.05398091301321983, 0.04445298761129379, 1.3934605121612549, 0.7621136903762817, 0.6971639394760132, 0.43682244420051575, -1.1695183515548706, 1.1749327182769775, 0.25270965695381165, -0.20720112323760986, 0.42267292737960815, 1.3678525686264038, 0.12743911147117615, 1.1689666509628296, -0.3689602017402649, 0.05141168087720871, -0.7910100221633911, 0.7371907234191895, 0.48679375648498535, -0.8113446235656738, 0.6590131521224976, -1.4581040143966675, 0.2969619929790497, -0.9523248672485352, -0.14515309035778046, 0.8447329998016357, -0.251832515001297, -0.1510288417339325, -0.7295929789543152, -0.7770962715148926, 0.21931666135787964, 0.24039854109287262, -0.18444061279296875, -0.8602255582809448, 1.6381090879440308, -0.08457691222429276, 0.7863278985023499, 0.9049822092056274, -0.10673385858535767, -0.6930122375488281, 0.30125001072883606, 0.9891399145126343, -0.3009556829929352, 1.0964549779891968, -0.5410321354866028, -0.17932350933551788, -0.04097861796617508, 0.17608821392059326, 0.13219472765922546, -0.5322065353393555, -1.7035924196243286, 0.3851647973060608, -0.5612268447875977, -1.007907748222351, 0.5654066801071167, 0.335789293050766, -0.8522675633430481, 0.5752912759780884, -0.20076945424079895, -0.7875720262527466, 0.23352836072444916, 0.42375490069389343, 0.22267267107963562, 0.40139520168304443, -0.3643169403076172, 0.7304124236106873, -0.034831080585718155, -1.255974531173706, 0.14830122888088226, -1.0900052785873413, -0.5027689933776855, 0.28551506996154785, -0.9979278445243835, -0.6652282476425171, -0.4792625904083252, 0.043399784713983536, 0.0043631987646222115, -0.5212920308113098, -0.18833927810192108, -1.2876379489898682, -1.4599311351776123, 0.32829707860946655, 0.2878715693950653, 1.021251916885376, -0.22542531788349152, -0.5519357323646545, 1.1453913450241089, 0.9559786915779114, -0.8764252662658691, -0.4930122494697571, 0.022521929815411568, -0.2699435353279114, -0.7925881743431091, -0.3320917785167694, 0.6595901846885681, 0.5831978917121887, 0.10608480870723724, -0.6030856966972351, 1.1840814352035522, 0.9779115915298462, -0.1347605437040329, -1.1501322984695435, 0.3209064304828644, 0.22099466621875763, -0.7977383136749268, 0.07433269917964935, 0.6919769048690796, 0.4770709276199341, 0.5357518196105957, 0.31313878297805786, 0.9413368701934814, 0.2735290825366974, -0.4051882028579712, 0.4937920570373535, -0.05382545664906502, 0.5092533230781555, -0.002934312680736184, 0.0012872550869360566, -0.09593664854764938, -0.44810426235198975, -0.9097399711608887, 0.7293638586997986, 0.7863709330558777, -0.5495957136154175, 0.13258951902389526, 0.774615466594696, 0.6843822002410889, -0.25432661175727844, -0.37824904918670654, -0.7210657596588135, 0.17581059038639069, 0.26214584708213806, -0.5718311071395874, -0.009221110492944717, 0.8402109146118164, 0.17296339571475983, 0.42738810181617737, 0.3635883629322052, -0.4035595953464508, -0.611195981502533, -1.6011897325515747, -0.756794273853302, -0.041870977729558945, 1.052225947380066, 0.5066220760345459, 1.0412489175796509, -0.3461489975452423, 0.1380896121263504, -0.36215007305145264, 0.09000170230865479, -1.4700546264648438, 0.3876805603504181, 0.4578544795513153, 0.32819080352783203, 0.1446739286184311, -0.19010789692401886, 0.5496214628219604, -0.11781062185764313, -0.7806774973869324, -0.32229363918304443, -0.1640639752149582, -1.1569103002548218, 0.524954617023468, -0.14227353036403656, -0.054754551500082016, 0.4859403073787689, 0.1758589744567871, 1.9175033569335938, 0.6153586506843567, 0.5535215139389038, 0.20757310092449188, 0.03885533660650253, -0.45421043038368225, -0.16778922080993652, 0.6430656313896179, 0.4002641439437866, 0.7170913815498352, 0.8955555558204651, 0.6118360161781311, -0.5566551685333252, -0.2655579745769501, 0.07987980544567108, 0.8600926399230957, 0.8194112181663513, 0.480777770280838, -0.31949999928474426, -0.5197732448577881, -0.03184588998556137, 0.2167557328939438, 0.807147741317749, -0.8968247175216675, 1.1687097549438477, 0.5292672514915466, 0.02913019061088562, 0.26394882798194885, 1.2759581804275513, 1.0960619449615479, -0.1414027065038681, -0.5056413412094116, -0.8909597396850586, -0.11901315301656723, 0.18570299446582794, -0.4415895640850067, 0.31409624218940735, 0.7826330065727234, 0.5169797539710999, -0.681273341178894, 0.5916799306869507, -0.16318140923976898, -1.557639479637146, 0.37744900584220886, -0.451315701007843, -0.7178009152412415, -0.5220513343811035, 0.7644785642623901, 0.21455109119415283, 0.4523840546607971, -0.06524279713630676, 0.018571341410279274, -0.5812022686004639, -2.569261312484741, -0.547772228717804, 0.4078783690929413, 0.4548957943916321, -0.6437865495681763, 0.093482606112957, -0.7003602981567383, -0.7161088585853577, 0.7016004323959351, -0.9789015054702759, 0.8370465636253357, -0.830963134765625, 0.0007186387083493173, -0.24310363829135895, -1.717535138130188, -0.8812554478645325, 1.0909616947174072, 0.07247907668352127, 0.39668959379196167, 0.08570540696382523, -0.18059773743152618, 0.1634458601474762, 0.6133818626403809, 0.4362753629684448, 0.3669891953468323, -0.06698136031627655, -0.6502681970596313, 0.15658004581928253, 0.5946407914161682, -1.4342654943466187, -0.8303077220916748, 0.3729809820652008, -0.8882401585578918, -0.7110486626625061, 0.626304030418396, -0.5310381054878235, -0.38958266377449036, 0.4278007745742798, -0.16408948600292206, -0.6746081113815308, -0.6629538536071777, -1.1021614074707031, 0.7023012042045593, -0.42361730337142944, 0.7249271273612976, 0.6040772795677185, 0.19704167544841766, -0.4253026843070984, -0.18859857320785522, -0.11268963664770126, 0.2531224191188812, -0.5980886816978455, 0.7214290499687195, -0.777938187122345, -0.585428774356842, -0.5420194268226624, 0.7729128003120422, -0.15497621893882751, -0.20961906015872955, -0.10823113471269608, -0.08234269171953201, -0.048847369849681854, 1.293750286102295, -0.830250084400177, 0.5025282502174377, -0.30791130661964417, 0.2007659524679184, -0.7289389371871948, 0.19177430868148804, 0.5440664887428284, -1.4858763217926025, 0.5157128572463989, 0.6525887250900269, 0.35481807589530945, 0.7289762496948242, -0.5687708258628845, 0.40297237038612366, 0.3047017753124237, -1.056683897972107, 0.9453978538513184, 0.5828897953033447, 0.6906741261482239, 0.14099906384944916, -0.9625295400619507, -0.35743004083633423, 0.7821207046508789, 0.10247036069631577, 0.16828666627407074, -0.12425731122493744, 0.617168128490448, 0.7209702730178833, -0.05538000911474228, -0.5318350791931152, -1.3011757135391235, -0.24670729041099548, 0.37534886598587036, 0.06701233983039856, -1.0152230262756348, 0.027597760781645775, 0.27880820631980896, -1.149171233177185, 0.5526432991027832, 1.730393409729004, 0.2508103549480438, 0.1690186709165573, 0.1921146810054779, 0.10685773193836212, 1.2163349390029907, 0.5498997569084167, 0.43255624175071716, -0.03712651506066322, -0.1842465102672577, -0.7203735709190369, -0.8407501578330994, -0.9883093237876892, -0.5511571168899536, -0.02842436172068119, -0.9359524846076965, -0.5546093583106995, 0.7886776924133301, 0.04710268974304199, 0.5963907837867737, 0.09127963334321976, -0.925436794757843, -0.5120999813079834, -0.8978105187416077, -0.6503728032112122, -0.23315751552581787, 0.39124128222465515, 0.27029332518577576, 0.2842920124530792, 0.7308347225189209, -0.625800371170044, 0.03207029402256012, 0.43797534704208374, 0.3228268623352051, 0.3237001895904541, 1.6706892251968384, 0.35577574372291565, 0.6544320583343506, 1.361048698425293, 0.45057931542396545, 0.9966885447502136, -0.5162482857704163, 0.19053055346012115, 0.6111212372779846, 0.32650935649871826, -0.13847193121910095, 0.019520074129104614, -0.9974750876426697, 0.6041483879089355, 1.0616466999053955, -0.8050152063369751, 0.5988282561302185, -0.5217647552490234, 0.4033336341381073, -0.3355238735675812, 0.6194638013839722, -0.9955798387527466, 0.45497390627861023, -0.3397856652736664, 1.8865094184875488, -1.2502919435501099, -0.5151665210723877, 1.3577333688735962, 0.9695580005645752, 0.5220131874084473, 0.261864572763443, 0.3667542338371277, -0.330009400844574, -0.5929790735244751, -0.5019382238388062, -0.6246466040611267, 1.1340903043746948, -1.6722362041473389, 0.8388317227363586, 0.01166536845266819, -0.4122505784034729, 0.396628737449646, 0.5559611320495605, 0.00035001375363208354, -1.10535728931427, -0.6464791297912598, -1.0804736614227295, 0.20091408491134644, 0.4626304805278778, -0.5150646567344666, -0.05919022485613823, 0.15045972168445587, 0.857754111289978, -0.322015643119812, 0.9529271125793457, -0.766067385673523, -2.103708505630493, 0.0064583709463477135, -0.6096075773239136, -1.0845052003860474, 1.6825519800186157, 0.1081060916185379, -0.3751480281352997, 1.1677956581115723, -0.38918545842170715, 0.8567779064178467, 0.5523061752319336, 0.565336287021637, 0.023838993161916733, 1.30559504032135, -0.0912545695900917, -0.1663185954093933, -0.4512394368648529, -0.8038069605827332, 5.169516563415527, 1.1923086643218994, -0.31686916947364807, -1.8131380081176758, 0.1930767446756363, -1.2211823463439941, 0.18882715702056885, -0.32783204317092896, 0.10602530092000961, -0.10174618661403656, 0.6918861269950867, 1.1963058710098267, 0.5388648509979248, -0.10872971266508102, 0.7937889695167542, 0.737987756729126, -0.5093596577644348, -0.6067532300949097, 1.1649913787841797, -0.1466815173625946, -0.04974448308348656, -0.47342735528945923, -1.036115050315857, -0.8799833059310913, 1.2485404014587402, 0.13806386291980743, 0.8674450516700745, -0.8726802468299866, 1.1017236709594727, -0.18377956748008728, -0.4540242850780487, 0.6821117997169495, 0.5518558025360107, 0.7697046399116516, 0.4312610924243927, 0.25050491094589233, -0.0020133971702307463, -0.18118959665298462, -0.3629284203052521, 0.35813987255096436, 0.43032118678092957, -0.3404521644115448, -0.36416107416152954, -0.4531871974468231, -1.0313931703567505, 0.06831105798482895, -0.5696744322776794, -0.7089669704437256, 0.6234062910079956, -0.177732914686203, -0.22280874848365784, 0.4171403646469116, -0.6950397491455078, -0.8920843005180359, -0.5216107964515686, 0.8053957223892212, 0.13745908439159393, -0.3110876977443695, -0.2598457336425781, -0.7818147540092468, 0.23806029558181763, 0.20030836760997772, 0.6264147758483887, 0.039189413189888, 0.7100642919540405, 0.03232179582118988, 0.35357099771499634, 1.9575483798980713, -0.3386683166027069, -0.5194494724273682, -0.8097982406616211, 0.5522619485855103, -0.3050891160964966, -0.676777184009552, -0.581180214881897, 1.3175016641616821, -0.592772364616394, 0.32587042450904846, -0.8007594347000122, -0.399551123380661, -1.4465363025665283, 0.17699354887008667, -0.3144166171550751, 0.4400363862514496, -0.6909036636352539, -0.6099854111671448, -0.2993534207344055, 0.3972000181674957, -0.07363589107990265, -0.03624723479151726, 0.1722249835729599, 1.5564613342285156, 0.5690723061561584, -0.5924862027168274, -0.44248026609420776, 0.19129934906959534, -1.8949652910232544, -0.28780919313430786, -1.252392292022705, 1.3880727291107178, 0.5132901072502136, -0.24698323011398315, -0.31540369987487793, 0.8254560828208923, -0.9420660138130188, 0.19435741007328033, 0.5614496469497681, -0.7999197244644165, -0.3380862772464752, -0.028982417657971382, 0.7284038662910461, -1.4771416187286377, -0.7936773896217346, -0.6050469875335693, -0.12343163043260574, 0.1247047632932663, -0.4913601875305176, -0.5114326477050781, 0.00039152801036834717, -0.5349436402320862, 0.6191122531890869, 0.6586307287216187, 0.5131627917289734, -0.5263529419898987, -0.2118826061487198, 0.13960379362106323, -0.9712657928466797, -0.5942329168319702, 0.16865484416484833, -0.993618905544281, 0.4095868170261383, 0.057382892817258835, -0.22138351202011108, 0.4616953134536743, -0.8792965412139893, 0.17199958860874176, 0.04188263788819313, 0.5103535056114197, -0.8897573947906494, -0.00997059978544712, 0.4284568727016449, -0.24520157277584076, -0.5001116394996643, 1.1343588829040527, -0.5112088322639465, 0.056417323648929596, 0.4622914791107178, 0.4462645649909973, 1.0816783905029297, 0.7086890935897827, -1.1339234113693237, -0.7151278257369995, 0.5438030958175659, 0.5553343296051025, 0.22886747121810913, 0.19731970131397247, -0.03465432673692703, -0.0928429514169693, -0.4703032672405243, 0.37146127223968506, 0.6794721484184265, 0.8362023830413818, 0.0016929983394220471, -0.8126006722450256, -0.23697930574417114, -0.005793288350105286, -0.39570894837379456, 0.6942034959793091, -0.16691528260707855, 0.28936678171157837, 0.569675087928772, -0.09331608563661575, 0.07311335951089859, 0.4302922785282135, -1.0413531064987183, -0.2590852379798889, -0.1312888264656067, 0.7804660797119141, 0.9636505842208862, -0.5975744724273682, 0.1837882399559021, 0.545901358127594, -1.842132329940796, 0.6919853687286377, -0.002874688943848014, -1.018180012702942, -0.6386584639549255, 1.2153911590576172, -0.23317719995975494, 0.26069802045822144, 0.04662259295582771, -0.46907055377960205, 0.813141942024231, 0.37390217185020447, -0.5823379158973694, -0.48468172550201416, 0.7570533752441406, 0.6486114263534546, -0.07835022360086441, -0.3131399154663086, 0.4984811842441559, -0.46321073174476624, -1.5098450183868408, 0.09365636110305786, -1.5371280908584595, 0.7359853982925415, 0.09688327461481094, 1.210551142692566, -0.01914614997804165, 0.4886294901371002, -0.21088433265686035, 0.14999867975711823, -0.238128662109375, -0.11129838973283768, 0.010727549903094769, 0.9309402704238892, -0.41540300846099854, -0.09212314337491989, 0.3840722441673279, -0.13030590116977692, -0.36292821168899536, 0.14791738986968994, -1.5449296236038208, -0.11825074255466461, -0.06362906098365784, -0.1903306394815445, 0.24053792655467987, -0.7851710319519043, -0.21925248205661774, -1.258821964263916, 0.1576801985502243, 0.3871121108531952, 0.9320846796035767, 0.21948787569999695, -0.05679430067539215, -0.6970490217208862, -0.3927651345729828, 0.3200295865535736, 0.4712783098220825, -1.3527147769927979, -0.7979298830032349, -0.05065033212304115, -0.12948167324066162, 0.6704382300376892, 0.8780157566070557, -0.2636076807975769, -0.7729658484458923, 0.6811086535453796, -0.3111170828342438, 0.1298333704471588, -0.8399369120597839, 0.44573739171028137, 1.2106648683547974, -0.29599106311798096, 0.986686110496521, 0.7756195664405823, 0.7228630185127258, -0.34701985120773315, -0.8849052786827087, -0.2841448187828064, 0.984231173992157, 0.3728364109992981, -0.16503818333148956, 0.24702951312065125, -1.680080771446228, 0.14444132149219513, 0.5802015662193298, 0.3931117355823517, 0.04045040160417557, -0.4829055070877075, -0.24601410329341888, 0.49791669845581055, 0.37575945258140564, -0.025971073657274246, 0.510712206363678, 0.5717321038246155, 0.8176194429397583, 0.4718559682369232, -0.18475781381130219, -0.047849949449300766, -0.012833023443818092, 0.5246549844741821, 0.11865482479333878, 1.3725742101669312, -0.49556389451026917, 0.4067959189414978, 0.5163078904151917, -0.4305492341518402, -0.9366849660873413, 1.129565715789795, 0.3110786974430084, -0.29792916774749756, -0.8898372650146484, -0.777920663356781, 0.9792594313621521, -1.6255204677581787, -0.05485328286886215, 0.649700939655304, -0.015443557873368263, -0.01593586429953575, 0.20507818460464478, 0.14171837270259857, 0.09384576976299286, 0.6527137756347656, 0.6155589818954468, -1.0226775407791138, -0.8184071183204651, 0.213723286986351, 0.6676608324050903, 0.3735029101371765, -0.6935802102088928, 0.6435975432395935, 1.055378794670105, -0.24959374964237213, 1.5351237058639526, 0.16955944895744324, 0.551689863204956, 0.2828436493873596, 0.08138448745012283, 0.3759208619594574, -0.5974714159965515, -1.086958885192871, -0.3296763598918915, 0.43968185782432556, 1.6216011047363281, -0.6276037693023682, 0.3946670889854431, -0.7827926278114319, 0.5404040217399597, -0.8319072127342224, 0.17894814908504486, -0.630021870136261, 0.30846861004829407, -0.1206250861287117, -0.4922989010810852, -1.3813233375549316, 0.018686579540371895, 0.6982949376106262, -1.2419464588165283, -0.08115372061729431, -0.3358047604560852, -0.10755771398544312, -0.12443748861551285, -0.348760724067688, -1.6794790029525757, -0.757806122303009, 1.0110329389572144, 0.4508119225502014, -0.0535956472158432, -1.2094218730926514, -1.3034383058547974, -0.09856844693422318, 1.313705325126648, -0.3867531716823578, -0.1361224502325058, -0.9683720469474792, -0.08900061249732971, 0.2537480294704437, 0.2633398175239563 ] ]
{ "indices": [ 1041011349, 3713535024, 3731741184, 28501148, 2067848296, 2707362363, 570652574, 1551089265, 3928038441, 436751995, 722829366, 3819098157, 3062174764, 2391722386, 640124220, 1786548735, 2006536704, 1063320047, 691409538, 1181726436 ], "values": [ 0.6640519892753799, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.7981144742533492, 0.49706424497402196, 0.49706424497402196, 0.7477917007047616, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.7477917007047616, 0.7477917007047616, 0.7477917007047616, 0.7477917007047616, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196 ] }
{ "context": "So which MUXs matter? None of them. Because all the MUXs are up here in this part that I didn't even show. So all don't cares, just like the second state. Okay. What about the ALU and memory? Not used, right? Memory is down here. ALU is over here. Not used. So what should these be? Don't cares here. Zero. And don't care. Same as up here, right? We're using ALU or memory. All right. So that's it for fetch." }
644031
[ [ 0.5286700129508972, -0.6833103895187378, 0.5404567718505859, 0.15162093937397003, 0.8236173391342163, -0.2730118930339813, -0.6912069916725159, 0.7364936470985413, 0.6747884750366211, -0.6161323189735413, 0.7345356941223145, 0.42821118235588074, 0.3544433116912842, 0.38358408212661743, 0.26142942905426025, -0.1434153914451599, 0.6284127235412598, -0.4357287585735321, -0.13889983296394348, -0.37743690609931946, 0.7646043300628662, -0.06973325461149216, -0.2786329984664917, -0.4063473641872406, -0.8831785917282104, 0.8105050921440125, -0.03720203787088394, -0.7853419780731201, 0.00184546725358814, 0.9302809238433838, -0.5818488597869873, -1.1086399555206299, 0.7945477366447449, -0.6535276770591736, 1.974731206893921, 0.3946145474910736, -0.0734298899769783, -0.21706287562847137, 0.21597130596637726, 0.6520673036575317, -0.5785204172134399, 0.26855340600013733, -0.0012553021078929305, 0.6471171975135803, -0.845840334892273, 0.20058071613311768, -0.25321295857429504, -0.29748958349227905, 1.2910864353179932, -0.7504767775535583, 0.9577241539955139, -0.1354074329137802, 0.7883002161979675, 0.25740495324134827, 0.6531611680984497, 0.6914291381835938, 0.8851326704025269, 1.6734421253204346, 0.4784907102584839, -1.1430541276931763, -0.05171996355056763, -0.750030517578125, -0.05464915186166763, -0.636517345905304, -0.08782758563756943, -0.2650544345378876, -0.5721554160118103, -1.0442408323287964, 0.9301851987838745, -0.2123490869998932, -0.41635581851005554, 0.4622979760169983, 0.7657504081726074, 0.34513917565345764, 0.6047171950340271, -0.7770459055900574, -0.051524966955184937, 0.1951449066400528, 0.7723860740661621, 1.047109842300415, -0.9235060811042786, 0.43144863843917847, -0.38480475544929504, -0.026926102116703987, 0.49480578303337097, -0.6953220963478088, 1.1500314474105835, -0.6232609748840332, 0.5825768709182739, 0.916236400604248, 0.7146564722061157, -0.44004929065704346, 1.4670499563217163, 0.12509532272815704, -0.5049416422843933, -0.03637520223855972, 0.8908267617225647, 1.3753271102905273, 0.19279377162456512, -0.8669208884239197, 0.638081431388855, -0.9530504941940308, -0.01199634000658989, 1.9153964519500732, 0.08793172985315323, 0.4080812335014343, -0.09636019915342331, -0.2834884226322174, -0.8993079662322998, 0.47610345482826233, -0.7007234692573547, 0.9412077069282532, -0.4248805642127991, 1.0755326747894287, -0.3188011944293976, -0.6206154823303223, -0.7334274649620056, -0.6296554207801819, 1.3384760618209839, 0.86431485414505, 0.8842666745185852, 0.35204872488975525, 0.8438237905502319, -0.3171338737010956, -2.2466719150543213, 0.513444721698761, 0.42489564418792725, -0.03593425080180168, -0.8918488621711731, 0.7521559596061707, 0.43448758125305176, 0.6181659698486328, 0.05227094143629074, -0.31625738739967346, 0.5059943795204163, 0.8107106685638428, -1.7835115194320679, -1.265432357788086, 0.9412704706192017, 0.15183496475219727, -0.37232640385627747, -2.1299567222595215, 0.22464779019355774, 0.8281935453414917, 1.3310308456420898, 0.6213333606719971, 0.432115375995636, 0.2797774374485016, -0.45518574118614197, 0.24789930880069733, -0.7021082639694214, 0.49754005670547485, -1.3369675874710083, -0.24642732739448547, -0.8049585223197937, -0.9388891458511353, 0.08115510642528534, -0.2390565276145935, -0.50350421667099, 0.3669221103191376, 0.4328589141368866, -0.3899036943912506, 0.4650212228298187, -0.28956499695777893, -1.1375967264175415, -0.7964873313903809, 1.4932844638824463, -0.15301702916622162, 0.6325675249099731, 1.102677822113037, 0.08536731451749802, -0.06940244138240814, 0.27714765071868896, 0.0295732282102108, 1.3719773292541504, -0.5835862755775452, 0.07382139563560486, -0.4709587097167969, -0.04683646559715271, 0.03401000797748566, -0.20793239772319794, -0.7260924577713013, 0.061223674565553665, 1.3853552341461182, 0.49709761142730713, 0.18265478312969208, -0.5515049695968628, -0.41972267627716064, 1.0888644456863403, -1.0393110513687134, -0.6451559066772461, -1.0076016187667847, 0.3294399678707123, -0.21255505084991455, -0.8646865487098694, -0.7036299109458923, -0.5441581606864929, -0.08618982881307602, 0.7743526697158813, -0.8713138699531555, -0.7115163803100586, 0.7153550386428833, 0.6538301110267639, -0.9458398222923279, 0.009314161725342274, 1.1917556524276733, 1.2945319414138794, -0.4623432457447052, 0.5434665083885193, -0.6952694058418274, -0.4945293962955475, 0.6272670030593872, 0.07053577899932861, 0.45297497510910034, 0.8059514164924622, 0.005742641631513834, 0.3549812436103821, 0.2966170907020569, -0.19029270112514496, 0.287707656621933, -1.2972875833511353, 1.1319236755371094, 2.162363052368164, 1.1909105777740479, 0.28355780243873596, 0.3500964343547821, -0.2674592435359955, -0.32310232520103455, 0.06282899528741837, -0.6130180358886719, -0.23816075921058655, -1.444761872291565, 0.17370237410068512, 0.5456627011299133, -0.2895269989967346, -0.023648835718631744, 1.7425442934036255, 0.9842056035995483, 0.9056229591369629, 0.3992673456668854, -1.3936766386032104, 0.9364944696426392, 0.2294386625289917, 0.3369568884372711, 0.48249709606170654, 1.4421172142028809, 0.34950295090675354, 1.1593128442764282, -0.33420389890670776, 0.039084918797016144, -0.5981414318084717, 0.7607460021972656, 0.12749919295310974, -1.0584707260131836, 0.44357550144195557, -0.8586351275444031, 0.13882216811180115, -1.101261019706726, -0.40889766812324524, 0.8516101241111755, -0.24319106340408325, -0.501424252986908, -0.8890525102615356, -0.4345274269580841, 0.08039283752441406, -0.1332009732723236, -0.2926638126373291, -0.7403628826141357, 1.1289047002792358, -0.5172426700592041, 0.39271387457847595, 0.4694434702396393, -0.5113435983657837, -0.43018436431884766, -0.020276587456464767, 0.9171709418296814, -0.5499227046966553, 1.3292871713638306, -0.8793379664421082, -0.273251473903656, -0.31230154633522034, 0.24506516754627228, 0.3939358592033386, -0.3584935963153839, -1.8325998783111572, 0.8709043860435486, -0.34854963421821594, -0.8092362284660339, 0.6832322478294373, 0.16210976243019104, -1.1277592182159424, 0.34039396047592163, -0.4899585247039795, -0.8141320943832397, 0.08332471549510956, 0.08187709003686905, -0.13191117346286774, 0.144019216299057, 0.19466941058635712, 0.5163138508796692, 0.03610889986157417, -1.3282184600830078, 0.2522529065608978, -1.3080357313156128, -0.33880966901779175, 0.3441270589828491, -0.9050759673118591, -0.5533261299133301, -0.7085089087486267, -0.1982128769159317, -0.5279112458229065, -0.6255629658699036, -0.1468333750963211, -1.3028424978256226, -1.4639840126037598, -0.07677062600851059, 0.2635694742202759, 0.9275813102722168, -0.312678724527359, -0.5143346190452576, 1.5947319269180298, 1.1204979419708252, -0.6982991099357605, -0.6525179743766785, 0.3411830961704254, 0.15131022036075592, -0.9292758703231812, -0.18185530602931976, 0.7454596757888794, 0.18723376095294952, 0.22101563215255737, -0.39156368374824524, 0.8900231122970581, 1.830954670906067, -0.23778454959392548, -0.7334387302398682, 0.06647063791751862, -0.005974769592285156, -0.6664002537727356, -0.260175883769989, 0.8920100331306458, 0.44411835074424744, 0.8348331451416016, 0.360050231218338, 1.2695059776306152, 0.5458818078041077, -1.0180609226226807, 0.47576192021369934, 0.2569272220134735, 0.3417494297027588, -0.3338671624660492, -0.09335574507713318, -0.0728074386715889, -0.16773487627506256, -1.3015046119689941, 0.24792811274528503, 0.975633978843689, -0.9623779654502869, 0.1809045672416687, 0.9131196141242981, 0.9330245852470398, 0.06417206674814224, -0.23545755445957184, -0.6434733867645264, 0.2255547046661377, 0.48353996872901917, -0.38218745589256287, -0.22847534716129303, 1.0208946466445923, 0.1590667963027954, 0.7184409499168396, 0.6533730626106262, -0.09789038449525833, -0.6241917610168457, -1.3694572448730469, -0.883003294467926, -0.0013354616239666939, 0.9556990265846252, 0.2908554971218109, 0.8233504295349121, 0.20740363001823425, 0.10004512220621109, -0.2522059977054596, -0.029412340372800827, -1.825805425643921, 0.2632623612880707, 0.5560405254364014, 0.11234855651855469, 0.42276614904403687, -0.4287634491920471, 0.30757227540016174, -0.25883397459983826, -0.8301379084587097, -0.18839190900325775, 0.2857239842414856, -1.0670315027236938, 0.3895125985145569, 0.41227132081985474, -0.4027376174926758, 0.331961452960968, 0.6391711831092834, 1.9695379734039307, 0.9552353024482727, 0.6596997976303101, 0.12905339896678925, -0.025428317487239838, -0.6235557198524475, -0.14950281381607056, 0.5596274733543396, 0.5401159524917603, 0.7308061122894287, 0.8443164825439453, 0.8888930678367615, -0.8738187551498413, -0.04477806016802788, 0.4681262969970703, 1.24018394947052, 0.37458157539367676, 0.06613647937774658, -0.2891954481601715, -0.72662752866745, -0.577972412109375, -0.07295271754264832, 1.1029902696609497, -0.6621033549308777, 1.4574177265167236, 0.927599310874939, -0.036929257214069366, 0.1188405305147171, 1.2140651941299438, 1.2707151174545288, -0.2169259637594223, -0.3809821903705597, -0.6966237425804138, -0.9192026853561401, -0.5100885033607483, -0.23240120708942413, 0.12684139609336853, 1.0265836715698242, 0.8302457928657532, -0.6064043045043945, 0.2951081097126007, 0.15948711335659027, -1.67876136302948, 0.6681033372879028, -0.6011139750480652, -0.31524068117141724, -0.5697392225265503, 0.8986518383026123, 0.5057825446128845, 0.2567826509475708, -0.39256587624549866, 0.13179358839988708, -0.6803539991378784, -2.5758206844329834, -0.6122682690620422, 0.5695776343345642, 0.5097521543502808, -0.8554846048355103, 0.46017780900001526, -0.5887871980667114, -0.8650292754173279, 0.6749114394187927, -0.7044325470924377, 0.9727823734283447, -0.6329416036605835, 0.272644579410553, -0.25254449248313904, -1.691899061203003, -1.0308653116226196, 0.8946655988693237, -0.09963048249483109, 0.2867281436920166, -0.0468238890171051, 0.3813365399837494, -0.30557239055633545, 0.7113688588142395, 0.5297315120697021, 0.4212823212146759, -0.1824600100517273, -0.5879790782928467, 0.21388378739356995, 0.5308663249015808, -0.9778302907943726, -0.522101879119873, 0.581037700176239, -1.307783842086792, -0.7293882966041565, 1.0314487218856812, -0.7462434768676758, -0.3684323728084564, 0.6768375635147095, -0.1541621834039688, -0.8036521673202515, -0.5476206541061401, -1.3897424936294556, 1.0654929876327515, -0.5612207055091858, 0.8307649493217468, 0.58907151222229, 0.49588656425476074, -0.7132610082626343, -0.012508019804954529, -0.24320492148399353, 0.1917697787284851, -0.4739002585411072, 0.839022696018219, -0.9949480891227722, -0.3186151385307312, -0.5629742741584778, 1.0520057678222656, -0.258286714553833, 0.011251593008637428, -0.11639364063739777, -0.2715158462524414, -0.1842699944972992, 1.3703562021255493, -0.9697589874267578, 0.4526057839393616, -0.08989633619785309, 0.11578507721424103, -0.7717841863632202, 0.3502778112888336, 0.37542805075645447, -1.5402003526687622, 0.4322105646133423, 0.7731370329856873, 0.10046128928661346, 0.7959799766540527, -0.4911089241504669, -0.18847103416919708, 0.5138149261474609, -1.3196808099746704, 1.2092353105545044, 0.6123707890510559, 0.6459457874298096, -0.06247519701719284, -0.8594191074371338, -0.3281930685043335, 0.7696773409843445, -0.32568803429603577, 0.08723439276218414, -0.05026278644800186, 0.582324743270874, 0.9075797200202942, -0.252463698387146, -0.6927576661109924, -1.1113197803497314, -0.7786514759063721, 0.35492387413978577, -0.2294338047504425, -0.7264496088027954, -0.08878260105848312, 0.0877365693449974, -0.6828076839447021, 0.48165494203567505, 1.3942843675613403, -0.05494440346956253, 0.31043756008148193, 0.12519173324108124, 0.2843932807445526, 1.2225061655044556, 0.7880023717880249, 0.5494594573974609, -0.3031371533870697, -0.06421870738267899, -0.646925151348114, -0.6947777271270752, -1.1616238355636597, -0.4447616636753082, -0.3953422009944916, -0.7249501347541809, -0.23687002062797546, 1.2288286685943604, -0.10705520957708359, 0.6420860886573792, 0.19206087291240692, -0.7890936732292175, -0.31981605291366577, -0.45965680480003357, -0.7682637572288513, -0.22038687765598297, 0.24874190986156464, -0.18476124107837677, 0.3835603594779968, 0.3525644540786743, -0.7751506567001343, -0.38699716329574585, 0.1814091056585312, 0.327449768781662, 0.13921236991882324, 1.9492441415786743, 0.6407630443572998, 0.23054808378219604, 1.1806859970092773, 0.49027854204177856, 0.7702571749687195, -0.5011551976203918, 0.4963926672935486, 0.6409215331077576, 0.5977186560630798, -0.37581250071525574, 0.35273635387420654, -1.0384318828582764, 0.34956833720207214, 1.2016278505325317, -0.7094306945800781, 0.6458067893981934, -0.3720424473285675, 0.6312693953514099, 0.12260131537914276, 0.3704496920108795, -0.42538630962371826, 0.6101563572883606, -0.3457644283771515, 1.9493156671524048, -0.9932540059089661, -0.45958995819091797, 1.5375970602035522, 0.8682098984718323, 0.7836043238639832, 0.051721759140491486, 0.4043523967266083, -0.22261406481266022, -0.3663376569747925, -0.6331560015678406, -0.7294995188713074, 1.1403189897537231, -1.6182461977005005, 0.749226450920105, 0.25093725323677063, -0.48703402280807495, 0.7900886535644531, 0.7351658940315247, -0.057267073541879654, -1.514806866645813, -0.9118101596832275, -1.278558373451233, 0.5784653425216675, 0.4844920337200165, -0.2651313543319702, -0.16923756897449493, -0.12416340410709381, 0.3316209614276886, -0.1992919147014618, 0.8327366709709167, -0.20300132036209106, -1.7414802312850952, -0.2886388301849365, -0.6500512361526489, -1.0266343355178833, 1.4529238939285278, 0.006832991261035204, -0.5197442173957825, 1.0701507329940796, -0.6144528985023499, 1.0124622583389282, 0.4128803610801697, 0.25958213210105896, -0.056217946112155914, 1.2843397855758667, -0.4656563997268677, -0.20039436221122742, -0.16920830309391022, -0.5960307121276855, 4.648238182067871, 1.445292592048645, -0.046316780149936676, -2.21991229057312, -0.02497613988816738, -0.7213382720947266, 0.06374628841876984, -0.3760969936847687, 0.3855583369731903, -0.12105622887611389, 0.8424085378646851, 1.1997106075286865, 0.7070474624633789, -0.059342704713344574, 0.6742760539054871, 0.5511974692344666, -0.46778377890586853, -0.6986136436462402, 0.801118016242981, -0.1510338932275772, 0.1263728141784668, -0.12103677541017532, -1.07159423828125, -1.214879035949707, 1.447542667388916, 0.013481777161359787, 0.5204800963401794, -0.4174020290374756, 0.8552079200744629, 0.15461255609989166, -0.5040470957756042, 0.9538537859916687, 0.5073026418685913, 1.169168472290039, 0.6389314532279968, 0.09978506714105606, -0.3476886451244354, -0.6314247250556946, -0.56032794713974, 0.16832268238067627, 0.2276005893945694, -0.08359813690185547, -0.5034586191177368, -0.4332270920276642, -1.1496517658233643, -0.010779975913465023, -0.36823588609695435, -0.7914410829544067, 0.5454201102256775, 0.0483366958796978, -0.16647665202617645, 0.3638305068016052, -0.6234528422355652, -0.9950447678565979, -0.7612794041633606, 0.7802567481994629, 0.5370532870292664, -0.4619087874889374, -0.06322880834341049, -0.7160177826881409, 0.5896797776222229, -0.4875101149082184, 0.6285136342048645, 0.3072001338005066, 0.6350998282432556, -0.14675037562847137, -0.0054954406805336475, 2.275437355041504, -0.16761630773544312, -0.7388020157814026, -1.0713974237442017, 0.3258451521396637, -0.2602742612361908, -0.36148765683174133, -0.5702995657920837, 1.0763784646987915, -0.529084324836731, 0.24159611761569977, -0.6635861992835999, -0.7241607904434204, -1.1800752878189087, -0.04266278073191643, -0.5869339108467102, 0.45352208614349365, -0.5878713726997375, -0.9487693905830383, -0.397025465965271, 0.36049884557724, 0.16346533596515656, 0.20741592347621918, 0.2520469129085541, 1.0847814083099365, 0.9899184107780457, -0.8096957206726074, 0.24750520288944244, 0.13025762140750885, -2.0705373287200928, -0.14842087030410767, -1.059038758277893, 0.7954373955726624, 0.11019746959209442, -0.46601247787475586, -0.1773504614830017, 0.7831786274909973, -0.801743745803833, -0.1443948894739151, 0.864255428314209, -0.7775123715400696, 0.012159731239080429, -0.19862161576747894, 0.7140097618103027, -1.4985947608947754, -0.9977306723594666, -0.2233346849679947, -0.20983168482780457, 0.028072981163859367, -0.04435722529888153, -0.5435306429862976, -0.0044142757542431355, -0.23444148898124695, 0.5834716558456421, 0.3986195921897888, 0.6553085446357727, -0.7443141341209412, -0.26325926184654236, 0.097680002450943, -1.025519609451294, -0.26104438304901123, 0.14845936000347137, -0.7281720042228699, 0.36157843470573425, 0.17744973301887512, -0.44705379009246826, 0.32517877221107483, -1.078268051147461, 0.052090153098106384, -0.14531062543392181, 0.4896092414855957, -0.9762608408927917, 0.06906768679618835, 0.5552539825439453, -0.06823953986167908, -0.8125975728034973, 1.2287181615829468, -0.32952049374580383, 0.14985911548137665, 0.08226370811462402, -0.27096372842788696, 1.020754337310791, 0.4535234570503235, -1.1989165544509888, -0.016027815639972687, 0.36458179354667664, 0.5126129984855652, 0.26785215735435486, 0.056469231843948364, -0.09750580042600632, -0.06986871361732483, -0.15990491211414337, 0.4132359027862549, 0.4731515049934387, 1.1707571744918823, -0.4258933663368225, -0.6759485602378845, -0.20074647665023804, 0.06640993058681488, -0.45118945837020874, 0.8189772963523865, -0.27291885018348694, 0.4277896285057068, 0.3673407733440399, -0.4924132227897644, -0.15668989717960358, 0.7080168724060059, -1.4901303052902222, -0.09558907151222229, -0.059502631425857544, 0.45537862181663513, 0.7494266033172607, -0.8795797824859619, -0.134602352976799, 0.7351359128952026, -1.7332470417022705, 0.2844325602054596, -0.11277952045202255, -0.8753743767738342, -0.744512677192688, 0.6223869919776917, -0.27185115218162537, 0.3090476095676422, 0.23031829297542572, -0.2818228006362915, 1.1773451566696167, -0.2259894162416458, -0.6195225715637207, -0.3866986334323883, 0.3259555995464325, 0.8535463809967041, 0.2098090946674347, -0.3499070107936859, 0.5859911441802979, -0.7641351819038391, -1.2946370840072632, -0.5271338820457458, -1.6522188186645508, 0.8144224882125854, 0.22672148048877716, 1.489484190940857, 0.03839915990829468, 0.6980619430541992, -0.5561215281486511, 0.3465118110179901, -0.4683848023414612, -0.2690495550632477, 0.404758095741272, 0.4679920971393585, -0.453573614358902, -0.7012268900871277, 0.222484290599823, -0.011808918789029121, -0.48944228887557983, 0.25477203726768494, -1.5379172563552856, -0.11917215585708618, 0.3882366418838501, -0.08868224173784256, 0.18915458023548126, -1.1202322244644165, -0.1595032811164856, -1.2240087985992432, 0.25343382358551025, -0.02465132065117359, 0.8303406238555908, 0.054696012288331985, 0.24300582706928253, -0.44317981600761414, -0.35767319798469543, 0.6864808201789856, 0.537550687789917, -1.3560618162155151, -0.6637851595878601, 0.09328369051218033, -0.09228691458702087, 0.9234828948974609, 0.652269184589386, 0.0729714035987854, -0.5721956491470337, 0.8225386738777161, -0.37058156728744507, -0.013759337365627289, -0.6460742950439453, 0.22810906171798706, 0.5019945502281189, -0.7275130152702332, 0.8818970918655396, 0.9971085786819458, 0.6981926560401917, -0.8063638806343079, -1.2212655544281006, -0.30533233284950256, 1.227995753288269, 0.7948194742202759, -0.24389667809009552, 0.08175670355558395, -1.7722909450531006, -0.04885081946849823, 0.4781312644481659, 0.3761703073978424, 0.18183951079845428, -0.27100756764411926, -0.8143383860588074, 0.37609294056892395, 0.10685968399047852, 0.0921131819486618, 0.5978268980979919, 0.7546704411506653, 0.518616259098053, -0.11440980434417725, -0.374886155128479, -0.36091452836990356, -0.03726819530129433, 0.7971922159194946, 0.08253227919340134, 1.630252718925476, -0.20592302083969116, 0.17811571061611176, 0.17577512562274933, -0.08194411545991898, -1.3479235172271729, 1.5087735652923584, 0.21363556385040283, -0.0595797598361969, -0.7924922704696655, -0.4280434250831604, 1.1749178171157837, -1.3798682689666748, -0.31102699041366577, 1.0860016345977783, -0.03368453308939934, 0.002445121528580785, 0.38415029644966125, 0.17148038744926453, 0.36005496978759766, 0.13858409225940704, 0.9406975507736206, -0.7959064841270447, -0.7194059491157532, 0.23420748114585876, 1.0346230268478394, 0.7468805313110352, -0.13093124330043793, 0.7631092071533203, 1.163290023803711, -0.614915132522583, 1.7200371026992798, -0.16464242339134216, 0.366420179605484, 0.20754510164260864, 0.29306337237358093, 0.3350083529949188, -0.6885197758674622, -0.8376412987709045, -0.05877268314361572, -0.17134150862693787, 1.5000344514846802, -0.7724851369857788, 0.47050055861473083, -0.9847553968429565, 0.3772888481616974, -1.1574188470840454, -0.04347636178135872, -0.23996195197105408, 0.21353551745414734, -0.3981415927410126, -0.3199804723262787, -1.2311490774154663, 0.11591102927923203, 1.199517846107483, -1.4614932537078857, -0.24140794575214386, -0.4442004859447479, 0.13328543305397034, 0.14624792337417603, -0.5074571967124939, -1.8406143188476562, -0.8949858546257019, 0.8411849141120911, 0.3422664701938629, -0.514562726020813, -1.003942608833313, -1.5553979873657227, -0.11158335208892822, 1.3578723669052124, -0.7056220173835754, -0.3631223738193512, -0.6943119764328003, 0.35247161984443665, 0.24665261805057526, 0.3556264340877533 ] ]
{ "indices": [ 1786548735, 691409538, 1181726436, 1117261085, 2257684172, 722829366, 1438597426, 1063320047, 1230423685, 2877535289, 647928480, 2066971792, 4094582072, 2853636491, 3327252652, 1960040400, 873986903, 3254163991, 2617446757, 2557468570, 3608879611, 365719205, 1065576971, 1401966460, 4040153055, 2183041579, 1284918442, 1316082470, 2094005352 ], "values": [ 0.47720054860988836, 0.47720054860988836, 0.6460876948075268, 0.47720054860988836, 0.47720054860988836, 0.6460876948075268, 0.6460876948075268, 0.47720054860988836, 0.47720054860988836, 0.6460876948075268, 0.6460876948075268, 0.47720054860988836, 0.47720054860988836, 0.7325014542133561, 0.47720054860988836, 0.7325014542133561, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836 ] }
{ "context": "All right. So that's it for fetch. Fetch is done. And then we have one more state to do, which is decode. So in the decode state, we're calculating branch enable. And branch enable, you might remember the little n bit is IR11, the little z bit is IR10, and the little p bit is IR9. So I just took the same terminology, or rather the same RTL out of the FSM diagram out of Pat and Patel." }
940945
[ [ 0.19819021224975586, -0.8241430521011353, 0.3303241431713104, 0.43742525577545166, 0.523565411567688, -0.15612591803073883, -0.6188079714775085, 0.6731885075569153, 0.7547249794006348, -0.9433687925338745, 0.9666020274162292, 0.6086913347244263, 0.4931449890136719, 0.4599962532520294, 0.3989321291446686, -0.42278584837913513, 0.7665994167327881, -0.24901673197746277, -0.09287441521883011, -0.1307961642742157, 1.3072503805160522, -0.009095149114727974, -0.6053676009178162, -0.16170069575309753, -0.5911825895309448, 0.8667389750480652, -0.09545443207025528, -0.6941289305686951, 0.20405125617980957, 0.7423213124275208, -0.37297189235687256, -1.3935976028442383, 0.6713870167732239, -0.3366366922855377, 1.8078582286834717, 0.2229592651128769, -0.36216846108436584, -0.45365116000175476, 0.2873525023460388, 0.6598290801048279, -0.2220534384250641, 0.4588834047317505, -0.4701888859272003, 0.8680229783058167, -1.0281916856765747, 0.28722116351127625, -0.3740554749965668, -0.1882556974887848, 1.1315902471542358, -0.6670621037483215, 0.9389699101448059, -0.37960749864578247, 0.9284396767616272, 0.20932695269584656, 0.3985677659511566, 0.4623878300189972, 1.0644587278366089, 1.477307677268982, 0.4133794903755188, -1.4940437078475952, -0.002707728883251548, -0.5666825175285339, 0.44687286019325256, -0.5150771141052246, -0.09727152436971664, -0.3870568871498108, -0.5839396715164185, -0.943398118019104, 0.7421059012413025, -0.2989652156829834, -0.5990456938743591, 0.41509902477264404, 0.6390323042869568, 0.16552294790744781, 0.5247488617897034, -0.7590799331665039, 0.16456696391105652, 0.6211268305778503, 0.6389029026031494, 1.0002367496490479, -1.1972121000289917, 0.33868303894996643, -0.701535701751709, 0.15369413793087006, 0.4519883692264557, -0.6337427496910095, 1.2907902002334595, -0.6246172785758972, 0.3481867015361786, 1.0523587465286255, 0.5280648469924927, -0.8029910922050476, 1.578556776046753, 0.13958553969860077, -0.4877631664276123, -0.045774906873703, 0.45109477639198303, 1.489664912223816, 0.2519609034061432, -0.9800535440444946, 0.4677790403366089, -1.3045353889465332, -0.023834506049752235, 1.5224578380584717, 0.04019845277070999, 0.6555480360984802, 0.006631967145949602, -0.2664027810096741, -0.5458952784538269, 0.25803622603416443, -1.1118887662887573, 0.945554792881012, -0.21613578498363495, 0.7309698462486267, -0.3526475727558136, -0.5000258088111877, -0.6370148062705994, -0.6397571563720703, 1.1932998895645142, 0.41837021708488464, 0.9268025159835815, 0.3347231149673462, 0.7200334072113037, -0.44621261954307556, -2.1972973346710205, 0.7212096452713013, 0.3632649779319763, -0.15735702216625214, -1.0992051362991333, 0.8042808771133423, 0.46984896063804626, 0.1791730672121048, -0.02618730440735817, -0.0947333499789238, 0.6685898900032043, 0.952099084854126, -2.0401766300201416, -1.258057951927185, 1.0509830713272095, 0.307826966047287, -0.6975944638252258, -1.7647099494934082, 0.45903751254081726, 1.1509689092636108, 1.2965177297592163, 0.6624823212623596, 0.734684407711029, 0.3753046989440918, -0.17144596576690674, 0.35396796464920044, -0.8262101411819458, 0.19481085240840912, -1.1587364673614502, -0.41376739740371704, -0.6364579200744629, -0.8527640700340271, -0.07026655972003937, 0.0555080845952034, -0.7132763266563416, 0.1674194633960724, 0.5443283915519714, -0.29198476672172546, 0.2268131524324417, -0.14749780297279358, -0.9336440563201904, -0.8887466192245483, 1.9371764659881592, -0.09491010755300522, 0.6439376473426819, 1.3643237352371216, -0.14460629224777222, -0.1909780353307724, 0.2622697651386261, 0.2560795247554779, 1.4057073593139648, -0.6563204526901245, 0.1379857063293457, -0.6310206651687622, 0.006955323740839958, 0.22705528140068054, -0.39236149191856384, -0.5826711058616638, -0.05625944212079048, 1.3470838069915771, 0.3856367766857147, 0.06278969347476959, -0.585299551486969, -0.06662581861019135, 1.134312629699707, -1.0771794319152832, -0.8472457528114319, -1.1586633920669556, 0.6866183280944824, 0.13787992298603058, -0.567974865436554, -0.880488932132721, -0.5737720131874084, 0.295581191778183, 0.6652203798294067, -0.6072810292243958, -1.053215503692627, 0.6958325505256653, 0.7753341794013977, -1.089646339416504, -0.19227242469787598, 0.7508724331855774, 1.3405792713165283, -0.7579115033149719, 0.8328222632408142, -0.5314318537712097, -0.2223856896162033, 0.5130887627601624, -0.028017820790410042, 0.513594388961792, 0.6446195244789124, 0.26756712794303894, 0.21279804408550262, 0.42176589369773865, -0.0366675928235054, 0.31975555419921875, -1.1454790830612183, 0.9968552589416504, 2.1784605979919434, 1.0820398330688477, 0.1681583970785141, 0.4574926495552063, 0.039040707051754, -0.14366765320301056, 0.23610322177410126, -0.6751099824905396, -0.6399348974227905, -1.16830313205719, 0.015747930854558945, 0.3479771316051483, -0.3439391553401947, 0.11202400177717209, 1.929223895072937, 0.7904173731803894, 1.0674545764923096, -0.09984175115823746, -1.2743481397628784, 0.8301265239715576, 0.5039293766021729, 0.29976969957351685, 0.6397270560264587, 1.4775326251983643, 0.3733414113521576, 1.2978293895721436, -0.7834298610687256, 0.49256080389022827, -0.6775457859039307, 0.5914829969406128, 0.2128279060125351, -1.0065405368804932, 0.24939961731433868, -1.0578678846359253, 0.441999226808548, -0.8868458271026611, -0.4498613476753235, 0.5336865186691284, -0.305372416973114, -0.3579975962638855, -0.44833841919898987, -0.778795599937439, -0.14222490787506104, -0.154966339468956, -0.07329116761684418, -0.6774088740348816, 1.4669069051742554, -0.4928790330886841, 0.44600772857666016, 0.77004075050354, -0.44590067863464355, -0.7753525972366333, 0.33048874139785767, 0.9969883561134338, -0.5582311749458313, 1.0536390542984009, -0.9226565361022949, -0.603678286075592, -0.3211524784564972, 0.2615286409854889, 0.5906319618225098, -0.2727760374546051, -1.7478452920913696, 0.5888327360153198, -0.5280827879905701, -0.7297981977462769, 0.3775101602077484, 0.10826949775218964, -1.042811393737793, 0.26171547174453735, -0.5290727615356445, -0.9473859667778015, 0.25483712553977966, -0.08894304931163788, -0.19431209564208984, 0.043463461101055145, 0.02092687226831913, 0.49162793159484863, -0.01102369837462902, -1.6750428676605225, -0.0031295642256736755, -1.1720397472381592, -0.2079174518585205, 0.07347821444272995, -0.7051010131835938, -0.6653223633766174, -0.376286119222641, 0.20619148015975952, -0.11632844060659409, -0.42155885696411133, -0.2173488885164261, -1.2616263628005981, -1.5375208854675293, 0.19814953207969666, 0.6102285385131836, 1.0828101634979248, -0.25401297211647034, -0.5142292976379395, 1.2462786436080933, 0.9057636857032776, -0.7968071699142456, -0.3094497621059418, 0.2710563838481903, 0.09268586337566376, -1.2320106029510498, -0.26585832238197327, 0.9109537601470947, 0.04026581719517708, 0.6585066318511963, -0.41600489616394043, 0.9269384741783142, 1.7146896123886108, -0.29155004024505615, -0.9394864439964294, 0.09366605430841446, -0.06716332584619522, -0.8938607573509216, -0.027516314759850502, 0.6310116052627563, 0.6169793605804443, 0.9161460399627686, 0.4940941631793976, 1.292370319366455, 0.27689868211746216, -0.6887895464897156, 0.731833815574646, 0.3369964361190796, 0.37724173069000244, -0.4379451870918274, 0.0904025286436081, 0.2961091697216034, -0.4515334963798523, -1.1242512464523315, 0.7154452800750732, 0.7278684377670288, -0.7415187954902649, -0.10212300717830658, 0.9812414646148682, 0.7746495008468628, 0.3256172835826874, -0.44907352328300476, -0.3999795615673065, 0.15677647292613983, 0.5221608877182007, -0.4731391370296478, 0.05795971304178238, 0.711646318435669, -0.10903992503881454, 0.6333667039871216, 0.4944748878479004, -0.4621894657611847, -0.7735771536827087, -1.7663822174072266, -0.7040648460388184, 0.36009112000465393, 1.010748267173767, 0.5293776392936707, 0.5154896974563599, -0.005524268839508295, 0.13631704449653625, -0.29535728693008423, -0.10616286098957062, -1.8684965372085571, 0.390558660030365, 0.6724198460578918, -0.23620429635047913, 0.6264820694923401, -0.4425279200077057, 0.4733325242996216, -0.23087956011295319, -0.8167020678520203, -0.1933329850435257, -0.11626686155796051, -1.1722513437271118, 0.5663229823112488, 0.3452508747577667, -0.47794848680496216, 0.6506959199905396, 0.6422305107116699, 2.2892251014709473, 0.7690814733505249, 0.49843716621398926, 0.2579813003540039, -0.023077892139554024, -0.6785184144973755, -0.24468037486076355, 0.3531722128391266, 0.5828286409378052, 0.9885262250900269, 0.90174400806427, 0.7669836282730103, -0.8665804266929626, 0.02230985276401043, 0.32758650183677673, 1.2289268970489502, 0.17887987196445465, 0.22794772684574127, -0.2912566661834717, -0.7531341314315796, -0.440145343542099, -0.026210714131593704, 1.014304518699646, -0.49114543199539185, 1.603288173675537, 1.022515892982483, 0.09994112700223923, 0.04268116503953934, 1.438807487487793, 1.7624292373657227, -0.18454822897911072, -0.5228440165519714, -0.6659142971038818, -0.8262135982513428, -0.3815366327762604, -0.3038932681083679, 0.3777422308921814, 1.370552897453308, 0.825967013835907, -0.547356903553009, 0.6014000177383423, 0.24604053795337677, -1.4461749792099, 0.24331937730312347, -0.6324257254600525, -0.6387603282928467, -0.3557462692260742, 0.5655853152275085, 0.3347771167755127, 0.3990354835987091, -0.5120859742164612, 0.273837149143219, -0.6787382960319519, -2.457033395767212, -0.536318302154541, 0.4848252236843109, 0.6174745559692383, -0.7857366800308228, 0.45082080364227295, -0.8336495161056519, -1.1190311908721924, 0.7089531421661377, -0.7563170790672302, 1.4738779067993164, -0.8056426644325256, 0.48798614740371704, -0.22001348435878754, -1.5467063188552856, -0.9123516082763672, 0.9213520288467407, 0.018379859626293182, 0.17616301774978638, -0.04608336463570595, -0.166167214512825, -0.16961480677127838, 0.3854418694972992, 0.586097240447998, 0.44917359948158264, -0.494035542011261, -0.532800555229187, -0.11862082779407501, 0.7905939817428589, -1.0059596300125122, -0.7994465827941895, 0.1468934416770935, -1.214043140411377, -0.8207432627677917, 0.9548014998435974, -0.6775089502334595, -0.7515668869018555, 0.7719812393188477, -0.16207483410835266, -0.761472225189209, -0.9797080755233765, -1.4190778732299805, 0.9910287857055664, -0.5569869875907898, 0.6328468322753906, 0.36929595470428467, 0.6197900772094727, -0.7247161269187927, -0.048838816583156586, -0.5076701045036316, -0.044891517609357834, -0.6411690711975098, 0.7618451714515686, -0.8534597158432007, -0.2995844781398773, -0.7443314790725708, 0.9031729698181152, 0.11638277769088745, 0.07120823115110397, -0.2686556875705719, -0.5267921686172485, -0.29473936557769775, 1.2556257247924805, -1.058192253112793, 0.672383725643158, -0.2291816920042038, -0.024329205974936485, -0.6966594457626343, 0.3147313892841339, 0.4375970661640167, -1.5642629861831665, 0.5985775589942932, 0.5585291385650635, -0.011868243105709553, 1.4069044589996338, -0.6003222465515137, -0.46060746908187866, 0.646571695804596, -1.1744800806045532, 0.9938176274299622, 0.5254169702529907, 0.6145867705345154, -0.007277475204318762, -0.8151142597198486, -0.13600949943065643, 0.580841600894928, -0.36994755268096924, 0.16064085066318512, -0.2110833376646042, 0.474414587020874, 0.3589760363101959, -0.3943537473678589, -0.7673848271369934, -1.5182454586029053, -0.7163507342338562, 0.16962480545043945, 0.04431157559156418, -0.8215482831001282, -0.055512964725494385, 0.2088196873664856, -0.6912522912025452, 0.3571483790874481, 1.3676444292068481, 0.1186864972114563, 0.5998295545578003, 0.22724317014217377, 0.40498319268226624, 1.3057390451431274, 1.0027979612350464, 0.34310445189476013, -0.2752956748008728, -0.28513574600219727, -0.4044656455516815, -0.5744213461875916, -1.1551941633224487, -0.7507988214492798, -0.07156506180763245, -1.0887610912322998, -0.24371640384197235, 1.2274014949798584, -0.2503623962402344, 0.6697124242782593, -0.0647231861948967, -0.6249980926513672, -0.542540431022644, -0.34414997696876526, -0.7350281476974487, -0.216450497508049, 0.1677810549736023, -0.03258929401636124, 0.5270064473152161, 0.07575900852680206, -0.9579153656959534, -0.7442572116851807, 0.1811450719833374, 0.3819716274738312, 0.14007484912872314, 2.341137170791626, 0.5767194032669067, 0.4618293046951294, 1.02457594871521, 0.34935227036476135, 0.6919897794723511, -0.5864322781562805, 0.4038804769515991, 0.7863814830780029, 0.6408175230026245, -0.30801987648010254, 0.47480127215385437, -0.9768611192703247, 0.2346811443567276, 1.2333314418792725, -0.6838834881782532, 0.7725439667701721, -0.3807706832885742, 0.34590205550193787, -0.3371788561344147, 0.20420069992542267, -0.5193922519683838, 0.7656971216201782, -0.42979875206947327, 2.0663070678710938, -1.212598204612732, -0.7620912790298462, 1.4983808994293213, 1.1631489992141724, 0.3214552700519562, 0.027779705822467804, 0.5645602941513062, -0.46950680017471313, -0.29560038447380066, -0.6970397233963013, -0.5381351709365845, 1.5256472826004028, -1.395861268043518, 0.6970162987709045, 0.026959002017974854, -0.5528154373168945, 0.7210425138473511, 0.7845978736877441, 0.09321925789117813, -1.4549702405929565, -0.7525777220726013, -1.281052827835083, 0.45082327723503113, 0.4663366973400116, -0.2942512333393097, -0.1375252604484558, 0.21877355873584747, 0.5324116945266724, -0.3505249619483948, 0.7156980037689209, -0.3870297968387604, -1.8164854049682617, -0.0695686787366867, -0.5490848422050476, -1.1791191101074219, 1.617150068283081, 0.37800583243370056, -0.4877842366695404, 1.3045319318771362, -0.40739357471466064, 1.0343879461288452, 0.3556234538555145, 0.39052677154541016, 0.15453733503818512, 1.4404815435409546, -0.3723028302192688, -0.3280457854270935, -0.4698871374130249, -0.4986429810523987, 4.216326713562012, 1.4862786531448364, 0.0979122668504715, -1.9396177530288696, 0.368543803691864, -0.933400571346283, 0.21435411274433136, -0.3147691786289215, 0.35846495628356934, 0.06906388700008392, 0.9880156517028809, 1.0124815702438354, 0.6113531589508057, -0.23974159359931946, 0.6464539170265198, 0.7706323862075806, -0.33867114782333374, -0.6072917580604553, 0.7830744981765747, 0.1397756189107895, 0.08169686049222946, -0.16827699542045593, -0.9768040180206299, -1.2676417827606201, 1.2784265279769897, -0.3543660044670105, 0.6832460761070251, -0.7364251017570496, 1.2293678522109985, 0.2584563195705414, -0.45872223377227783, 1.0184420347213745, 0.674243152141571, 0.9365650415420532, 0.7095192074775696, 0.15896427631378174, -0.6265956163406372, -0.5844987630844116, -0.5680155158042908, 0.5350263714790344, 0.3426702916622162, -0.2121679037809372, -0.172336608171463, -0.6602815985679626, -1.07803213596344, 0.0723809152841568, -0.6271225214004517, -0.8929707407951355, 0.5210867524147034, -0.002361446851864457, -0.31179454922676086, 0.3400731086730957, -0.7961467504501343, -0.9015965461730957, -0.9172393679618835, 0.8773908019065857, 0.3725730776786804, -0.8393065333366394, -0.06230552867054939, -0.42696723341941833, 0.2448609471321106, -0.2855423390865326, 0.8244584798812866, 0.484607994556427, 0.40153831243515015, 0.2695896625518799, -0.1856612265110016, 2.0218653678894043, -0.13765136897563934, -0.9193540215492249, -1.150916337966919, 0.3798794746398926, -0.19419130682945251, -0.20596884191036224, -0.45977360010147095, 0.8217586278915405, -0.3730224370956421, 0.548923671245575, -0.8735674023628235, -0.4164510667324066, -1.3977912664413452, 0.2971743047237396, -0.5491001605987549, 0.010901765897870064, -0.4766743779182434, -1.0557866096496582, -0.3691592514514923, 0.576921284198761, 0.37882721424102783, 0.32748740911483765, 0.6249476671218872, 1.6858911514282227, 1.14908766746521, -0.8635672926902771, 0.1615477353334427, 0.6751894950866699, -2.147465705871582, -0.2062244713306427, -1.0305554866790771, 0.9882566928863525, 0.44944944977760315, -0.6317063570022583, -0.29720020294189453, 0.9436912536621094, -1.0579197406768799, -0.1417253613471985, 0.5719593167304993, -1.0914770364761353, -0.35713163018226624, -0.002231741789728403, 1.1725631952285767, -1.3619662523269653, -0.7277481555938721, -0.28505071997642517, -0.21865785121917725, -0.029447397217154503, -0.3752778172492981, -0.6169636249542236, 0.7608007192611694, -0.39586007595062256, 0.8452643752098083, 0.3448098599910736, 0.7054486870765686, -0.46362149715423584, -0.1949034482240677, 0.10266867280006409, -1.0790002346038818, 0.04991321638226509, -0.02847982384264469, -0.6955492496490479, 0.25126489996910095, 0.29191315174102783, -0.36929482221603394, 0.6858862638473511, -0.9665672779083252, -0.05867275223135948, -0.06213994696736336, 0.6833603382110596, -1.1610682010650635, 0.21221131086349487, 0.2633214592933655, -0.4044414162635803, -0.8719643950462341, 1.221175193786621, -0.6913608908653259, 0.039280638098716736, 0.29450902342796326, -0.00041117327054962516, 1.0131921768188477, 0.4130443334579468, -0.8162847757339478, 0.05320711061358452, 0.5837212800979614, 0.7423826456069946, 0.17311489582061768, 0.22880175709724426, -0.20118942856788635, -0.06719769537448883, -0.02260349877178669, 0.07018806040287018, 0.5197169184684753, 1.3173434734344482, -0.11303587257862091, -0.4637633264064789, -0.11614527553319931, -0.045814141631126404, -0.7660491466522217, 0.6106299161911011, -0.5438221096992493, 0.37837547063827515, 0.7265235185623169, -0.3374010920524597, 0.2910464406013489, 0.8143366575241089, -1.5519689321517944, -0.14861582219600677, -0.25378692150115967, 0.2705330550670624, 0.7151498794555664, -0.8421819806098938, 0.46507206559181213, 0.8353131413459778, -1.9962902069091797, 0.41068530082702637, -0.5015451908111572, -0.7636846899986267, -0.8465756177902222, 1.0375655889511108, -0.037181079387664795, 0.3659628629684448, 0.1484164148569107, -0.24243831634521484, 0.9147884845733643, -0.25083932280540466, -0.5202687382698059, -0.46002766489982605, 0.3809366822242737, 0.6234499216079712, -0.019199972972273827, -0.6612347364425659, 0.7742937207221985, -1.2064801454544067, -1.5175637006759644, -0.4333935081958771, -1.639235019683838, 0.5994911193847656, 0.1335519403219223, 1.3557204008102417, 0.15633396804332733, 0.946593701839447, -0.05681513622403145, -0.04503703862428665, -0.35307377576828003, -0.5434830784797668, 0.3580625355243683, 0.7248536944389343, -0.5360925793647766, -0.46248456835746765, 0.5013082027435303, 0.137213796377182, -0.9017452597618103, 0.4971603751182556, -1.0985057353973389, -0.15600375831127167, 0.24588875472545624, -0.09397932142019272, 0.3175663352012634, -0.9137121438980103, 0.030586987733840942, -1.2189360857009888, 0.32135066390037537, 0.36663612723350525, 0.6353885531425476, 0.3844521939754486, 0.08354842662811279, -0.4961848556995392, -0.3622719645500183, 0.4159702956676483, 0.3625021278858185, -1.3363349437713623, -0.7588987350463867, 0.02186303772032261, -0.36275526881217957, 0.9785576462745667, 0.577290415763855, 0.14113956689834595, -0.5126276612281799, 0.8614684343338013, -0.26248013973236084, -0.058084338903427124, -0.66266268491745, -0.18165184557437897, 0.7946691513061523, -0.4130299985408783, 0.8539485931396484, 1.1939736604690552, 0.8048455119132996, -0.5108300447463989, -1.155731439590454, -0.17128129303455353, 1.0247344970703125, 0.48837777972221375, -0.19616888463497162, 0.21330416202545166, -1.702271580696106, 0.22810372710227966, 0.17138737440109253, 0.6840051412582397, 0.007558097131550312, -0.5030109286308289, -0.6848865747451782, 0.28339195251464844, 0.17178165912628174, -0.1299384981393814, 0.5364302396774292, 0.645279586315155, 0.4302304685115814, 0.1260225623846054, -0.39263007044792175, -0.15490682423114777, -0.298076868057251, 0.6288991570472717, -0.38292568922042847, 1.6415234804153442, -0.352099746465683, 0.048135507851839066, -0.025271497666835785, 0.03837333992123604, -1.5022234916687012, 1.524904489517212, 0.38968798518180847, 0.17446324229240417, -0.8536622524261475, -0.7579476237297058, 1.3056674003601074, -1.4443681240081787, -0.35746195912361145, 0.8977356553077698, -0.05192331597208977, -0.0247876588255167, 0.4406805634498596, 0.2561268210411072, 0.032985519617795944, 0.23296621441841125, 0.9230813980102539, -0.826241135597229, -0.5144330263137817, 0.45044735074043274, 1.201440691947937, 0.7990037202835083, -0.3847096264362335, 0.48665371537208557, 0.7913027405738831, -0.5743082165718079, 1.5433446168899536, -0.06631338596343994, 0.3082510828971863, 0.23561711609363556, 0.1564633846282959, 0.13100111484527588, -0.8529766798019409, -1.1790498495101929, -0.2167815864086151, 0.03761890530586243, 1.1762372255325317, -0.6892555356025696, 0.4524107277393341, -0.9825115203857422, 0.3395278751850128, -1.3457010984420776, 0.007178491912782192, -0.5642089247703552, 0.6579002737998962, -0.0034613085445016623, -0.6329795718193054, -1.1663446426391602, -0.07714392244815826, 1.3839716911315918, -1.4895353317260742, -0.013950617052614689, -0.23446665704250336, 0.2703987658023834, 0.3917963206768036, -0.49651986360549927, -1.9011493921279907, -0.8308684229850769, 0.5128434300422668, 0.6209596395492554, -0.3553757965564728, -1.138465166091919, -1.6718544960021973, -0.17829836905002594, 1.3345224857330322, -0.20730163156986237, -0.6440379619598389, -0.7937766909599304, 0.23601067066192627, 0.38444259762763977, 0.3783471882343292 ] ]
{ "indices": [ 2877535289, 647928480, 2066971792, 4094582072, 2853636491, 3327252652, 1960040400, 873986903, 3254163991, 2617446757, 2557468570, 3608879611, 365719205, 1065576971, 1401966460, 4040153055, 2183041579, 1284918442, 1316082470, 2094005352, 1432087569, 1382617884, 691409538, 2779594451, 3831243209, 939215365, 2764928117, 3054746445, 1230423685, 2046009338, 976803442, 989116115, 448220673, 3474293978, 1438597426, 722829366 ], "values": [ 0.670781260448401, 0.5759710318813028, 0.40446581128347797, 0.40446581128347797, 0.8029552118251291, 0.670781260448401, 0.670781260448401, 0.40446581128347797, 0.670781260448401, 0.40446581128347797, 0.5759710318813028, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.670781260448401, 0.40446581128347797, 0.670781260448401, 0.5759710318813028, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797, 0.40446581128347797 ] }
{ "context": "And branch enable, you might remember the little n bit is IR11, the little z bit is IR10, and the little p bit is IR9. So I just took the same terminology, or rather the same RTL out of the FSM diagram out of Pat and Patel. But when we've written it, it's been little n anded with big N, little z ended with big Z, little p ended with big P. That's our branch condition. So that is calculated by some logic that's not shown in the data path and then copied into branch enable in the decode state." }
687146
[ [ 0.31722331047058105, -0.7294043302536011, -0.300699383020401, 0.4811972379684448, 0.5339046120643616, 0.08496709913015366, -0.214142307639122, 0.34914588928222656, 1.1434500217437744, -0.5980522632598877, 0.9722261428833008, 0.7310015559196472, 0.7747331261634827, 0.2276594340801239, 0.12774860858917236, -0.3101423680782318, 0.5116026401519775, -0.06993154436349869, -0.29611754417419434, -0.20235085487365723, 1.2292051315307617, -0.40895769000053406, -0.3951271176338196, 0.014774885028600693, -0.7909977436065674, 0.981914222240448, -0.061116043478250504, -0.90377277135849, 0.2667957544326782, 0.8760173916816711, -0.607671320438385, -1.373792290687561, 0.46196895837783813, 0.011622413992881775, 1.3860591650009155, -0.13299714028835297, -0.5709463357925415, -0.20493240654468536, 0.26422062516212463, 0.6998622417449951, 0.046898309141397476, 0.32141903042793274, -0.540041983127594, 0.7669702768325806, -1.373936414718628, 0.3190780580043793, -0.29108744859695435, -0.46371757984161377, 1.2268924713134766, -0.7376425266265869, 1.0137757062911987, -0.5075870156288147, 0.6486985683441162, 0.4016701281070709, 0.33402514457702637, 0.21982790529727936, 0.9581061601638794, 1.4530926942825317, 0.5355597734451294, -1.0412992238998413, -0.12407044321298599, -0.16501331329345703, 0.9466361403465271, -0.415483295917511, -0.14317820966243744, -0.2818600535392761, -0.5651153326034546, -0.8212640881538391, 0.6505633592605591, -0.7432244420051575, -0.9603119492530823, 0.13623042404651642, 0.5485857725143433, 0.33792728185653687, 0.6137489080429077, -0.33133307099342346, -0.01475390512496233, 0.15792398154735565, 0.5228592753410339, 0.9508370757102966, -1.2554206848144531, 0.29044485092163086, -0.8035987615585327, 0.16600899398326874, 0.4463287889957428, -0.8568932414054871, 1.3287076950073242, -0.6103013157844543, -0.16571581363677979, 0.8453486561775208, 0.2014794945716858, -0.7607700228691101, 1.560028314590454, 0.27937158942222595, -0.4004248082637787, -0.03202274814248085, 0.028688175603747368, 1.1174620389938354, 0.830414891242981, -0.5581372976303101, -0.1754847913980484, -1.2227036952972412, 0.32551220059394836, 1.5058108568191528, -0.07605428993701935, 0.6120477914810181, 0.01196881290525198, 0.016955431550741196, -0.1742004007101059, 0.4527386426925659, -0.3884422481060028, 0.8584486246109009, 0.1734728366136551, 0.37717464566230774, -0.28497856855392456, -0.6301252841949463, -0.8796432614326477, -0.08931875973939896, 0.9906677007675171, 0.26213258504867554, 0.9610258936882019, 0.656816840171814, 0.560571551322937, -1.1581945419311523, -2.284959316253662, 0.5048972368240356, 0.19444401562213898, -0.3727439343929291, -0.9553914070129395, 0.7677172422409058, 0.4167872667312622, -0.1035020723938942, 0.29799121618270874, -0.02628333494067192, 0.6292865872383118, 1.0582090616226196, -1.9328241348266602, -1.4828870296478271, 1.0105711221694946, 0.029804430902004242, -0.7059619426727295, -1.2107553482055664, 0.3412950932979584, 0.8423647284507751, 1.5017024278640747, 0.35531970858573914, 0.7247034907341003, -0.03513772040605545, 0.02387668751180172, 0.6128131747245789, -0.5552831292152405, 0.028180096298456192, -1.578016757965088, -0.4700658321380615, -0.3634181022644043, -0.13196291029453278, 0.1306004673242569, 0.09380823373794556, -0.6579757332801819, -0.15314705669879913, 0.6048897504806519, -0.2803352177143097, -0.4013282060623169, -0.06318997591733932, -0.8028173446655273, -0.7999023795127869, 1.8997337818145752, -0.026160627603530884, -0.04272574186325073, 1.0825709104537964, -0.24556651711463928, -0.7290371060371399, 0.00976372230798006, 0.37141454219818115, 0.8745368123054504, -0.8145748376846313, 0.28964585065841675, -0.16091607511043549, -0.011772207915782928, 0.2815753221511841, -0.6903043985366821, -0.4824044704437256, 0.2663803994655609, 0.942983090877533, 0.6518179178237915, 0.16889700293540955, -0.4313328266143799, 0.014469679445028305, 1.5783048868179321, -1.2338773012161255, -0.7312890887260437, -1.3299915790557861, 0.4964860677719116, 0.3309849202632904, -0.7993524670600891, -0.9694727063179016, -0.569306492805481, 0.3910335600376129, 0.4680544435977936, -0.6030830144882202, -1.0523676872253418, 0.3263174891471863, 0.8782510757446289, -1.342276692390442, 0.06487321853637695, 0.642062783241272, 1.1457396745681763, -0.4947275221347809, 0.8119388222694397, -0.3690865635871887, -0.43790504336357117, 0.8649349212646484, 0.06795922666788101, 0.04636918008327484, 0.1486848145723343, 0.37392184138298035, 0.0012619881890714169, 0.7954155802726746, -0.2274467498064041, 0.6105133295059204, -0.8226356506347656, 0.932931125164032, 2.457192897796631, 1.162399411201477, 0.33877742290496826, 0.672585666179657, 0.19277912378311157, 0.20867317914962769, 0.21903561055660248, -0.7592212557792664, -0.8736553192138672, -1.2698005437850952, 0.10582444071769714, 0.4220201373100281, -0.4348861873149872, -0.11644558608531952, 1.8290928602218628, 0.4711099863052368, 0.6926864385604858, -0.1333778351545334, -1.2700413465499878, 0.8023573160171509, 0.5472579002380371, 0.46093058586120605, 0.4918854534626007, 1.532555341720581, 0.2542835474014282, 1.6131690740585327, -0.49698707461357117, 0.12309329956769943, -0.6371282935142517, 0.5294175744056702, 0.32649171352386475, -0.7209385633468628, -0.405779629945755, -1.3444161415100098, 0.4597567617893219, -0.9946119785308838, -0.64918452501297, 0.2934311628341675, -0.3824039697647095, -0.4651486575603485, -0.26155954599380493, -0.5644903182983398, 0.1220468208193779, 0.2035474181175232, -0.13425886631011963, -0.561184287071228, 1.4188334941864014, -0.8818266987800598, 0.13917459547519684, 0.1934187114238739, -0.4424763023853302, -1.2589021921157837, 0.3424360156059265, 0.6802288293838501, -0.5150618553161621, 1.136785864830017, -1.2905775308609009, -0.9581587314605713, -0.8185617923736572, 0.32392826676368713, 0.37410566210746765, -0.1108585000038147, -1.8683007955551147, 0.015837589278817177, -0.6230210661888123, -0.7769373059272766, 0.6305322647094727, 0.22103922069072723, -1.2629327774047852, 0.4636157155036926, -0.3933454751968384, -1.0501359701156616, 0.12929320335388184, -0.12505681812763214, 0.19844229519367218, 0.159561425447464, -0.07631561905145645, 0.27416419982910156, 0.23629148304462433, -1.5428980588912964, -0.23586346209049225, -0.7699201107025146, 0.1476680338382721, -0.05367607623338699, -0.17868781089782715, -0.48107799887657166, -0.24318793416023254, 0.6234132647514343, 0.20762458443641663, -0.17867247760295868, -0.487165629863739, -1.1195989847183228, -1.7198729515075684, 0.061400532722473145, 0.7539376020431519, 1.0684375762939453, -0.6366796493530273, -0.3537479639053345, 1.138763666152954, 0.36267372965812683, -0.6638961434364319, -0.20464494824409485, 0.5987115502357483, 0.242634579539299, -1.4205619096755981, -0.011323452927172184, 0.9144471287727356, 0.46524983644485474, 0.2975509464740753, -0.3495614528656006, 1.03214430809021, 1.6574205160140991, -0.39952412247657776, -0.9553616642951965, 0.0005808837595395744, 0.4040479362010956, -0.6667518019676208, -0.03580582141876221, 0.6184938549995422, 0.28197503089904785, 1.2727878093719482, -0.07882022857666016, 1.0939183235168457, 0.21727950870990753, 0.03838824853301048, 0.5814967751502991, 0.4232061803340912, 0.46671831607818604, -0.2826800048351288, 0.13741667568683624, 0.3309116065502167, -0.646659255027771, -0.7812088131904602, 0.7048162817955017, 1.0791809558868408, -0.8874863386154175, 0.2017008364200592, 0.6398312449455261, 0.42037996649742126, 0.38491424918174744, -0.290118545293808, 0.21816565096378326, 0.37657204270362854, 0.4736144244670868, -0.223479226231575, 0.10446041822433472, 0.40302908420562744, -0.031744420528411865, -0.03268899768590927, 0.6701185703277588, -0.7865606546401978, -0.8540027141571045, -1.6886390447616577, -1.012502908706665, 0.5179868936538696, 1.0895092487335205, 0.4627884030342102, 0.6324316263198853, 0.0736287385225296, 0.2020033448934555, -0.6144658327102661, -0.1345091164112091, -1.5396806001663208, 0.42418578267097473, -0.11477812379598618, -0.13251212239265442, 0.7730857133865356, -0.3026767373085022, 0.05397258698940277, -0.411194384098053, -1.309674620628357, 0.11460442841053009, -0.39443719387054443, -1.0696439743041992, 0.6606261134147644, 0.30639925599098206, -0.44996964931488037, 0.7927137017250061, 0.6136311888694763, 1.7901207208633423, 0.4803459942340851, 0.19808466732501984, 0.7893468141555786, 0.23434436321258545, -0.3490751385688782, -0.36693719029426575, 0.033230025321245193, 0.19870643317699432, 0.9127132892608643, 0.7374318242073059, 0.6499102711677551, -0.894246518611908, 0.028193991631269455, 0.43536582589149475, 0.9094477295875549, 0.08278649300336838, 0.22302289307117462, 0.07537629455327988, 0.046557772904634476, -1.0176092386245728, 0.40394604206085205, 1.1424394845962524, -0.34734347462654114, 1.8015568256378174, 0.7943856716156006, 0.49485862255096436, -0.36157408356666565, 0.8922567963600159, 1.8024218082427979, -0.04466307535767555, -0.07102400064468384, -0.6362344026565552, -0.9097416996955872, -0.5494328737258911, -0.5998157858848572, 0.37408915162086487, 1.0834484100341797, 0.7977647185325623, -0.6818273663520813, 0.6204125285148621, -0.039394035935401917, -0.9570989608764648, 0.21049119532108307, -0.5596933960914612, -0.5712721347808838, 0.09084850549697876, 0.5925347805023193, 0.1309976577758789, 0.5493122339248657, -0.6483032703399658, 0.22799651324748993, -1.116749882698059, -2.2483508586883545, 0.04580642655491829, 0.23042559623718262, 1.124009132385254, -0.7616772651672363, 0.042016543447971344, -0.909700334072113, -1.113842248916626, 0.4807327091693878, -0.5515193343162537, 1.6618402004241943, -1.0166321992874146, 0.7792859673500061, -0.5067892670631409, -0.6994057893753052, -1.141097903251648, 0.8857122659683228, -0.11160880327224731, 0.11084197461605072, -0.13927775621414185, 0.09987355768680573, -0.1942564994096756, 0.3332935869693756, 0.3211272060871124, 0.8512508273124695, -0.8673408627510071, -0.25630685687065125, -0.04515492916107178, 0.8997095823287964, -1.0716540813446045, -0.3523400127887726, 0.5163028240203857, -0.8381816744804382, -0.7849927544593811, 0.7660136818885803, -0.7761495113372803, -0.3101253807544708, 0.5192667841911316, -0.0472496822476387, -0.3253571391105652, -0.1943725347518921, -1.1320029497146606, 0.9611794948577881, -0.08722122013568878, 0.46667009592056274, 0.43362945318222046, 1.0672192573547363, -0.820965051651001, -0.026448378339409828, -0.5781237483024597, 0.01843894273042679, -0.5248538255691528, 0.9817589521408081, -1.043286919593811, -0.03308959677815437, -1.178121566772461, 0.5376896262168884, 0.08744142204523087, 0.4353893995285034, -0.16319984197616577, -0.5000402927398682, -0.20530223846435547, 0.8661189079284668, -1.0572271347045898, 0.2564276158809662, -0.30603617429733276, 0.13454866409301758, -0.6101383566856384, -0.022442983463406563, 0.39799126982688904, -1.6997830867767334, 0.7277703285217285, 0.2446313053369522, -0.10180196166038513, 1.5000382661819458, -0.8315197229385376, -0.3195725381374359, 0.4564099609851837, -1.2529616355895996, 0.8014300465583801, 0.32543256878852844, 0.21783176064491272, -0.4057505428791046, -0.847070038318634, -0.11280980706214905, 0.3871040344238281, -0.595151960849762, 0.2592637240886688, -0.25092482566833496, 0.6943218111991882, -0.1557830423116684, -0.2711237072944641, -0.8671419024467468, -1.4573019742965698, -0.6549892425537109, 0.5388371348381042, 0.6231054067611694, -0.1992785632610321, -0.1963871866464615, 0.4763893485069275, -0.5316838622093201, 0.13035331666469574, 1.1144812107086182, -0.2991607189178467, 0.6793584823608398, 0.4471598267555237, 0.9294484257698059, 0.8781499862670898, 1.4819949865341187, 0.0855938121676445, -0.04041806980967522, 0.021737588569521904, 0.20960299670696259, -0.1654273271560669, -1.372015118598938, -0.5694741010665894, -0.004078378435224295, -1.0225553512573242, -0.4793577790260315, 1.2306368350982666, -0.3627680242061615, 0.18108224868774414, 0.07870429754257202, -0.1546945422887802, -0.4537643790245056, -0.07838902622461319, -0.5345306992530823, -0.36733871698379517, 0.5363924503326416, -0.7725523114204407, 0.2851518988609314, 0.1996586173772812, -0.7490190863609314, -0.8066639304161072, -0.0030150627717375755, 0.33389440178871155, 0.49922215938568115, 2.264913320541382, 0.28328126668930054, 0.5560266971588135, 0.7433308959007263, 0.8143935799598694, 0.1656678020954132, -0.14313644170761108, 0.6960112452507019, 1.0509294271469116, 0.6763089895248413, -0.32790711522102356, 0.21642088890075684, -1.1740933656692505, 0.6114044189453125, 1.578784465789795, -0.996428370475769, 0.768631637096405, -0.10833711922168732, -0.1442975103855133, -0.3532080054283142, 0.08354821056127548, -0.8991861343383789, 0.921456515789032, -0.42302462458610535, 2.092618703842163, -1.317602276802063, -1.2057610750198364, 1.406128168106079, 1.362815022468567, 0.41937944293022156, 0.037039775401353836, 0.3525031805038452, -0.30645865201950073, 0.005241622682660818, -1.2283291816711426, -0.4072066843509674, 1.1166080236434937, -1.0232343673706055, 0.5756370425224304, -0.27670690417289734, -0.6057808995246887, 0.6318936347961426, 0.35274890065193176, 0.23397257924079895, -1.40755295753479, -0.8668248653411865, -1.6078367233276367, 0.8273969888687134, 0.31250396370887756, -0.2802277207374573, -0.23304171860218048, 0.04136709123849869, 0.6958447098731995, -0.3469342291355133, 0.6715539693832397, -0.6870422959327698, -1.6627596616744995, -0.07242025434970856, -0.2885533273220062, -1.1819125413894653, 1.3851184844970703, 0.3798929750919342, -0.3701486885547638, 1.0969170331954956, -0.23805972933769226, 1.1480882167816162, 0.15249961614608765, 0.5591976642608643, 0.2657596468925476, 1.015672206878662, -0.7945556640625, -0.3095892667770386, -0.6964728236198425, -0.3422314524650574, 4.657390594482422, 1.3634105920791626, -0.21437877416610718, -1.9235587120056152, 0.10507987439632416, -0.4894009828567505, 0.39661887288093567, 0.00621501449495554, 0.46744608879089355, 0.3870808184146881, 0.6430521607398987, 0.6900348663330078, 0.27537763118743896, -0.2865225076675415, 0.2096206545829773, 0.8311488628387451, -0.4985499680042267, -0.5518621802330017, 0.5263503193855286, 0.48284682631492615, 0.43831202387809753, -0.1442710906267166, -0.7096114158630371, -1.1518168449401855, 0.7005547881126404, -0.3646547198295593, 0.6096403002738953, -0.64967942237854, 1.0329047441482544, 0.036293402314186096, -0.6409142017364502, 0.9199551939964294, 0.6649892926216125, 0.40039560198783875, 0.6492564678192139, 0.14371968805789948, -0.6497523188591003, -0.3720707297325134, -0.4534800350666046, 0.8381400108337402, 0.4394448399543762, -0.22737857699394226, -0.2635687589645386, -0.3105504512786865, -0.9428820013999939, -0.2549421489238739, -0.7527569532394409, -0.7821367979049683, -0.11206475645303726, -0.360107421875, 0.2646797299385071, 0.34031325578689575, -0.6466343998908997, -1.1233609914779663, -0.8822467923164368, 0.6552926898002625, -0.09756346791982651, -0.6247584223747253, -0.8852551579475403, -0.48337072134017944, 0.3041553795337677, -0.287401020526886, 0.8154017925262451, 0.6409263610839844, 0.8133056163787842, 0.5313473343849182, -0.8274332284927368, 2.0339231491088867, 0.4368581473827362, -0.5200121402740479, -0.7971828579902649, 0.2002962827682495, -0.5225458145141602, -0.5692616105079651, -0.6109402179718018, 1.006554365158081, -0.5641312599182129, 0.18294024467468262, -1.0946576595306396, -0.48948773741722107, -0.8324413895606995, 0.6660104393959045, -0.3914152681827545, -0.15905028581619263, -0.12039003521203995, -0.5091423988342285, -0.031950365751981735, 0.7067020535469055, 0.16457341611385345, -0.0015798278618603945, 0.6081586480140686, 1.5640854835510254, 0.9389640688896179, -1.0036587715148926, 0.2825593054294586, 0.49138402938842773, -1.0509264469146729, 0.015416277572512627, -0.7091158032417297, 1.1432806253433228, 0.5235478281974792, -0.5688034892082214, -0.24651271104812622, 1.282801628112793, -1.0024491548538208, -0.1568707674741745, 0.8296655416488647, -1.6341670751571655, -0.40645378828048706, 0.08389246463775635, 0.9971266984939575, -0.9099592566490173, -0.6793728470802307, -0.6808919310569763, -0.3725511431694031, -0.20333898067474365, -0.5116592049598694, -0.5599969029426575, 0.8367446064949036, -0.6114333868026733, 0.2646655738353729, -0.003516467520967126, 0.42991822957992554, -0.1250370442867279, -0.12141206860542297, 0.28854116797447205, -0.6909902095794678, 0.42990541458129883, -0.18803222477436066, -0.03415737673640251, 0.43352794647216797, 0.16572652757167816, 0.08232960104942322, 0.7017434239387512, -0.8821430206298828, -0.23030859231948853, 0.03557676821947098, 0.4259474277496338, -0.8834981322288513, 0.2748849093914032, 0.02829444408416748, -0.5340144634246826, -0.7457991242408752, 1.4546265602111816, -0.5021393299102783, -0.2422405332326889, 0.6122522950172424, -0.12449182569980621, 0.9692444205284119, 0.7018955945968628, -0.6029184460639954, -0.030171815305948257, 0.40333738923072815, 0.8631554841995239, 0.3843056857585907, -0.1366310566663742, -0.1761230081319809, 0.24078252911567688, 0.23020192980766296, 0.36569494009017944, 0.5916382074356079, 1.1688146591186523, 0.16030730307102203, -0.43725693225860596, -0.1022026538848877, 0.15644404292106628, -0.5738292336463928, 0.6947512626647949, -1.0240166187286377, 0.7423033714294434, 0.5139665603637695, 0.010794437490403652, 0.7533547282218933, 0.7179768085479736, -1.2815907001495361, -0.4062204658985138, -0.7264215350151062, 0.12380187213420868, 0.5691257119178772, -0.5587438941001892, 0.9176185727119446, 1.2205339670181274, -1.5843212604522705, 0.12280509620904922, -0.7838932275772095, -0.7102842330932617, -1.0012367963790894, 0.451227605342865, 0.1994858682155609, 0.3658752143383026, 0.04392702132463455, -0.0026557049714028835, 0.7403505444526672, 0.05096077546477318, -0.6487205028533936, -0.536613941192627, 0.11683586984872818, 0.5244221687316895, -0.07485874742269516, -0.1912718564271927, 0.7810080051422119, -1.183681845664978, -1.0991334915161133, -0.2635263204574585, -1.5488948822021484, -0.2666819989681244, 0.07108256965875626, 1.1395530700683594, 0.1807464212179184, 0.9265363216400146, 0.06869789958000183, -0.2363726943731308, -0.0608370266854763, -0.7786962389945984, 0.5775405764579773, 0.3829195201396942, -0.8004409074783325, 0.2809584140777588, 0.614483118057251, 0.3760390281677246, -0.9966822862625122, 0.8302045464515686, -0.9244201183319092, -0.06770061701536179, -0.04421280324459076, -0.1955636888742447, 0.36160048842430115, -1.2166874408721924, 0.006808039266616106, -1.1689480543136597, 0.7587796449661255, 0.33157864212989807, 0.4006645679473877, 0.15689300000667572, -0.23176096379756927, -0.4189976155757904, -0.1785910576581955, 0.13417182862758636, 0.1497175246477127, -0.9627842307090759, -0.6644633412361145, -0.21018309891223907, -0.47700315713882446, 1.1845604181289673, 0.3894442915916443, -0.29751864075660706, -0.8912808895111084, 1.059924602508545, -0.3518703877925873, -0.4034898281097412, -0.6001606583595276, -0.3006986677646637, 0.6437101364135742, -0.2916817367076874, 1.3325474262237549, 1.5842832326889038, 0.6406447887420654, -0.8572924733161926, -0.9695805907249451, 0.016900494694709778, 1.1996650695800781, 0.7340387105941772, -0.37516504526138306, -0.07028010487556458, -1.2311489582061768, 0.0003424295282457024, -0.2425854653120041, 1.1714991331100464, -0.057376593351364136, -0.6707436442375183, -0.2271190732717514, 0.10462498664855957, 0.023966185748577118, 0.4416615962982178, 0.6831400990486145, 0.6671196818351746, 0.564113199710846, 0.36452144384384155, -0.7501810193061829, -0.037565506994724274, -0.4638368487358093, 0.2424992471933365, -0.3756423890590668, 1.416160225868225, 0.13392426073551178, -0.2733571529388428, 0.229940265417099, -0.2667935788631439, -1.4856808185577393, 1.4234050512313843, 0.5094215273857117, 0.15933310985565186, -0.6786590814590454, -0.3503004312515259, 1.1422643661499023, -1.26583993434906, -0.6829248666763306, 0.6208266615867615, -0.2567581534385681, 0.13633479177951813, 0.73330157995224, 0.3015367090702057, 0.6132519245147705, 0.14465686678886414, 0.8451971411705017, -0.4123905599117279, -0.43272361159324646, 0.8147083520889282, 0.9071038961410522, 0.6261489987373352, -0.24484872817993164, 0.09191129356622696, 0.6812021136283875, -0.6174941658973694, 1.3510537147521973, -0.06955578178167343, -0.0015810015611350536, 0.11356515437364578, 0.29683443903923035, -0.3025755286216736, -1.111116647720337, -1.1931575536727905, -0.05206935852766037, -0.14257463812828064, 1.3754820823669434, -0.7832571864128113, 0.14875531196594238, -0.9410222768783569, -0.08583356440067291, -0.8308791518211365, -0.08761303871870041, -0.12805141508579254, 0.7193670868873596, 0.07614525407552719, -0.46839702129364014, -1.0714234113693237, -0.19954459369182587, 1.5963002443313599, -1.344763159751892, -0.16082017123699188, -0.07509224861860275, 0.5957878232002258, 0.1197877824306488, -0.5261955261230469, -1.543318748474121, -0.4305822551250458, 0.7205842137336731, 0.2563830018043518, -0.5443437099456787, -1.0846247673034668, -1.2330409288406372, -0.20077788829803467, 1.1108200550079346, -0.229115292429924, -0.30301955342292786, -1.3337223529815674, -0.10439158976078033, 0.6120036840438843, 0.5949802398681641 ] ]
{ "indices": [ 691409538, 2877535289, 3054746445, 1230423685, 2046009338, 976803442, 989116115, 448220673, 3474293978, 647928480, 1438597426, 722829366, 3676260520, 4186256544, 3677720983, 1786548735, 1866681274, 2006536704, 115441729, 904623454, 4075116728, 4068491112, 4049471024, 2067848296, 570652574, 695926169, 3589630101, 1853176582, 639386442 ], "values": [ 0.7754399580265844, 0.721437915187976, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905 ] }
{ "context": "That's our branch condition. So that is calculated by some logic that's not shown in the data path and then copied into branch enable in the decode state. So which registers are going to change? Just branch enable, right? So what do we do with the rest? Zeros. Good. OK. How about, let's see. So I can't show you in the data path because there's nothing there. It doesn't show up. So just calculate directly from the condition codes in the IR." }
453860
[ [ 0.23221057653427124, -0.8976431488990784, -0.09095010161399841, 0.5507510304450989, 0.6735085248947144, 0.24208171665668488, -0.25726214051246643, 0.7071152925491333, 0.7762468457221985, -0.6028684377670288, 0.8416969776153564, 0.7644134163856506, 0.49161088466644287, 0.4317459166049957, 0.34271374344825745, -0.2000020444393158, 0.8497927784919739, -0.008689391426742077, 0.05707991123199463, -0.12814024090766907, 1.043330430984497, -0.3066807687282562, -0.370792418718338, 0.17482367157936096, -0.4635315537452698, 0.8653038740158081, 0.23008696734905243, -0.8657389283180237, 0.2058168202638626, 0.44711336493492126, -0.5979804396629333, -1.5657742023468018, 0.2798362374305725, 0.29770520329475403, 1.1643816232681274, -0.2075897753238678, -0.5666810274124146, -0.2020951360464096, 0.5180713534355164, 0.8135623335838318, -0.18446440994739532, 0.33127081394195557, -0.6507507562637329, 0.8452720642089844, -1.500726580619812, 0.5107920169830322, -0.6900052428245544, -0.4569578766822815, 1.0512150526046753, -0.8392446637153625, 1.029739260673523, -0.23615142703056335, 0.5191469192504883, 0.5769133567810059, -0.039170555770397186, 0.13713768124580383, 1.197081208229065, 1.4949090480804443, 0.31018146872520447, -1.2737905979156494, -0.254594087600708, -0.2673918902873993, 0.8459849953651428, -0.6409264802932739, -0.1274745762348175, -0.20353442430496216, -0.47075122594833374, -0.6852194666862488, 0.43540117144584656, -0.6099832057952881, -0.6804245114326477, 0.035969238728284836, 0.6014509201049805, 0.5546815991401672, 0.6946030855178833, -0.40749961137771606, 0.17917895317077637, 0.15118293464183807, 0.20018267631530762, 0.9095986485481262, -1.1361441612243652, -0.001938158879056573, -0.749431312084198, 0.42379236221313477, 0.37835174798965454, -0.9498835206031799, 1.6086207628250122, -0.6112720370292664, -0.18334706127643585, 0.7073742747306824, 0.2243112325668335, -0.493464857339859, 1.4483717679977417, 0.22400245070457458, -0.47530296444892883, 0.13220027089118958, 0.05002029240131378, 0.9590145945549011, 0.7878050208091736, -0.07146669924259186, -0.22652274370193481, -1.2183598279953003, 0.14936253428459167, 1.3821464776992798, -0.2760604918003082, 0.5788741707801819, 0.24485057592391968, -0.0767742246389389, 0.0040485914796590805, 0.5992177724838257, -1.057914137840271, 0.830885112285614, 0.7609695196151733, 0.6880468726158142, -0.4914184808731079, -0.21838723123073578, -0.9199331402778625, -0.19384054839611053, 1.2154014110565186, 0.3279850482940674, 0.8355595469474792, 0.3936428427696228, 0.4378352463245392, -1.3140608072280884, -2.1053314208984375, 0.556394636631012, -0.009880227968096733, -0.17541271448135376, -0.9218705892562866, 0.2365318238735199, 0.2515978217124939, -0.5583387613296509, 0.2953356206417084, -0.12431120872497559, 0.6958715915679932, 1.0865108966827393, -2.1301116943359375, -1.1747021675109863, 1.0532642602920532, 0.1529824137687683, -0.6975134611129761, -1.2331855297088623, 0.4565282166004181, 0.8261798024177551, 1.2889353036880493, 0.501025915145874, 0.5622375011444092, 0.032640520483255386, -0.01495284866541624, 0.5296628475189209, -0.5663943886756897, -0.0688716396689415, -1.4525797367095947, -0.3763493001461029, -0.25002041459083557, -0.22960902750492096, -0.011296858079731464, 0.11014842242002487, -0.5443443655967712, -0.05353167653083801, 0.6340283751487732, -0.3110543191432953, -0.3480738401412964, 0.20184865593910217, -0.8797707557678223, -0.860525906085968, 1.9847530126571655, -0.318055123090744, 0.09056168049573898, 1.1446174383163452, -0.035502634942531586, -0.2017536610364914, -0.04545901343226433, 0.34242525696754456, 0.9634877443313599, -1.0128324031829834, -0.09129844605922699, -0.2344428449869156, 0.040168941020965576, 0.2651083469390869, -0.6824602484703064, -0.6652646064758301, 0.3885025680065155, 1.461775302886963, 0.3081670105457306, -0.11488831788301468, -0.35008665919303894, 0.3465336263179779, 1.360395073890686, -0.9201594591140747, -0.7530546188354492, -1.1548517942428589, 0.5990933775901794, -0.009489880874752998, -0.8877667784690857, -0.9450727105140686, -0.7885816693305969, 0.46128028631210327, 0.4123202860355377, -0.7330806851387024, -1.5524007081985474, 0.570905864238739, 0.6654695272445679, -1.1009896993637085, -0.19112065434455872, 0.623832106590271, 1.0475236177444458, -1.0024783611297607, 0.8423356413841248, -0.5704078674316406, -0.28884515166282654, 0.8089984059333801, 0.17750701308250427, 0.09091071039438248, 0.4138427972793579, 0.19255292415618896, 0.2605646252632141, 0.6551787853240967, -0.2311529815196991, 0.25170576572418213, -0.7072950005531311, 0.8438149094581604, 2.0423169136047363, 1.4968265295028687, 0.23069460690021515, 0.4209947884082794, 0.03307853266596794, 0.37043142318725586, 0.19752033054828644, -0.4833337962627411, -0.9540298581123352, -1.7347080707550049, -0.1670500785112381, 0.34922850131988525, -0.433810830116272, 0.2281820923089981, 1.8393211364746094, 0.3895830512046814, 0.4122662842273712, -0.5178641676902771, -1.024278998374939, 1.0624953508377075, 0.523351788520813, 0.3390635848045349, 0.7806423306465149, 1.683599591255188, 0.3695111572742462, 1.4509944915771484, -0.7990716695785522, 0.6340460777282715, -0.6869956851005554, 0.6390486359596252, -0.30065882205963135, -0.600082278251648, -0.23370522260665894, -1.1799808740615845, 0.4814192056655884, -1.0500818490982056, -0.34099236130714417, 0.1826489120721817, -0.42138949036598206, -0.027162857353687286, -0.3131072521209717, -0.6524800062179565, -0.028017131611704826, 0.14422741532325745, 0.1765180230140686, -0.7292105555534363, 1.2924344539642334, -0.841337263584137, -0.010610843077301979, 0.2740413546562195, -0.18109895288944244, -1.1612905263900757, 0.3452284634113312, 0.7667229771614075, -0.6506034731864929, 1.1174192428588867, -1.1637533903121948, -0.9961951375007629, -0.6480153203010559, 0.31580132246017456, 0.3786264955997467, -0.247621551156044, -1.7830411195755005, -0.07352624833583832, -0.5476685166358948, -1.0836608409881592, 0.65575110912323, 0.09059960395097733, -1.437991976737976, 0.5377751588821411, -0.26394936442375183, -1.2514883279800415, 0.1669931858778, -0.19578637182712555, 0.016816411167383194, -0.025922229513525963, -0.11597584933042526, 0.7396796941757202, 0.020004786550998688, -1.347680926322937, 0.007713451981544495, -0.8549274206161499, -0.03444233909249306, 0.010199980810284615, -0.1522171050310135, -0.6629539132118225, -0.3110004663467407, 0.6101220846176147, 0.19833552837371826, 0.11233185976743698, -0.5746392011642456, -1.0076525211334229, -1.6364200115203857, -0.07699821889400482, 0.950063169002533, 1.061384677886963, -0.5646547675132751, -0.17369958758354187, 1.1426289081573486, 0.39967846870422363, -0.9458182454109192, -0.10059349983930588, 0.986707866191864, 0.4627935290336609, -1.4146801233291626, -0.04996884614229202, 0.9040499329566956, 0.6698384881019592, 0.30730897188186646, -0.13500531017780304, 1.0678706169128418, 1.7054953575134277, -0.4313656687736511, -1.2759792804718018, 0.14066559076309204, 0.33913376927375793, -0.4452139735221863, 0.6111782789230347, 0.5249974727630615, 0.12115191668272018, 0.7719465494155884, -0.04414266720414162, 0.9889499545097351, 0.4483523666858673, -0.23205997049808502, 1.1250630617141724, 0.21801476180553436, 0.279180645942688, 0.05788279324769974, -0.0013720779679715633, 0.5465418100357056, -0.9300023317337036, -0.7217803001403809, 0.6557082533836365, 1.1763033866882324, -0.6597352623939514, 0.06757678091526031, 0.9425289034843445, 0.38454926013946533, 0.3919801115989685, -0.477748841047287, 0.20228485763072968, 0.16005949676036835, 0.6424193382263184, -0.4269273281097412, -0.031371328979730606, 0.3109661340713501, -0.018441343680024147, 0.20270061492919922, 0.3344266414642334, -0.8472790122032166, -0.62718266248703, -1.4147731065750122, -0.8821632862091064, 0.7044288516044617, 0.9532020688056946, 0.5319539904594421, 0.47161880135536194, 0.04753416031599045, 0.37992197275161743, -0.18616800010204315, -0.07517663389444351, -1.7800463438034058, 0.22507314383983612, 0.2661375403404236, -0.2876848876476288, 0.780134379863739, -0.5867964029312134, 0.5333395600318909, -0.5345973372459412, -1.007763147354126, -0.18426260352134705, -0.5663332939147949, -1.119715690612793, 0.5663771033287048, 0.47799843549728394, -0.381315141916275, 0.7911783456802368, 0.6172237396240234, 1.875848412513733, 0.5108528733253479, 0.3327118158340454, 0.6065905690193176, 0.09568370133638382, -0.3925822079181671, -0.4266967177391052, 0.25124892592430115, 0.4322044849395752, 0.6852689385414124, 0.9726806282997131, 0.6153886914253235, -0.7326398491859436, 0.05292174965143204, 0.287901371717453, 1.120051383972168, 0.3603079319000244, -0.03350619971752167, -0.08739354461431503, 0.2207883596420288, -0.827222466468811, 0.6331338286399841, 1.2101988792419434, -0.16964422166347504, 1.8886245489120483, 0.8022745847702026, 0.5872740149497986, -0.36570993065834045, 1.2328838109970093, 1.5836676359176636, -0.5269142389297485, -0.27478519082069397, -0.9573385715484619, -0.6589004397392273, -0.2969973683357239, -0.785198986530304, 0.6610152721405029, 1.2578392028808594, 0.4702630639076233, -0.41959652304649353, 0.5376917719841003, -0.19192878901958466, -1.1268277168273926, -0.14009130001068115, -0.7647379040718079, -0.6513038277626038, -0.023095278069376945, 0.17026342451572418, 0.2652323246002197, 0.5547125935554504, -0.8229547739028931, 0.24096430838108063, -0.9356539845466614, -2.3337514400482178, 0.26323696970939636, 0.5330130457878113, 0.9426782727241516, -0.5054040551185608, 0.03579595312476158, -1.2191474437713623, -1.30742609500885, 0.41181766986846924, -0.7829727530479431, 1.3189154863357544, -0.9444258213043213, 0.5115775465965271, -0.5324288010597229, -0.892758846282959, -1.1455836296081543, 0.7238457202911377, 0.19255676865577698, 0.10371826589107513, -0.2699509561061859, -0.217340886592865, -0.13780483603477478, 0.1320774257183075, 0.3510064482688904, 0.8041419386863708, -0.774511456489563, -0.4043682813644409, 0.016916511580348015, 0.9076977968215942, -1.1012377738952637, -0.24265746772289276, 0.40458256006240845, -1.0103051662445068, -0.6414932012557983, 0.6074298024177551, -0.7378856539726257, -0.6537334322929382, 0.46426650881767273, 0.042100198566913605, -0.2939288020133972, -0.30069512128829956, -1.1085326671600342, 1.2160998582839966, -0.1399066150188446, 0.42327120900154114, 0.16981399059295654, 0.7628237009048462, -0.5859314799308777, -0.2145175039768219, -0.8844172358512878, -0.04368343576788902, -0.9693048596382141, 0.770738959312439, -0.8876596689224243, 0.05536236986517906, -0.6918786764144897, 0.7853195071220398, 0.05395851284265518, 0.349236398935318, -0.41663020849227905, -0.5048806667327881, -0.10783497244119644, 0.566900908946991, -1.3109052181243896, 0.5437861084938049, -0.07239052653312683, 0.2417304515838623, -0.9180864095687866, -0.2219928652048111, 0.4601415991783142, -1.6065468788146973, 0.7008824348449707, 0.2312476634979248, -0.018461672589182854, 1.9857085943222046, -1.1349629163742065, -0.34585899114608765, 0.6584135293960571, -1.1149495840072632, 1.103987216949463, 0.5776890516281128, 0.17843222618103027, -0.09422894567251205, -0.6267678141593933, 0.27250298857688904, 0.4442996382713318, -0.5685829520225525, -0.016083089634776115, -0.272283136844635, 0.5732054710388184, -0.2736915051937103, -0.15248043835163116, -0.7785293459892273, -1.7211930751800537, -0.6256664991378784, 0.38199537992477417, 0.21860484778881073, -0.31211838126182556, -0.412173867225647, 0.818416953086853, -0.8017120957374573, 0.10598931461572647, 1.1833548545837402, 0.135231614112854, 0.8574679493904114, 0.49511244893074036, 0.8138394951820374, 1.1360790729522705, 1.4116687774658203, 0.14730873703956604, 0.011815125122666359, -0.047533564269542694, 0.48852071166038513, -0.4145004451274872, -1.1056222915649414, -0.6305348873138428, 0.2982472777366638, -1.230237364768982, -0.5218185782432556, 1.3334110975265503, -0.6730028986930847, 0.3897608816623688, -0.21587476134300232, -0.2230272889137268, -0.6100445985794067, -0.265231192111969, -0.5463506579399109, -0.5132201910018921, 0.5453451871871948, -0.32909175753593445, -0.0497187077999115, -0.10194144397974014, -0.7792484164237976, -0.8269010782241821, 0.008602882735431194, 0.15126493573188782, 0.38087648153305054, 2.6921401023864746, 0.39205411076545715, 0.8321149349212646, 0.7739270329475403, 0.8991624712944031, 0.2827480733394623, -0.4857049286365509, 0.7371370792388916, 1.0240721702575684, 0.6330792903900146, -0.37970438599586487, 0.27649760246276855, -0.9672804474830627, 0.298279732465744, 1.4131579399108887, -0.5744063258171082, 0.7713267803192139, 0.15336988866329193, 0.030633963644504547, -0.6877992749214172, -0.16404594480991364, -0.8816580176353455, 0.5487050414085388, -0.6243480443954468, 1.8081310987472534, -1.287710428237915, -1.3471763134002686, 1.6019521951675415, 1.5868549346923828, 0.3481104373931885, 0.2673608660697937, 0.07364794611930847, -0.5546783804893494, -0.22239801287651062, -0.7216842770576477, -0.6060069799423218, 1.2640718221664429, -1.2111738920211792, 0.5035489201545715, -0.16828590631484985, -0.3189915120601654, 0.5996880531311035, 0.6249101161956787, 0.02513204514980316, -1.2623920440673828, -0.831451416015625, -1.6265422105789185, 0.7419540882110596, 0.5390159487724304, -0.4854162633419037, -0.13563276827335358, 0.35828104615211487, 0.9114675521850586, -0.3984067142009735, 0.6344279646873474, -0.7772502303123474, -1.4127775430679321, -0.18053528666496277, -0.3297620415687561, -1.0531176328659058, 1.324378490447998, 0.46409428119659424, -0.405560165643692, 0.8000905513763428, -0.2572808861732483, 1.3170191049575806, 0.31824159622192383, 1.059800148010254, 0.37398770451545715, 1.3065413236618042, -0.6674845218658447, 0.3056490421295166, -0.31680119037628174, -0.05614012852311134, 4.3331732749938965, 1.1818705797195435, 0.18221838772296906, -1.9673893451690674, 0.3517966866493225, -0.5844458937644958, 0.34647369384765625, -0.38219547271728516, 0.1908620446920395, 0.37408778071403503, 0.44115149974823, 0.6831656098365784, 0.3427320718765259, -0.5648537278175354, 0.22449365258216858, 0.8398469090461731, -0.4168616235256195, -0.6794600486755371, 0.538162350654602, 0.53448486328125, 0.30731385946273804, -0.2612815201282501, -0.8184391856193542, -1.2311413288116455, 0.8837863802909851, -0.6466617584228516, 0.2882407307624817, -0.9162432551383972, 1.244391679763794, -0.01973727159202099, -0.4764980375766754, 0.9127002358436584, 0.6401982307434082, 0.307343989610672, 0.47694170475006104, 0.2844909727573395, -0.8313523530960083, -0.3635008931159973, -0.6206633448600769, 0.7552280426025391, 0.3562929630279541, -0.03345660865306854, -0.2568993866443634, -0.2462509572505951, -0.6348026990890503, -0.3428763747215271, -0.815676748752594, -0.878330647945404, 0.1935432255268097, -0.08574223518371582, 0.044251490384340286, 0.3926476538181305, -0.7293236255645752, -1.1475380659103394, -0.9444857239723206, 0.8223024010658264, -0.204885795712471, -0.5805940628051758, -0.8205639719963074, -0.30168473720550537, 0.13150480389595032, -0.15984687209129333, 0.707038402557373, 0.6244651079177856, 0.5804165601730347, 0.666899561882019, -0.3915431797504425, 2.0385255813598633, 0.3152679204940796, -0.595275342464447, -0.6413282752037048, 0.01990380696952343, -0.3154270648956299, -0.4824814796447754, -0.4318779706954956, 0.9527159333229065, -0.45601245760917664, 0.2948106527328491, -1.050190806388855, -0.28839847445487976, -1.0020335912704468, 0.7428132891654968, -0.10993651300668716, -0.10311761498451233, -0.13048945367336273, -0.6503885388374329, -0.22066152095794678, 0.4506654441356659, 0.3362685739994049, -0.004723743069916964, 0.6526676416397095, 1.9242842197418213, 1.148977518081665, -0.8234554529190063, 0.4337728023529053, 0.7771239876747131, -1.3408544063568115, -0.16256001591682434, -0.6617666482925415, 1.2347248792648315, 0.7098639607429504, -0.4739570617675781, -0.4708230197429657, 1.2189421653747559, -0.9000524878501892, 0.08252773433923721, 0.659854531288147, -1.41373610496521, -0.5949658155441284, -0.05019812658429146, 0.9061116576194763, -1.0158236026763916, -0.728133499622345, -0.5380412936210632, -0.34686279296875, 0.21362292766571045, -0.9898034334182739, -0.5892305970191956, 0.8974007368087769, -0.6749987006187439, 0.5487410426139832, 0.013492164202034473, 0.38605809211730957, 0.015396364964544773, -0.02961617521941662, -0.08767744153738022, -0.7748088240623474, 0.21652057766914368, -0.41910549998283386, -0.191398486495018, 0.29883188009262085, 0.20882509648799896, 0.19733145833015442, 0.5331699848175049, -1.011636734008789, -0.21036729216575623, 0.1657043993473053, 0.7018336653709412, -0.7302132248878479, 0.1639513522386551, 0.2085786908864975, -0.7360303401947021, -0.9534624218940735, 1.84183931350708, -0.7572534680366516, -0.14610441029071808, 0.7904926538467407, -0.4039587080478668, 0.9759848117828369, 0.48962828516960144, -0.4313930869102478, -0.15947023034095764, 0.5480736494064331, 0.6332570910453796, 0.6065159440040588, 0.11608680337667465, -0.36454740166664124, -0.12960787117481232, 0.09298651665449142, 0.48903781175613403, 0.6657805442810059, 0.6659825444221497, 0.3893100917339325, -0.2321905642747879, -0.013188226148486137, 0.21130166947841644, -0.5344066619873047, 0.4776860177516937, -0.9594807624816895, 0.3559553623199463, 0.6045445799827576, -0.3233579397201538, 0.8689239621162415, 0.7236102819442749, -1.5968931913375854, -0.4907752275466919, -0.7289632558822632, 0.15347416698932648, 0.6224958300590515, -0.45538485050201416, 0.7355812788009644, 1.3338561058044434, -1.9021990299224854, 0.14839640259742737, -0.7608701586723328, -0.5755504369735718, -1.1865626573562622, 0.5389161705970764, 0.09240306168794632, 0.37744390964508057, -0.15083184838294983, -0.07397392392158508, 0.8636458516120911, 0.03376531973481178, -0.9259063601493835, -0.5596474409103394, 0.1636955738067627, 0.905309796333313, -0.33686524629592896, -0.2416585087776184, 0.75925213098526, -1.4121543169021606, -1.2825732231140137, -0.27616086602211, -1.4996118545532227, -0.12324044108390808, -0.27270832657814026, 1.0321954488754272, 0.27889302372932434, 0.8633423447608948, 0.06992682814598083, -0.06600650399923325, 0.0111069455742836, -0.677886962890625, 0.5607231855392456, 0.463425874710083, -0.5343257188796997, -0.15414558351039886, 0.7550984025001526, 0.5270322561264038, -0.7081683278083801, 0.7149950861930847, -0.9445590376853943, 0.062462709844112396, -0.4026375710964203, -0.21279247105121613, 0.2558421492576599, -1.405914068222046, -0.1187906488776207, -1.094304084777832, 0.6515037417411804, 0.5705670714378357, 0.32193687558174133, 0.4251420199871063, -0.3108341097831726, -0.5133840441703796, -0.32734423875808716, -0.06954608857631683, 0.1291477382183075, -1.0413236618041992, -0.8706919550895691, -0.28827062249183655, -0.43420639634132385, 1.158819317817688, 0.2816535532474518, -0.20063167810440063, -0.5326207280158997, 1.1871095895767212, 0.0350714735686779, -0.06859467923641205, -0.852186918258667, -0.45189908146858215, 0.9613440036773682, -0.22111375629901886, 1.194386601448059, 1.5982900857925415, 0.6824212074279785, -0.8592125773429871, -1.1872344017028809, 0.24707961082458496, 1.148829460144043, 0.731609046459198, -0.3313637375831604, -0.2480943650007248, -1.127669095993042, 0.32150518894195557, -0.01800573617219925, 0.7053327560424805, -0.17306268215179443, -0.927307665348053, -0.2093166559934616, 0.15912598371505737, -0.1327168345451355, 0.3860436677932739, 0.5850712656974792, 0.9266103506088257, 0.6992145776748657, 0.22923049330711365, -0.6349928379058838, 0.06667003035545349, -0.5405357480049133, 0.21437111496925354, -0.5821809768676758, 1.3039804697036743, -0.17317481338977814, -0.008867025375366211, 0.43462252616882324, -0.021822942420840263, -1.44386625289917, 1.319790244102478, 0.6260820031166077, 0.05004390701651573, -0.8573226928710938, -0.7154808044433594, 1.3648371696472168, -1.4398388862609863, -0.8943200707435608, 0.468668669462204, -0.16321411728858948, 0.19597840309143066, 0.48450469970703125, -0.07875007390975952, 0.3359239995479584, 0.6180736422538757, 0.8891369700431824, -0.5878230333328247, -0.6481959223747253, 0.9836052656173706, 0.9899465441703796, 0.6715842485427856, -0.16979815065860748, 0.26065656542778015, 0.792608916759491, -1.0052902698516846, 1.063092827796936, -0.037046439945697784, 0.15509481728076935, 0.42495810985565186, 0.36638760566711426, -0.05642608180642128, -0.6537984013557434, -1.5043209791183472, -0.05292081832885742, -0.09129193425178528, 1.42111074924469, -0.64513099193573, 0.0073493411764502525, -1.4376709461212158, 0.4383060336112976, -0.7079094648361206, 0.20551712810993195, -0.24013535678386688, 0.8201533555984497, 0.17838244140148163, -0.32016366720199585, -0.9382526278495789, -0.20224380493164062, 1.5534420013427734, -1.330544114112854, 0.17887012660503387, 0.007685140240937471, 0.6967563033103943, 0.3046637773513794, -0.6467689871788025, -1.582800269126892, -0.361634761095047, 0.827619194984436, 0.3027506470680237, -0.3357398509979248, -1.0861185789108276, -1.1654013395309448, -0.4274318218231201, 1.4426651000976562, -0.07500509917736053, -0.5579873323440552, -1.2409377098083496, 0.39909738302230835, 0.44411033391952515, 0.8312104344367981 ] ]
{ "indices": [ 2067848296, 570652574, 1230423685, 3589630101, 3054746445, 1853176582, 639386442, 3009698197, 2006536704, 1786548735, 4186256544, 2874966370, 691409538, 695926169, 862607732, 1432619228, 2965229515, 2830370693, 2046009338, 4146668087, 1960040400, 3450044930, 3676260520, 1404934148, 3172858508, 2877535289, 647928480 ], "values": [ 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.6460876948075268, 0.7325014542133561, 0.6460876948075268, 0.47720054860988836, 0.6460876948075268, 0.47720054860988836, 0.7849979036300037, 0.6460876948075268, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.6460876948075268, 0.6460876948075268, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836 ] }
{ "context": "It doesn't show up. So just calculate directly from the condition codes in the IR. So what should I do with the bus? Just zeros, right? There we go. Zeros. Yeah, there's nothing flowing across the bus. There's some special combinational logic, takes the bits out of NZAP registers, looks at IR, does some combinational logic and writes into branch enable. So there's nothing that's going on the bus." }
150124
[ [ 0.28944021463394165, -0.6917799115180969, 0.20265358686447144, 0.007414701860398054, 0.3152512013912201, -0.1856408268213272, -0.6161755323410034, 0.6751202344894409, 0.717233419418335, -0.8790712356567383, 0.8737361431121826, 0.6510825753211975, 0.2858025133609772, 0.5011693239212036, 0.4468773901462555, -0.03225838020443916, 0.7992863655090332, -0.6649554371833801, 0.24727581441402435, -0.21345478296279907, 1.0941777229309082, -0.07960507273674011, -0.31940820813179016, -0.18800954520702362, -0.764077365398407, 0.929095983505249, -0.0675501823425293, -0.6277560591697693, 0.2642291486263275, 0.9208657145500183, -0.7034139037132263, -1.3761552572250366, 0.514737069606781, -0.5992220640182495, 1.6962252855300903, 0.15989944338798523, -0.37403541803359985, -0.35518231987953186, 0.502683162689209, 0.6886852383613586, -0.0719594731926918, 0.4253557622432709, -0.47847092151641846, 0.7358409762382507, -1.0927760601043701, 0.11903829872608185, -0.4799046516418457, -0.1761910617351532, 0.9174889326095581, -0.31683459877967834, 0.8035765886306763, -0.24220070242881775, 0.7572999596595764, 0.16564898192882538, 0.3591507077217102, 0.6325789093971252, 1.0200504064559937, 1.5354310274124146, 0.3768019378185272, -1.3534492254257202, 0.0027343621477484703, -0.33756518363952637, 0.008806088007986546, -0.5264726877212524, -0.10246260464191437, -0.46709251403808594, -0.6187938451766968, -1.0595043897628784, 0.943111002445221, -0.27071312069892883, -0.844653844833374, 0.3751317858695984, 0.4543412923812866, 0.27187788486480713, 0.6148617267608643, -0.8245294690132141, 0.242070272564888, 0.4176124334335327, 0.6114385724067688, 1.0552971363067627, -0.8938236832618713, 0.03932507336139679, -0.549322783946991, 0.3641243278980255, 0.4225323498249054, -0.4954644739627838, 1.2829991579055786, -0.37554460763931274, 0.06451724469661713, 0.8068910837173462, 0.32362523674964905, -0.381655216217041, 1.49810791015625, 0.18646320700645447, -0.7173000574111938, -0.056681644171476364, 0.7551676034927368, 1.42033851146698, 0.31386786699295044, -0.9431436061859131, 0.3560505211353302, -1.114283800125122, -0.1827199012041092, 1.414255976676941, 0.15423698723316193, 0.32375577092170715, -0.284829705953598, -0.122125543653965, -0.7398650050163269, 0.19095037877559662, -1.185424566268921, 0.8111333847045898, -0.3066155016422272, 0.7203312516212463, -0.2903090715408325, -0.6866244077682495, -0.706150472164154, -0.3628470301628113, 1.1286991834640503, 0.8366482853889465, 0.9562368392944336, 0.49955907464027405, 0.7135109305381775, -0.5060102343559265, -2.007126569747925, 0.769582986831665, 0.3971658945083618, 0.06084810569882393, -0.8034098148345947, 0.6608958840370178, 0.5915231704711914, 0.34672948718070984, -0.06042705103754997, -0.05460561066865921, 0.5204750895500183, 0.6717603206634521, -1.89712655544281, -1.0981005430221558, 1.173089623451233, 0.3080095946788788, -0.44514620304107666, -1.7918025255203247, 0.09264736622571945, 1.1665441989898682, 1.24884831905365, 0.6847603917121887, 0.7317461967468262, 0.38087475299835205, -0.2833389937877655, 0.3539278507232666, -0.6434292197227478, 0.2887077033519745, -1.234455943107605, -0.3223905861377716, -0.6066595315933228, -0.968940794467926, 0.1224035918712616, -0.02235325239598751, -0.5535407066345215, 0.3714132308959961, 0.5009838342666626, -0.4615485668182373, 0.2861235737800598, -0.10641682893037796, -0.912997305393219, -0.938916027545929, 1.5817680358886719, -0.10897902399301529, 0.5173535943031311, 1.3030827045440674, 0.2543984353542328, 0.09467028081417084, 0.11914627254009247, 0.24344216287136078, 0.9883981943130493, -0.5862720608711243, 0.3127479553222656, -0.20837752521038055, 0.0107137905433774, 0.2721627950668335, -0.5728874206542969, -0.5985288023948669, 0.20130646228790283, 1.47514808177948, 0.5753538608551025, 0.05606280267238617, -0.7557934522628784, -0.07704629749059677, 1.0257066488265991, -0.9591701626777649, -0.6105948090553284, -0.9796121120452881, 0.8316192626953125, -0.20162419974803925, -0.691615104675293, -0.8421726226806641, -0.825878381729126, 0.051155395805835724, 0.47583335638046265, -0.7799786329269409, -1.2894556522369385, 0.5805966258049011, 0.7588653564453125, -1.0808672904968262, -0.22842390835285187, 0.7095269560813904, 1.4657570123672485, -0.6357813477516174, 0.8113014698028564, -0.5251508951187134, -0.23406162858009338, 0.5469800233840942, -0.15387018024921417, 0.10857006907463074, 0.4935966432094574, 0.07611152529716492, 0.24910323321819305, 0.44975051283836365, -0.18201640248298645, 0.1872970163822174, -1.155898928642273, 1.04942786693573, 1.8934661149978638, 1.1822364330291748, 0.3586080074310303, 0.2857336103916168, -0.08507853001356125, -0.27103692293167114, 0.3253733217716217, -0.8029276728630066, -0.590297520160675, -1.1473252773284912, 0.029114220291376114, 0.27720609307289124, -0.05425245314836502, 0.26310816407203674, 1.7205734252929688, 0.6930612325668335, 0.8221214413642883, -0.02270306833088398, -1.3845576047897339, 0.8314235210418701, 0.20953553915023804, -0.03473207354545593, 0.6355843544006348, 1.4514251947402954, 0.285602331161499, 1.4050277471542358, -0.2545771896839142, 0.1647496074438095, -0.6090943217277527, 0.7079859972000122, 0.3642372190952301, -0.9412239193916321, 0.42931124567985535, -1.0127427577972412, 0.09866614639759064, -0.9738857746124268, -0.454445481300354, 0.755294144153595, -0.08381146937608719, -0.017782751470804214, -0.5432073473930359, -0.6846156120300293, 0.021471278741955757, -0.12990286946296692, 0.1474999636411667, -0.809709906578064, 1.5087535381317139, -0.2593591511249542, 0.5248870849609375, 0.8138003945350647, -0.5584524869918823, -0.5556340217590332, 0.30337318778038025, 1.170828938484192, -0.30725011229515076, 1.0403293371200562, -0.7526857852935791, -0.40847501158714294, -0.27326276898384094, 0.14898742735385895, 0.28679409623146057, -0.3136642873287201, -1.5756056308746338, 0.5776188373565674, -0.6121605038642883, -0.9381919503211975, 0.45288848876953125, 0.3596450090408325, -0.8867237567901611, 0.22225500643253326, -0.5070691108703613, -1.2352107763290405, 0.19797001779079437, 0.20823584496974945, 0.014082962647080421, 0.4652714133262634, -0.08419910073280334, 0.6418377757072449, 0.07669989764690399, -1.3994773626327515, 0.024462414905428886, -1.2093446254730225, -0.20114445686340332, 0.34131982922554016, -1.0579190254211426, -0.6086201667785645, -0.6249136328697205, 0.3248288631439209, -0.2276955097913742, -0.20085787773132324, -0.15866823494434357, -1.0636427402496338, -1.4147708415985107, -0.1989879012107849, 0.30287250876426697, 0.9770055413246155, -0.24420151114463806, -0.49892547726631165, 1.2711501121520996, 0.797656774520874, -0.7597336173057556, -0.3140445947647095, 0.12134343385696411, -0.043522246181964874, -0.8810067772865295, -0.19031834602355957, 0.971599817276001, 0.23582202196121216, 0.3811870515346527, -0.4764343500137329, 1.0571504831314087, 1.4532707929611206, -0.17583511769771576, -1.145963191986084, -0.013714178465306759, 0.0680454894900322, -0.7709160447120667, -0.013294326141476631, 0.7798543572425842, 0.823639452457428, 0.7008697986602783, 0.2030028998851776, 1.1263766288757324, 0.39409106969833374, -0.6856836080551147, 0.6889079213142395, 0.12308548390865326, 0.414571613073349, -0.21787676215171814, 0.0302665363997221, 0.29174789786338806, -0.4815868139266968, -1.021777629852295, 0.5821205973625183, 0.7373042106628418, -0.7898356914520264, 0.0957111120223999, 0.6832257509231567, 0.7469592690467834, -0.06517143547534943, -0.3398815393447876, -0.5872505903244019, 0.4673100709915161, 0.3373800218105316, -0.44191932678222656, -0.03580762445926666, 0.6723078489303589, -0.0504058413207531, 0.4685705006122589, 0.6488856673240662, -0.5897778272628784, -0.6657936573028564, -1.710817575454712, -0.6190633773803711, 0.07329148799180984, 1.0526760816574097, 0.5851020812988281, 0.6266158223152161, -0.08015641570091248, 0.13116738200187683, -0.1543949991464615, -0.2886630892753601, -1.6987895965576172, 0.1616438627243042, 0.4497494697570801, -0.008641750551760197, 0.453853577375412, -0.5699820518493652, 0.36185505986213684, -0.2737405598163605, -0.6467360258102417, -0.04064348340034485, 0.05462084710597992, -1.1227781772613525, 0.493179053068161, 0.038727495819330215, -0.26027143001556396, 0.7664144039154053, 0.5097000598907471, 1.987159013748169, 0.8714142441749573, 0.4448869228363037, 0.1142357587814331, 0.14102178812026978, -0.503730297088623, -0.22522394359111786, 0.5818775296211243, 0.38881781697273254, 0.7427558302879333, 1.0808508396148682, 0.825284481048584, -0.6138131022453308, -0.15051044523715973, 0.3184126615524292, 1.2230843305587769, 0.3313230276107788, 0.06245069578289986, -0.19119185209274292, -0.48221418261528015, -0.3899998366832733, 0.1643487811088562, 1.0578633546829224, -0.4699890613555908, 1.3957905769348145, 0.600440263748169, 0.1694279909133911, -0.008975052274763584, 1.265586256980896, 1.2915847301483154, -0.2329007089138031, -0.25989261269569397, -0.7340636253356934, -0.656705379486084, -0.17122264206409454, -0.2221488356590271, 0.13226206600666046, 1.1292426586151123, 0.6225001811981201, -0.6761717796325684, 0.2430824488401413, 0.13518652319908142, -1.5812240839004517, 0.33796289563179016, -0.29040321707725525, -0.47152960300445557, -0.6577056050300598, 0.8789230585098267, 0.2585228681564331, 0.4735373854637146, -0.3130762279033661, 0.297276109457016, -0.7144893407821655, -2.5764026641845703, -0.5784948468208313, 0.500665009021759, 0.5201668739318848, -0.6447198390960693, 0.11854317039251328, -0.541264533996582, -0.8884710073471069, 0.7110910415649414, -0.7166082859039307, 1.0437898635864258, -0.9128762483596802, 0.38455334305763245, -0.2517733871936798, -1.6039389371871948, -1.1088275909423828, 0.6918109655380249, -0.165122389793396, 0.22726762294769287, -0.053828831762075424, -0.1776750236749649, -0.3044731914997101, 0.3052537441253662, 0.28241631388664246, 0.399410218000412, -0.335492879152298, -0.5166271328926086, 0.05995660275220871, 0.5348203778266907, -1.1668503284454346, -1.0354130268096924, 0.43639233708381653, -1.0680179595947266, -0.6217551231384277, 0.8542193174362183, -0.5147427916526794, -0.5767730474472046, 0.6142093539237976, -0.02928667515516281, -0.8105959296226501, -0.6335559487342834, -1.2455148696899414, 0.7173706293106079, -0.6494776010513306, 0.6018598675727844, 0.4042503833770752, 0.4611704349517822, -0.5203006863594055, -0.09060506522655487, -0.41522833704948425, 0.1420455276966095, -0.5788607597351074, 0.5760855078697205, -0.8745317459106445, -0.4671556353569031, -0.8107966184616089, 0.8005561232566833, 0.029132315889000893, 0.13232868909835815, -0.06521638482809067, -0.5177777409553528, 0.08976613730192184, 1.4472311735153198, -1.203492522239685, 0.4768679440021515, -0.05161244049668312, 0.25831177830696106, -0.7753413319587708, 0.25530850887298584, 0.4480198919773102, -1.6003772020339966, 0.43257462978363037, 0.6717959046363831, -0.13822945952415466, 1.2809396982192993, -0.6252960562705994, -0.2667320668697357, 0.4227176606655121, -1.2116948366165161, 1.2108055353164673, 0.6789040565490723, 0.6244457364082336, -0.10738825052976608, -1.1138598918914795, -0.23475190997123718, 0.7700578570365906, -0.2280549257993698, 0.2784541845321655, -0.4284243583679199, 0.7820585370063782, 0.5081813931465149, -0.32182908058166504, -0.6822558045387268, -1.3459978103637695, -0.6354915499687195, 0.42730528116226196, 0.027034657076001167, -0.9240612387657166, -0.090584397315979, 0.16322170197963715, -0.6172258257865906, 0.34727975726127625, 1.489332675933838, 0.33991581201553345, 0.48006755113601685, 0.20800435543060303, 0.21378083527088165, 1.157209873199463, 0.960167646408081, 0.32145756483078003, -0.070552758872509, -0.22129499912261963, -0.33545443415641785, -0.47877299785614014, -1.0299795866012573, -0.39844194054603577, -0.15311110019683838, -1.1034806966781616, -0.36230936646461487, 1.0118221044540405, -0.02022654376924038, 0.6404874920845032, 0.11835581809282303, -0.5115869045257568, -0.6862804889678955, -0.539332926273346, -0.868038535118103, -0.29433804750442505, 0.11352377384901047, -0.07042811065912247, 0.4288906455039978, 0.2432192862033844, -0.7568299770355225, -0.44530272483825684, 0.12388234585523605, 0.15174981951713562, 0.21262000501155853, 2.0124030113220215, 0.3946321904659271, 0.6701167225837708, 1.1086907386779785, 0.5573035478591919, 0.8287491798400879, -0.448403000831604, 0.35197094082832336, 0.6931002140045166, 0.41224706172943115, -0.24320147931575775, 0.2446313202381134, -0.8311368227005005, 0.31002193689346313, 1.1453468799591064, -0.8741912841796875, 0.7146811485290527, -0.45217931270599365, 0.3502257168292999, -0.010743899270892143, 0.3362042009830475, -0.7286521792411804, 0.4247475266456604, -0.4888640344142914, 1.7897670269012451, -1.1752972602844238, -0.48747512698173523, 1.54904043674469, 1.06485116481781, 0.4351101219654083, -0.13085341453552246, 0.34048372507095337, -0.35923683643341064, -0.3455042541027069, -0.6061135530471802, -0.4163728952407837, 1.4451348781585693, -1.2446413040161133, 0.9236798286437988, -0.3550760746002197, -0.6529529094696045, 0.5697271823883057, 0.7101975083351135, 0.2065996527671814, -1.3596665859222412, -0.8088723421096802, -1.3559576272964478, 0.3474995195865631, 0.5538459420204163, -0.2869304120540619, -0.08472830057144165, 0.2282399982213974, 0.6489254832267761, -0.33059239387512207, 0.7298307418823242, -0.545755922794342, -1.9901816844940186, -0.29535728693008423, -0.5123146772384644, -1.4477680921554565, 1.5576657056808472, 0.13869789242744446, -0.36369556188583374, 1.134461760520935, -0.43137577176094055, 0.7232980132102966, 0.3387511074542999, 0.17862558364868164, -0.04075077548623085, 1.5212843418121338, -0.34026628732681274, -0.20362377166748047, -0.3381873667240143, -0.5780718922615051, 4.865988731384277, 1.3445098400115967, 0.13088853657245636, -2.1241793632507324, 0.18876314163208008, -0.8610758185386658, 0.062473997473716736, -0.5400908589363098, 0.33750489354133606, 0.040701620280742645, 0.6781119108200073, 1.0052053928375244, 0.4732111394405365, 0.04412437230348587, 0.8299866914749146, 0.7753454446792603, -0.2538134455680847, -0.7292070388793945, 0.7292839288711548, -0.04920688271522522, -0.07887957245111465, -0.3255831003189087, -1.2189189195632935, -1.0342751741409302, 1.2289313077926636, 0.06575554609298706, 0.6691434979438782, -0.7116513848304749, 1.06291663646698, 0.012324798852205276, -0.3933054506778717, 0.8976152539253235, 0.8225107192993164, 0.9280354380607605, 0.581281840801239, 0.05505481734871864, -0.5047631859779358, -0.4398888945579529, -0.5894198417663574, 0.317328542470932, 0.45352375507354736, -0.21686692535877228, -0.34522077441215515, -0.6260100603103638, -1.0936461687088013, 0.04592471197247505, -0.49015671014785767, -0.7330992817878723, 0.6118000149726868, -0.1021750420331955, -0.09735599160194397, 0.25016090273857117, -0.6327954530715942, -1.0334551334381104, -0.6578403115272522, 0.8189509510993958, 0.2422943264245987, -0.6281164288520813, -0.09456168860197067, -0.6667327880859375, 0.2502787411212921, -0.28540387749671936, 0.7716664671897888, 0.14100252091884613, 0.6935846209526062, 0.24188823997974396, 0.08708366006612778, 2.0456907749176025, -0.2778595983982086, -0.653969943523407, -0.8699169754981995, 0.6277390718460083, -0.07616179436445236, -0.29872453212738037, -0.5943499207496643, 1.1585369110107422, -0.5606753826141357, 0.4753815829753876, -0.7558889985084534, -0.6003108620643616, -1.4108891487121582, 0.3022126257419586, -0.3982289731502533, 0.37981942296028137, -0.2402535378932953, -0.7889806628227234, -0.2995581328868866, 0.41190305352211, 0.15500308573246002, -0.16321639716625214, 0.49637937545776367, 1.4128271341323853, 0.617375373840332, -0.7932901382446289, -0.17601968348026276, 0.2591921389102936, -2.1166632175445557, -0.30431070923805237, -1.1759560108184814, 1.2388354539871216, 0.2850889563560486, -0.4176366627216339, -0.08551234006881714, 0.9217873811721802, -0.9645524621009827, 0.03272673860192299, 0.7327277660369873, -1.0293610095977783, -0.42322906851768494, -0.1452014446258545, 0.729210376739502, -1.5317950248718262, -0.9420375227928162, -0.5557679533958435, -0.1304919272661209, 0.16753284633159637, -0.3470830023288727, -0.6036991477012634, 0.49385789036750793, -0.42802342772483826, 0.7619511485099792, 0.2998541295528412, 0.5607274770736694, -0.43249037861824036, -0.5249818563461304, 0.20143860578536987, -0.9003701210021973, 0.011286772787570953, 0.13437433540821075, -0.7335841655731201, 0.45248645544052124, 0.282169908285141, -0.07906622439622879, 0.47634685039520264, -0.7513348460197449, 0.2816946506500244, -0.11647582054138184, 0.5778566002845764, -1.015590786933899, -0.11805293709039688, 0.5638911128044128, 0.036599863320589066, -0.8691195249557495, 1.2588387727737427, -0.5704551935195923, -0.013956526294350624, 0.27508723735809326, 0.13988815248012543, 1.0375357866287231, 0.3579758107662201, -0.8333129286766052, -0.2635344862937927, 0.4914737641811371, 0.5800084471702576, 0.21038192510604858, 0.16345883905887604, -0.03138158097863197, 0.09982899576425552, -0.04495134949684143, 0.03738690912723541, 0.7659169435501099, 1.0860899686813354, -0.019746949896216393, -0.7271443605422974, -0.1263885647058487, 0.010083173401653767, -0.8086115121841431, 0.5450202226638794, -0.7243233323097229, 0.414806991815567, 0.40607964992523193, -0.2879892885684967, 0.3666563928127289, 0.8152821660041809, -1.292328953742981, -0.19722706079483032, -0.07642155885696411, 0.5933422446250916, 0.8189443945884705, -0.779363214969635, 0.4629591405391693, 0.7789389491081238, -1.8216642141342163, 0.4739764630794525, 0.014481565915048122, -1.0165647268295288, -0.6521036624908447, 1.1267690658569336, -0.2484348863363266, 0.3860209584236145, 0.13495954871177673, -0.37647369503974915, 0.9021502733230591, -0.06710238009691238, -0.6396127939224243, -0.31529074907302856, 0.35601359605789185, 0.7742426991462708, -0.14165964722633362, -0.28538933396339417, 0.7812238335609436, -0.9067631959915161, -1.3849319219589233, -0.34077540040016174, -1.4198224544525146, 0.6895610690116882, -0.07100870460271835, 1.4059340953826904, 0.33225885033607483, 0.6727287769317627, -0.3014087975025177, 0.09898661077022552, -0.6083622574806213, -0.20921947062015533, 0.22806279361248016, 0.8996214270591736, -0.30019891262054443, -0.2914252281188965, 0.4212495684623718, -0.09548886865377426, -0.6189236640930176, 0.5842911005020142, -1.3668431043624878, -0.17836131155490875, 0.0754755288362503, 0.054117072373628616, 0.2699207067489624, -0.9092840552330017, -0.05045847222208977, -1.3447158336639404, 0.1944996565580368, 0.4112270176410675, 0.5681355595588684, 0.2604809105396271, 0.3535122275352478, -0.4693368077278137, -0.3654717206954956, 0.664160966873169, 0.36482515931129456, -1.3672820329666138, -0.7674775123596191, -0.022558003664016724, -0.2504158318042755, 1.2067334651947021, 0.2605147063732147, -0.2911921441555023, -0.8306708335876465, 0.6659199595451355, -0.2737104296684265, -0.09883955866098404, -0.7328687906265259, 0.30797281861305237, 1.0210227966308594, -0.380385160446167, 0.7962777018547058, 0.8949844837188721, 0.8513399362564087, -0.811694860458374, -1.2270822525024414, -0.09055303782224655, 1.1395542621612549, 0.5817769169807434, -0.3241863250732422, 0.21446019411087036, -1.7093515396118164, 0.045819662511348724, 0.4878607392311096, 0.5235604643821716, 0.0638405829668045, -0.5584774613380432, -0.585273802280426, 0.49846962094306946, 0.2165454775094986, 0.12150199711322784, 0.4249607026576996, 0.45245957374572754, 0.757230818271637, 0.33900657296180725, -0.3011891841888428, -0.3176608681678772, -0.24482333660125732, 0.539040207862854, -0.06941769272089005, 1.5337122678756714, -0.5324040651321411, 0.13406090438365936, 0.24940326809883118, -0.31494560837745667, -1.285602331161499, 1.670483112335205, 0.40828922390937805, -0.045334916561841965, -1.0318424701690674, -0.863512396812439, 1.2363386154174805, -1.2418954372406006, -0.16436277329921722, 0.7905251979827881, -0.1891186684370041, -0.06692048162221909, 0.05610864609479904, 0.3631690442562103, 0.17314262688159943, 0.6285383105278015, 0.8924427628517151, -0.7731487154960632, -0.474881649017334, 0.3024855852127075, 0.9996132850646973, 0.8191900253295898, -0.3348833918571472, 0.44864732027053833, 0.8039277791976929, -0.6552714109420776, 1.7565152645111084, 0.15631705522537231, 0.149497389793396, 0.24913623929023743, 0.1253751814365387, 0.46178215742111206, -0.9734991788864136, -0.9648658633232117, -0.36739692091941833, 0.04889918863773346, 1.5160739421844482, -0.6869666576385498, 0.33648139238357544, -0.6311866641044617, 0.3908156156539917, -0.9982578158378601, -0.0609845295548439, -0.4542701244354248, 0.38522928953170776, 0.06796152144670486, -0.3257766664028168, -1.4197198152542114, 0.02875293232500553, 1.261855959892273, -1.456214427947998, -0.06336086243391037, -0.34226131439208984, 0.15723051130771637, 0.14603862166404724, -0.7155861258506775, -1.615747332572937, -0.7336267232894897, 0.6784457564353943, 0.2723565697669983, -0.44006696343421936, -0.9252076745033264, -1.2992384433746338, -0.2526684105396271, 1.3770781755447388, -0.40799322724342346, -0.19069065153598785, -0.8059121966362, 0.18477001786231995, 0.2119755744934082, 0.4316570460796356 ] ]
{ "indices": [ 691409538, 2965229515, 2830370693, 2046009338, 4146668087, 1960040400, 3450044930, 3676260520, 1404934148, 639386442, 3172858508, 2877535289, 647928480, 695926169, 4186256544, 3009698197 ], "values": [ 0.806730680411223, 0.5818306519678005, 0.7356421513820104, 0.7356421513820104, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005, 0.5818306519678005 ] }
{ "context": " There's some special combinational logic, takes the bits out of NZAP registers, looks at IR, does some combinational logic and writes into branch enable. So there's nothing that's going on the bus" }
213939
[ [ 0.5365439653396606, -0.7477485537528992, 0.2525874972343445, 0.04406275972723961, 0.6155920028686523, -0.32357868552207947, -0.5994059443473816, 0.7689942717552185, 0.693861186504364, -0.7890613675117493, 0.6911513209342957, 0.5812711715698242, 0.4463326036930084, 0.4333692491054535, 0.3700845539569855, -0.17108406126499176, 0.6277569532394409, -0.3906717896461487, -0.1400701254606247, -0.2736808955669403, 0.9034647941589355, -0.03624982014298439, -0.37883326411247253, -0.32740360498428345, -0.8504287600517273, 0.9247677326202393, -0.049147069454193115, -0.7845515012741089, 0.1248072162270546, 0.937461256980896, -0.6290847659111023, -1.208550214767456, 0.7366759181022644, -0.7621249556541443, 1.823947548866272, 0.25767624378204346, -0.12288182973861694, -0.21088293194770813, 0.48245489597320557, 0.6819247007369995, -0.3883359134197235, 0.2762516438961029, -0.20354469120502472, 0.856553852558136, -1.0237678289413452, 0.20180296897888184, -0.26538872718811035, -0.2661048173904419, 1.0687016248703003, -0.6520911455154419, 0.8941211700439453, -0.23133552074432373, 0.7938066124916077, 0.26382583379745483, 0.5403261184692383, 0.6666799187660217, 0.9836288690567017, 1.6344362497329712, 0.31473690271377563, -1.1060734987258911, 0.034937672317028046, -0.5124768018722534, 0.01208184938877821, -0.5696926116943359, -0.07663281261920929, -0.34224897623062134, -0.5622532963752747, -1.0282107591629028, 0.8403424024581909, -0.2095346599817276, -0.4988039433956146, 0.296417236328125, 0.8162682056427002, 0.3130132853984833, 0.627729594707489, -0.8607147932052612, -0.06834142655134201, 0.3806784749031067, 0.681405246257782, 1.027382254600525, -1.0432355403900146, 0.33810341358184814, -0.4202459156513214, 0.11185697466135025, 0.44853317737579346, -0.6741829514503479, 1.2322189807891846, -0.5491758584976196, 0.5172061920166016, 0.79387366771698, 0.6114848852157593, -0.3598131239414215, 1.4442306756973267, 0.06214694678783417, -0.38944119215011597, 0.059154193848371506, 0.8384496569633484, 1.3948532342910767, 0.186431884765625, -0.9043106436729431, 0.6154643893241882, -1.0341849327087402, -0.06180167570710182, 1.804751992225647, 0.12043320387601852, 0.4962109923362732, -0.0989982932806015, -0.28432613611221313, -0.7970951199531555, 0.3761398196220398, -0.8234025239944458, 0.8614820241928101, -0.264069527387619, 1.0494824647903442, -0.18415865302085876, -0.5460044741630554, -0.7375407218933105, -0.5955116748809814, 1.3449008464813232, 0.8446542024612427, 0.8297887444496155, 0.3130473792552948, 0.7994556427001953, -0.33012017607688904, -2.1586802005767822, 0.6311162114143372, 0.37086936831474304, 0.209050714969635, -0.8297215104103088, 0.7500885128974915, 0.4909640848636627, 0.6388043761253357, 0.015550567768514156, -0.31379234790802, 0.44160252809524536, 0.917610228061676, -1.843709111213684, -1.315700888633728, 1.0407767295837402, 0.1936541199684143, -0.5217515230178833, -1.9536991119384766, 0.3445715010166168, 0.9817102551460266, 1.264711618423462, 0.642261266708374, 0.5806167721748352, 0.23814521729946136, -0.33314815163612366, 0.35407257080078125, -0.7061880826950073, 0.3999217450618744, -1.265830636024475, -0.237184077501297, -0.850671648979187, -0.9406619071960449, 0.1208135187625885, -0.11675258725881577, -0.5587418079376221, 0.28281691670417786, 0.4616234302520752, -0.43497031927108765, 0.4335968792438507, -0.2320985198020935, -0.984809160232544, -1.0725849866867065, 1.549279808998108, -0.03465178236365318, 0.5781286954879761, 1.263661503791809, 0.09078198671340942, 0.03776998817920685, 0.13989311456680298, 0.07778920233249664, 1.3259013891220093, -0.6321163773536682, 0.105745829641819, -0.5879557728767395, -0.06144044175744057, 0.09883173555135727, -0.3011739253997803, -0.7305881381034851, 0.0529380738735199, 1.411327600479126, 0.5499300956726074, 0.18778251111507416, -0.6051674485206604, -0.2929348647594452, 1.1536011695861816, -0.9266096353530884, -0.6067567467689514, -0.9553347229957581, 0.541867196559906, -0.18173213303089142, -0.8649579286575317, -0.7802273631095886, -0.6010458469390869, 0.06321726739406586, 0.702210545539856, -0.7664042711257935, -0.8723395466804504, 0.7491962313652039, 0.599898099899292, -0.970560610294342, 0.010861526243388653, 1.0527617931365967, 1.4147125482559204, -0.47761884331703186, 0.7588933706283569, -0.5725658535957336, -0.3208353817462921, 0.5821120738983154, -0.11465255171060562, 0.5174503326416016, 0.7232857942581177, 0.026182817295193672, 0.30786073207855225, 0.33346420526504517, -0.12736985087394714, 0.24861280620098114, -1.1901358366012573, 1.1574026346206665, 2.0567069053649902, 1.1319574117660522, 0.29980045557022095, 0.3123805522918701, -0.10944786667823792, -0.2576345205307007, 0.1186913549900055, -0.6878013610839844, -0.4546635150909424, -1.3246524333953857, 0.04826632887125015, 0.2962222397327423, -0.30479875206947327, 0.0013525073882192373, 1.7774616479873657, 0.960867166519165, 0.8609497547149658, 0.19781546294689178, -1.3196840286254883, 0.8503676652908325, 0.188554048538208, 0.14732438325881958, 0.687421441078186, 1.4527384042739868, 0.3295908570289612, 1.129494547843933, -0.33713287115097046, 0.1151481345295906, -0.6905829310417175, 0.7184540033340454, 0.2127675712108612, -1.0354961156845093, 0.45628586411476135, -0.8988696932792664, 0.22340090572834015, -1.047832727432251, -0.466708242893219, 0.7924324870109558, -0.12582658231258392, -0.43084079027175903, -0.7824895977973938, -0.6525471210479736, 0.045190002769231796, -0.06158224493265152, -0.2659350037574768, -0.7518649697303772, 1.314266562461853, -0.5155625939369202, 0.41257336735725403, 0.6301029920578003, -0.44015833735466003, -0.37887051701545715, 0.21213410794734955, 0.9751365780830383, -0.5592606663703918, 1.2597812414169312, -0.8129048943519592, -0.3013436198234558, -0.3863665759563446, 0.2370096892118454, 0.33965086936950684, -0.22500570118427277, -1.803575038909912, 0.9053831100463867, -0.4269533157348633, -0.7977813482284546, 0.5666669011116028, 0.2735283076763153, -1.0925061702728271, 0.3135809898376465, -0.50468510389328, -0.8797337412834167, 0.22220423817634583, 0.17466247081756592, -0.0749850869178772, 0.13126403093338013, 0.09794042259454727, 0.5572170615196228, -0.02341984026134014, -1.3859201669692993, 0.14827080070972443, -1.3088419437408447, -0.27035579085350037, 0.32564905285835266, -0.930478036403656, -0.5204448103904724, -0.7104164361953735, -0.04155738651752472, -0.3818386197090149, -0.5029623508453369, -0.12786757946014404, -1.1739463806152344, -1.471103310585022, -0.06053147464990616, 0.24466128647327423, 1.0691293478012085, -0.31759113073349, -0.5478890538215637, 1.6064753532409668, 1.0183031558990479, -0.7437445521354675, -0.5728451609611511, 0.25890713930130005, -0.02095729485154152, -0.9437440633773804, -0.19718369841575623, 0.8905868530273438, 0.19553902745246887, 0.25258857011795044, -0.5603829026222229, 1.0049954652786255, 1.7697744369506836, -0.30147823691368103, -0.8523446917533875, 0.0318879634141922, -0.022570936009287834, -0.686181902885437, -0.14997588098049164, 0.8440447449684143, 0.5752708315849304, 0.6825666427612305, 0.3622060716152191, 1.171370267868042, 0.5503033399581909, -0.8506282567977905, 0.6292866468429565, 0.19941335916519165, 0.39481255412101746, -0.23110082745552063, -0.10074363648891449, 0.20358428359031677, -0.24999170005321503, -1.2417727708816528, 0.23397161066532135, 0.8680321574211121, -0.9352207779884338, 0.13616596162319183, 0.7558298110961914, 0.9142297506332397, 0.0699138343334198, -0.34478917717933655, -0.6062557101249695, 0.2653247117996216, 0.3918425738811493, -0.212681382894516, -0.1552315652370453, 0.8881359696388245, -0.006079199258238077, 0.6903278231620789, 0.6179450750350952, -0.2544811964035034, -0.6826716065406799, -1.4032719135284424, -0.9268611669540405, 0.06881183385848999, 1.0031133890151978, 0.4188213646411896, 0.7770280838012695, 0.15093016624450684, 0.15973035991191864, -0.15039649605751038, -0.1620197743177414, -1.8928498029708862, 0.0793464332818985, 0.5682433247566223, 0.015362140722572803, 0.5079576373100281, -0.4868117570877075, 0.3036783039569855, -0.13734184205532074, -0.7766056060791016, -0.26879259943962097, 0.24726951122283936, -1.071029543876648, 0.3556322455406189, 0.36168766021728516, -0.39963430166244507, 0.5049623250961304, 0.5289043188095093, 1.9333359003067017, 0.9041492342948914, 0.5249218344688416, 0.11310955882072449, -0.05440576374530792, -0.6157329678535461, -0.16653430461883545, 0.5096002817153931, 0.5518347024917603, 0.6357156038284302, 0.9486894607543945, 0.9344536066055298, -0.8674795627593994, -0.09747889637947083, 0.3531232178211212, 1.2390280961990356, 0.3445765972137451, 0.12585489451885223, -0.24159088730812073, -0.7097926139831543, -0.6018794775009155, -0.08554902672767639, 1.0870643854141235, -0.5883693099021912, 1.550634503364563, 0.8227331042289734, 0.08301983773708344, 0.05956639349460602, 1.3057557344436646, 1.374565839767456, -0.3016831874847412, -0.42869722843170166, -0.6403621435165405, -0.8300592303276062, -0.3542110323905945, -0.18917977809906006, 0.19390586018562317, 1.1310776472091675, 0.8508331775665283, -0.6294026374816895, 0.36592090129852295, 0.2620609998703003, -1.6808663606643677, 0.6425616145133972, -0.5898870229721069, -0.4119557738304138, -0.5676226615905762, 0.9155949354171753, 0.4871191680431366, 0.296505868434906, -0.3851742148399353, 0.17861014604568481, -0.6648094654083252, -2.3619489669799805, -0.6571045517921448, 0.5739648938179016, 0.4999994933605194, -0.7262603640556335, 0.4334847927093506, -0.6173557043075562, -0.8340311050415039, 0.6805249452590942, -0.8243193626403809, 1.067603588104248, -0.8999744057655334, 0.2936651408672333, -0.22626930475234985, -1.6480497121810913, -1.0091369152069092, 0.7740386724472046, -0.1678941249847412, 0.24953675270080566, -0.08306755870580673, 0.11033356189727783, -0.25971221923828125, 0.6222278475761414, 0.4082178473472595, 0.43479621410369873, -0.2947656214237213, -0.6207690238952637, 0.0578068345785141, 0.5998737812042236, -0.9258483052253723, -0.5771611332893372, 0.44943690299987793, -1.3005475997924805, -0.733883261680603, 1.0722886323928833, -0.6056732535362244, -0.4610810875892639, 0.5593871474266052, -0.11795444786548615, -0.7890956997871399, -0.5494825839996338, -1.4004467725753784, 0.9268913269042969, -0.47450485825538635, 0.723169207572937, 0.47723397612571716, 0.5308133959770203, -0.6521505117416382, 0.031044824048876762, -0.29334020614624023, 0.17485906183719635, -0.5649515390396118, 0.7484513521194458, -0.9604169726371765, -0.3919159770011902, -0.7752617001533508, 0.964473307132721, -0.17095251381397247, 0.18799667060375214, -0.08164046704769135, -0.38631516695022583, -0.10549072921276093, 1.3221542835235596, -1.0470505952835083, 0.5507028698921204, -0.09971942752599716, 0.12045518308877945, -0.6526139974594116, 0.2962455451488495, 0.4877226948738098, -1.658599615097046, 0.5048980116844177, 0.7125617265701294, 0.03771413117647171, 0.8864414691925049, -0.5450034737586975, -0.2145511358976364, 0.5872052311897278, -1.2022874355316162, 1.1736317873001099, 0.6585131883621216, 0.6796385049819946, -0.07459443807601929, -1.0102980136871338, -0.0725407525897026, 0.7572647929191589, -0.2643197774887085, 0.19588328897953033, -0.1596660315990448, 0.6599852442741394, 0.6852371692657471, -0.1756271868944168, -0.7151117324829102, -1.3275505304336548, -0.718225359916687, 0.35091182589530945, -0.20843489468097687, -0.8581036329269409, -0.07039269804954529, 0.0521354153752327, -0.6705517768859863, 0.4741003215312958, 1.5436315536499023, 0.019169313833117485, 0.3043821454048157, 0.11572182923555374, 0.2907450795173645, 1.1843034029006958, 0.7292723059654236, 0.2889325022697449, -0.24126876890659332, -0.14889778196811676, -0.6233953833580017, -0.5150635838508606, -1.0162228345870972, -0.5084269046783447, -0.34739914536476135, -0.8466303944587708, -0.24117343127727509, 1.093497633934021, -0.1092238798737526, 0.5431929230690002, 0.038029734045267105, -0.7827504277229309, -0.5805042386054993, -0.5014387965202332, -0.8042499423027039, -0.33241844177246094, 0.12722213566303253, -0.18530021607875824, 0.4961455762386322, 0.2539159059524536, -0.8295400142669678, -0.5127400159835815, 0.2667713165283203, 0.3056029677391052, 0.13423947989940643, 2.0337936878204346, 0.62569260597229, 0.37836650013923645, 0.9929372668266296, 0.6113716959953308, 0.7358459234237671, -0.3875000476837158, 0.4380445182323456, 0.6784216165542603, 0.4599144160747528, -0.24116207659244537, 0.3414951264858246, -1.0126088857650757, 0.08145305514335632, 1.165520429611206, -0.6305681467056274, 0.6090653538703918, -0.37648385763168335, 0.539080798625946, -0.024563586339354515, 0.32387056946754456, -0.518994152545929, 0.7332879900932312, -0.3306334316730499, 1.9754219055175781, -0.9758890867233276, -0.5898993015289307, 1.5305876731872559, 0.9932684302330017, 0.6880396008491516, 0.007531218230724335, 0.3670726716518402, -0.23417891561985016, -0.3972526788711548, -0.8152698278427124, -0.6457957029342651, 1.2884690761566162, -1.5147428512573242, 0.7460746169090271, 0.12665796279907227, -0.6477274894714355, 0.7013043165206909, 0.7450233697891235, -0.03788388520479202, -1.3755019903182983, -0.8745715618133545, -1.4296460151672363, 0.41850653290748596, 0.545928955078125, -0.3330492079257965, -0.05055493116378784, -0.09096483141183853, 0.4016905725002289, -0.32486000657081604, 0.7641501426696777, -0.317288339138031, -1.8272218704223633, -0.28434398770332336, -0.5823863744735718, -1.2453166246414185, 1.5467798709869385, 0.17427998781204224, -0.44610241055488586, 1.1369043588638306, -0.6683412194252014, 0.8891409039497375, 0.3779146671295166, 0.2885417938232422, 0.020251614972949028, 1.4166005849838257, -0.48600253462791443, -0.2166328877210617, -0.12386395037174225, -0.7796748876571655, 4.669238567352295, 1.3202687501907349, 0.07600315660238266, -2.2231085300445557, 0.18920078873634338, -0.6188867688179016, 0.10293654352426529, -0.3636499345302582, 0.3650614619255066, -0.10066047310829163, 0.9617753028869629, 1.100777506828308, 0.6568110585212708, -0.09495054930448532, 0.7629121541976929, 0.5750913619995117, -0.39381685853004456, -0.8264058232307434, 0.7308399081230164, -0.07801611721515656, 0.12720347940921783, -0.1372017115354538, -1.1156686544418335, -1.1130094528198242, 1.319722294807434, 0.05605803430080414, 0.43852442502975464, -0.5198703408241272, 0.9834045171737671, 0.14616431295871735, -0.6265239715576172, 0.8765444755554199, 0.5525521636009216, 1.0659022331237793, 0.7551354765892029, 0.05914120748639107, -0.37348565459251404, -0.4745723605155945, -0.5237816572189331, 0.2656291425228119, 0.40866780281066895, -0.08366761356592178, -0.45440131425857544, -0.6016911268234253, -1.1126195192337036, -0.011006082408130169, -0.44995835423469543, -0.7925441861152649, 0.5518495440483093, 0.08774011582136154, -0.19212469458580017, 0.3743753135204315, -0.6631454229354858, -0.9382476806640625, -0.8078579902648926, 0.8434821963310242, 0.4109356999397278, -0.44158869981765747, -0.01304855477064848, -0.6117375493049622, 0.40087202191352844, -0.29575470089912415, 0.7041675448417664, 0.27288010716438293, 0.5815412998199463, -0.06691372394561768, -0.0787593424320221, 2.253943681716919, -0.25664055347442627, -0.7369087934494019, -1.0039790868759155, 0.5720464587211609, -0.16627681255340576, -0.34543007612228394, -0.6114022135734558, 1.0132912397384644, -0.540958046913147, 0.23504990339279175, -0.6319977045059204, -0.6605625152587891, -1.2282253503799438, 0.1132747158408165, -0.6770268678665161, 0.3712807297706604, -0.5735822319984436, -0.8949276804924011, -0.3353536128997803, 0.3447802662849426, 0.2278290092945099, 0.10676708817481995, 0.36557522416114807, 1.234588623046875, 0.8936010599136353, -0.9032316207885742, 0.23653587698936462, 0.3901522755622864, -2.175722122192383, -0.20353639125823975, -1.1613401174545288, 0.9931126236915588, 0.19911125302314758, -0.5134607553482056, -0.19434671103954315, 0.8198760747909546, -0.8321652412414551, -0.08796239644289017, 0.7228081226348877, -0.8070887923240662, -0.1448674350976944, -0.2053927481174469, 0.8857727646827698, -1.4036519527435303, -0.8796133995056152, -0.2696892023086548, -0.205548956990242, -0.010874095372855663, -0.15639029443264008, -0.6185638904571533, 0.1907126009464264, -0.40366503596305847, 0.603074312210083, 0.42041686177253723, 0.5871647000312805, -0.6848800182342529, -0.2723580300807953, 0.12438063323497772, -1.034515619277954, -0.16877195239067078, 0.1232457309961319, -0.7976934909820557, 0.3725770115852356, 0.13533665239810944, -0.41788652539253235, 0.31388238072395325, -0.9664000868797302, 0.21386484801769257, -0.09268002212047577, 0.5648027658462524, -1.115587592124939, -0.052426427602767944, 0.5501521229743958, -0.016907542943954468, -1.0287299156188965, 1.3256040811538696, -0.5006403923034668, 0.11668146401643753, 0.10179373621940613, -0.07336048781871796, 1.1932260990142822, 0.32129696011543274, -1.0561219453811646, -0.0976434126496315, 0.38610461354255676, 0.4819789230823517, 0.2730039060115814, 0.21640527248382568, -0.12575970590114594, -0.030166205018758774, -0.1617967039346695, 0.4491089880466461, 0.5255743861198425, 1.2041302919387817, -0.2528124451637268, -0.6888239979743958, -0.2783278524875641, 0.1550401747226715, -0.7250686883926392, 0.7587799429893494, -0.5210214853286743, 0.3580675721168518, 0.36984166502952576, -0.3840794563293457, -0.07196442782878876, 0.7420694828033447, -1.4268232583999634, -0.18560172617435455, -0.020439928397536278, 0.4532214105129242, 0.8584457635879517, -0.8191102147102356, 0.08888962119817734, 0.7679013609886169, -1.8984930515289307, 0.5074823498725891, -0.03903784602880478, -0.9449918270111084, -0.7373721599578857, 0.795787513256073, -0.320015549659729, 0.22724738717079163, 0.24451929330825806, -0.31016942858695984, 1.2074029445648193, -0.16257067024707794, -0.5881565809249878, -0.5066981315612793, 0.35271045565605164, 0.7052320837974548, 0.15066702663898468, -0.21535183489322662, 0.7204820513725281, -0.9251928925514221, -1.265251874923706, -0.4843480885028839, -1.5421396493911743, 0.7643974423408508, 0.17021767795085907, 1.4003657102584839, 0.13736890256404877, 0.744330644607544, -0.41964083909988403, 0.25795939564704895, -0.5620906352996826, -0.3206888437271118, 0.4381752908229828, 0.4999648928642273, -0.495437353849411, -0.5993967652320862, 0.25609177350997925, 0.008756871335208416, -0.6273610591888428, 0.3214998245239258, -1.501678228378296, -0.15252508223056793, 0.3189307451248169, -0.10791607201099396, 0.32135215401649475, -1.1251177787780762, -0.13364528119564056, -1.2459300756454468, 0.3162330687046051, 0.06254664063453674, 0.7236266136169434, 0.1970302313566208, 0.1739392727613449, -0.4184831976890564, -0.3739243447780609, 0.7267599701881409, 0.587051510810852, -1.3785227537155151, -0.7065503597259521, 0.12702339887619019, -0.1596471071243286, 1.0307562351226807, 0.41215452551841736, 0.003529672510921955, -0.5484728217124939, 0.8435942530632019, -0.3845207393169403, 0.19137556850910187, -0.6405826210975647, 0.11253882944583893, 0.6286153793334961, -0.58436119556427, 0.8513798117637634, 0.9787138104438782, 0.7664659023284912, -0.8260596394538879, -1.2481404542922974, -0.25105810165405273, 1.208744764328003, 0.7148395776748657, -0.21865606307983398, 0.14693188667297363, -1.8827036619186401, -0.0961645245552063, 0.4886632263660431, 0.28348714113235474, -0.004184213932603598, -0.3253577649593353, -0.8525452017784119, 0.35302701592445374, 0.24092237651348114, 0.12475039809942245, 0.5542286038398743, 0.6041862368583679, 0.552495002746582, -0.00999316293746233, -0.291811466217041, -0.39347779750823975, 0.007405565120279789, 0.7446592450141907, -0.0933903157711029, 1.6157422065734863, -0.22562554478645325, 0.1867133378982544, 0.10904647409915924, -0.14387498795986176, -1.3842151165008545, 1.5583633184432983, 0.35050588846206665, -0.05870307981967926, -0.7611096501350403, -0.48815473914146423, 1.2245714664459229, -1.3638278245925903, -0.2862968444824219, 1.0088919401168823, -0.16918346285820007, -0.010016260668635368, 0.33650079369544983, 0.22118619084358215, 0.30187609791755676, 0.35489025712013245, 0.9008358120918274, -0.8198174834251404, -0.6987184882164001, 0.3269674777984619, 1.0313999652862549, 0.7242385149002075, -0.19720405340194702, 0.6572675108909607, 1.0926833152770996, -0.6122662425041199, 1.7308011054992676, -0.08797918260097504, 0.28570130467414856, 0.04500961676239967, 0.30173614621162415, 0.37428247928619385, -0.7533521056175232, -0.7703851461410522, -0.08778578788042068, -0.11120229959487915, 1.4698370695114136, -0.7606716752052307, 0.4301131069660187, -0.9320557117462158, 0.3892327845096588, -1.2025598287582397, -0.006962780840694904, -0.5001443028450012, 0.4751225411891937, -0.35039040446281433, -0.32211431860923767, -1.3074618577957153, -0.05790135636925697, 1.2354763746261597, -1.4172260761260986, -0.12836909294128418, -0.36700522899627686, 0.1380099356174469, 0.2191009521484375, -0.44447752833366394, -1.7673805952072144, -0.7744566798210144, 0.8189404010772705, 0.30243077874183655, -0.47292086482048035, -0.9822217226028442, -1.55134916305542, -0.12746275961399078, 1.3631296157836914, -0.606488049030304, -0.4125833809375763, -0.7334398627281189, 0.4736628234386444, 0.17665979266166687, 0.350746750831604 ] ]
{ "indices": [ 2067848296, 570652574, 1230423685, 3589630101, 3054746445, 1853176582, 639386442, 3009698197, 2006536704, 1786548735, 4186256544, 2874966370, 691409538, 695926169, 862607732, 1432619228, 2965229515, 2830370693, 2046009338, 4146668087, 1960040400, 3450044930, 3676260520, 1404934148, 3172858508, 2877535289, 647928480 ], "values": [ 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.6460876948075268, 0.7325014542133561, 0.6460876948075268, 0.47720054860988836, 0.6460876948075268, 0.47720054860988836, 0.7849979036300037, 0.6460876948075268, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.6460876948075268, 0.6460876948075268, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836, 0.47720054860988836 ] }
{ "context": "It doesn't show up. So just calculate directly from the condition codes in the IR. So what should I do with the bus? Just zeros, right? There we go. Zeros. Yeah, there's nothing flowing across the bus. There's some special combinational logic, takes the bits out of NZAP registers, looks at IR, does some combinational logic and writes into branch enable. So there's nothing that's going on the bus." }
746687
[ [ 0.2195298820734024, -0.5582648515701294, -0.1552690714597702, 0.5653990507125854, 0.47454673051834106, 0.20030401647090912, -0.32015261054039, 0.777152419090271, 0.5854272246360779, -0.8548085689544678, 0.880180835723877, 0.8692026138305664, 0.5672158002853394, 0.5739650130271912, 0.283552885055542, -0.06715945899486542, 0.7421970367431641, -0.05466347187757492, 0.11675409972667694, -0.15923386812210083, 0.8855739831924438, -0.7829312086105347, -0.10614900290966034, 0.0747162401676178, -0.7310829758644104, 0.999015212059021, 0.14639844000339508, -1.066017746925354, 0.2685634195804596, -0.08891855180263519, -0.7336779236793518, -1.175844669342041, 0.8418224453926086, 0.4445948898792267, 0.9527314901351929, -0.2135225385427475, -0.696904182434082, -0.06383178383111954, 0.5348588824272156, 0.8783186078071594, -0.0450013093650341, -0.038112491369247437, -0.8320801258087158, 0.7436617016792297, -1.5119941234588623, 0.333848237991333, -0.39283663034439087, -0.3963770270347595, 1.2036573886871338, -0.8413066267967224, 1.1720614433288574, -0.6060851216316223, 0.474845290184021, 0.5714678168296814, -0.01495274342596531, 0.3686102628707886, 1.2837128639221191, 1.3049185276031494, 0.40748828649520874, -1.320364236831665, -0.19574245810508728, -0.4386322498321533, 0.692749559879303, -0.25668326020240784, -0.1387665867805481, -0.3036132752895355, -0.1642175316810608, -0.13536787033081055, 0.14014634490013123, -0.3462770879268646, -0.42294859886169434, 0.00961855798959732, 0.22394229471683502, 0.754357635974884, 0.8646451234817505, -0.8684307336807251, 0.31123796105384827, -0.03059845231473446, 0.31399863958358765, 0.5003924369812012, -1.0840893983840942, 0.17205509543418884, -0.5646482706069946, 0.8915008306503296, 0.2135600745677948, -1.0221710205078125, 1.2620631456375122, -0.8510496020317078, -0.6005634069442749, 0.5890588164329529, 0.2403039187192917, -0.40941545367240906, 1.365478754043579, 0.059785038232803345, -0.546825110912323, 0.244537815451622, -0.31745028495788574, 0.877117931842804, 0.7777382731437683, -0.6128802299499512, -0.08472242951393127, -1.1819190979003906, 0.29232409596443176, 1.9441919326782227, -0.7927760481834412, 0.30804216861724854, 0.42681244015693665, -0.042157355695962906, 0.011760411784052849, 0.6296631097793579, -0.8602268695831299, 0.3162320852279663, 0.36917126178741455, 1.084053635597229, -0.5742731690406799, -0.600877583026886, -1.1445871591567993, -0.1677684634923935, 1.3834149837493896, 0.7365167737007141, 1.408974051475525, 0.01469809003174305, 1.014121413230896, -0.8480054140090942, -2.152266263961792, 0.5351822376251221, 0.3360555171966553, -0.1244628056883812, -1.244793176651001, 0.5411853194236755, 0.6760442852973938, -0.4812038838863373, 0.07514484226703644, -0.0853402242064476, 0.7933294773101807, 0.8263587951660156, -1.960811972618103, -1.480074405670166, 0.8373359441757202, 0.31996506452560425, -0.7530524730682373, -1.3349077701568604, 0.5229434967041016, 0.8391168713569641, 1.4970574378967285, -0.08731316030025482, 0.6664501428604126, 0.2924400866031647, 0.04023784026503563, 0.515755295753479, -0.9523665308952332, -0.14591285586357117, -1.4842394590377808, -0.40156468749046326, -0.11473101377487183, -0.00864796806126833, 0.3370615243911743, 0.2455337792634964, -0.26939088106155396, 0.1306697428226471, 0.8892842531204224, -0.32814866304397583, -0.47267019748687744, 0.18140184879302979, -1.079890489578247, -1.3284193277359009, 2.41994571685791, -0.28323206305503845, 0.36152541637420654, 1.176347255706787, -0.1753864586353302, -0.3923908770084381, -0.07182129472494125, -0.0003037936403416097, 1.0864793062210083, -1.0213598012924194, -0.3710125684738159, -0.3938520848751068, 0.03919224068522453, -0.009721141308546066, -0.7859066724777222, -0.7795549035072327, 0.4365216791629791, 0.9846212863922119, 0.34541600942611694, 0.2585158944129944, -0.3280702829360962, 0.052412912249565125, 1.1143240928649902, -0.6683850288391113, -0.9043470025062561, -1.1805583238601685, 0.7361716628074646, -0.09940687566995621, -0.8626967668533325, -0.7687162756919861, -0.7353963255882263, 0.3892313838005066, 0.47280153632164, -0.8822890520095825, -1.821932077407837, 0.5181291699409485, 0.61601722240448, -1.0341325998306274, -0.03603329509496689, 0.8572337031364441, 0.9044502377510071, -1.23114013671875, 0.6532312631607056, -0.9301267266273499, -0.09501092880964279, 0.5072755217552185, 0.33594319224357605, -0.03456469625234604, 0.2427244484424591, -0.1140337586402893, 0.029309947043657303, 0.13085873425006866, -0.031161222606897354, 0.2250804454088211, -0.7567762136459351, 1.0261344909667969, 2.22328782081604, 1.3102573156356812, -0.05502980574965477, 0.7560042142868042, 0.18375520408153534, 0.6897112131118774, 0.414230614900589, 0.3169838786125183, -0.8434786796569824, -1.4425688982009888, -0.41766971349716187, 0.3531489074230194, -0.6161947250366211, 0.42962366342544556, 1.5787990093231201, 0.34460878372192383, 0.24850788712501526, -0.6145853996276855, -1.0709524154663086, 1.014738917350769, 0.340625137090683, 0.8520164489746094, 0.518591582775116, 1.618142008781433, 0.5613530278205872, 1.523903727531433, -0.6602835059165955, 0.45469483733177185, -0.3662070631980896, 0.6261917948722839, 0.3949042558670044, -0.5179811120033264, -0.18239782750606537, -1.1273695230484009, 0.25870323181152344, -0.8581088781356812, -0.2166694849729538, 0.4031287133693695, -0.5342769026756287, -0.5639872550964355, -0.2951686680316925, -0.3518384099006653, -0.078638955950737, 0.242905855178833, -0.08391991257667542, -0.811530351638794, 1.0495178699493408, -1.181815505027771, 0.0005707500386051834, 0.36095914244651794, -0.45838937163352966, -1.0069299936294556, 0.6051941514015198, 0.1975793093442917, -0.3730953335762024, 0.7565401792526245, -1.0593522787094116, -0.7808186411857605, -0.8121116757392883, -0.0036737644113600254, 0.47802647948265076, -0.3133695721626282, -1.8140062093734741, 0.1692880094051361, -0.14653250575065613, -1.006152868270874, 0.7921394109725952, 0.12970149517059326, -1.055565595626831, 0.541578471660614, 0.13898952305316925, -0.9143815040588379, -0.16400250792503357, -0.18126462399959564, 0.09813908487558365, -0.12649701535701752, -0.048368435353040695, 0.5208278298377991, 0.0034360208082944155, -1.4043235778808594, -0.14184874296188354, -0.6572567820549011, -0.15010643005371094, -0.6703683137893677, 0.10471352934837341, -0.5808954238891602, 0.13721412420272827, 0.6615656614303589, 0.32005175948143005, -0.15784837305545807, -0.29253658652305603, -0.9429730772972107, -1.7242995500564575, -0.38468170166015625, 0.6472316980361938, 1.1329610347747803, -0.7213906645774841, -0.14734818041324615, 1.658300757408142, 0.5214571356773376, -0.7503914833068848, -0.2162346988916397, 1.019181489944458, 0.6229508519172668, -0.8922324180603027, -0.1238565742969513, 1.0214085578918457, 0.3482910692691803, 0.1719578504562378, -0.6208884119987488, 0.5923603177070618, 1.942334771156311, -0.9756685495376587, -0.8769971132278442, 0.3205721378326416, 0.12778924405574799, -0.43477770686149597, 0.40857312083244324, 0.4272324740886688, 0.16190338134765625, 1.0644993782043457, -0.3246196210384369, 0.9082766771316528, 0.5656926035881042, -0.43330812454223633, 0.6300840973854065, -0.13220268487930298, 0.568431556224823, 0.15347953140735626, 0.1102646067738533, 0.47557777166366577, -1.0440585613250732, -0.2767799496650696, 0.40870538353919983, 1.088637113571167, -0.9322447776794434, 0.3661285936832428, 0.9851045608520508, 0.7635939717292786, 0.33449217677116394, -0.3850439488887787, 0.31308096647262573, 0.12999437749385834, 1.0878263711929321, -0.4414886236190796, -0.17407773435115814, 0.13912083208560944, -0.3266892731189728, -0.014841153286397457, 0.270433634519577, -0.7100632786750793, -0.31153759360313416, -1.6446545124053955, -1.2939749956130981, 0.8886479735374451, 1.2207231521606445, 0.49552083015441895, 0.3919484615325928, 0.0898028090596199, -0.13468369841575623, -0.750893771648407, -0.42519256472587585, -1.256227970123291, 0.15651163458824158, 0.02884385734796524, -0.41980403661727905, 0.736818790435791, -0.5100243091583252, 0.5797595381736755, -0.7289011478424072, -1.4335377216339111, 0.1323184370994568, -0.27152344584465027, -1.1054794788360596, 0.7700068950653076, 0.43996620178222656, -0.8214247822761536, 0.7057705521583557, 1.0286729335784912, 1.9592026472091675, 0.41106441617012024, 0.6469237208366394, 0.7125179171562195, 0.23473042249679565, -0.20044425129890442, 0.005653731990605593, -0.17814674973487854, 0.04658863693475723, 0.7011731266975403, 0.6263942718505859, 0.547710120677948, -0.5313519239425659, 0.11559119075536728, 0.24622824788093567, 1.2823610305786133, 0.2816270589828491, -0.3771383762359619, 0.1331230252981186, 0.06800301373004913, -0.656306266784668, 0.552401602268219, 1.1432615518569946, -0.17251689732074738, 1.6484028100967407, 0.7310739159584045, 0.6463267803192139, -0.4244201183319092, 1.2647236585617065, 1.4225330352783203, -0.44482019543647766, -0.34040918946266174, -0.6294617652893066, -1.0322699546813965, -0.6670527458190918, -0.3800489604473114, 0.7651150226593018, 0.9959667325019836, 0.6060706973075867, -0.11093702912330627, 0.7142207622528076, -0.1284564584493637, -0.9433232545852661, -0.3026009798049927, -0.9205192923545837, -0.23054824769496918, 0.04038538783788681, 0.6259124875068665, 0.4567718505859375, 0.1272701472043991, -0.7354464530944824, -0.6344600915908813, -0.8767095804214478, -2.080205202102661, 0.5870015621185303, 0.4088013768196106, 1.6187617778778076, -0.4298122823238373, 0.1962745487689972, -1.0452255010604858, -1.5866413116455078, 0.5992035269737244, -0.4022533595561981, 1.5987988710403442, -1.091467261314392, 0.8357180953025818, -0.28592178225517273, -0.668006181716919, -1.2380175590515137, 0.5167731642723083, -0.03158349543809891, -0.15436822175979614, -0.5163911581039429, 0.06293762475252151, -0.24567052721977234, 0.5953822731971741, -0.01778818666934967, 1.0692709684371948, -0.8684360980987549, -0.5303975343704224, 0.22775767743587494, 1.2007559537887573, -0.9635694622993469, -0.10208290070295334, 0.0741003081202507, -1.0345922708511353, -0.9511492252349854, 0.6699911952018738, -0.21262553334236145, -0.13955646753311157, 0.5387523174285889, -0.2300453782081604, -0.07843217998743057, -0.1016019806265831, -0.8643510937690735, 1.7246975898742676, -0.24628187716007233, 0.27895182371139526, 0.5305336117744446, 0.8309496641159058, -0.6419425010681152, 0.1336279809474945, -1.2081992626190186, 0.13070504367351532, -1.0924229621887207, 1.0838332176208496, -0.695213794708252, 0.05543410778045654, -0.8961387872695923, 0.8802602887153625, -0.17918428778648376, 0.2797200083732605, -0.543414294719696, -0.40573957562446594, -0.2534591257572174, 0.6712762117385864, -1.1915837526321411, 0.6256106495857239, -0.07948783785104752, 0.088384248316288, -0.6345269680023193, -0.3761393427848816, 0.20009374618530273, -1.7722415924072266, 0.09927620738744736, 0.16557994484901428, -0.006137657444924116, 1.9905728101730347, -1.0453287363052368, -0.4995228946208954, 0.680898904800415, -0.7868571281433105, 0.969516396522522, 0.4095676839351654, -0.4355103671550751, -0.33830806612968445, -0.7225075960159302, -0.1295485645532608, 0.40080276131629944, -0.06100819632411003, -0.24759717285633087, 0.009648974053561687, 0.8225725293159485, 0.1635177731513977, -0.6354771852493286, -0.9799075722694397, -1.3447877168655396, -0.7445764541625977, 0.5009805560112, 0.2559611201286316, -0.19269700348377228, -0.27172598242759705, 0.8760281801223755, -0.5835772752761841, 0.18207287788391113, 1.272735357284546, -0.16430220007896423, 0.7278521060943604, 0.4960075318813324, 0.8775398135185242, 0.9127862453460693, 1.179715633392334, 0.13202334940433502, -0.31141188740730286, 0.049889158457517624, 0.5296172499656677, -0.3003198206424713, -1.463791012763977, -0.7724761962890625, 0.0659422054886818, -1.4305306673049927, -0.4057847261428833, 1.686525821685791, -0.41030704975128174, 0.3253292143344879, 0.19233974814414978, -0.3367063105106354, -0.5663778781890869, 0.019429340958595276, -0.17343001067638397, -0.5902191400527954, 0.8523205518722534, -0.39156755805015564, -0.11907030642032623, -0.1436339020729065, -0.42194584012031555, -0.8462202548980713, 0.02963067777454853, 0.03009568154811859, 0.24916528165340424, 2.60689640045166, 0.41890859603881836, 0.7447906136512756, 0.76272052526474, 0.7750383615493774, 0.1247904971241951, -0.323655903339386, 0.7645003795623779, 1.2698016166687012, 0.5347633361816406, -0.3454633057117462, 0.3281238377094269, -0.8265193700790405, 0.8264962434768677, 1.2334482669830322, -0.7363191246986389, 0.22346101701259613, 0.28887054324150085, 0.0819278135895729, -0.8264142870903015, -0.12026029080152512, -0.6416422128677368, 0.45713916420936584, -0.21876110136508942, 2.258294105529785, -1.5444464683532715, -1.9454569816589355, 1.3260798454284668, 1.8645925521850586, -0.12114240229129791, -0.35489416122436523, 0.3989383578300476, -0.15650005638599396, -0.391815721988678, -0.6549926400184631, -0.5554186105728149, 1.1632524728775024, -1.0064228773117065, 0.8484615087509155, -0.054636355489492416, -0.3274107873439789, 0.21432821452617645, 0.953632116317749, 0.453735888004303, -1.4749289751052856, -1.274619698524475, -1.471622109413147, 0.6174799203872681, 0.35773929953575134, -0.26201367378234863, 0.11596790701150894, -0.2546333372592926, 0.7325153946876526, -0.49589189887046814, 0.6343933343887329, -0.5102817416191101, -1.6659209728240967, -0.619754433631897, -0.47195976972579956, -0.7783262133598328, 1.4276293516159058, 0.21277575194835663, -0.4213085174560547, 1.0821261405944824, -0.394059956073761, 1.2993016242980957, 0.6496896743774414, 0.8809027075767517, 0.5307823419570923, 1.239380955696106, -0.7280067801475525, 0.30505257844924927, -0.34134137630462646, 0.1525849848985672, 4.0522379875183105, 1.3496805429458618, 0.21678093075752258, -1.9088181257247925, 0.08635222911834717, -0.5749136209487915, 0.4061720073223114, -0.49014168977737427, 0.3343610465526581, 0.2923770248889923, 0.5029478669166565, 0.7194978594779968, 0.6002215147018433, -0.13698309659957886, 0.028448013588786125, 0.920682966709137, -0.4958900213241577, -0.9214571714401245, 0.1747237592935562, 0.28023529052734375, -0.26479220390319824, -0.2709510326385498, -0.8731094002723694, -1.0750969648361206, 1.2259327173233032, 0.013007169589400291, 0.31117480993270874, -0.641944169998169, 1.1058205366134644, 0.1127864345908165, -0.45607301592826843, 0.7022368311882019, 0.7827875018119812, 0.1896749585866928, 0.809879720211029, 0.1940220594406128, -0.7132898569107056, -0.2552346885204315, -0.616858184337616, 0.6987639665603638, 0.14040303230285645, -0.43161454796791077, -0.15900957584381104, -0.09874673932790756, -0.4898427426815033, -0.4942736029624939, -0.8457140326499939, -0.7854152917861938, 0.013181866146624088, -0.2928299903869629, 0.2992354929447174, 0.11082348227500916, -0.21967993676662445, -1.0967782735824585, -0.7472416162490845, 0.9580777883529663, 0.16739976406097412, -0.5193892121315002, -0.7475330829620361, -0.5240329504013062, 0.28633031249046326, -0.2786311209201813, 0.44017279148101807, 1.0963906049728394, 0.4610413610935211, 0.530509889125824, -0.44310539960861206, 1.8959800004959106, 0.02761896699666977, -0.3407151699066162, -0.5937227606773376, 0.07536735385656357, 0.10779763758182526, -0.44672873616218567, -0.7697639465332031, 0.8516870737075806, -0.5669267177581787, 0.2594040334224701, -0.9240019917488098, -0.3642334043979645, -0.6201877593994141, 0.7432243227958679, 0.16016697883605957, -0.024143945425748825, 0.07628770917654037, -0.5364579558372498, 0.011129595339298248, 0.28125858306884766, -0.19077205657958984, 0.23963336646556854, 0.4927438497543335, 1.6235406398773193, 1.1903183460235596, -1.3110777139663696, 0.1217220276594162, 0.5281007289886475, -0.7113290429115295, -0.2819097936153412, -0.34254083037376404, 1.4399809837341309, 0.049065712839365005, -0.7723866105079651, -0.2151402086019516, 1.0493239164352417, -0.5461546778678894, 0.24869219958782196, 0.6923142671585083, -1.3568594455718994, -0.15053622424602509, -0.21813678741455078, 1.0481624603271484, -0.5751047134399414, -0.7447032928466797, -0.5222134590148926, 0.05865776538848877, 0.2004813551902771, -0.7038265466690063, -0.8172196745872498, 0.7376276850700378, -0.45616093277931213, 0.6199362277984619, 0.16747897863388062, 0.005758901592344046, 0.1276737004518509, 0.29399022459983826, 0.014721301384270191, -0.8642784953117371, 0.06546083837747574, -0.5195081233978271, -0.18000662326812744, -0.0968589335680008, 0.0479055717587471, 0.1325487196445465, 0.47816163301467896, -1.1408137083053589, -0.46081551909446716, 0.013037671335041523, 0.7143594622612, -1.3854557275772095, 0.08091673254966736, 0.25256669521331787, -0.48980605602264404, -1.0068049430847168, 2.017026424407959, -0.7964898347854614, -0.3782781958580017, 0.8475527167320251, -0.61946702003479, 0.7452311515808105, 0.8059332370758057, -1.0352587699890137, 0.16154485940933228, 0.5865311622619629, 0.3962154984474182, 0.37859922647476196, -0.32534003257751465, -0.3112260699272156, -0.08535869419574738, 0.3283306658267975, 0.46509474515914917, 0.5707105994224548, 0.9796852469444275, 0.14735645055770874, -0.39037662744522095, 0.32878541946411133, 0.6830192804336548, -0.16998085379600525, 0.6426909565925598, -0.8195122480392456, 0.5762714147567749, 0.34224778413772583, -0.44933509826660156, 0.6684990525245667, 0.678869366645813, -1.2851749658584595, -0.432339072227478, -0.21823568642139435, 0.08969025313854218, 1.011804461479187, -0.88551265001297, 0.41234391927719116, 1.0336534976959229, -1.362183690071106, 0.3618870675563812, -0.6116145253181458, -0.5317169427871704, -1.272774577140808, 0.5124527215957642, 0.2217857390642166, 0.39237335324287415, 0.11651046574115753, -0.23712779581546783, 0.5697476267814636, -0.3778112232685089, -0.6931051015853882, -1.0219329595565796, 0.2527281939983368, 0.771600067615509, -0.8585876822471619, -0.3475644886493683, 0.8756896257400513, -1.3728617429733276, -1.232184648513794, -0.4749617576599121, -1.4557780027389526, -0.047885213047266006, 0.2509579360485077, 0.8749796152114868, 0.2839606702327728, 0.7433605194091797, 0.06429009884595871, 0.08033198863267899, 0.09361825883388519, -0.983751654624939, 0.5864578485488892, -0.042613428086042404, -0.4536302387714386, -0.09368722885847092, 0.7567875385284424, 0.8039647936820984, -0.6880877017974854, 0.6374730467796326, -1.098247766494751, -0.18143774569034576, -0.02705727331340313, -0.02158612385392189, 0.33747974038124084, -1.4809311628341675, -0.4683184325695038, -1.079034447669983, 0.3846968412399292, 0.4799748957157135, 0.0483105443418026, 0.000791941478382796, 0.10615187138319016, -0.6448890566825867, -0.2704048156738281, 0.2424279898405075, 0.14892877638339996, -1.2751948833465576, -0.7337451577186584, -0.5243604779243469, -0.16013368964195251, 1.1359436511993408, 0.48335781693458557, -0.2550392150878906, -0.28832924365997314, 1.2055974006652832, -0.2192068248987198, -0.6809166669845581, -0.590556800365448, -0.47084274888038635, 0.8710532784461975, -0.3288276195526123, 1.3419830799102783, 1.561177372932434, 0.6113067269325256, -0.6279852986335754, -1.0418431758880615, 0.2613237500190735, 1.3679240942001343, 1.0309653282165527, 0.05936874821782112, -0.31865108013153076, -1.370011329650879, -0.25921088457107544, 0.042541395872831345, 0.5920966863632202, -0.08535443991422653, -1.00569748878479, 0.03804243728518486, 0.018289964646100998, -0.4958493709564209, 0.42158299684524536, 0.8944028615951538, 0.9913642406463623, -0.05488628149032593, 0.6702402830123901, -0.7165166735649109, 0.12001369148492813, -0.30351710319519043, -0.1187383383512497, -0.45419883728027344, 1.7485377788543701, -0.02640114352107048, -0.3296293616294861, 0.6687473058700562, -0.2249697744846344, -1.2598389387130737, 1.313612461090088, 0.19684340059757233, 0.26991990208625793, -0.8349608182907104, -0.6911253929138184, 1.3746517896652222, -1.4634312391281128, -0.8518331050872803, 0.7256819009780884, 0.6059788465499878, 0.2410556674003601, 0.5937379598617554, 0.025730883702635765, 0.7509283423423767, 0.4405130445957184, 0.5276297330856323, -0.8526020050048828, -0.6814793944358826, 1.0016303062438965, 0.6752299666404724, 0.8087837100028992, -0.040169812738895416, 0.22834934294223785, 0.9940270781517029, -0.8419852256774902, 1.0529593229293823, -0.22598104178905487, 0.3608201742172241, -0.057043589651584625, 0.40528562664985657, -0.8917865753173828, -0.5162355303764343, -1.4749250411987305, -0.3161323368549347, 0.01728351227939129, 1.534001111984253, -0.7096520662307739, 0.14197862148284912, -1.9631472826004028, 0.6629448533058167, -0.57306307554245, -0.18430621922016144, 0.05453095957636833, 0.6988229751586914, -0.19509555399417877, -0.3785935938358307, -0.9306450486183167, 0.09315744787454605, 1.5894956588745117, -1.1287425756454468, 0.19030161201953888, -0.14288632571697235, 0.3862655758857727, 0.38979193568229675, -0.7096284627914429, -1.444068431854248, -0.3680401146411896, 1.0639989376068115, 0.15862704813480377, -0.3259223401546478, -1.0611261129379272, -1.4955623149871826, 0.2349376678466797, 1.3057953119277954, 0.22038203477859497, -0.1787925660610199, -0.8817676901817322, 0.3914825916290283, 0.8099311590194702, 0.44244611263275146 ] ]
{ "indices": [ 691409538, 2965229515, 2830370693, 2046009338, 4146668087, 1960040400, 3450044930, 3676260520, 1404934148, 639386442, 3172858508, 2877535289, 647928480, 695926169, 4186256544, 3009698197, 1041011349, 1042626614, 1551089265, 640124220, 1786548735, 2067848296, 1491351846, 2874966370 ], "values": [ 0.7981144742533492, 0.49706424497402196, 0.6640519892753799, 0.6640519892753799, 0.49706424497402196, 0.6640519892753799, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.6640519892753799, 0.49706424497402196, 0.49706424497402196, 0.49706424497402196, 0.6640519892753799, 0.6640519892753799, 0.49706424497402196, 0.49706424497402196, 0.6640519892753799, 0.49706424497402196, 0.49706424497402196 ] }
{ "context": "There's some special combinational logic, takes the bits out of NZAP registers, looks at IR, does some combinational logic and writes into branch enable. So there's nothing that's going on the bus. What about mux selection? Do we care about any of them? There's nothing being used, right? So we don't need any of them. So just don't care about any of the selection bits. What about these? Yeah, so." }
885116
[ [ 0.21638928353786469, -0.9266207218170166, 0.4516346752643585, 0.41601991653442383, 0.5170470476150513, -0.07380533963441849, -0.4318968951702118, 0.7248552441596985, 0.7293570041656494, -0.899318516254425, 0.9305543899536133, 0.5235275626182556, 0.46669909358024597, 0.40448197722435, 0.36239588260650635, -0.2942698895931244, 0.7356345057487488, -0.2635101079940796, -0.19383861124515533, -0.29870495200157166, 1.2905619144439697, -0.001143754692748189, -0.5973469018936157, -0.1581859290599823, -0.5911455154418945, 0.829197347164154, -0.0657649040222168, -0.6460511684417725, 0.20645934343338013, 0.7390334606170654, -0.5167779922485352, -1.4245970249176025, 0.5883903503417969, -0.3737642168998718, 1.8839489221572876, 0.2356656789779663, -0.30248382687568665, -0.48493364453315735, 0.3387596309185028, 0.6954168677330017, -0.26312512159347534, 0.3179931640625, -0.28142204880714417, 0.8171416521072388, -0.987697422504425, 0.12409520894289017, -0.3523956835269928, -0.20240619778633118, 1.0241925716400146, -0.5580992698669434, 0.9083129167556763, -0.3223232924938202, 0.8733828663825989, 0.06495407223701477, 0.3396027982234955, 0.5018599629402161, 1.1429749727249146, 1.433020830154419, 0.4855044484138489, -1.3348459005355835, 0.04171121492981911, -0.497903048992157, 0.337732195854187, -0.592599630355835, -0.24777840077877045, -0.4211118519306183, -0.5377095341682434, -1.0221705436706543, 0.7526676058769226, -0.29801592230796814, -0.6309149265289307, 0.4772737920284271, 0.6217493414878845, 0.14435280859470367, 0.7170939445495605, -0.795617401599884, 0.1280151754617691, 0.5565773844718933, 0.6502846479415894, 0.9953692555427551, -1.2128655910491943, 0.3677404820919037, -0.6083879470825195, 0.19620491564273834, 0.42572709918022156, -0.582686722278595, 1.2807995080947876, -0.5479357242584229, 0.3043869435787201, 1.0937851667404175, 0.42556387186050415, -0.7933940291404724, 1.4400337934494019, 0.2603650987148285, -0.5705158114433289, 0.11348167806863785, 0.5139415264129639, 1.4542757272720337, 0.08727942407131195, -1.0238873958587646, 0.5333749651908875, -1.2803317308425903, 0.04578587785363197, 1.50822913646698, 0.02497495338320732, 0.6149228811264038, 0.004704199731349945, -0.173586905002594, -0.7561973929405212, 0.2477688193321228, -1.1143163442611694, 0.9726034998893738, -0.25275272130966187, 0.735988974571228, -0.2130904644727707, -0.4366547167301178, -0.5446915030479431, -0.5910099744796753, 1.1234275102615356, 0.5581617951393127, 0.8752646446228027, 0.2905789017677307, 0.7667077779769897, -0.37889546155929565, -2.204197645187378, 0.7769840359687805, 0.25551971793174744, 0.01748994179069996, -1.1109448671340942, 0.8158532381057739, 0.5407612919807434, 0.29558783769607544, -0.05792295187711716, -0.18650022149085999, 0.8089947700500488, 0.8716549277305603, -2.0287904739379883, -1.1712355613708496, 1.0611013174057007, 0.3361757695674896, -0.7459232211112976, -1.7802542448043823, 0.3979228436946869, 1.1102951765060425, 1.1522690057754517, 0.6516932845115662, 0.7665697336196899, 0.40922221541404724, -0.1508224606513977, 0.31070801615715027, -0.7890862226486206, 0.24504981935024261, -1.180985927581787, -0.34719857573509216, -0.5096793174743652, -0.9014402031898499, -0.017098242416977882, 0.07253628224134445, -0.6532525420188904, 0.17671097815036774, 0.44149482250213623, -0.26114964485168457, 0.2556082606315613, -0.20930474996566772, -0.8953984975814819, -0.7444669008255005, 1.8866461515426636, -0.12113544344902039, 0.7483051419258118, 1.3407999277114868, -0.028761355206370354, -0.16621033847332, 0.15501649677753448, 0.2604994475841522, 1.2619585990905762, -0.42852863669395447, 0.1499265432357788, -0.5388350486755371, -0.026512406766414642, 0.3372444808483124, -0.2853389084339142, -0.6189666986465454, 0.07307246327400208, 1.4723916053771973, 0.45054200291633606, 0.11573401093482971, -0.6431611180305481, -0.14348340034484863, 1.1712414026260376, -0.8794742226600647, -0.9257276654243469, -1.151883602142334, 0.7122288942337036, 0.075796939432621, -0.5579854249954224, -1.0275365114212036, -0.6116336584091187, 0.24329698085784912, 0.7455950975418091, -0.5785475969314575, -0.9464665651321411, 0.5474779009819031, 0.8393994569778442, -1.113182783126831, -0.15262380242347717, 0.6827377080917358, 1.2708203792572021, -0.7012767195701599, 0.7314524054527283, -0.5128617882728577, -0.28627336025238037, 0.5404369235038757, -0.15109863877296448, 0.4071109890937805, 0.7444708347320557, 0.13809199631214142, 0.23100383579730988, 0.33365294337272644, -0.09334234148263931, 0.24912168085575104, -1.2119431495666504, 0.9828711152076721, 2.214385986328125, 1.0128326416015625, 0.20967258512973785, 0.4616795778274536, 0.16976453363895416, -0.13034610450267792, 0.3019758462905884, -0.6469653844833374, -0.5683624148368835, -1.0884381532669067, 0.01895476132631302, 0.34610188007354736, -0.2219574898481369, 0.00070935272378847, 1.8783128261566162, 0.8711608648300171, 1.025094747543335, -0.10196840763092041, -1.356270432472229, 0.8420138955116272, 0.5275785326957703, 0.1873515248298645, 0.6926710605621338, 1.6043559312820435, 0.38439980149269104, 1.2979462146759033, -0.5835029482841492, 0.29726260900497437, -0.6776391863822937, 0.5495274662971497, 0.32914718985557556, -1.1120336055755615, 0.30335235595703125, -1.1130163669586182, 0.2830418646335602, -0.9991528391838074, -0.5345411896705627, 0.7128316760063171, -0.27506569027900696, -0.2858646512031555, -0.45756885409355164, -0.6156401634216309, -0.16595211625099182, -0.10163261741399765, 0.022494466975331306, -0.7442498207092285, 1.5186872482299805, -0.4344995617866516, 0.45088884234428406, 0.865211009979248, -0.4393068850040436, -0.7111641764640808, 0.28418517112731934, 1.0997613668441772, -0.30078428983688354, 0.932323694229126, -0.8393523097038269, -0.46162769198417664, -0.21388615667819977, 0.3177320063114166, 0.47632813453674316, -0.11084451526403427, -1.673805832862854, 0.6378422975540161, -0.4840508699417114, -0.6969915628433228, 0.3847084939479828, 0.019036781042814255, -1.089402198791504, 0.31652870774269104, -0.5853689908981323, -1.062014102935791, 0.17919078469276428, 0.06504270434379578, -0.20339366793632507, 0.01909741759300232, 0.040976569056510925, 0.5637567639350891, 0.14512139558792114, -1.6868447065353394, 0.11173936724662781, -1.204970359802246, -0.18348614871501923, 0.033233340829610825, -0.6438595652580261, -0.6803925633430481, -0.32898905873298645, 0.2093089371919632, -0.2274223119020462, -0.43340152502059937, -0.24789637327194214, -1.209879994392395, -1.5030556917190552, 0.09918991476297379, 0.45331618189811707, 1.0797526836395264, -0.2621064782142639, -0.512781023979187, 1.1808764934539795, 1.036186933517456, -0.7821832299232483, -0.19309832155704498, 0.13150732219219208, 0.03772610425949097, -1.0982214212417603, -0.15808774530887604, 0.8358427882194519, 0.09734733402729034, 0.4557313024997711, -0.4300534427165985, 0.9246127009391785, 1.588378667831421, -0.19616366922855377, -1.0291953086853027, 0.0678551197052002, -0.020155513659119606, -0.8387048840522766, -0.13096816837787628, 0.7404707670211792, 0.7095088958740234, 1.0490950345993042, 0.4088318645954132, 1.2042601108551025, 0.3302626609802246, -0.6942411065101624, 0.7752975225448608, 0.3784518837928772, 0.3308011293411255, -0.3699641823768616, -0.0028199858497828245, 0.28624120354652405, -0.40446433424949646, -1.1574738025665283, 0.7168905138969421, 0.6007754802703857, -0.7873882055282593, -0.07427256554365158, 0.941413164138794, 0.8121540546417236, 0.16074298322200775, -0.40395238995552063, -0.5297855138778687, 0.20636695623397827, 0.45156604051589966, -0.4066454768180847, 0.09354232251644135, 0.7199928164482117, -0.11410291492938995, 0.5353720784187317, 0.49542418122291565, -0.4291737973690033, -0.8642594218254089, -1.7888692617416382, -0.7852970361709595, 0.2495507150888443, 1.091881513595581, 0.4731438457965851, 0.4803157150745392, -0.03448406234383583, 0.12823444604873657, -0.24941638112068176, -0.0789828822016716, -1.8159253597259521, 0.4137159287929535, 0.5982842445373535, -0.27356234192848206, 0.5189376473426819, -0.3468899130821228, 0.41658031940460205, -0.23718072474002838, -0.8009477257728577, -0.1720781922340393, -0.04446087032556534, -1.1725841760635376, 0.5106003880500793, 0.13767319917678833, -0.4068378508090973, 0.5959309339523315, 0.5590440034866333, 2.22721529006958, 0.973827064037323, 0.4740845859050751, 0.2173568606376648, 0.02262202650308609, -0.6315115690231323, -0.20998631417751312, 0.42501726746559143, 0.40875232219696045, 0.7509645223617554, 0.9410722255706787, 0.8366341590881348, -0.8588148951530457, 0.09916828572750092, 0.4419076442718506, 1.1924693584442139, 0.23830094933509827, 0.28808337450027466, -0.2699667811393738, -0.7531580924987793, -0.3931979238986969, 0.1284024715423584, 1.085487723350525, -0.3954537808895111, 1.5167285203933716, 0.9775044918060303, 0.12278347462415695, 0.158451646566391, 1.2591663599014282, 1.6289687156677246, -0.08591187745332718, -0.5551076531410217, -0.5529611706733704, -0.8112396597862244, -0.30768993496894836, -0.367331326007843, 0.13737238943576813, 1.284559965133667, 0.6540188193321228, -0.5787777304649353, 0.5441671013832092, 0.26567038893699646, -1.3509981632232666, 0.3367777466773987, -0.7043419480323792, -0.5796924233436584, -0.32776108384132385, 0.7471672892570496, 0.412026971578598, 0.45516079664230347, -0.44368574023246765, 0.3043128252029419, -0.6116087436676025, -2.4142987728118896, -0.5389666557312012, 0.520263135433197, 0.6795286536216736, -0.9375264048576355, 0.38200291991233826, -0.8742822408676147, -1.0458650588989258, 0.6782843470573425, -0.7847330570220947, 1.4086532592773438, -0.6548115015029907, 0.38098177313804626, -0.22257021069526672, -1.4860762357711792, -1.1208008527755737, 0.9393395781517029, -0.2641989290714264, 0.13578945398330688, -0.024961041286587715, -0.04798185080289841, -0.23644830286502838, 0.38436272740364075, 0.653294026851654, 0.47138410806655884, -0.4729136526584625, -0.6094455718994141, -0.12069448083639145, 0.794977605342865, -0.9579424262046814, -0.9497732520103455, 0.17622502148151398, -1.1677170991897583, -0.784273624420166, 1.0031189918518066, -0.6342865228652954, -0.5325720310211182, 0.6147768497467041, -0.20223703980445862, -0.8683894872665405, -0.8532304167747498, -1.3342748880386353, 0.944548487663269, -0.49404439330101013, 0.8291043043136597, 0.3017840087413788, 0.6743541359901428, -0.6918543577194214, -0.08112148940563202, -0.5385943055152893, -0.11319136619567871, -0.6664308905601501, 0.7833338975906372, -0.8141178488731384, -0.35138896107673645, -0.8758998513221741, 0.9498835802078247, 0.0862719789147377, 0.05771931633353233, -0.25257155299186707, -0.410015344619751, -0.2686697244644165, 1.2652407884597778, -1.115804672241211, 0.4947717785835266, -0.13173311948776245, 0.02394401840865612, -0.7806140780448914, 0.2774662375450134, 0.5210996270179749, -1.6136441230773926, 0.5984604358673096, 0.5231366753578186, 0.029687929898500443, 1.2856725454330444, -0.4946787655353546, -0.3613925874233246, 0.44719138741493225, -1.1062170267105103, 0.9447938799858093, 0.5546063780784607, 0.750720739364624, 0.045308422297239304, -0.8519672751426697, -0.14714068174362183, 0.677518904209137, -0.36061400175094604, 0.11306676268577576, -0.17482489347457886, 0.31942930817604065, 0.36302727460861206, -0.43697017431259155, -0.7689527273178101, -1.4736931324005127, -0.764042854309082, 0.3078750967979431, -0.0052851042710244656, -0.8367385864257812, -0.043562788516283035, 0.051357515156269073, -0.625877320766449, 0.3233245015144348, 1.4184314012527466, -0.002361154183745384, 0.5911393165588379, 0.25472167134284973, 0.2851300537586212, 1.2744101285934448, 0.8803185820579529, 0.5619500875473022, -0.18808825314044952, -0.29751211404800415, -0.47178804874420166, -0.7363540530204773, -1.1502165794372559, -0.6037383079528809, -0.03376961126923561, -0.9183292388916016, -0.2928912937641144, 1.08991539478302, -0.27493488788604736, 0.594730019569397, -0.06486765295267105, -0.6482523083686829, -0.6099205017089844, -0.4522731602191925, -0.8379845023155212, -0.16053013503551483, 0.13811373710632324, -0.21850129961967468, 0.5003006458282471, 0.12608866393566132, -0.9586172103881836, -0.7333178520202637, 0.03635689988732338, 0.47408172488212585, 0.05572483316063881, 2.2313075065612793, 0.6735900640487671, 0.556843638420105, 1.0654218196868896, 0.2583359181880951, 0.6939308643341064, -0.5208308696746826, 0.3390991985797882, 0.8571364879608154, 0.5978500247001648, -0.2549492120742798, 0.46026578545570374, -1.0952295064926147, 0.2519560754299164, 1.3045073747634888, -0.8477537035942078, 0.7011001706123352, -0.5212389230728149, 0.35571417212486267, -0.15050017833709717, 0.21783019602298737, -0.5200507044792175, 0.7916605472564697, -0.4760933518409729, 2.145979404449463, -1.1080567836761475, -0.5784880518913269, 1.4490500688552856, 1.140328049659729, 0.3716624081134796, -0.12442003935575485, 0.5878307223320007, -0.31566837430000305, -0.30389001965522766, -0.9510745406150818, -0.5241436958312988, 1.5157651901245117, -1.3181393146514893, 0.7401947975158691, 0.009048373438417912, -0.6475864052772522, 0.7104151844978333, 0.8451234698295593, 0.1320171058177948, -1.4254353046417236, -0.7477395534515381, -1.1376842260360718, 0.4157904088497162, 0.48932281136512756, -0.3980304002761841, -0.0075475918129086494, 0.29498401284217834, 0.5331326723098755, -0.1734398752450943, 0.7256209850311279, -0.42281049489974976, -1.8487915992736816, -0.07894805818796158, -0.5083093643188477, -1.2443842887878418, 1.5275256633758545, 0.39498454332351685, -0.5104932188987732, 1.3881267309188843, -0.4495316743850708, 1.0745019912719727, 0.3884957432746887, 0.32863280177116394, 0.16904650628566742, 1.376554012298584, -0.4484689235687256, -0.35524848103523254, -0.49080273509025574, -0.42345568537712097, 4.402544021606445, 1.5576740503311157, 0.05501691251993179, -2.0619730949401855, 0.2302594780921936, -1.0891554355621338, 0.19820114970207214, -0.3062903583049774, 0.23726043105125427, 0.03022606298327446, 0.9023869037628174, 0.9557042717933655, 0.5369327664375305, -0.2965201139450073, 0.7101068496704102, 0.7066170573234558, -0.37958720326423645, -0.5369810461997986, 0.7935429215431213, 0.06744328141212463, 0.20761393010616302, -0.17240606248378754, -1.1265134811401367, -1.1768196821212769, 1.3437669277191162, -0.2067873626947403, 0.7838943004608154, -0.7886810898780823, 1.2524404525756836, 0.29835182428359985, -0.3940875828266144, 0.9114092588424683, 0.501385509967804, 1.0299618244171143, 0.7741819024085999, 0.06895215809345245, -0.5540862083435059, -0.7036735415458679, -0.6019760966300964, 0.40594199299812317, 0.4054775536060333, -0.18574866652488708, -0.17752760648727417, -0.6931023597717285, -1.089139699935913, 0.08022984862327576, -0.6101109385490417, -0.8302731513977051, 0.6105518937110901, -0.09424401819705963, -0.2679285705089569, 0.25664231181144714, -0.5693660378456116, -0.996181845664978, -0.9240593314170837, 0.7587348222732544, 0.34552696347236633, -0.7719005942344666, -0.0678352564573288, -0.42965590953826904, 0.2532654106616974, -0.35418957471847534, 0.791620671749115, 0.48311957716941833, 0.44430696964263916, 0.20511998236179352, 0.01047473307698965, 2.167875289916992, -0.23901738226413727, -0.8564243912696838, -1.140001893043518, 0.5401952266693115, -0.13949792087078094, -0.2117559313774109, -0.4062405824661255, 0.784558892250061, -0.48755478858947754, 0.6037944555282593, -0.8416323065757751, -0.4387645721435547, -1.3773815631866455, 0.2249739021062851, -0.6028817296028137, 0.09194023162126541, -0.6308226585388184, -0.9070191383361816, -0.2523258328437805, 0.48812252283096313, 0.2493448406457901, 0.17763283848762512, 0.5868325233459473, 1.553267478942871, 0.9365580677986145, -0.8693088889122009, 0.19528080523014069, 0.6022844910621643, -2.097982406616211, -0.10169153660535812, -1.1009421348571777, 1.0056660175323486, 0.37950971722602844, -0.49696260690689087, -0.40270206332206726, 0.9923121333122253, -0.930190920829773, -0.2063499391078949, 0.6233713030815125, -1.0958423614501953, -0.37112534046173096, 0.0025505959056317806, 1.1077568531036377, -1.4326139688491821, -0.8318551778793335, -0.34085455536842346, -0.2838298976421356, -0.04873397946357727, -0.2252427339553833, -0.618966281414032, 0.8448220491409302, -0.3669089376926422, 0.8507883548736572, 0.3390096426010132, 0.6537768244743347, -0.5387718081474304, -0.37526005506515503, 0.2010405957698822, -1.0595693588256836, -0.11656086891889572, -0.013475693762302399, -0.6038632988929749, 0.3484175205230713, 0.18640637397766113, -0.29883140325546265, 0.7792505621910095, -0.8729200959205627, -0.023400235921144485, -0.06891433894634247, 0.6807664632797241, -1.2471771240234375, 0.11745643615722656, 0.3007844090461731, -0.34198006987571716, -0.784438967704773, 1.0824869871139526, -0.6541600823402405, 0.0656275525689125, 0.17307251691818237, -0.020813964307308197, 1.0638108253479004, 0.5599857568740845, -0.8686732649803162, -0.04689674824476242, 0.6022934913635254, 0.7057791352272034, 0.17642377316951752, 0.16263505816459656, -0.14128585159778595, -0.006639757193624973, -0.03421768918633461, -0.029095269739627838, 0.5151395201683044, 1.379274845123291, -0.12640134990215302, -0.5577463507652283, -0.271620512008667, -0.004230251535773277, -0.7499793171882629, 0.6418459415435791, -0.5684158205986023, 0.4682014584541321, 0.565424919128418, -0.3171594738960266, 0.24961920082569122, 0.6921024918556213, -1.4330315589904785, -0.07244198769330978, -0.18056368827819824, 0.32285961508750916, 0.7948079109191895, -0.8351007103919983, 0.3149580657482147, 0.7672852277755737, -1.935563325881958, 0.2867940366268158, -0.3823296129703522, -0.8986779451370239, -0.7683430314064026, 0.9485445022583008, -0.05828302353620529, 0.350526362657547, 0.10140814632177353, -0.20207583904266357, 0.8629964590072632, -0.13167127966880798, -0.4894971549510956, -0.46427205204963684, 0.3967394232749939, 0.6130326390266418, 0.06430341303348541, -0.5422459244728088, 0.7451226711273193, -1.0284931659698486, -1.4305675029754639, -0.4484195411205292, -1.5136109590530396, 0.6016805171966553, 0.10809264332056046, 1.4525072574615479, 0.11429640650749207, 0.9058442711830139, -0.10449148714542389, 0.07780256122350693, -0.3978569209575653, -0.6181105375289917, 0.28287580609321594, 0.8066572546958923, -0.6711437106132507, -0.35351553559303284, 0.460796982049942, -0.026293370872735977, -0.9131534099578857, 0.3822881877422333, -1.1231517791748047, -0.24164745211601257, 0.3391687273979187, -0.07071850448846817, 0.22530938684940338, -0.8299829363822937, -0.12149058282375336, -1.3039096593856812, 0.28582602739334106, 0.35519757866859436, 0.5418372750282288, 0.34713390469551086, 0.14437566697597504, -0.332947313785553, -0.35322216153144836, 0.5449509620666504, 0.435202419757843, -1.3392460346221924, -0.7525762915611267, -0.013022584840655327, -0.4048316478729248, 1.0660063028335571, 0.48298951983451843, 0.19153763353824615, -0.6384687423706055, 0.6871858835220337, -0.2609034478664398, -0.024293571710586548, -0.593120813369751, -0.2085592895746231, 0.830747663974762, -0.41252052783966064, 0.8246372938156128, 1.1216288805007935, 0.9527490735054016, -0.5336009860038757, -1.1824707984924316, -0.21432197093963623, 1.019547700881958, 0.6377454996109009, -0.2338329553604126, 0.15629130601882935, -1.7544629573822021, 0.10213999450206757, 0.30329060554504395, 0.691784143447876, 0.07015106827020645, -0.5919361114501953, -0.5909773707389832, 0.29132258892059326, 0.20557935535907745, -0.11585908383131027, 0.49053844809532166, 0.45525413751602173, 0.4418048560619354, 0.2647232413291931, -0.4702027440071106, -0.23855255544185638, -0.25804615020751953, 0.7274869084358215, -0.2637670636177063, 1.5583692789077759, -0.26752743124961853, 0.06481461971998215, 0.016572481021285057, -0.1338634490966797, -1.4697623252868652, 1.522316336631775, 0.30717745423316956, 0.07813212275505066, -0.9180094599723816, -0.7297437787055969, 1.3138829469680786, -1.4759242534637451, -0.34332019090652466, 0.8640876412391663, -0.07673483341932297, -0.037412695586681366, 0.4151267409324646, 0.32105666399002075, 0.02514946460723877, 0.17185242474079132, 0.9181677103042603, -0.7697561979293823, -0.5176103711128235, 0.47977811098098755, 1.1806000471115112, 0.6814281940460205, -0.3924573063850403, 0.43831589818000793, 0.8213769197463989, -0.6111109852790833, 1.6589032411575317, -0.06815396249294281, 0.24886704981327057, 0.26517757773399353, 0.105669304728508, 0.15484833717346191, -0.8414196372032166, -1.003073811531067, -0.2285025417804718, 0.030867621302604675, 1.2381614446640015, -0.7970287203788757, 0.48985856771469116, -0.9880837202072144, 0.3003339469432831, -1.2798811197280884, 0.06929657608270645, -0.2825925946235657, 0.6596078276634216, -0.09668806940317154, -0.4749957323074341, -1.2752184867858887, 0.0381757877767086, 1.4536422491073608, -1.464728832244873, -0.14842988550662994, -0.3711487948894501, 0.31955912709236145, 0.2560826241970062, -0.5582524538040161, -1.9520795345306396, -0.7959445118904114, 0.5363296866416931, 0.427457720041275, -0.3312331736087799, -1.075248122215271, -1.473663568496704, -0.09936734288930893, 1.2836986780166626, -0.24826160073280334, -0.6354009509086609, -0.7798892855644226, 0.08409847319126129, 0.3732154965400696, 0.4369864761829376 ] ]
{ "indices": [ 2067848296, 1551089265, 1042626614, 1960040400, 2874966370, 4094582072, 2877535289, 629871972, 4075116728, 997012898, 3471378517, 1432087569, 820773949, 2598428456, 1028984916, 3054746445, 1853176582, 3396792551, 301705055, 3172858508, 2853636491, 3327252652, 3254163991, 2764928117, 873986903, 3585065519, 2617446757 ], "values": [ 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.6594679073562161, 0.743909605600537, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.828809685274114, 0.49194488589648017, 0.6594679073562161, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017 ] }
{ "context": "So just don't care about any of the selection bits. What about these? Yeah, so. So remember in the branch instruction, so let me get that back up. There it is. In the branch instruction, we've got encoded in the instruction, the parameters for which of the condition codes we want to consider. And we write them as little n, little z, and little p. And so IR11 is little n. IR10 is little z." }
929476
[ [ 0.2034633308649063, -0.8993734121322632, -0.21838761866092682, 0.698570966720581, 0.2978821396827698, 0.014067703858017921, -0.3532407283782959, 0.6290685534477234, 0.9991395473480225, -0.5395740866661072, 0.9613327980041504, 0.47853749990463257, 0.7083234190940857, 0.22750572860240936, 0.28756046295166016, -0.3918975591659546, 0.6748026013374329, -0.0033867270685732365, -0.08254148811101913, -0.47731178998947144, 1.1789425611495972, -0.40365487337112427, -0.4337254464626312, 0.02710595913231373, -0.6246816515922546, 0.896965503692627, 0.1388651430606842, -0.7055047154426575, 0.2956165075302124, 0.7724838852882385, -1.0138487815856934, -1.3640801906585693, 0.2676377594470978, -0.030047018080949783, 1.2876838445663452, -0.1506112515926361, -0.596528172492981, -0.23327431082725525, 0.24771319329738617, 0.6588557362556458, 0.3003493547439575, 0.27809497714042664, -0.5352221131324768, 1.1436783075332642, -1.3530417680740356, 0.3154574930667877, -0.5907944440841675, -0.6438252329826355, 1.3455361127853394, -0.6911483407020569, 0.9977232813835144, -0.4237326383590698, 0.7021608352661133, 0.3075041174888611, 0.23760971426963806, 0.2990332841873169, 1.0667829513549805, 1.4233782291412354, 0.3030252158641815, -1.2740051746368408, -0.04368848353624344, -0.20052289962768555, 0.949256420135498, -0.4871653616428375, -0.1024334654211998, -0.2709624171257019, -0.5681843757629395, -0.8790873885154724, 0.648675262928009, -0.4870966374874115, -0.878861665725708, 0.37936440110206604, 0.5333089828491211, 0.3081868588924408, 0.5930618643760681, -0.21566124260425568, -0.03780676797032356, 0.021157916635274887, 0.5554367303848267, 0.8373448848724365, -1.4234484434127808, 0.3391413390636444, -0.9401696920394897, 0.5743225812911987, 0.42832738161087036, -0.749718964099884, 1.4023293256759644, -0.42470479011535645, -0.007660114672034979, 0.9350633025169373, 0.2685231864452362, -0.6901752948760986, 1.6428776979446411, 0.37342265248298645, -0.32990503311157227, -0.038509659469127655, 0.007684039417654276, 1.1643078327178955, 0.6361628770828247, -0.479059100151062, -0.015553188510239124, -1.1683249473571777, 0.14676783978939056, 1.2851567268371582, 0.06877434998750687, 0.6779623031616211, -0.1497434824705124, -0.013005808927118778, -0.21910402178764343, 0.4302445352077484, -0.5570729970932007, 0.6775963306427002, 0.11722810566425323, 0.6098199486732483, -0.19758319854736328, -0.4426748752593994, -0.8430941700935364, -0.08997055888175964, 0.9211475253105164, 0.10904434323310852, 0.9078153371810913, 0.6092761158943176, 0.37084469199180603, -1.2269325256347656, -2.3305888175964355, 0.42026159167289734, 0.08907493203878403, -0.2652431130409241, -0.8787999749183655, 0.7283570766448975, 0.2799040675163269, -0.07704108208417892, 0.3729291558265686, 0.13239280879497528, 0.6206696033477783, 0.9898614883422852, -2.0973691940307617, -1.144351840019226, 1.0332021713256836, 0.07092201709747314, -0.573305070400238, -1.201616644859314, 0.4289366602897644, 0.932491660118103, 1.3326002359390259, 0.3242022395133972, 0.6149563789367676, 0.15573082864284515, 0.01794910430908203, 0.4684358239173889, -0.6638743877410889, 0.2141207605600357, -1.464966058731079, -0.4688044488430023, -0.4864388406276703, -0.1491951048374176, 0.04928591847419739, 0.22460460662841797, -0.5619040131568909, -0.03529886528849602, 0.5224185585975647, -0.22669045627117157, -0.3451087772846222, -0.20364995300769806, -0.9883791208267212, -0.9358660578727722, 2.0438334941864014, -0.268344908952713, 0.3261740207672119, 0.9980287551879883, 0.05619997903704643, -0.3472297787666321, 0.3895314037799835, 0.35107144713401794, 0.9332098960876465, -0.8642098307609558, 0.26888415217399597, 0.04656857252120972, -0.04833994433283806, 0.12374937534332275, -0.49337002635002136, -0.5383603572845459, 0.37570807337760925, 1.1388128995895386, 0.6475718021392822, 0.1478077620267868, -0.45806118845939636, 0.49658042192459106, 1.3892242908477783, -1.150478720664978, -0.7699680328369141, -1.0187668800354004, 0.42731109261512756, 0.22690455615520477, -0.8953266143798828, -0.9089129567146301, -0.6390206813812256, 0.2859812378883362, 0.44031497836112976, -0.8271462917327881, -0.9448668956756592, 0.41285791993141174, 0.8316368460655212, -1.2289345264434814, -0.08450127393007278, 0.360025018453598, 1.1748884916305542, -0.7159327864646912, 0.7023016214370728, -0.3964325189590454, -0.4784105718135834, 0.8691396117210388, 0.15346762537956238, 0.09709229320287704, 0.24331824481487274, 0.2993410527706146, 0.11695491522550583, 0.6830195188522339, -0.22249914705753326, 0.6389195322990417, -0.7070541977882385, 0.889605700969696, 2.287651538848877, 0.9498406052589417, 0.24607214331626892, 0.47125643491744995, 0.0003102182818111032, 0.2119315266609192, 0.1580401360988617, -0.7377482056617737, -1.2903882265090942, -1.237707495689392, -0.05736081302165985, 0.2840941548347473, -0.38513413071632385, 0.043702632188797, 1.9257643222808838, 0.24535198509693146, 0.4554608166217804, -0.3920113444328308, -1.2749632596969604, 0.8679500222206116, 0.4281146228313446, 0.41481733322143555, 0.3511483371257782, 1.4615181684494019, 0.537093997001648, 1.637489676475525, -0.5058070421218872, 0.33803626894950867, -0.5103976130485535, 0.6212413311004639, 0.06286662817001343, -0.6077683568000793, -0.28466320037841797, -1.3006253242492676, 0.3713971674442291, -0.9191715121269226, -0.7712585926055908, 0.20674918591976166, -0.4937114417552948, -0.2887492775917053, -0.15402202308177948, -0.6008651256561279, 0.009616454131901264, 0.4874419569969177, 0.04431479051709175, -0.5534748435020447, 1.4146374464035034, -0.9665852189064026, 0.035628896206617355, 0.19555218517780304, -0.37900015711784363, -1.347251296043396, 0.18752044439315796, 0.3329739570617676, -0.4679562449455261, 0.9136900305747986, -1.129845142364502, -0.7568767666816711, -0.6499096155166626, 0.46353819966316223, 0.3619251847267151, -0.3116440773010254, -1.911768913269043, -0.0955127403140068, -0.6817765235900879, -0.9374716877937317, 0.45356351137161255, 0.19701285660266876, -0.8865313529968262, 0.45789629220962524, -0.486076295375824, -1.1329810619354248, 0.17874030768871307, -0.11846110224723816, 0.042569760233163834, -0.1749815046787262, -0.28727108240127563, 0.25173521041870117, 0.14627741277217865, -1.5171290636062622, -0.23679134249687195, -0.9461148977279663, 0.19143988192081451, 0.19463646411895752, -0.18734316527843475, -0.38928595185279846, -0.2511301338672638, 0.627838134765625, 0.3517003059387207, -0.27384862303733826, -0.627861499786377, -1.1005746126174927, -1.5130486488342285, 0.2489899843931198, 0.9053618907928467, 1.1182031631469727, -0.5560622811317444, -0.4535958468914032, 1.0386348962783813, 0.17553651332855225, -0.8056154847145081, -0.046044040471315384, 0.6408666372299194, 0.1718556433916092, -1.3819143772125244, -0.007915917783975601, 0.9046435952186584, 0.5720495581626892, 0.2910272479057312, -0.4150632619857788, 1.1456661224365234, 1.6167234182357788, -0.7934011220932007, -1.3410481214523315, 0.07848257571458817, 0.3409765958786011, -0.5766385793685913, 0.22043325006961823, 0.649088978767395, 0.4059102237224579, 1.1891772747039795, 0.048067979514598846, 1.0999083518981934, 0.0639883428812027, -0.1592319756746292, 0.9504403471946716, 0.26574668288230896, 0.3443726599216461, 0.037690676748752594, 0.04194660484790802, 0.4114047586917877, -0.6972631216049194, -0.6657236814498901, 0.7718111276626587, 1.1504708528518677, -0.8638085722923279, 0.2955207824707031, 0.7283825874328613, 0.5973476767539978, 0.24794921278953552, -0.39444905519485474, -0.0301743783056736, 0.35908928513526917, 0.36145851016044617, -0.23547403514385223, 0.24100886285305023, 0.6034097075462341, -0.012997162528336048, 0.14130932092666626, 0.7204533219337463, -0.815750002861023, -0.8321618437767029, -1.792582631111145, -0.8486047983169556, 0.47939568758010864, 1.005590558052063, 0.5771000981330872, 0.32864508032798767, -0.02278025448322296, 0.2616738975048065, -0.4694691300392151, -0.36595064401626587, -1.4240517616271973, 0.5062628984451294, -0.03590892255306244, -0.29563742876052856, 0.6725915670394897, -0.35927173495292664, 0.21854130923748016, -0.4859408140182495, -1.1658892631530762, 0.09324786067008972, -0.3448825478553772, -0.962009072303772, 0.5742008090019226, 0.32275301218032837, -0.28034383058547974, 0.9607638716697693, 0.5932886004447937, 1.8598201274871826, 0.47218942642211914, 0.21533894538879395, 0.6306525468826294, 0.05079237371683121, -0.4269310235977173, -0.4314378499984741, 0.11594954133033752, 0.10406222939491272, 0.9028210043907166, 0.7677887678146362, 0.6532480120658875, -1.0036600828170776, 0.2468809336423874, 0.3565710186958313, 1.0391987562179565, 0.3212748169898987, 0.19657878577709198, -0.16325923800468445, 0.2984217405319214, -1.1163502931594849, 0.5173733830451965, 1.2988290786743164, -0.33579495549201965, 1.8665562868118286, 0.8314927220344543, 0.5298382043838501, -0.4437122941017151, 1.014044165611267, 1.3282922506332397, 0.025274895131587982, -0.2017165869474411, -0.730597972869873, -0.7230471968650818, -0.49610400199890137, -0.5912401080131531, 0.5095629096031189, 1.5139998197555542, 0.6989991068840027, -0.5567820072174072, 0.47500795125961304, -0.04355506971478462, -0.9195201992988586, 0.30727633833885193, -0.6492339968681335, -0.4495813250541687, -0.05767183378338814, 0.5407294034957886, 0.17144785821437836, 0.523664653301239, -0.7976783514022827, 0.09129492193460464, -0.9853681325912476, -2.342264413833618, 0.12151052802801132, 0.3916107416152954, 1.0941262245178223, -0.832548201084137, 0.26528751850128174, -1.1182489395141602, -1.0584739446640015, 0.3458990752696991, -0.6155791878700256, 1.4847033023834229, -0.9852690696716309, 0.8351408243179321, -0.5355899930000305, -0.8001459836959839, -1.4279050827026367, 0.9071284532546997, -0.04660928249359131, 0.2229965329170227, -0.3304121792316437, -0.008977754972875118, -0.18013375997543335, 0.20527906715869904, 0.35332509875297546, 0.7903459072113037, -0.6069791316986084, -0.3352666199207306, 0.05706198140978813, 0.8310728669166565, -1.071423053741455, -0.3168503940105438, 0.6025709509849548, -0.9469544291496277, -0.673564612865448, 0.6094662547111511, -0.7147596478462219, -0.19732028245925903, 0.5354791283607483, 0.032483331859111786, -0.3538930118083954, -0.2164345234632492, -1.0417128801345825, 1.186535358428955, -0.15768280625343323, 0.3546108603477478, 0.17670705914497375, 0.8654935359954834, -0.7159398794174194, -0.1159580647945404, -0.6963157057762146, -0.2756451964378357, -0.6762844920158386, 0.9578707218170166, -0.9342037439346313, -0.08026694506406784, -0.9145370125770569, 0.6930349469184875, -0.1897049844264984, 0.3154955804347992, -0.34121403098106384, -0.4330929219722748, -0.3109545111656189, 0.7945196628570557, -1.0563268661499023, 0.44561776518821716, -0.15013794600963593, 0.1559671014547348, -0.7489862442016602, 0.10897357761859894, 0.5139645934104919, -1.71393620967865, 0.6008123755455017, 0.5038409233093262, -0.04033399000763893, 1.3574875593185425, -0.8322363495826721, -0.5773067474365234, 0.3651336431503296, -1.1529179811477661, 0.7429715394973755, 0.1627175211906433, 0.22029365599155426, -0.2545628249645233, -1.0032331943511963, 0.06389345973730087, 0.2465941458940506, -0.5091652870178223, 0.19991901516914368, -0.2856832444667816, 0.6662643551826477, -0.1635383814573288, -0.2562675476074219, -0.7126518487930298, -1.4076937437057495, -0.6797336935997009, 0.5685411691665649, 0.24763955175876617, -0.3728592097759247, -0.244175985455513, 0.39901793003082275, -0.4451651871204376, 0.2770287096500397, 1.151017189025879, -0.2808680534362793, 0.6217278838157654, 0.5172768235206604, 0.7368429899215698, 1.0928269624710083, 1.0272014141082764, -0.19559594988822937, 0.005450903903692961, -0.04810180142521858, 0.16924384236335754, -0.3690139353275299, -1.1643753051757812, -0.506267249584198, 0.11898297816514969, -0.8885800242424011, -0.6269629597663879, 1.2073756456375122, -0.42910364270210266, 0.33389389514923096, -0.23690710961818695, -0.3724163770675659, -0.4443523585796356, -0.0720444768667221, -0.5479793548583984, -0.34085413813591003, 0.5214099287986755, -0.7349234819412231, 0.5639362931251526, -0.13464923202991486, -0.7902564406394958, -0.8615557551383972, -0.07146326452493668, 0.15199486911296844, 0.5148282647132874, 2.3492560386657715, 0.2563285529613495, 0.7089143991470337, 0.6727921366691589, 0.5600431561470032, 0.2714325785636902, -0.3293955326080322, 0.5597131848335266, 1.200135350227356, 0.6740423440933228, -0.23508213460445404, 0.3380635976791382, -1.104971170425415, 0.5474611520767212, 1.4723681211471558, -0.9353366494178772, 0.7990841269493103, -0.16074471175670624, -0.0904042199254036, -0.2921123802661896, -0.02993670292198658, -1.0401978492736816, 1.1367236375808716, -0.4305395781993866, 2.186123847961426, -1.1388429403305054, -1.1978881359100342, 1.537312626838684, 1.440069556236267, 0.36127185821533203, 0.29071635007858276, 0.38558727502822876, -0.4845379889011383, 0.11335854977369308, -0.8974739909172058, -0.6058596968650818, 1.317368984222412, -0.9755179286003113, 0.7582351565361023, -0.138744056224823, -0.6038017868995667, 0.4396895170211792, 0.3044683635234833, 0.25084400177001953, -1.436123251914978, -0.9443917870521545, -1.717170000076294, 1.0436651706695557, 0.6093119978904724, -0.2710663974285126, -0.43300125002861023, 0.2194754034280777, 0.9151563048362732, -0.4908148944377899, 0.6003898978233337, -0.39963802695274353, -1.6753469705581665, -0.0482206717133522, -0.2270333170890808, -1.2173230648040771, 1.301309585571289, 0.3472813367843628, -0.41712498664855957, 0.9326189756393433, -0.33303236961364746, 1.187696933746338, 0.017345363274216652, 0.6316884756088257, 0.3926345705986023, 1.1833887100219727, -0.6708173751831055, -0.19280771911144257, -0.5846618413925171, -0.2461693286895752, 4.631728649139404, 1.507392406463623, -0.03338906541466713, -1.8306983709335327, 0.26836028695106506, -0.5770084857940674, 0.30140185356140137, -0.10454273968935013, 0.4506736397743225, 0.33652016520500183, 0.6508563756942749, 0.6398584246635437, 0.3555229902267456, -0.20960736274719238, 0.44206565618515015, 0.8979955911636353, -0.4002058506011963, -0.7372268438339233, 0.7050397396087646, 0.5623500943183899, 0.5061725974082947, -0.02283068746328354, -0.7514539957046509, -1.055092692375183, 0.6913617849349976, -0.46222227811813354, 0.545413613319397, -0.9236558079719543, 1.1470880508422852, -0.06867462396621704, -0.518954336643219, 0.8210945725440979, 0.5232981443405151, 0.41990047693252563, 0.5212103724479675, 0.30328458547592163, -0.6609113812446594, -0.30987271666526794, -0.4301004111766815, 0.845436692237854, 0.35811278223991394, -0.17068777978420258, -0.3551620543003082, -0.3313949406147003, -0.864619255065918, -0.37295183539390564, -0.747204601764679, -0.8656293749809265, 0.06875891238451004, -0.5863566994667053, 0.1825549155473709, 0.22562913596630096, -0.598634660243988, -1.1381547451019287, -1.0140273571014404, 0.7466816306114197, -0.09560786187648773, -0.7504672408103943, -0.8969444632530212, -0.4465238153934479, 0.07546325773000717, -0.22837822139263153, 0.9520840048789978, 0.6780079007148743, 0.8039101958274841, 0.5424381494522095, -0.6621565818786621, 2.211862325668335, 0.48576819896698, -0.6125350594520569, -0.8188893795013428, 0.40947210788726807, -0.4718729257583618, -0.7692924737930298, -0.5681728720664978, 1.162229061126709, -0.5443648099899292, 0.46951717138290405, -1.0576335191726685, -0.5985852479934692, -0.7685322165489197, 0.6869494318962097, -0.19469484686851501, 0.04570796340703964, -0.2546367347240448, -0.4439419209957123, -0.0007679792470298707, 0.581174910068512, 0.2743142247200012, 0.16747558116912842, 0.5096184015274048, 1.6153262853622437, 0.8212332129478455, -0.8612654209136963, 0.3581550717353821, 0.7747092843055725, -1.210736870765686, -0.05112616717815399, -0.723576009273529, 1.2499418258666992, 0.629021167755127, -0.4492253363132477, -0.2845785915851593, 1.2407937049865723, -0.863730251789093, -0.04996928200125694, 0.8426663875579834, -1.6578350067138672, -0.47324541211128235, 0.10196775943040848, 1.0427521467208862, -1.0924302339553833, -0.5697160959243774, -0.821195125579834, -0.33783113956451416, -0.19593393802642822, -0.5859425663948059, -0.45906540751457214, 0.8598132133483887, -0.6990728378295898, 0.3055476248264313, 0.11217822134494781, 0.29839321970939636, -0.18764011561870575, -0.3359312415122986, 0.18077285587787628, -0.3945031762123108, 0.42282024025917053, -0.4580589234828949, -0.09886141866445541, 0.626136302947998, 0.042690783739089966, 0.20744797587394714, 0.6125333905220032, -0.8108341097831726, -0.3795219659805298, 0.08265481144189835, 0.6401767134666443, -0.7196477651596069, 0.0982806384563446, 0.05904369801282883, -0.4649396538734436, -0.8721577525138855, 1.4442768096923828, -0.5895432233810425, -0.29440104961395264, 0.650374710559845, -0.23854030668735504, 0.9892235994338989, 0.42365604639053345, -0.4767838418483734, 0.049017295241355896, 0.4497789442539215, 0.8133559823036194, 0.4695202112197876, -0.3313598930835724, -0.2184855192899704, 0.017076946794986725, 0.2576359212398529, 0.4665272533893585, 0.6705436706542969, 1.0043708086013794, 0.11196299642324448, -0.32552459836006165, -0.2030946910381317, 0.0271820779889822, -0.5686783790588379, 0.5790047645568848, -0.8071433901786804, 0.8630767464637756, 0.7286261320114136, -0.2539372444152832, 0.7468666434288025, 0.8422235250473022, -1.4148162603378296, -0.3757820129394531, -0.5834171772003174, -0.02288048341870308, 0.6547888517379761, -0.674136757850647, 0.8252187371253967, 1.3425599336624146, -1.7496763467788696, 0.3425515294075012, -0.5389609336853027, -0.9193183183670044, -1.0935624837875366, 0.389346182346344, 0.29086315631866455, 0.29753226041793823, -0.12405440956354141, -0.21275264024734497, 0.6155399680137634, 0.09396837651729584, -0.756252646446228, -0.6172768473625183, 0.0793839767575264, 0.7016730904579163, -0.2286674976348877, -0.3293846547603607, 0.9209367632865906, -1.336899757385254, -1.15724778175354, -0.25695550441741943, -1.350749135017395, -0.22746531665325165, -0.020344657823443413, 1.0410715341567993, 0.10320477187633514, 0.8387393951416016, -0.012046328745782375, -0.12140634655952454, -0.043974343687295914, -0.8258653879165649, 0.4624943435192108, 0.6123710870742798, -0.5710689425468445, 0.20362195372581482, 0.6123012900352478, 0.23393025994300842, -1.1531689167022705, 0.7499504685401917, -0.8407952785491943, 0.04931379109621048, -0.004006463102996349, -0.15544699132442474, 0.303022176027298, -1.4302085638046265, 0.18796898424625397, -1.1088292598724365, 0.7089318633079529, 0.10671837627887726, 0.24523629248142242, 0.3381514549255371, -0.03712927922606468, -0.30448418855667114, -0.22213336825370789, -0.028763137757778168, 0.10393843054771423, -0.9805867075920105, -0.8504822254180908, -0.17447569966316223, -0.573016881942749, 1.1937541961669922, 0.25533604621887207, -0.1385532170534134, -0.853442370891571, 1.0303970575332642, -0.16203759610652924, -0.5961426496505737, -0.6077044010162354, -0.4302254021167755, 0.7919397950172424, -0.10604724287986755, 1.4193991422653198, 1.4658235311508179, 0.6899032592773438, -0.9590787291526794, -1.046057939529419, -0.020050685852766037, 1.3656947612762451, 0.6686866283416748, -0.30667364597320557, -0.13440996408462524, -0.953546941280365, 0.3238617181777954, -0.09235461801290512, 0.9370181560516357, -0.12780460715293884, -0.7097339630126953, -0.2028380185365677, 0.0810304656624794, -0.08595766872167587, 0.5377013087272644, 0.6099211573600769, 0.7145983576774597, 0.6169793009757996, 0.40583544969558716, -0.8068243861198425, -0.14569438993930817, -0.491367369890213, 0.32763808965682983, -0.5062316060066223, 1.393706202507019, -0.08957092463970184, -0.12959888577461243, 0.2512151598930359, -0.08859293907880783, -1.5352473258972168, 1.33345365524292, 0.4019337296485901, 0.23679381608963013, -0.6757424473762512, -0.5600435733795166, 1.2294883728027344, -1.2272403240203857, -0.7520058751106262, 0.39736154675483704, -0.21960845589637756, 0.04331561177968979, 0.6754939556121826, 0.14077332615852356, 0.572545051574707, 0.3036476969718933, 0.8189605474472046, -0.5741952657699585, -0.217626690864563, 0.7846028208732605, 0.8312778472900391, 0.776530921459198, -0.11753463745117188, 0.0037491004914045334, 0.6169638633728027, -0.905026912689209, 1.0755724906921387, 0.14924204349517822, 0.0654815062880516, 0.5966829061508179, 0.27000653743743896, 0.037085678428411484, -0.8604714274406433, -1.275350570678711, -0.06806602329015732, -0.05380710959434509, 1.3675495386123657, -0.5381898283958435, 0.18361295759677887, -1.0504826307296753, -0.11466046422719955, -0.7049610018730164, -0.21516196429729462, -0.10774829983711243, 0.8900550603866577, -0.20134098827838898, -0.28028759360313416, -1.168048620223999, -0.20975393056869507, 1.6098966598510742, -1.176048755645752, -0.07143663614988327, -0.06679356843233109, 0.5782036185264587, 0.24371282756328583, -0.6014071106910706, -1.6027871370315552, -0.5214092135429382, 0.539223849773407, 0.241242453455925, -0.5382136106491089, -1.1970841884613037, -0.9791940450668335, 0.05862495303153992, 1.18776535987854, -0.06861072778701782, -0.4180131256580353, -1.2229918241500854, 0.027988502755761147, 0.6414186954498291, 0.5934545397758484 ] ]
{ "indices": [ 873986903, 2853636491, 3585065519, 2617446757, 3254163991, 3608879611, 2764928117, 2874966370, 691409538, 28501148, 629871972, 639386442, 3875167354, 4146668087, 3471378517, 2877535289, 647928480, 2257684172, 1704236722, 3172858508, 1438597426, 3226812640, 4186256544, 2673623443, 997012898, 2067848296, 1491351846, 1404934148, 3928038441, 1041011349, 1042626614, 1960040400, 1230423685, 1463597126 ], "values": [ 0.45449725721703593, 0.7142460396515701, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.7691962589732724, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.7142460396515701, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593 ] }
{ "context": "And so IR11 is little n. IR10 is little z. And IR9 is little p. Yeah. That's part of the instruction. The IR is the instruction. Yes. So no, I take it back. Branch enable, one reason for writing it in decode is it's ready to go when you execute the, when you get into the branch execution. If it's not a branch, you don't need to look at it. So it's just like the mux selection bits. If you can calculate it, then you just ignore it." }
741097
[ [ 0.21049560606479645, -0.6384726762771606, 0.5537416934967041, 0.2573592960834503, 0.6761969327926636, -0.2604176104068756, -0.8824172616004944, 0.8205387592315674, 0.7802894115447998, -0.10273449867963791, 0.6988322138786316, 0.37090063095092773, 0.5855590105056763, 0.38336053490638733, 0.29629531502723694, -0.18794529139995575, 0.7436698079109192, -0.5812012553215027, -0.12741637229919434, -0.5070957541465759, 0.8278070092201233, 0.07968558371067047, -0.09902197867631912, -0.19742846488952637, -0.9420382976531982, 0.9152939915657043, 0.14762793481349945, -0.7254955172538757, 0.2643703818321228, 0.6486105918884277, -0.580640435218811, -1.3243941068649292, 0.7588649392127991, -0.7217333316802979, 1.5617496967315674, 0.582140326499939, 0.1654166728258133, -0.24537257850170135, 0.4409961402416229, 0.7187475562095642, -0.5321885943412781, 0.3970299959182739, -0.22717022895812988, 0.6401231288909912, -1.0600371360778809, 0.32325658202171326, -0.062017250806093216, 0.12199999392032623, 1.1176531314849854, -0.5782359838485718, 0.8912814259529114, -0.5369454622268677, 0.6499971747398376, 0.20691293478012085, 0.4291325807571411, 0.8435587882995605, 0.7725968956947327, 1.6721088886260986, 0.3428579866886139, -1.2002553939819336, -0.058244433254003525, -0.4739099442958832, -0.002748385537415743, -0.6201323866844177, -0.1823480874300003, -0.03881502151489258, -0.43468907475471497, -1.1009987592697144, 0.707489013671875, 0.06870251893997192, -0.3262183368206024, 0.20895084738731384, 0.7618568539619446, 0.22638815641403198, 0.5532053709030151, -0.6473744511604309, 0.04296911880373955, 0.24812869727611542, 0.6724331974983215, 0.7816583514213562, -0.8424230217933655, -0.016984865069389343, -0.519274890422821, -0.027521375566720963, 0.5417118072509766, -0.9103033542633057, 1.31997811794281, -0.6951152086257935, 0.5962933897972107, 0.6611054539680481, 0.3609454929828644, -0.33002904057502747, 1.7910460233688354, -0.07732190936803818, -0.34421461820602417, -0.008392060175538063, 0.7681391835212708, 1.3830949068069458, -0.03855809196829796, -0.887666642665863, 0.5202192664146423, -0.981550931930542, -0.3499458134174347, 1.9842761754989624, 0.1365310102701187, 0.20597265660762787, -0.051367830485105515, -0.6439863443374634, -1.1121385097503662, 0.28946080803871155, -0.7654430270195007, 1.1454354524612427, -0.567949652671814, 0.7803738713264465, 0.16422142088413239, -0.47455528378486633, -0.5574136972427368, -0.5109304189682007, 1.0642049312591553, 0.7942662835121155, 0.8032107949256897, 0.2870079576969147, 1.044899582862854, -0.4040878415107727, -1.6160264015197754, 0.8143061995506287, 0.46475332975387573, 0.16416530311107635, -0.7139458656311035, 0.585966944694519, 0.45019614696502686, 0.7628798484802246, -0.06110979989171028, 0.06427808851003647, 0.03481651470065117, 0.5786659121513367, -1.8529438972473145, -1.216394066810608, 1.148921251296997, 0.1574733406305313, -0.13229256868362427, -2.1366055011749268, 0.22717618942260742, 0.7566112875938416, 1.4187241792678833, 0.7195241451263428, 0.2888509929180145, 0.3840465247631073, -0.5774157643318176, 0.25835615396499634, -0.5446817278862, 0.17664016783237457, -1.2056392431259155, -0.026041660457849503, -1.0132983922958374, -1.4564049243927002, 0.008629835210740566, -0.30832093954086304, -0.2963676452636719, 0.2488175332546234, 0.5953804850578308, -0.5895945429801941, 0.03411814570426941, -0.16841576993465424, -1.001397728919983, -1.2472047805786133, 1.434246301651001, -0.2848428189754486, 0.3945808708667755, 1.1603150367736816, -0.05860528349876404, 0.27199554443359375, 0.01737343519926071, 0.151896670460701, 1.2582635879516602, -0.4211215376853943, 0.42155152559280396, -0.34880194067955017, -0.10339368134737015, 0.23721927404403687, 0.11092321574687958, -0.659644365310669, -0.2555704414844513, 1.1178888082504272, 0.4601214826107025, 0.14551839232444763, -0.5709643959999084, -0.4634099006652832, 0.7949236035346985, -1.0630736351013184, -0.5403030514717102, -0.8267258405685425, 0.8760454654693604, -0.10863170027732849, -0.5456598401069641, -0.5098394751548767, -0.6980578899383545, -0.34865960478782654, 0.8206897377967834, -0.6043502688407898, -0.5754775404930115, 0.5519368052482605, 0.4857299029827118, -0.737282931804657, -0.06662125885486603, 0.978256106376648, 1.3314038515090942, -0.33249783515930176, 0.6958940625190735, -0.5390549898147583, -0.5254311561584473, 0.562103807926178, -0.1383833885192871, 0.27522167563438416, 0.5436857342720032, 0.12289267778396606, 0.28121718764305115, 0.28022927045822144, -0.19880641996860504, 0.03989185392856598, -1.4731411933898926, 0.9547212719917297, 1.7370972633361816, 0.8669554591178894, 0.42526620626449585, 0.3302614688873291, -0.4663518965244293, -0.40693140029907227, 0.2597939968109131, -0.6401875615119934, -0.46504920721054077, -1.1675751209259033, 0.224943146109581, 0.2278696894645691, 0.07079356163740158, -0.2529892027378082, 1.6856629848480225, 0.7373098134994507, 0.8976820111274719, 0.665289580821991, -1.192492961883545, 0.8350564241409302, 0.1392732560634613, 0.2941671907901764, 0.10145781934261322, 1.399379849433899, 0.1314246505498886, 0.964232325553894, -0.20529276132583618, -0.06554429233074188, -0.6482058167457581, 0.8480837941169739, 0.3773204982280731, -0.8447130918502808, 0.8204897046089172, -1.100214958190918, 0.14134599268436432, -1.138499140739441, -0.40844210982322693, 0.8271592855453491, -0.20264413952827454, -0.05703936889767647, -0.9014279842376709, -0.6065991520881653, 0.16028405725955963, 0.14670206606388092, -0.1526632457971573, -0.6582103967666626, 0.7793338894844055, -0.21503712236881256, 0.5104264616966248, 0.7761369347572327, -0.4073043763637543, -0.32113829255104065, 0.05876646563410759, 1.1365782022476196, -0.34315940737724304, 1.0282200574874878, -0.833447277545929, -0.369831383228302, 0.11565455049276352, 0.14868833124637604, 0.43910691142082214, -0.3690580129623413, -1.6824032068252563, 0.7583587765693665, -0.5035986304283142, -0.8355162739753723, 0.5995731353759766, 0.09235081076622009, -0.9893580079078674, 0.43838629126548767, -0.2355424463748932, -0.730960488319397, 0.08541393280029297, 0.46828290820121765, -0.024231186136603355, 0.09503428637981415, -0.08920320868492126, 0.5323548913002014, -0.2190544456243515, -1.1141525506973267, 0.20331725478172302, -1.3632832765579224, -0.6104605197906494, 0.17638061940670013, -1.2676444053649902, -0.40132758021354675, -0.8828573822975159, 0.1426328867673874, -0.20494258403778076, -0.6001784205436707, -0.25974684953689575, -1.2236870527267456, -1.2489100694656372, 0.15408232808113098, 0.22171378135681152, 1.1186771392822266, -0.2162199318408966, -0.6278712749481201, 1.5276952981948853, 0.8992801308631897, -0.5582860112190247, -0.3398435115814209, 0.14399315416812897, -0.19268861413002014, -0.6091046333312988, -0.020129527896642685, 0.5416208505630493, 0.3351413309574127, 0.03258033096790314, -0.7067672610282898, 0.9012634754180908, 1.1906006336212158, -0.005567493382841349, -0.8107686042785645, 0.17359714210033417, 0.04837504401803017, -0.8054277896881104, -0.10071620345115662, 0.7426639199256897, 0.36670681834220886, 0.7340669631958008, 0.5950232744216919, 0.836804211139679, 0.3357553780078888, -0.6579288244247437, 0.4722818434238434, 0.11019133776426315, 0.46886366605758667, -0.08556000143289566, -0.0825011357665062, -0.1666484773159027, -0.37716224789619446, -1.2488282918930054, 0.36168473958969116, 1.0097200870513916, -0.9121881127357483, 0.2283082753419876, 0.861596941947937, 0.9118070602416992, -0.3877119719982147, -0.3432128429412842, -0.7328773736953735, 0.03573749586939812, -0.0009095614077523351, -0.47121289372444153, -0.2066340148448944, 0.9903050065040588, 0.15878665447235107, 0.5838993191719055, 0.6410492062568665, -0.23897908627986908, -0.4106598496437073, -1.5897873640060425, -0.8388115167617798, 0.08499889075756073, 0.6941854953765869, 0.4906778037548065, 0.7534334063529968, -0.04337016120553017, 0.06733226776123047, -0.0018042122246697545, -0.22888974845409393, -1.6594579219818115, 0.042541589587926865, 0.6211201548576355, 0.22417880594730377, 0.26815032958984375, -0.45327097177505493, 0.10538162291049957, -0.12054197490215302, -0.9308348894119263, -0.37069499492645264, 0.2185811847448349, -1.0898096561431885, 0.143645241856575, 0.07932442426681519, -0.03376324847340584, 0.3529794216156006, 0.4103802740573883, 1.8399468660354614, 0.9172423481941223, 0.31956392526626587, -0.005191714037209749, 0.10849643498659134, -0.8622158765792847, -0.1500813066959381, 0.7379942536354065, 0.7404520511627197, 0.4927487373352051, 1.13752281665802, 0.8769207000732422, -0.6451941132545471, 0.1931968331336975, 0.18934036791324615, 1.0458277463912964, 0.4003486931324005, 0.3871447741985321, -0.1903989315032959, -0.676654577255249, -0.43091896176338196, -0.03842802718281746, 0.9991340637207031, -0.5763222575187683, 1.253643274307251, 0.6725831627845764, -0.12617985904216766, 0.21777461469173431, 1.3003920316696167, 1.2034236192703247, 0.23003944754600525, -0.30136340856552124, -0.8169097304344177, -0.4007070064544678, -0.1100335344672203, 0.006059370003640652, -0.062213171273469925, 0.5567950010299683, 0.7085049152374268, -0.6933765411376953, 0.22453191876411438, 0.07301129400730133, -1.7023321390151978, 0.6385880708694458, -0.5541224479675293, -0.5344573259353638, -0.4733733832836151, 0.770507276058197, 0.47252675890922546, 0.4644991159439087, -0.32285022735595703, 0.37477830052375793, -0.7604490518569946, -2.4009158611297607, -0.5573281645774841, 0.3478364646434784, 0.25642523169517517, -0.8997208476066589, 0.21076254546642303, -0.5276461243629456, -0.7017682790756226, 0.5460358262062073, -0.7946784496307373, 0.8425648212432861, -0.4665985703468323, 0.005878106690943241, -0.1550023853778839, -1.7026290893554688, -0.8443103432655334, 0.8460314869880676, -0.15441954135894775, 0.4757295548915863, -0.1375398337841034, -0.16051176190376282, 0.1494234949350357, 0.9516959190368652, 0.4583248198032379, 0.2638707160949707, -0.6316872239112854, -0.6890231370925903, 0.0631314143538475, 0.5138381123542786, -1.1612217426300049, -0.7241535186767578, 0.4650256037712097, -1.314247727394104, -0.5775173902511597, 0.7260782122612, -0.5131180882453918, -0.20545899868011475, 0.4822644889354706, -0.15171261131763458, -0.8537560701370239, -0.5394271016120911, -1.185638427734375, 0.7459405660629272, -0.5434011816978455, 0.8071065545082092, 0.42060449719429016, 0.4643368721008301, -0.46765220165252686, -0.014576229266822338, -0.0204642191529274, 0.3647981882095337, -0.38717174530029297, 0.6954339146614075, -0.9181649684906006, -0.35844892263412476, -0.7558379173278809, 0.931868851184845, -0.5262318849563599, 0.015475019812583923, 0.14097122848033905, 0.07327855378389359, -0.018425431102514267, 1.1414090394973755, -1.029809832572937, 0.3400789797306061, 0.11531651020050049, 0.1895054578781128, -0.7066975235939026, 0.3429560959339142, 0.36774829030036926, -1.447912335395813, 0.4110471308231354, 0.7733503580093384, 0.38136035203933716, 0.5945644378662109, -0.46867430210113525, -0.07370393723249435, 0.3736061155796051, -1.0645724534988403, 1.369663119316101, 0.4862554669380188, 0.766747236251831, 0.09522692859172821, -0.8341667652130127, -0.47620800137519836, 0.8207801580429077, -0.26592183113098145, 0.1505841314792633, -0.09362789243459702, 0.8425672650337219, 0.8918876051902771, -0.014249961823225021, -0.637069821357727, -1.2111878395080566, -0.40634116530418396, 0.4965479075908661, -0.0011618129210546613, -1.2284432649612427, -0.04948531091213226, 0.09061267226934433, -0.8940079808235168, 0.730752170085907, 1.4446648359298706, 0.08955720067024231, 0.1279001235961914, 0.32718655467033386, 0.04098055884242058, 1.3261946439743042, 0.556869387626648, 0.5877283215522766, -0.2034243643283844, -0.11695084720849991, -0.783811628818512, -1.0139381885528564, -1.0569205284118652, -0.4796385169029236, -0.27807822823524475, -0.7874177694320679, -0.4944732189178467, 0.7414612770080566, 0.2179538458585739, 0.4057008922100067, 0.1705137938261032, -1.0749554634094238, -0.3816075325012207, -0.7842962145805359, -0.8542855381965637, -0.2250089794397354, 0.1661974936723709, -0.01809619553387165, 0.3355168402194977, 0.5323163866996765, -0.873435914516449, -0.17453183233737946, 0.26623815298080444, 0.15436725318431854, 0.2334183007478714, 1.8969987630844116, 0.7949984073638916, 0.386928915977478, 1.0127544403076172, 0.6525321006774902, 0.7494354844093323, -0.625194787979126, 0.47402915358543396, 0.47105032205581665, 0.24645428359508514, -0.3241143524646759, -0.13537158071994781, -1.0804307460784912, 0.3739827275276184, 0.9694541096687317, -0.7743830680847168, 0.6108226180076599, -0.18203207850456238, 0.291423499584198, -0.08756132423877716, 0.7096779346466064, -0.6886154413223267, 0.6825796365737915, -0.2972445785999298, 1.7128371000289917, -1.1839579343795776, -0.6532627940177917, 1.572787880897522, 0.7362154126167297, 0.6423773169517517, 0.2547059953212738, 0.6790883541107178, -0.2095821648836136, -0.610370397567749, -0.614784836769104, -0.6874929070472717, 1.0735774040222168, -2.101585626602173, 0.9335286617279053, 0.10486118495464325, -0.34408727288246155, 0.40178629755973816, 0.6581981182098389, -0.08327373117208481, -1.1292009353637695, -0.6652190685272217, -1.1931309700012207, 0.2866949737071991, 0.5755130052566528, -0.3173559308052063, -0.01925606094300747, -0.5291125774383545, 0.5178494453430176, 0.04789549484848976, 0.8614429831504822, -0.6527040004730225, -1.8279078006744385, -0.28902074694633484, -0.6498040556907654, -1.2080005407333374, 1.646993637084961, -0.0746239721775055, -0.5429911613464355, 0.8181040287017822, -0.36656907200813293, 0.9040895700454712, 0.46659913659095764, 0.5200664401054382, 0.0035278669092804193, 1.3215363025665283, -0.437582790851593, -0.17912250757217407, -0.3623412251472473, -0.9856086373329163, 5.123970985412598, 1.1994682550430298, 0.07269340753555298, -2.046268939971924, 0.15548332035541534, -0.7977391481399536, -0.13987581431865692, -0.3405131697654724, 0.26207342743873596, -0.2991732358932495, 0.8807327151298523, 1.1696842908859253, 0.5616036653518677, 0.10874787718057632, 0.7944033145904541, 0.6960878968238831, -0.39410603046417236, -0.795060932636261, 1.0509668588638306, -0.09318970888853073, 0.04213832691311836, -0.4887308180332184, -1.1818513870239258, -1.187445044517517, 1.2596955299377441, 0.49384552240371704, 0.7522209882736206, -0.600037157535553, 1.1484887599945068, 0.13523447513580322, -0.2700074315071106, 0.8905875086784363, 0.47119587659835815, 1.0758180618286133, 0.3702794313430786, 0.35757097601890564, -0.19379711151123047, -0.569114089012146, -0.4122166037559509, 0.2192908376455307, 0.20878350734710693, -0.19841410219669342, -0.4521510601043701, -0.603222131729126, -1.463038444519043, -0.011522173881530762, -0.5137813687324524, -0.7065727114677429, 0.5494937896728516, -0.17800791561603546, -0.23098894953727722, 0.46927088499069214, -0.5005865693092346, -0.8297085165977478, -0.586938202381134, 0.6298412084579468, 0.4852771461009979, -0.3501269817352295, 0.11348730325698853, -0.4407598376274109, 0.6342089772224426, -0.277496337890625, 0.49752622842788696, 0.2557404935359955, 0.5291494131088257, -0.17427650094032288, 0.17745161056518555, 2.100280523300171, -0.23939520120620728, -0.5125622153282166, -1.0856761932373047, 0.39141714572906494, -0.06495422124862671, -0.33879899978637695, -0.5463920831680298, 1.3984155654907227, -0.4552142918109894, 0.4069282114505768, -0.7473340034484863, -0.5442096590995789, -1.4190552234649658, -0.03512321785092354, -0.6289193034172058, 0.44369906187057495, -0.6085558533668518, -0.8765888214111328, -0.47363337874412537, 0.29306453466415405, 0.1884990632534027, 0.04433708265423775, 0.47773611545562744, 1.0209109783172607, 0.8600418567657471, -0.755774974822998, 0.0786915123462677, 0.16668476164340973, -1.9313578605651855, -0.07172980159521103, -1.1573173999786377, 1.1437535285949707, 0.3966641426086426, -0.4764527976512909, -0.2356773018836975, 0.7596811056137085, -0.7102279663085938, -0.02848004549741745, 0.7863739132881165, -0.7325602173805237, -0.013385233469307423, -0.12741610407829285, 0.9213732481002808, -1.488495945930481, -0.9043699502944946, -0.29501134157180786, -0.17591138184070587, -0.14950992166996002, -0.26389628648757935, -0.49114251136779785, 0.09428868442773819, -0.5098524689674377, 0.48506733775138855, 0.31327584385871887, 0.6348105669021606, -0.6212100982666016, -0.48158061504364014, 0.08888357877731323, -1.0008796453475952, -0.33197450637817383, 0.2513362765312195, -0.8137255311012268, 0.36632129549980164, 0.3158876895904541, -0.11491107940673828, 0.33618783950805664, -0.9567906260490417, 0.16584138572216034, 0.0970931351184845, 0.32493269443511963, -1.0662271976470947, -0.006556669715791941, 0.6553837060928345, 0.053137484937906265, -0.6593750715255737, 1.3348191976547241, -0.27015766501426697, -0.011264735832810402, 0.10661399364471436, 0.15805760025978088, 1.0165190696716309, 0.7985532283782959, -1.1988521814346313, -0.13191303610801697, 0.1420142650604248, 0.48641833662986755, 0.5094295144081116, 0.3357430696487427, -0.15083007514476776, -0.12904725968837738, -0.2321186363697052, 0.2441740185022354, 0.41686949133872986, 0.8936971426010132, -0.32020556926727295, -0.9238612651824951, -0.2699984908103943, -0.19874291121959686, -0.3150518834590912, 0.7091396450996399, 0.03243741765618324, 0.31914398074150085, 0.48473602533340454, -0.4351232051849365, -0.188179612159729, 0.6558318734169006, -1.1286020278930664, -0.2838449776172638, -0.09144112467765808, 0.6741345524787903, 0.7441772818565369, -0.7811618447303772, 0.019080551341176033, 0.41253581643104553, -1.4496943950653076, 0.6103383302688599, 0.03818415477871895, -0.867641031742096, -0.49008530378341675, 0.9231908321380615, -0.03386160358786583, 0.3834991455078125, 0.20804978907108307, -0.5014892816543579, 0.7707728743553162, 0.2044053077697754, -0.7189853191375732, -0.45930755138397217, 0.4825080931186676, 0.8867769837379456, -0.02187218889594078, -0.2232997864484787, 0.48014986515045166, -0.5337655544281006, -1.0730178356170654, -0.2416832000017166, -1.5389190912246704, 0.7459917664527893, 0.37411198019981384, 1.4267432689666748, 0.13205359876155853, 0.635275661945343, -0.42762356996536255, 0.2096342295408249, -0.4009052813053131, 0.08356720209121704, 0.17242804169654846, 0.819258987903595, -0.6155017614364624, -0.35439470410346985, 0.02088557370007038, 0.039615437388420105, -0.6310262680053711, 0.21175023913383484, -1.7349034547805786, 0.036332178860902786, 0.2992229461669922, 0.06223586946725845, 0.24205812811851501, -0.7696189880371094, -0.07472912967205048, -1.1392710208892822, 0.22285082936286926, 0.10382306575775146, 1.0146821737289429, 0.22728636860847473, 0.05763815715909004, -0.5223709940910339, -0.49806472659111023, 0.6641826033592224, 0.5634797215461731, -1.2754775285720825, -0.9094363451004028, -0.1773272305727005, -0.10901577770709991, 0.8403914570808411, 0.5708020329475403, -0.026569411158561707, -0.7383050918579102, 0.5630612969398499, -0.3900112509727478, 0.04804582893848419, -0.7818796038627625, -0.006265431176871061, 0.6810328364372253, -0.5445953607559204, 0.7366709113121033, 0.624122679233551, 0.8884238600730896, -0.5814037919044495, -1.0192558765411377, -0.5264710783958435, 0.8147411942481995, 0.6360992193222046, -0.3001262843608856, 0.4721071720123291, -1.8161380290985107, 0.1433066427707672, 0.5029138326644897, 0.4563738703727722, -0.022029399871826172, -0.0896729975938797, -0.7800530195236206, 0.2860342264175415, 0.573764979839325, 0.0827275887131691, 0.4762902557849884, 0.6147167086601257, 0.7461602687835693, -0.03787549212574959, -0.369162917137146, -0.18110692501068115, 0.2330699861049652, 0.9769322276115417, 0.2609805762767792, 1.4048174619674683, -0.45414072275161743, 0.24612683057785034, 0.10405959188938141, -0.384727418422699, -1.221854329109192, 1.4376025199890137, 0.4291473925113678, -0.09647593647241592, -0.9107236266136169, -0.5120624899864197, 1.4419633150100708, -1.4660241603851318, -0.042581234127283096, 0.9105476140975952, -0.07995491474866867, -0.05900174751877785, 0.43029090762138367, 0.21672312915325165, 0.3350379467010498, 0.5809469223022461, 0.9207223653793335, -0.8772662878036499, -0.8712157607078552, -0.12736137211322784, 0.7852948904037476, 0.5439730286598206, -0.26417794823646545, 0.7733854651451111, 0.850386381149292, -0.30829325318336487, 1.6985416412353516, 0.004981459118425846, 0.40820756554603577, 0.17935115098953247, 0.31731247901916504, 0.4998096525669098, -0.7030733227729797, -0.6966109871864319, -0.10059014707803726, -0.306651771068573, 1.4971674680709839, -0.8033754825592041, 0.22211365401744843, -0.7502537965774536, 0.44008368253707886, -0.5751732587814331, -0.1286286860704422, -0.5369390845298767, 0.04513196274638176, -0.2136359065771103, -0.22966109216213226, -1.2901890277862549, 0.27105942368507385, 1.0478792190551758, -1.358647346496582, -0.2934718132019043, -0.33095693588256836, 0.015680648386478424, -0.2115226686000824, -0.32688623666763306, -1.670249342918396, -0.9416915774345398, 1.0703259706497192, 0.2463676482439041, -0.5389622449874878, -0.8410905003547668, -1.2965399026870728, -0.07586226612329483, 1.5007333755493164, -0.3924545347690582, -0.09304806590080261, -0.4641629457473755, 0.02578246220946312, 0.045633163303136826, 0.40160688757896423 ] ]
{ "indices": [ 691409538, 3928038441, 1041011349, 1042626614, 1960040400, 1230423685, 1463597126, 2067848296, 3713535024, 1063320047, 3741174264, 1260586891, 1745114270, 2877535289, 647928480, 629871972, 640124220, 3688822001, 3490935618, 1866681274, 2874966370, 3174900812, 2691201840, 763520878, 989116115, 448220673, 1523929128 ], "values": [ 0.7400676094394503, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.791501851540453, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813 ] }
{ "context": "So it's just like the mux selection bits. If you can calculate it, then you just ignore it. It doesn't matter. So you're actually, the LC3 is in fact calculating the branch enable for all instructions, but only using it for branch. And it'll just be garbage for the rest of them. It doesn't matter. Yeah. Yeah, it's in the control block. It doesn't appear in the data path. That's why I didn't highlight it for you." }
405652
[ [ 0.47886866331100464, -0.7575112581253052, 0.30833926796913147, 0.11640632897615433, 0.4331371486186981, -0.3136586844921112, -0.5553759336471558, 0.7927936315536499, 0.9788991808891296, -0.9383049011230469, 0.7665195465087891, 0.702093243598938, 0.37584617733955383, 0.5560011863708496, 0.3387702703475952, -0.4575066566467285, 0.7299091815948486, -0.42067477107048035, -0.1647089719772339, -0.18423672020435333, 1.0804716348648071, 0.09893312305212021, -0.38288065791130066, -0.16407963633537292, -0.5887396931648254, 1.0805379152297974, -0.1116393581032753, -0.7791712880134583, 0.26225048303604126, 0.8675785064697266, -0.5736668705940247, -1.3760751485824585, 0.766757607460022, -0.5119491815567017, 1.7458187341690063, 0.20461107790470123, -0.2979625463485718, -0.2055799663066864, 0.42216649651527405, 0.6109069585800171, -0.3320358395576477, 0.35714274644851685, -0.29487428069114685, 0.8805012702941895, -0.9735254645347595, 0.20810969173908234, -0.2988399565219879, -0.2528386414051056, 0.9491474628448486, -0.6704777479171753, 0.8806218504905701, -0.38849273324012756, 0.8299774527549744, 0.15349557995796204, 0.5931629538536072, 0.6459649801254272, 0.9148778319358826, 1.5587760210037231, 0.3775896728038788, -1.1612805128097534, -0.03563366085290909, -0.4956420660018921, 0.154423788189888, -0.6466625928878784, -0.13115574419498444, -0.35354718565940857, -0.5605400204658508, -0.9595137238502502, 0.7656420469284058, -0.21909666061401367, -0.6262435913085938, 0.2562045753002167, 0.873843252658844, 0.19321207702159882, 0.6427909731864929, -0.8443663716316223, 0.07411211729049683, 0.5270846486091614, 0.5884783864021301, 1.0773333311080933, -1.1971325874328613, 0.2593429982662201, -0.6306411027908325, 0.15648069977760315, 0.3274266719818115, -0.6614507436752319, 1.3041999340057373, -0.5704560875892639, 0.39943358302116394, 0.9524772763252258, 0.5780928730964661, -0.6187037825584412, 1.4761183261871338, 0.07911871373653412, -0.46026507019996643, 0.06488984823226929, 0.779909074306488, 1.6064324378967285, 0.2615604102611542, -1.1397346258163452, 0.7010267376899719, -1.0040791034698486, -0.022294575348496437, 1.7307956218719482, 0.08874794095754623, 0.524229884147644, -0.07573144137859344, -0.28178784251213074, -0.8271133303642273, 0.3070515990257263, -1.111666202545166, 0.7859209775924683, -0.3375146687030792, 0.9492591023445129, -0.3706182539463043, -0.48100945353507996, -0.6789450645446777, -0.6062795519828796, 1.3210161924362183, 0.696337878704071, 1.0435189008712769, 0.327993780374527, 0.8296926021575928, -0.4643251597881317, -2.184309482574463, 0.7987855672836304, 0.3139113783836365, 0.1421099156141281, -1.0021684169769287, 0.6590620279312134, 0.5093499422073364, 0.3325250446796417, -0.04279263690114021, -0.3084094524383545, 0.4465751349925995, 0.9778633117675781, -1.9417879581451416, -1.3502589464187622, 0.9765960574150085, 0.4073912501335144, -0.5046402215957642, -2.044034481048584, 0.24451704323291779, 1.047152042388916, 1.308868646621704, 0.6829138398170471, 0.5351630449295044, 0.2822244167327881, -0.32770708203315735, 0.40106213092803955, -0.8226385116577148, 0.35171765089035034, -1.2820643186569214, -0.28181174397468567, -0.6891854405403137, -0.8220227956771851, -0.1122116968035698, 0.07381153106689453, -0.6053780913352966, 0.16676482558250427, 0.44574475288391113, -0.42279165983200073, 0.3140542209148407, -0.22804196178913116, -0.9920127987861633, -0.9487864375114441, 1.76132333278656, -0.1040579080581665, 0.5750355124473572, 1.2969013452529907, 0.0703488439321518, -0.08309416472911835, 0.08850795775651932, 0.11411051452159882, 1.3690776824951172, -0.6597074270248413, 0.303554505109787, -0.48450443148612976, -0.04937409982085228, 0.2817116379737854, -0.41251733899116516, -0.6008731126785278, 0.012648343108594418, 1.5871778726577759, 0.4692198932170868, 0.14389434456825256, -0.6023573279380798, -0.1636536717414856, 1.0960696935653687, -0.954975962638855, -0.695957601070404, -0.9952313303947449, 0.7235668897628784, -0.013386700302362442, -0.787615180015564, -0.7445666790008545, -0.5420270562171936, 0.16187910735607147, 0.65822833776474, -0.6602138876914978, -0.9685906171798706, 0.6937785744667053, 0.720042884349823, -1.1342785358428955, -0.009938497096300125, 0.9521118998527527, 1.4986943006515503, -0.5666888356208801, 0.7816317677497864, -0.5677790641784668, -0.2656095027923584, 0.506700873374939, 0.073762908577919, 0.489637553691864, 0.6942875385284424, 0.0023091465700417757, 0.3320539593696594, 0.35802337527275085, -0.08825555443763733, 0.266996294260025, -1.2666267156600952, 0.9540038108825684, 1.9905388355255127, 1.1518088579177856, 0.40498223900794983, 0.306094765663147, -0.05478115752339363, -0.2610001862049103, 0.31978562474250793, -0.7034249305725098, -0.5528004765510559, -1.2580413818359375, 0.08640008419752121, 0.33920279145240784, -0.3178290128707886, 0.05192609131336212, 1.7601640224456787, 0.8320902585983276, 0.911117672920227, -0.023425329476594925, -1.3501771688461304, 0.9727529883384705, 0.2931326925754547, 0.13889087736606598, 0.7152956128120422, 1.5773588418960571, 0.27651408314704895, 1.3692275285720825, -0.4038008749485016, 0.2552913725376129, -0.6947814226150513, 0.7724168300628662, 0.3420352339744568, -1.1953517198562622, 0.28190144896507263, -0.8891136050224304, 0.27465951442718506, -0.9884160757064819, -0.5377197265625, 0.6265033483505249, -0.17486703395843506, -0.3704104721546173, -0.7513039708137512, -0.6888194680213928, -0.10195333510637283, -0.14527346193790436, -0.07573804259300232, -0.7114168405532837, 1.4720287322998047, -0.4618607461452484, 0.401001900434494, 0.7715920209884644, -0.5394335389137268, -0.5059036612510681, 0.24093978106975555, 1.1191507577896118, -0.5867024660110474, 1.0883766412734985, -0.8882557153701782, -0.41078826785087585, -0.3124448359012604, 0.0951007828116417, 0.3431064486503601, -0.2847023010253906, -1.6912920475006104, 0.7940678596496582, -0.4738076627254486, -0.7431544661521912, 0.3710896074771881, 0.040623750537633896, -1.0768146514892578, 0.31565818190574646, -0.611952006816864, -1.158233404159546, 0.2801347076892853, 0.016355564817786217, -0.1018810048699379, 0.19093376398086548, -0.09175459295511246, 0.6691186428070068, -0.10536433756351471, -1.3611913919448853, 0.04481811821460724, -1.325848937034607, -0.18684232234954834, 0.24683882296085358, -0.971950888633728, -0.5607895255088806, -0.6041938662528992, 0.0005996772670187056, -0.3141687214374542, -0.4283792972564697, -0.04765854775905609, -1.181125521659851, -1.4639893770217896, -0.035109199583530426, 0.5084999203681946, 0.9807236790657043, -0.28566470742225647, -0.4744580090045929, 1.5109293460845947, 0.9448559880256653, -0.8554331660270691, -0.38567185401916504, 0.1506735384464264, 0.031203165650367737, -0.9627895951271057, -0.3824727535247803, 0.8427911400794983, 0.009239042177796364, 0.39174824953079224, -0.5770240426063538, 0.923450767993927, 1.7210698127746582, -0.2748914659023285, -1.0444841384887695, 0.07529092580080032, 0.06775245070457458, -0.7409858107566833, -0.16638904809951782, 0.8510466814041138, 0.6187018156051636, 0.602189838886261, 0.40269502997398376, 1.1488022804260254, 0.4338327944278717, -0.7072837948799133, 0.6144039630889893, 0.30657756328582764, 0.5192016959190369, -0.28688207268714905, -0.08254260569810867, 0.15637634694576263, -0.34338659048080444, -1.2307366132736206, 0.4346901476383209, 0.7847994565963745, -0.8551225066184998, 0.11157459765672684, 0.6914008259773254, 0.9332855939865112, -0.025681013241410255, -0.3583836853504181, -0.571494996547699, 0.25065919756889343, 0.4285729229450226, -0.3618440628051758, 0.015301072970032692, 0.7205424904823303, -0.06757033616304398, 0.7780515551567078, 0.6350714564323425, -0.1880236566066742, -0.6725270748138428, -1.5365506410598755, -0.7230595350265503, 0.12065592408180237, 0.9238942265510559, 0.47690141201019287, 0.5898979306221008, 0.08261973410844803, 0.048524290323257446, -0.1739715188741684, -0.12974071502685547, -2.057311534881592, 0.24496746063232422, 0.603489875793457, -0.1340889036655426, 0.5698659420013428, -0.5634466409683228, 0.42500799894332886, -0.08664175122976303, -0.899065375328064, -0.2769429087638855, 0.13844667375087738, -0.983117938041687, 0.3437143862247467, 0.2926739752292633, -0.36501574516296387, 0.7754313945770264, 0.5378579497337341, 2.036888360977173, 1.1150156259536743, 0.46474552154541016, 0.12544512748718262, -0.17240872979164124, -0.7241811156272888, -0.1526704877614975, 0.3860594630241394, 0.6312686800956726, 0.8148481249809265, 1.0625780820846558, 1.0312395095825195, -0.8789586424827576, -0.048608653247356415, 0.409993439912796, 1.1412256956100464, 0.27740755677223206, 0.05597992613911629, -0.30116668343544006, -0.8063036203384399, -0.60002601146698, -0.08527448028326035, 1.0598993301391602, -0.4350299537181854, 1.6155263185501099, 0.9658135771751404, 0.08058392256498337, 0.03598501533269882, 1.3315603733062744, 1.5488414764404297, -0.3853626549243927, -0.3403882682323456, -0.5424723029136658, -0.7309084534645081, -0.3862689435482025, -0.14123393595218658, 0.18195421993732452, 1.1734731197357178, 0.7786573171615601, -0.7905246019363403, 0.43861645460128784, 0.23221363127231598, -1.5850749015808105, 0.4203406870365143, -0.5882226228713989, -0.614434003829956, -0.45075342059135437, 0.8962311148643494, 0.449637234210968, 0.34219273924827576, -0.4949720501899719, 0.13252802193164825, -0.6751664280891418, -2.4266462326049805, -0.5581606030464172, 0.6266069412231445, 0.4915628433227539, -0.7835355997085571, 0.4208865463733673, -0.6642127633094788, -0.9790792465209961, 0.7031558752059937, -0.7478495836257935, 1.1646292209625244, -0.8383954763412476, 0.30290669202804565, -0.19872036576271057, -1.5531458854675293, -0.8900740742683411, 0.8012065887451172, 0.009950713254511356, 0.17443707585334778, -0.011861292645335197, -0.08106764405965805, -0.23169317841529846, 0.5719889998435974, 0.4740888774394989, 0.5106189250946045, -0.2600433826446533, -0.4924132227897644, -0.04494510218501091, 0.7373337149620056, -1.0914567708969116, -0.6992639303207397, 0.36848556995391846, -1.215788722038269, -0.6926007270812988, 0.9264057874679565, -0.6666044592857361, -0.583352267742157, 0.5959827899932861, -0.17436762154102325, -0.9096024632453918, -0.644273579120636, -1.252424955368042, 0.9932000637054443, -0.6776250600814819, 0.6875578165054321, 0.4388188421726227, 0.5363385677337646, -0.6884950995445251, -0.09877169132232666, -0.4284450113773346, 0.1648254096508026, -0.7196543216705322, 0.6685698628425598, -1.0015637874603271, -0.3636893630027771, -0.7453275322914124, 0.9589781761169434, -0.015413056127727032, -0.0005963098374195397, -0.10351859778165817, -0.4575002193450928, -0.15740209817886353, 1.4237298965454102, -0.982887864112854, 0.743349015712738, -0.19575728476047516, 0.1375555545091629, -0.6573317646980286, 0.29261913895606995, 0.46912193298339844, -1.7894392013549805, 0.5741108059883118, 0.6850573420524597, 0.07695402204990387, 1.2220772504806519, -0.5647978186607361, -0.3151099383831024, 0.5559325814247131, -1.3896996974945068, 1.3150871992111206, 0.6483663320541382, 0.6533695459365845, 0.05949624255299568, -0.9717242121696472, -0.019537068903446198, 0.7491989731788635, -0.3733269274234772, 0.14127963781356812, -0.22718840837478638, 0.5242600440979004, 0.5486514568328857, -0.307270348072052, -0.637148916721344, -1.5659763813018799, -0.6575498580932617, 0.23721371591091156, -0.140073761343956, -0.8461461663246155, 0.04373139888048172, 0.15362663567066193, -0.6759962439537048, 0.462149977684021, 1.5469237565994263, 0.2505876421928406, 0.44507333636283875, 0.11890015751123428, 0.318285197019577, 1.2855145931243896, 1.0206371545791626, 0.3564976155757904, -0.22966286540031433, -0.2732365131378174, -0.671876072883606, -0.6389978528022766, -1.1528805494308472, -0.5829843282699585, -0.11044250428676605, -1.0437790155410767, -0.09747027605772018, 1.0522480010986328, -0.23963193595409393, 0.7751537561416626, 0.05421755835413933, -0.7652018666267395, -0.6386530995368958, -0.4585014879703522, -0.806774377822876, -0.2567194700241089, 0.16092637181282043, -0.1739918291568756, 0.456983357667923, 0.16922786831855774, -0.8938555121421814, -0.5935155749320984, 0.40983957052230835, 0.3974347412586212, 0.03190243989229202, 2.106837749481201, 0.5266962051391602, 0.47138500213623047, 0.9644730687141418, 0.5078676342964172, 0.7160560488700867, -0.38474026322364807, 0.40395015478134155, 0.8013205528259277, 0.47668057680130005, -0.21902155876159668, 0.47774454951286316, -1.0288739204406738, 0.09500165283679962, 1.2575424909591675, -0.7633405923843384, 0.7198963165283203, -0.3796803057193756, 0.5458400845527649, -0.16335345804691315, 0.10703085362911224, -0.6056791543960571, 0.6494624614715576, -0.3240862488746643, 1.9154239892959595, -1.0300713777542114, -0.5900244116783142, 1.6642892360687256, 1.039205551147461, 0.4731994867324829, -0.03351918235421181, 0.3634371757507324, -0.4010261297225952, -0.28090569376945496, -0.5983780026435852, -0.5017268061637878, 1.3542448282241821, -1.674413800239563, 0.7111080884933472, 0.017970778048038483, -0.5932605266571045, 0.6758562922477722, 0.8424482941627502, -0.05813279375433922, -1.4580976963043213, -0.910943329334259, -1.4560796022415161, 0.3515036106109619, 0.5328125953674316, -0.4917409121990204, -0.020991768687963486, 0.08694151788949966, 0.5639467835426331, -0.2873803973197937, 0.6774264574050903, -0.43292000889778137, -1.8733265399932861, -0.10664280503988266, -0.7410298585891724, -1.2961742877960205, 1.5899509191513062, 0.25513389706611633, -0.5348185300827026, 1.2650071382522583, -0.555883526802063, 0.9076082706451416, 0.5942366123199463, 0.36298149824142456, 0.05992191284894943, 1.4632333517074585, -0.4187251627445221, -0.17469622194766998, -0.19786986708641052, -0.8015653491020203, 4.254961013793945, 1.2086249589920044, 0.10840345174074173, -2.2023656368255615, 0.353680819272995, -0.8134795427322388, 0.16213825345039368, -0.3769678473472595, 0.3120288848876953, 0.019468042999505997, 0.9463313817977905, 0.9830464720726013, 0.550819456577301, -0.18249496817588806, 0.6922810673713684, 0.630832850933075, -0.4279050827026367, -0.7461804747581482, 0.8615278601646423, -0.05895667150616646, -0.028018174692988396, -0.19427858293056488, -1.0431925058364868, -1.2440247535705566, 1.4082705974578857, -0.1331131011247635, 0.6179434657096863, -0.7080554366111755, 1.0183367729187012, 0.08133365958929062, -0.42809608578681946, 0.904041588306427, 0.5870313048362732, 1.016213059425354, 0.7460421323776245, 0.05686536431312561, -0.4234025478363037, -0.3697205185890198, -0.6147972941398621, 0.3129373788833618, 0.4114246964454651, -0.22534236311912537, -0.361446350812912, -0.5673118233680725, -1.2327054738998413, -0.003041192190721631, -0.5002856850624084, -0.8815546035766602, 0.5400545597076416, 0.05520092323422432, -0.22905945777893066, 0.3948001563549042, -0.9398343563079834, -0.9307149648666382, -0.7437188625335693, 0.9443440437316895, 0.4157634973526001, -0.6193641424179077, 0.13906888663768768, -0.5698097348213196, 0.39571917057037354, -0.32791629433631897, 0.7918952703475952, 0.42396506667137146, 0.6551458239555359, 0.05142936855554581, -0.05424557998776436, 2.1543426513671875, -0.18059685826301575, -0.8337435126304626, -1.1075152158737183, 0.5535630583763123, -0.1645059585571289, -0.27797046303749084, -0.5612835884094238, 0.9493722319602966, -0.5674600005149841, 0.39771002531051636, -0.9183382391929626, -0.5544946193695068, -1.3973042964935303, 0.18751446902751923, -0.6491448283195496, 0.4142839312553406, -0.5315676927566528, -0.9114017486572266, -0.2912999093532562, 0.41997358202934265, 0.30612578988075256, 0.1924877166748047, 0.5051389932632446, 1.4966211318969727, 0.9768380522727966, -0.8058701157569885, 0.10435396432876587, 0.4721631109714508, -2.311394214630127, -0.18829789757728577, -1.1686350107192993, 0.9504066705703735, 0.40935078263282776, -0.6657293438911438, -0.2363140732049942, 0.8215075135231018, -0.9017367362976074, -0.08391383290290833, 0.7968366146087646, -0.9082735180854797, -0.38589534163475037, -0.19579654932022095, 0.9781823754310608, -1.262439489364624, -0.7726035714149475, -0.3140312135219574, -0.23702490329742432, -0.029843509197235107, -0.3445530831813812, -0.6330939531326294, 0.6073047518730164, -0.39366257190704346, 0.7443381547927856, 0.46349576115608215, 0.6329835057258606, -0.6146578192710876, -0.345684677362442, 0.1401820182800293, -0.9779000878334045, 0.010357128456234932, 0.028073521330952644, -0.9339795112609863, 0.44759342074394226, 0.28031125664711, -0.3515821099281311, 0.4114164710044861, -0.8843759894371033, 0.13310416042804718, 0.04444583132863045, 0.6779801249504089, -1.157236933708191, 0.008693886920809746, 0.5234971642494202, -0.15274938941001892, -0.8863655924797058, 1.256803274154663, -0.6146817207336426, 0.00924957636743784, 0.14566828310489655, -0.038028568029403687, 1.2133417129516602, 0.33270150423049927, -0.9034476280212402, -0.0371047779917717, 0.5363960266113281, 0.6730476021766663, 0.42365747690200806, 0.3681219518184662, -0.16798613965511322, -0.02103029005229473, -0.10343112796545029, 0.27792924642562866, 0.6872960329055786, 1.2335370779037476, -0.020946960896253586, -0.6677444577217102, -0.2292095422744751, -0.10928387939929962, -0.8109323978424072, 0.6510460376739502, -0.6104716062545776, 0.3592278063297272, 0.5801030993461609, -0.4350530207157135, 0.11644568294286728, 0.826082170009613, -1.4753066301345825, -0.15240614116191864, 0.039194729179143906, 0.3879574239253998, 0.8532146215438843, -0.9477027654647827, 0.1506892889738083, 0.7771416306495667, -1.903648018836975, 0.4434235990047455, -0.1467898190021515, -0.788841962814331, -0.7033831477165222, 1.1356980800628662, -0.39138391613960266, 0.3754577338695526, 0.11225476115942001, -0.311005562543869, 1.2220368385314941, -0.17700527608394623, -0.6859092116355896, -0.5429503321647644, 0.42453914880752563, 0.6522132754325867, 0.19551996886730194, -0.3093433380126953, 0.8426064848899841, -1.1128088235855103, -1.6061820983886719, -0.4506044387817383, -1.5508906841278076, 0.8067138195037842, 0.08541037142276764, 1.4133579730987549, 0.3883008360862732, 0.6974020600318909, -0.3284790515899658, 0.2601180076599121, -0.42193105816841125, -0.34557807445526123, 0.49832797050476074, 0.7709476947784424, -0.4237937927246094, -0.5296508073806763, 0.2070704847574234, 0.08791900426149368, -0.6710171103477478, 0.2841861844062805, -1.3120357990264893, -0.06333844363689423, 0.2773977518081665, -0.03246418759226799, 0.2866782248020172, -1.0328426361083984, -0.17506979405879974, -1.342445969581604, 0.27276724576950073, 0.20573543012142181, 0.7108036279678345, 0.3607189357280731, 0.22588665783405304, -0.4163786470890045, -0.44427791237831116, 0.6715124845504761, 0.6700651049613953, -1.3048404455184937, -0.5534074902534485, 0.14708170294761658, -0.26585397124290466, 1.114793300628662, 0.36605745553970337, 0.13043160736560822, -0.5899345278739929, 0.7925096750259399, -0.3986412286758423, 0.1241619735956192, -0.7984309196472168, 0.13306957483291626, 0.7515116333961487, -0.40486812591552734, 0.7841417193412781, 0.9824612140655518, 0.8863242864608765, -0.6934522986412048, -1.221035361289978, -0.24085761606693268, 1.169706106185913, 0.5157923102378845, -0.23808653652668, 0.18163971602916718, -1.9617606401443481, 0.23394213616847992, 0.3210688531398773, 0.4236668050289154, 0.03968258574604988, -0.551540732383728, -0.8095264434814453, 0.30592596530914307, 0.10838940739631653, -0.06176688149571419, 0.49746373295783997, 0.7149918675422668, 0.6448174118995667, 0.16914039850234985, -0.33187761902809143, -0.34297284483909607, -0.2571975886821747, 0.7175163626670837, -0.09453299641609192, 1.6495357751846313, -0.4583185017108917, 0.1623733639717102, 0.02109663002192974, -0.08550821989774704, -1.4281487464904785, 1.5515228509902954, 0.432735413312912, 0.024757083505392075, -0.7782298922538757, -0.6160516142845154, 1.1694899797439575, -1.3809341192245483, -0.2614474296569824, 0.8681213855743408, -0.14522509276866913, -0.060146428644657135, 0.3434871435165405, 0.1987292468547821, 0.31329187750816345, 0.3329017162322998, 0.9155351519584656, -0.7878848910331726, -0.5287986993789673, 0.4225718677043915, 1.144860863685608, 0.7718990445137024, -0.38995131850242615, 0.7247003316879272, 0.9062547087669373, -0.5991909503936768, 1.7427873611450195, -0.1041242778301239, 0.3051759898662567, 0.1954980343580246, 0.27342304587364197, 0.37863755226135254, -0.7901265025138855, -1.0196994543075562, -0.1343930959701538, -0.1108064278960228, 1.359933614730835, -0.7688120007514954, 0.49568960070610046, -0.9738008379936218, 0.4567635655403137, -1.2907452583312988, 0.05070938542485237, -0.48850205540657043, 0.4969255030155182, -0.2589550316333771, -0.3777707815170288, -1.202383041381836, 0.12704485654830933, 1.2920689582824707, -1.4457361698150635, -0.06435298919677734, -0.28670594096183777, 0.16220594942569733, 0.25529390573501587, -0.5751914978027344, -1.8214973211288452, -0.9098014235496521, 0.6418421864509583, 0.38701334595680237, -0.40739506483078003, -1.012015461921692, -1.5134190320968628, -0.15039248764514923, 1.3200100660324097, -0.350313276052475, -0.44892117381095886, -0.7863573431968689, 0.2815110385417938, 0.30192357301712036, 0.4057753086090088 ] ]
{ "indices": [ 2067848296, 763520878, 989116115, 448220673, 691409538, 1523929128, 82883857, 2066971792, 3779492041, 818459139, 3471378517, 1316082470, 2094005352, 2678223555, 2874966370, 639386442, 629871972, 3676260520, 3282398576, 1960040400, 1622730881, 953824239, 1079027559, 1058501323, 3336012768, 358389376, 151659227, 2842715111, 2373257806, 2585890814 ], "values": [ 0.721437915187976, 0.4633143131816905, 0.6332396382760778, 0.6332396382760778, 0.7754399580265844, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905 ] }
{ "context": "It doesn't appear in the data path. That's why I didn't highlight it for you. It's not there. I think there might be a separate figure in the back of Pat and Patel, but I didn't pull it out. Yeah. IR is the instruction register at 16 bits. IR is not signing. It's a long way back to a full data path. The sign extension is for the two's complement offsets that are fields of certain instructions." }
565212
[ [ 0.20047011971473694, -0.4454141855239868, 0.1553698182106018, 0.9513722062110901, 0.43379974365234375, 0.11350350826978683, -0.1915276199579239, 0.8541911840438843, 0.5657539963722229, -0.414664089679718, 0.6803044080734253, 0.8642298579216003, 0.998298168182373, 0.20195452868938446, 0.14739899337291718, 0.053338728845119476, 0.4830913245677948, 0.09348160773515701, -0.4547175168991089, -0.5131679177284241, 0.6050918102264404, -0.632157564163208, -0.0997016578912735, 0.09314867854118347, -0.5783055424690247, 0.39599737524986267, 0.2732570767402649, -1.3814560174942017, 0.11530198156833649, 0.7253232598304749, -0.27299216389656067, -1.5559839010238647, 0.9361248016357422, 0.19833596050739288, 1.4028831720352173, -0.14461001753807068, -0.3632664382457733, -0.3555338382720947, 0.27373719215393066, 0.40323323011398315, -0.12899616360664368, 0.28420311212539673, -0.19883476197719574, 0.2114855796098709, -1.3249998092651367, 0.16077332198619843, -0.525805652141571, -0.14767302572727203, 1.0876448154449463, -0.9303114414215088, 1.376848816871643, -0.772213876247406, 1.0558257102966309, 0.46871551871299744, 0.20451052486896515, 0.29944080114364624, 1.540400743484497, 1.5215895175933838, 0.4122428297996521, -1.2219398021697998, -0.22684939205646515, -0.41929367184638977, 1.021694302558899, -0.2211088091135025, -0.6510671973228455, -0.0903773233294487, -0.34929290413856506, -0.05295373499393463, 0.047103799879550934, -0.36016812920570374, -0.6999940276145935, -0.09127315133810043, 0.40592893958091736, 0.8182578086853027, 1.1310935020446777, -0.39758825302124023, 0.3984956741333008, -0.39428794384002686, 0.1920032501220703, 1.1423410177230835, -0.7832629680633545, 0.6266306638717651, -0.7784504294395447, 1.254280924797058, 0.4758354425430298, -0.7902939915657043, 1.1508177518844604, -0.533898651599884, -0.20232637226581573, 0.7160524129867554, 0.47077125310897827, -0.8134746551513672, 1.5937891006469727, 0.1403079777956009, -0.6254965662956238, 0.2867392897605896, -0.15460409224033356, 0.7973937392234802, 0.28020983934402466, -0.6951545476913452, -0.07756666094064713, -1.1128953695297241, 0.43719038367271423, 1.6477572917938232, -0.299428254365921, 0.4944849908351898, 0.24384960532188416, 0.03791707754135132, -0.36862850189208984, 0.48150739073753357, -0.31563013792037964, 0.5594907402992249, -0.4559791088104248, 0.6557063460350037, -0.28807711601257324, -0.8143503665924072, -0.9034124612808228, -0.30283066630363464, 1.4449989795684814, 0.7164850831031799, 1.141218900680542, 0.2896811068058014, 1.1704761981964111, -0.9412238597869873, -1.9266315698623657, 0.5768752098083496, -0.0661408081650734, -0.1485607624053955, -1.1487653255462646, 0.5793327689170837, 0.3198610842227936, 0.32590189576148987, -0.016556864604353905, -0.8348532319068909, 0.21216274797916412, 0.7989404201507568, -1.7355694770812988, -1.6135227680206299, 0.46742719411849976, 0.303477942943573, -0.3626117408275604, -1.5329729318618774, 0.4347691237926483, 0.7391023635864258, 1.2475730180740356, 0.6282989382743835, 0.774407684803009, 0.31071165204048157, 0.049633126705884933, 0.5453279614448547, -1.0648441314697266, -0.43288347125053406, -1.6096501350402832, -0.27726152539253235, -0.590309202671051, -0.0773710235953331, 0.44397759437561035, 0.42342349886894226, -0.5180671811103821, -0.21993383765220642, 0.9052927494049072, -0.5390973687171936, -0.3081638216972351, -0.32770514488220215, -0.5782175660133362, -1.0071382522583008, 2.0528552532196045, -0.2965735197067261, 0.3760201632976532, 0.8605116605758667, -0.303679496049881, -0.26333382725715637, 0.33658695220947266, -0.18615956604480743, 1.54068922996521, -0.799372136592865, -0.14485661685466766, -0.6506004929542542, 0.05315902456641197, -0.02882063202559948, -0.6923475861549377, -0.3236599564552307, 0.2992655038833618, 1.0523358583450317, 0.2014068365097046, 0.31201598048210144, -0.2513182759284973, -0.49819445610046387, 1.0460551977157593, -0.5392478704452515, -0.6260958313941956, -1.2137982845306396, 0.3621038496494293, -0.19315236806869507, -0.8250787258148193, -0.9171721935272217, -0.46039754152297974, 0.1558542400598526, 0.49074769020080566, -0.6366416215896606, -0.829107940196991, 0.1430244743824005, 0.8165360689163208, -0.5389529466629028, -0.028293075039982796, 0.9620294570922852, 0.8122389316558838, -0.9045818448066711, 0.775347888469696, -0.6313874125480652, -0.2763998210430145, 0.5363082885742188, -0.024188395589590073, -0.006821742746978998, 0.12040889263153076, 0.004549996927380562, 0.5094979405403137, 0.39500510692596436, -0.23736338317394257, 0.39492079615592957, -0.9920558929443359, 1.189349889755249, 2.0441243648529053, 1.0101732015609741, 0.26429876685142517, 0.6816856861114502, 0.1235608235001564, 0.4431787133216858, 0.5087010860443115, -0.07605137676000595, -0.7268246412277222, -1.8848942518234253, -0.12012923508882523, 0.199564591050148, -0.30201077461242676, 0.19903142750263214, 1.5665992498397827, 0.7632531523704529, 0.7119004130363464, -0.10074815154075623, -1.4562548398971558, 0.7694275379180908, 0.35824283957481384, 0.5250809192657471, -0.08465889096260071, 1.2459490299224854, 0.3310066759586334, 1.1426407098770142, -0.22683148086071014, 0.09335335344076157, -0.7346574664115906, 0.4927561283111572, 0.20055171847343445, -0.45157095789909363, 0.03869243338704109, -1.230783462524414, 0.10077404975891113, -1.05106520652771, -0.3668910562992096, 0.5085903406143188, -0.5119212865829468, -0.9252366423606873, -0.20268870890140533, -0.5435459613800049, 0.10822198539972305, 0.11892474442720413, -0.3511471748352051, -0.4959849715232849, 1.4168334007263184, -1.2630895376205444, 0.12971168756484985, 0.037710029631853104, -0.41843312978744507, -0.8396446108818054, -0.10205250233411789, 0.6024501919746399, -0.24165403842926025, 1.2289001941680908, -0.8703708648681641, -0.5403620004653931, -1.0203790664672852, 0.08258615434169769, 0.500606119632721, -0.2898106276988983, -1.9506105184555054, 0.3093503415584564, -0.4934750199317932, -0.6191970705986023, 1.1688766479492188, 0.03795814514160156, -0.9727796912193298, 0.6874800324440002, 0.02143813483417034, -0.5551859140396118, -0.03867390379309654, -0.3478254973888397, 0.36558640003204346, 0.05930672213435173, -0.36675623059272766, 0.200434610247612, -0.03271593153476715, -1.5729053020477295, 0.07296343892812729, -0.8965907096862793, -0.36789992451667786, -0.11954598128795624, -0.24331612884998322, -0.311949223279953, -0.1955333948135376, 0.6770869493484497, 0.12362787127494812, -0.04940539598464966, -0.3375241458415985, -1.216673493385315, -1.5343518257141113, 0.026508323848247528, 0.45507413148880005, 0.7580229640007019, -0.8114151954650879, -0.2004575878381729, 1.761406660079956, 0.717920184135437, -0.6276741027832031, -0.25139719247817993, 0.5989832282066345, 0.3024888038635254, -0.6888064742088318, 0.12277810275554657, 0.5335055589675903, -0.0763278603553772, -0.04281662032008171, -0.34088748693466187, 0.8133845329284668, 2.2078263759613037, -0.6591224074363708, -0.5896592140197754, 0.24094410240650177, 0.30665984749794006, -0.8916463851928711, 0.18571244180202484, 0.2401174157857895, 0.09219154715538025, 1.07244074344635, -0.15180926024913788, 1.0257974863052368, 0.43495607376098633, -0.5073146224021912, 0.6620505452156067, 0.1913718730211258, 0.5744502544403076, 0.06367745995521545, 0.4882538914680481, 0.24550017714500427, -1.009992241859436, -0.6484055519104004, 0.16414093971252441, 1.0481036901474, -1.0876212120056152, 0.4900687634944916, 0.9573603272438049, 0.6807677745819092, 0.1739930808544159, 0.0923326164484024, 0.18552568554878235, -0.2777319848537445, 0.7385247349739075, -0.1299964338541031, -0.11718098074197769, 0.3702414631843567, -0.2406773567199707, 0.2477271407842636, 0.6403170228004456, -0.5218387842178345, -0.29830095171928406, -1.1980360746383667, -1.1732420921325684, 0.46491771936416626, 1.1082093715667725, 0.43922948837280273, 0.9127407670021057, 0.17547258734703064, -0.34956055879592896, -0.9780670404434204, -0.023625368252396584, -1.1544495820999146, 0.36265161633491516, -0.11603555083274841, 0.016195792704820633, 0.6425397992134094, 0.08887069672346115, 0.38716280460357666, -0.4521907567977905, -1.883480191230774, 0.23181810975074768, -0.010666832327842712, -1.3792102336883545, 0.6009795069694519, 0.3251357972621918, -0.6645722985267639, 0.3721871078014374, 1.0697497129440308, 1.9056082963943481, 0.4828350245952606, 0.7998526096343994, 0.7364934682846069, 0.5521306395530701, -0.6000776290893555, 0.1679878532886505, -0.10054172575473785, -0.053625866770744324, 0.9535799622535706, 0.01702384278178215, 0.8439266681671143, -0.8491686582565308, -0.058707691729068756, 0.6543880701065063, 0.785891592502594, 0.2664976716041565, -0.01954769901931286, -0.1713694930076599, -0.20738433301448822, -0.867552638053894, 0.20462968945503235, 1.2814356088638306, -0.14318028092384338, 1.4339014291763306, 0.8712472319602966, 0.4650464951992035, -0.5229334831237793, 0.826894223690033, 1.3322117328643799, -0.11188264936208725, 0.1151878833770752, -0.7610079050064087, -1.067075490951538, -0.6041946411132812, -0.04539605602622032, 0.6391671299934387, 0.6260648369789124, 0.4593142569065094, -0.35985156893730164, 0.7578189373016357, 0.08925037831068039, -1.0775641202926636, 0.11975710093975067, -0.5962533950805664, -0.26272550225257874, 0.17115648090839386, 0.5666993260383606, 0.8969685435295105, -0.000056456039601471275, -1.0418128967285156, -0.7102944254875183, -0.9561087489128113, -2.183110237121582, -0.42808714509010315, 0.14393599331378937, 1.6336861848831177, -0.37002116441726685, 0.3302505314350128, -0.8559029698371887, -1.1211392879486084, 0.34644815325737, -0.3996676206588745, 1.5299351215362549, -1.0158591270446777, 0.9286527037620544, 0.0607643648982048, -1.0105842351913452, -1.0299919843673706, 1.0719619989395142, -0.2703193128108978, -0.45970526337623596, -0.2570188343524933, 0.678158164024353, -0.85540771484375, 0.4099549949169159, 0.17922772467136383, 1.143863320350647, -0.58127760887146, -0.35051730275154114, 0.16364918649196625, 1.6140549182891846, -0.9975225925445557, -0.28147265315055847, 0.27481406927108765, -0.9532175660133362, -0.9339849948883057, 0.6347492337226868, -0.2977222204208374, 0.08860427141189575, 0.5655415654182434, -0.39559775590896606, -0.1953742355108261, -0.34785324335098267, -1.256113052368164, 1.2613458633422852, 0.12903070449829102, 0.40826138854026794, 0.8052595853805542, 0.7298826575279236, -0.6320368051528931, 0.27347657084465027, -0.6874809861183167, 0.44922593235969543, -0.5874730944633484, 1.2951496839523315, -0.6355845332145691, -0.03163865581154823, -0.9686744809150696, 0.8471112847328186, -0.3394497334957123, 0.01211765967309475, -0.24841338396072388, -0.008473385125398636, -0.4163129925727844, 0.8459435105323792, -0.7060606479644775, 0.07830912619829178, -0.4466441571712494, 0.11046534031629562, -0.7184820175170898, 0.038091614842414856, 0.4634827673435211, -1.3967573642730713, 0.13501040637493134, 0.5316080451011658, 0.3075431287288666, 1.1507580280303955, -1.0070278644561768, -0.26821810007095337, 0.5795867443084717, -1.1585066318511963, 0.6508708596229553, 0.14611661434173584, 0.01685693860054016, -0.3415105938911438, -0.8230961561203003, -0.3241199254989624, 0.35355496406555176, -0.408466637134552, 0.21373403072357178, 0.35445281863212585, 0.8859952092170715, 0.00034185187541879714, -0.8858530521392822, -0.8474772572517395, -0.36006465554237366, -0.8619782328605652, 0.6237311959266663, 0.1372373104095459, -0.1249510645866394, 0.18476659059524536, 0.313479483127594, -0.5444124341011047, 0.15473787486553192, 1.2237775325775146, -0.1780189424753189, 0.44385987520217896, 0.6485897898674011, 0.6854493618011475, 0.6451070308685303, 1.1006205081939697, 0.9558030366897583, -0.25884565711021423, 0.2259521484375, 0.1293274164199829, -0.7545650005340576, -1.537837028503418, -0.7165572643280029, -0.3169489800930023, -0.9265932440757751, -0.14335055649280548, 1.412776231765747, -0.1968260109424591, 0.44703614711761475, 0.3199811279773712, -0.28307032585144043, -0.5295053124427795, 0.00829019583761692, -0.6273946762084961, -0.8502399921417236, 0.7157363891601562, -0.7157016396522522, 0.46445968747138977, 0.09921051561832428, -0.35664090514183044, -0.864725649356842, 0.29462766647338867, 0.17107440531253815, 0.053571440279483795, 2.048161268234253, 0.5080277323722839, -0.013980690389871597, 0.5963919758796692, 0.8118940591812134, 0.2839033007621765, -0.19439129531383514, 0.5800938010215759, 0.9613040685653687, 0.49962589144706726, -0.5726702809333801, 0.2642146348953247, -0.9545381665229797, 0.42732763290405273, 1.927964687347412, -0.8626874089241028, 0.28734543919563293, -0.3443189263343811, 0.18802188336849213, -0.7230442762374878, -0.17933452129364014, -0.805207371711731, 0.38243192434310913, -0.3730657994747162, 2.29048490524292, -1.3079856634140015, -1.6272706985473633, 0.8436601758003235, 1.2831759452819824, 0.3780265748500824, -0.033900197595357895, 0.7449762225151062, -0.13582152128219604, -0.4335358440876007, -0.9580350518226624, -0.08861514180898666, 0.8931429982185364, -1.3116264343261719, 0.8311081528663635, -0.002597550628706813, -0.24083486199378967, 0.545438289642334, 0.6229243874549866, 0.32394111156463623, -1.6616387367248535, -1.38905930519104, -1.317401647567749, 1.0111238956451416, 0.07879998534917831, -0.27406468987464905, -0.23663145303726196, -0.3109462559223175, 0.44960954785346985, -0.34575122594833374, 0.8573769330978394, -0.683056652545929, -1.4056787490844727, -0.6272007822990417, -0.8612058162689209, -0.959912896156311, 1.4918307065963745, 0.13909323513507843, -0.5015571117401123, 1.1003496646881104, -0.3248549997806549, 1.1394420862197876, 0.4232633709907532, 0.6705163717269897, 0.19532611966133118, 1.2164734601974487, -0.6290725469589233, 0.12704220414161682, -0.4443835914134979, 0.18791277706623077, 4.51231050491333, 1.2065633535385132, -0.7356513738632202, -2.237961769104004, -0.4378555119037628, -0.6389104127883911, 0.3416008949279785, -0.09286552667617798, 0.6683588027954102, 0.25746452808380127, 0.7687171697616577, 0.8527554869651794, 0.3054996728897095, -0.2016955316066742, 0.48654916882514954, 0.6223075985908508, -0.7201897501945496, -1.0045859813690186, 0.6050094366073608, 0.5909903645515442, 0.20564474165439606, -0.22053146362304688, -1.0067448616027832, -1.1496391296386719, 1.016366958618164, -0.26171353459358215, 0.44378170371055603, -0.29498735070228577, 0.9959253668785095, -0.010777226649224758, -0.946178674697876, 0.9098592400550842, 0.5999789237976074, 0.5063609480857849, 0.8678615093231201, 0.39752882719039917, -0.41869136691093445, -0.4122928977012634, -0.5736501216888428, 0.5013214945793152, 0.1751967817544937, -0.15621210634708405, -0.27662962675094604, -0.1414087563753128, -0.63997882604599, -0.23097805678844452, -0.35794714093208313, -0.81717848777771, 0.02270837314426899, -0.18085451424121857, 0.8783549666404724, 0.21356140077114105, 0.17702341079711914, -0.997688889503479, -0.6302794814109802, 1.213480830192566, 0.29650580883026123, -0.23809555172920227, -0.5806655883789062, -0.8827840089797974, 0.5583325624465942, -0.29655325412750244, 0.3860367238521576, 0.7816759347915649, 0.4456619620323181, 0.5612130761146545, -0.9826341867446899, 1.7964078187942505, -0.0784670040011406, -0.35421472787857056, -0.2936035394668579, 0.04584239423274994, -0.24489352107048035, -0.5461007356643677, -0.7838374972343445, 0.8785516619682312, -1.016945242881775, 0.6268870234489441, -0.65922611951828, -0.4557569921016693, -0.6047993898391724, 0.46756792068481445, -0.09803228080272675, 0.17274732887744904, -0.5409828424453735, -0.6091887950897217, -0.013180411420762539, 0.6697425842285156, 0.5320875644683838, 0.17452383041381836, 0.1653764396905899, 1.4740397930145264, 1.1868209838867188, -1.1475930213928223, 0.3300190567970276, 0.2461581975221634, -0.7695137858390808, 0.3351321518421173, -0.47031334042549133, 1.406681776046753, -0.18445706367492676, -0.479973703622818, -0.34343981742858887, 1.168489694595337, -0.8058909177780151, -0.15426766872406006, 0.7638565897941589, -1.376686692237854, -0.1298467069864273, 0.06251084804534912, 0.8877734541893005, -0.6003862023353577, -0.4060118794441223, -0.44000738859176636, -0.04298626258969307, -0.3571195602416992, -0.13722996413707733, -0.7332909107208252, 0.7150606513023376, -0.44456425309181213, 0.16449157893657684, 0.12263692170381546, 0.1561717987060547, -0.04679413139820099, 0.01471415814012289, 0.22038479149341583, -0.9619229435920715, 0.007060105446726084, -0.5571754574775696, 0.177308589220047, 0.15380536019802094, 0.10326901823282242, -0.4011760652065277, 0.9328233003616333, -0.9554443955421448, -0.6839364171028137, 0.18361017107963562, 0.17081311345100403, -1.319288969039917, 0.22504636645317078, 0.4104773998260498, -0.25042033195495605, -0.4120596945285797, 1.5401906967163086, -0.5859506130218506, -0.12807384133338928, 0.45230168104171753, -0.42770493030548096, 0.3483453691005707, 0.9342225193977356, -1.1509959697723389, -0.2831990718841553, 0.3875895142555237, 0.39833876490592957, 0.23898498713970184, -0.24080011248588562, -0.12545786798000336, 0.1709521859884262, 0.4364473223686218, 0.49465838074684143, 0.21862249076366425, 0.8254122138023376, 0.017745474353432655, -0.7242397665977478, 0.09766238927841187, 0.9151707887649536, -0.4698050320148468, 0.5726645588874817, -0.3594155013561249, 0.7950633764266968, 0.032544512301683426, -0.3350891172885895, 0.26171836256980896, 0.6274725198745728, -1.3441312313079834, -0.5807046294212341, -0.2714597284793854, 0.09666094928979874, 0.9280497431755066, -0.9050754308700562, 0.36892789602279663, 1.0620473623275757, -1.4142416715621948, 0.028408726677298546, -0.9373208284378052, -0.4835606515407562, -1.2715955972671509, 0.5048333406448364, 0.17682813107967377, 0.26183009147644043, 0.1045943945646286, 0.027426954358816147, 1.0983598232269287, -0.36824026703834534, -0.5671541094779968, -1.0096491575241089, 0.38605237007141113, 0.4135201573371887, -0.2767676115036011, -0.40850093960762024, 1.1527799367904663, -0.8271497488021851, -1.2052918672561646, -0.3419090211391449, -1.1791220903396606, 0.03568096458911896, -0.1715666502714157, 0.7467125058174133, 0.08932172507047653, 0.6695173978805542, -0.2123050093650818, 0.21371792256832123, -0.3591393828392029, -0.8639342188835144, 0.34955310821533203, -0.04920850694179535, -0.2626039683818817, -0.02549547515809536, 0.6171571612358093, 0.6451390981674194, -0.8510671257972717, 0.8591888546943665, -1.0993503332138062, -0.08557289093732834, 0.4088325500488281, 0.008782530203461647, 0.48341381549835205, -1.3000073432922363, -0.3893572688102722, -1.0909837484359741, 0.7201880216598511, 0.07218127697706223, 0.12213663011789322, -0.2954663038253784, 0.2592925727367401, -1.027172565460205, -0.10401982069015503, 0.6580057144165039, 0.49753767251968384, -1.0914850234985352, -0.7064001560211182, -0.3599610924720764, -0.09429429471492767, 0.8699343204498291, 0.3933817744255066, -0.11725729703903198, -0.5073935985565186, 0.8639265894889832, -0.3159351348876953, -0.6707265973091125, -0.5407613515853882, -0.4104876220226288, 0.42108234763145447, -0.6402772665023804, 1.4317278861999512, 1.7585270404815674, 0.4380094110965729, -0.6862193942070007, -1.166472315788269, -0.5208930373191833, 1.2950092554092407, 1.267950177192688, -0.031043827533721924, -0.026261290535330772, -1.6693741083145142, 0.014626540243625641, -0.4862388074398041, 0.668982207775116, -0.33336690068244934, -0.18161465227603912, -0.11136330664157867, -0.0809796154499054, 0.015380294062197208, -0.1385480761528015, 0.6155614256858826, 0.6298250555992126, -0.143330380320549, 0.5098907947540283, -0.804827868938446, -0.014949474483728409, 0.010120668448507786, 0.2890191376209259, -0.21352390944957733, 1.6127878427505493, 0.3854607343673706, 0.2017008513212204, 0.005831391550600529, -0.23607726395130157, -1.385959506034851, 1.400396704673767, 0.06761809438467026, 0.3593553304672241, -0.4835972785949707, -0.11467207223176956, 1.2459319829940796, -1.4443317651748657, -0.8346812725067139, 0.8765468001365662, 0.2416754961013794, 0.09701318293809891, 0.8908465504646301, 0.7095023989677429, 1.001786708831787, 0.3952024579048157, 0.33501869440078735, -0.45051687955856323, -0.9193423390388489, 0.754012405872345, 0.632754385471344, 0.4463948607444763, -0.4856948256492615, 0.04615306854248047, 1.051507830619812, -0.5901420712471008, 1.3506425619125366, -0.20026016235351562, 0.45470941066741943, -0.0473446361720562, 0.6993061900138855, -0.8181745409965515, -0.8645241260528564, -1.1733351945877075, 0.0849413126707077, -0.16548669338226318, 1.320587158203125, -1.160011649131775, 0.22905102372169495, -1.4359855651855469, 0.27086272835731506, -1.305286169052124, -0.4068721532821655, -0.06016242876648903, 0.47669342160224915, -0.39750581979751587, -0.6690931916236877, -0.5968132019042969, 0.01894334703683853, 1.3737236261367798, -1.3107377290725708, 0.4167490005493164, 0.552514374256134, 0.6503780484199524, 0.45477500557899475, -0.6471150517463684, -1.6175788640975952, -0.31590309739112854, 0.9139162302017212, 0.24053330719470978, -0.10664114356040955, -1.0291743278503418, -1.8357793092727661, 0.5805402398109436, 1.1591187715530396, 0.057874999940395355, -0.3117111921310425, -1.2254139184951782, 0.5801591873168945, 0.299446165561676, 0.4924064576625824 ] ]
{ "indices": [ 691409538, 953824239, 1079027559, 3471378517, 1058501323, 989116115, 448220673, 1622730881, 3336012768, 358389376, 151659227, 2842715111, 2373257806, 2585890814, 629871972, 3676260520, 3282398576, 1960040400, 4146668087, 2815579477, 2678223555, 2391722386, 4094582072, 3589630101, 4022367199, 639386442, 2325784421, 2517333479, 1181726436 ], "values": [ 0.8064212680763816, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.7142460396515701, 0.45449725721703593, 0.6249544369532175, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.6249544369532175, 0.45449725721703593, 0.6249544369532175, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593 ] }
{ "context": "It's a long way back to a full data path. The sign extension is for the two's complement offsets that are fields of certain instructions. The instruction register itself is 16 bits, and it takes all 16 bits to represent an instruction. It's pulled out of memory. Remember, it's pulled directly out of memory through the MDR, from MDR directly into IR. It's never sign extended in the fetch path." }
734654
[ [ 0.018017977476119995, -0.6448304057121277, -0.08457464724779129, 0.7355442643165588, 0.31323757767677307, 0.22214071452617645, -0.37892818450927734, 0.9217289686203003, 0.5098683834075928, -0.5025330185890198, 0.6930027604103088, 1.0218873023986816, 0.8996548056602478, 0.09883056581020355, 0.18461206555366516, -0.031086983159184456, 0.5438445210456848, 0.17923732101917267, -0.4743347764015198, -0.47902947664260864, 0.760676383972168, -0.6182935237884521, -0.04814799875020981, 0.022059202194213867, -0.6647615432739258, 0.47201207280158997, 0.2845842242240906, -1.1923108100891113, 0.11267247796058655, 0.8227135539054871, -0.23843951523303986, -1.3469396829605103, 0.7625517249107361, 0.07356012612581253, 1.3256199359893799, -0.1826896220445633, -0.3254700303077698, -0.29859665036201477, 0.25439804792404175, 0.6270604729652405, -0.16550034284591675, 0.11955023556947708, -0.22714650630950928, 0.30736708641052246, -1.1271799802780151, 0.2493930459022522, -0.27151399850845337, -0.21941083669662476, 1.098211407661438, -0.7557163238525391, 1.1913632154464722, -0.6264614462852478, 1.0476490259170532, 0.7240777611732483, 0.09852628409862518, 0.5076213479042053, 1.5069693326950073, 1.2569501399993896, 0.46622195839881897, -1.1098445653915405, -0.20924252271652222, -0.15517400205135345, 0.9448487162590027, -0.12910857796669006, -0.5640808939933777, -0.024252088740468025, -0.317423015832901, -0.12204893678426743, 0.0746360495686531, -0.28058597445487976, -0.6860969066619873, 0.07722235471010208, 0.2989334464073181, 0.8138515949249268, 1.1822168827056885, -0.6466320157051086, 0.19324494898319244, -0.057575523853302, 0.2272806167602539, 0.5396678447723389, -0.9364092946052551, 0.4821552038192749, -0.6653086543083191, 1.2525947093963623, 0.5372197031974792, -0.7842543125152588, 1.1047428846359253, -0.45121365785598755, -0.47002094984054565, 0.8179730772972107, 0.29127833247184753, -0.6460705399513245, 1.5199265480041504, 0.17273181676864624, -0.41560468077659607, 0.27025046944618225, -0.23692090809345245, 0.8841744661331177, 0.3991645872592926, -0.6123405694961548, -0.21434038877487183, -1.202932596206665, 0.5038831830024719, 1.685430884361267, -0.3579804003238678, 0.5022596120834351, 0.002721528522670269, 0.06669704616069794, -0.2561381161212921, 0.25969207286834717, -0.4079727530479431, 0.29747098684310913, -0.2791328430175781, 0.511733889579773, -0.07027962058782578, -0.8853505253791809, -0.8139736652374268, -0.19514413177967072, 1.1305938959121704, 0.6546568274497986, 1.0993645191192627, 0.3363712430000305, 1.194571852684021, -0.7552492618560791, -2.157778024673462, 0.7161458730697632, 0.13066701591014862, 0.1129477322101593, -1.1707745790481567, 0.6969101428985596, 0.2768562138080597, 0.145449697971344, -0.014664703980088234, -0.5749218463897705, 0.24844157695770264, 0.6650558710098267, -1.8256497383117676, -1.5782865285873413, 0.583928644657135, 0.12613777816295624, -0.47485214471817017, -1.1687217950820923, 0.4934636354446411, 0.8734496235847473, 1.299861192703247, 0.5255699157714844, 0.7939382195472717, 0.5074151754379272, 0.019628457725048065, 0.612627387046814, -0.8506059050559998, -0.5646907091140747, -1.4943159818649292, -0.3083665072917938, -0.5228345394134521, -0.08120027929544449, 0.4081449806690216, 0.42517945170402527, -0.32255783677101135, -0.18017087876796722, 0.7682066559791565, -0.5770435929298401, -0.20213258266448975, 0.04115087538957596, -0.7264643907546997, -1.1188924312591553, 2.058091878890991, -0.21680787205696106, 0.2840934991836548, 0.8467575907707214, -0.29347458481788635, -0.6424744129180908, 0.21999870240688324, -0.290679931640625, 1.1983011960983276, -0.8927967548370361, 0.0022229417227208614, -0.5557178258895874, -0.011080669239163399, -0.042995549738407135, -0.84401935338974, -0.4615028500556946, 0.2598833739757538, 0.8998652100563049, 0.1654689460992813, 0.06810126453638077, -0.3284572660923004, -0.0199392382055521, 1.4051942825317383, -0.6403688788414001, -0.710342526435852, -1.2375425100326538, 0.4936555325984955, -0.25306689739227295, -0.8993289470672607, -0.9008959531784058, -0.5734482407569885, 0.16478893160820007, 0.4994141161441803, -0.5056891441345215, -0.9809896349906921, 0.08138083666563034, 0.906334638595581, -0.8686226606369019, -0.16628563404083252, 0.9022122025489807, 0.7829537987709045, -0.7506667971611023, 0.6144711375236511, -0.637896716594696, -0.376073956489563, 0.4474290907382965, -0.12216608971357346, 0.09902767091989517, 0.19532665610313416, 0.01527320221066475, 0.3354054391384125, 0.27627649903297424, -0.012557859532535076, 0.3870224356651306, -0.9965763688087463, 1.2249755859375, 1.9725024700164795, 0.8238798975944519, 0.2423512190580368, 0.5906679630279541, 0.21387512981891632, 0.550808846950531, 0.4619462788105011, 0.007136047817766666, -0.7163551449775696, -1.807961106300354, -0.42073580622673035, 0.3650279939174652, -0.27425915002822876, 0.2065507024526596, 1.5370346307754517, 0.7992861866950989, 0.661736786365509, -0.1254093050956726, -1.4235728979110718, 0.6337799429893494, 0.30679038166999817, 0.6111329793930054, -0.02884892001748085, 1.2913168668746948, 0.37203487753868103, 1.2739773988723755, -0.261329710483551, -0.13221953809261322, -0.843630850315094, 0.40327751636505127, 0.36083242297172546, -0.5074955821037292, 0.007255407981574535, -1.047402024269104, 0.09189824759960175, -1.0036187171936035, -0.33050772547721863, 0.5001391172409058, -0.5209875106811523, -0.9821644425392151, -0.35907748341560364, -0.685105562210083, 0.19775018095970154, 0.15891669690608978, -0.5326997637748718, -0.7314656972885132, 1.432491660118103, -1.2856007814407349, 0.0529349222779274, -0.009799773804843426, -0.5544735789299011, -1.0309360027313232, 0.0529075488448143, 0.23424334824085236, -0.32961931824684143, 1.0449881553649902, -0.8346264958381653, -0.561002254486084, -0.9995964169502258, 0.2025102972984314, 0.41505882143974304, -0.1781008094549179, -1.9149349927902222, 0.618919312953949, -0.3155316114425659, -0.5636758208274841, 0.929119884967804, -0.005330657586455345, -0.8101833462715149, 0.6118526458740234, 0.05174681916832924, -0.5191426873207092, -0.2965642809867859, -0.06707292050123215, 0.3573403060436249, -0.08772403001785278, -0.41220834851264954, 0.28466108441352844, 0.018187755718827248, -1.640691876411438, 0.05903200805187225, -0.7904079556465149, -0.2646619379520416, -0.024716803804039955, -0.17677722871303558, -0.13407012820243835, 0.013933946378529072, 0.8066994547843933, 0.21091262996196747, 0.028588423505425453, -0.45049360394477844, -1.018091082572937, -1.5914736986160278, -0.04254079982638359, 0.37788885831832886, 0.9438434839248657, -0.9404477477073669, -0.2261388748884201, 1.7893309593200684, 0.6097685694694519, -0.5875235199928284, -0.23687294125556946, 0.654240608215332, 0.6154506206512451, -0.7976998686790466, 0.06425656378269196, 0.7315418720245361, -0.01122541818767786, 0.12753310799598694, -0.5131670236587524, 0.8683980703353882, 1.9871342182159424, -0.7207586765289307, -0.6836370825767517, 0.23978562653064728, 0.4039734899997711, -0.6748013496398926, -0.0037783593870699406, 0.15463098883628845, 0.02157438173890114, 1.188339352607727, -0.18919193744659424, 0.8528439998626709, 0.31336408853530884, -0.34154728055000305, 0.5918882489204407, -0.028642460703849792, 0.5586779117584229, 0.040625493973493576, 0.4340662956237793, 0.44136738777160645, -0.8954900503158569, -0.610442578792572, 0.19983968138694763, 1.279444694519043, -1.1926634311676025, 0.5773160457611084, 0.8948030471801758, 0.6887307167053223, 0.13818776607513428, -0.09749658405780792, 0.24102284014225006, -0.2428773194551468, 0.7553566694259644, -0.0998155027627945, -0.11949142068624496, 0.4081606864929199, -0.14186741411685944, 0.21508106589317322, 0.659039318561554, -0.6852872967720032, -0.4106944799423218, -1.2758888006210327, -1.0657410621643066, 0.5810486078262329, 1.1177581548690796, 0.6178279519081116, 0.6273496150970459, 0.14412514865398407, -0.23079608380794525, -0.8322703838348389, -0.10996759682893753, -1.1090209484100342, 0.4792192280292511, -0.14079348742961884, 0.02188597433269024, 0.6044086217880249, 0.04369277134537697, 0.5206601023674011, -0.5169800519943237, -1.7504184246063232, 0.3669082820415497, -0.05346815660595894, -1.4149137735366821, 0.5749773383140564, 0.2116619348526001, -0.6027344465255737, 0.6214779019355774, 1.167223572731018, 1.989656686782837, 0.31375953555107117, 0.6748154759407043, 0.7371367812156677, 0.40513864159584045, -0.27280372381210327, 0.04617909714579582, -0.08073217421770096, 0.015314695425331593, 0.9786463975906372, 0.2212253212928772, 0.8003789186477661, -0.9410005807876587, -0.09460455179214478, 0.5288913249969482, 1.0454163551330566, 0.23898845911026, -0.004094626754522324, -0.08954004943370819, -0.00987117737531662, -0.7495018243789673, 0.39401596784591675, 1.3090057373046875, -0.18325959146022797, 1.4544609785079956, 0.9482844471931458, 0.6595591306686401, -0.4249614179134369, 0.8404918909072876, 1.3388385772705078, -0.0891336128115654, -0.00021535629639402032, -0.5529489517211914, -0.97989422082901, -0.6275048851966858, -0.20974554121494293, 0.5120230913162231, 0.6326462626457214, 0.44855228066444397, -0.403755784034729, 0.8415580987930298, 0.15221641957759857, -0.9889444708824158, 0.15970171988010406, -0.6118783354759216, -0.3536764085292816, -0.05964365229010582, 0.5984546542167664, 0.9020108580589294, 0.054273590445518494, -0.9350212812423706, -0.8342549800872803, -0.8343610763549805, -2.006561756134033, -0.15554556250572205, 0.11152619868516922, 1.988892674446106, -0.30848291516304016, 0.18836724758148193, -0.8435096144676208, -1.1798512935638428, 0.47916871309280396, -0.3625238835811615, 1.696650743484497, -0.9332355260848999, 1.0740525722503662, 0.07817231118679047, -0.9554279446601868, -1.146022915840149, 1.0253885984420776, -0.3442196547985077, -0.46011877059936523, -0.25267380475997925, 0.5131786465644836, -0.761052668094635, 0.4319409132003784, -0.22063970565795898, 1.21316397190094, -0.4618312120437622, -0.39732304215431213, 0.2666569948196411, 1.5426654815673828, -0.8210979700088501, -0.3191685974597931, 0.3348616361618042, -0.99033123254776, -0.9039676189422607, 0.776093065738678, -0.37711653113365173, -0.14806297421455383, 0.3888094425201416, -0.3507526218891144, -0.09569596499204636, -0.30334195494651794, -1.4407668113708496, 1.4839229583740234, 0.04490385204553604, 0.2971641421318054, 0.7887052893638611, 0.668540894985199, -0.49411916732788086, 0.23843029141426086, -0.949955403804779, 0.32147344946861267, -0.7762895226478577, 1.4471721649169922, -0.6934815645217896, -0.046995360404253006, -1.1283388137817383, 0.7501034736633301, -0.11354964971542358, 0.03831042721867561, -0.365549236536026, 0.0022309368941932917, -0.25145432353019714, 0.819191038608551, -0.8541569709777832, 0.2466435432434082, -0.33131322264671326, 0.0996520072221756, -0.5426641702651978, -0.20171043276786804, 0.2915327250957489, -1.7437212467193604, 0.19343608617782593, 0.5229593515396118, 0.2530566155910492, 1.2693837881088257, -0.8102516531944275, -0.4638062119483948, 0.5909150242805481, -1.0844272375106812, 0.6665208339691162, 0.2632879912853241, -0.02959228679537773, -0.3804739713668823, -0.9198510050773621, -0.17961812019348145, 0.48774221539497375, -0.1641663759946823, 0.29132142663002014, 0.1942383050918579, 0.7350972890853882, 0.003722820430994034, -0.7785974144935608, -0.8102702498435974, -0.5835998058319092, -0.824596107006073, 0.46689972281455994, 0.3180328905582428, -0.25037071108818054, 0.1449267715215683, 0.2801470160484314, -0.47889724373817444, 0.18636219203472137, 0.9514715671539307, -0.23624473810195923, 0.3894720673561096, 0.6764435172080994, 0.8245850801467896, 0.5561379194259644, 0.8973682522773743, 0.552365779876709, -0.2053542137145996, 0.2695779502391815, 0.3193388879299164, -0.39926740527153015, -1.2945809364318848, -0.6580168008804321, -0.27242887020111084, -0.9301996827125549, -0.12465988844633102, 1.4408129453659058, -0.23941466212272644, 0.2920689284801483, 0.06286046653985977, -0.2185048907995224, -0.7595278024673462, -0.043113164603710175, -0.6049069762229919, -0.7431920766830444, 0.6179539561271667, -0.8896180987358093, 0.6665531396865845, -0.10183313488960266, -0.22631043195724487, -0.9682126045227051, 0.25404924154281616, 0.26571518182754517, 0.22599542140960693, 2.074538230895996, 0.3669899106025696, 0.11821584403514862, 0.7015988230705261, 0.6512357592582703, 0.09996769577264786, -0.15746039152145386, 0.3698076903820038, 1.0416847467422485, 0.4085092842578888, -0.538526177406311, 0.10086188465356827, -0.915557861328125, 0.335872620344162, 1.8859187364578247, -0.9602984189987183, 0.47109800577163696, -0.09196995943784714, 0.10593774914741516, -0.8542457818984985, -0.28493353724479675, -0.7897987961769104, 0.4539051353931427, -0.40394943952560425, 2.391023874282837, -1.3361217975616455, -1.7724807262420654, 0.8204171061515808, 1.4848804473876953, 0.21846577525138855, -0.33147484064102173, 0.6281048059463501, -0.13456647098064423, -0.35799527168273926, -1.0554699897766113, 0.0567011684179306, 0.8931704759597778, -1.0826151371002197, 0.8275734186172485, -0.18664054572582245, -0.477134644985199, 0.3371855616569519, 0.8640142679214478, 0.38606253266334534, -1.5286539793014526, -1.4848320484161377, -1.3249247074127197, 0.8365136384963989, 0.044365573674440384, -0.356139212846756, -0.17604419589042664, -0.3736913502216339, 0.38910040259361267, -0.1386738419532776, 0.8411607146263123, -0.5290502905845642, -1.451715350151062, -0.6314278244972229, -0.7721589207649231, -1.045484185218811, 1.4648473262786865, 0.14789801836013794, -0.44365644454956055, 1.061100721359253, -0.3399094343185425, 1.088146686553955, 0.21949180960655212, 0.462157279253006, 0.23629657924175262, 1.3353019952774048, -0.7274678945541382, 0.16072653234004974, -0.3006829023361206, 0.18429648876190186, 4.65604305267334, 1.1223318576812744, -0.4518093466758728, -2.2536075115203857, -0.38964882493019104, -0.3062078058719635, 0.4127044379711151, 0.017450878396630287, 0.6034606695175171, 0.22059501707553864, 0.8196620941162109, 0.6691553592681885, 0.2297326624393463, -0.322420597076416, 0.33684656023979187, 0.7447499632835388, -0.5399876832962036, -1.1389799118041992, 0.42135921120643616, 0.5244364142417908, 0.13749000430107117, -0.33212146162986755, -1.1406515836715698, -0.9955663084983826, 0.8823299407958984, -0.17234109342098236, 0.2688562870025635, -0.26887351274490356, 1.0352052450180054, -0.07257966697216034, -0.9636077880859375, 0.6259852647781372, 0.8041306734085083, 0.3129120171070099, 0.9359875321388245, 0.4209502637386322, -0.2923435866832733, -0.38914787769317627, -0.6895287036895752, 0.745530366897583, 0.3893531262874603, -0.32821014523506165, -0.2644306719303131, -0.1471807211637497, -0.8091027140617371, -0.38629820942878723, -0.6041315197944641, -0.9188059568405151, -0.009057690389454365, -0.25740480422973633, 0.7878952622413635, 0.1932092010974884, 0.008337429724633694, -0.9548978805541992, -0.5800243616104126, 1.1765912771224976, 0.15986457467079163, -0.6331506371498108, -0.8361085653305054, -0.6150085926055908, 0.6488105654716492, -0.3249237537384033, 0.5876728296279907, 0.8550164103507996, 0.5217555165290833, 0.5795260071754456, -0.8942664861679077, 1.780190348625183, 0.0006052986718714237, -0.44909778237342834, -0.14915916323661804, 0.24818147718906403, -0.14338044822216034, -0.649308979511261, -0.7151974439620972, 0.9213099479675293, -0.9652177691459656, 0.6914358139038086, -1.0155742168426514, -0.7090252637863159, -0.6734136939048767, 0.462230384349823, 0.02755817212164402, 0.08623716235160828, -0.5345510244369507, -0.5613542795181274, 0.020400552079081535, 0.6602952480316162, 0.2637217044830322, -0.052586235105991364, 0.2514533996582031, 1.094901442527771, 1.1579492092132568, -1.102051019668579, 0.3992452621459961, 0.037125714123249054, -0.45532140135765076, 0.30666622519493103, -0.5591244101524353, 1.3659905195236206, -0.177968367934227, -0.6151028275489807, -0.3362642824649811, 1.018515944480896, -0.6116740703582764, 0.016032401472330093, 0.9700081944465637, -1.3440239429473877, -0.08759494870901108, -0.002225329400971532, 0.885949432849884, -0.8143234252929688, -0.4430353343486786, -0.35294726490974426, 0.17139552533626556, -0.43915894627571106, -0.08121611177921295, -0.9264487028121948, 0.6622670888900757, -0.3325880169868469, 0.22794769704341888, 0.03424296900629997, 0.09787535667419434, 0.11249078065156937, -0.07408568263053894, 0.352333664894104, -0.9861708283424377, -0.1150941401720047, -0.514004647731781, 0.13281506299972534, 0.4049025774002075, -0.09259618818759918, -0.12204873561859131, 0.9047495722770691, -0.9689511060714722, -0.4594627022743225, -0.08369994163513184, 0.293527215719223, -1.3855454921722412, 0.06534703820943832, 0.4547461271286011, -0.2878119647502899, -0.4417477548122406, 1.4722853899002075, -0.5879238247871399, -0.05791039764881134, 0.5659697651863098, -0.28207942843437195, 0.46182677149772644, 0.9171100854873657, -1.1085216999053955, -0.2011566460132599, 0.5250078439712524, 0.38787102699279785, 0.3215634822845459, -0.3295252323150635, -0.22833794355392456, 0.2370406687259674, 0.3563873767852783, 0.7099195122718811, 0.12691430747509003, 0.5198069214820862, 0.31597700715065, -0.701758623123169, 0.18275532126426697, 1.0773646831512451, -0.3487330973148346, 0.46885260939598083, -0.7013801336288452, 0.693062424659729, 0.15073688328266144, -0.1194894090294838, 0.23913182318210602, 0.45146459341049194, -1.2119821310043335, -0.304311603307724, -0.24492035806179047, 0.08389763534069061, 1.0358664989471436, -0.8476909399032593, 0.46128490567207336, 1.0314981937408447, -1.2263004779815674, 0.06427675485610962, -0.757422924041748, -0.3441823422908783, -1.293519377708435, 0.45244020223617554, 0.256965309381485, 0.34080883860588074, 0.10817332565784454, 0.1340266764163971, 0.7521101832389832, -0.24243608117103577, -0.5860787034034729, -0.9730440974235535, 0.24304629862308502, 0.4868563115596771, -0.48377764225006104, -0.17437772452831268, 1.0448412895202637, -0.7947764992713928, -1.036625623703003, -0.34585294127464294, -0.9632935523986816, -0.13445128500461578, -0.1329307109117508, 0.7572163343429565, 0.15407444536685944, 0.6292257308959961, -0.33483317494392395, 0.0438804030418396, -0.3532603085041046, -0.8216354250907898, 0.40882062911987305, -0.08271007239818573, -0.4547182023525238, -0.02390921860933304, 0.6871313452720642, 0.8720033168792725, -0.9467217922210693, 0.9644010066986084, -1.0667623281478882, -0.11469677090644836, 0.1750289797782898, 0.19925905764102936, 0.5461565852165222, -1.2389236688613892, -0.3790818154811859, -1.1192091703414917, 0.7813700437545776, 0.22762326896190643, -0.01286892220377922, -0.05849117040634155, 0.2236548811197281, -0.7791433930397034, -0.18291085958480835, 0.5469129085540771, 0.3191308081150055, -1.1905937194824219, -0.5433942675590515, -0.5259594321250916, -0.0786154493689537, 1.1438356637954712, 0.30688002705574036, -0.0995170995593071, -0.6552671194076538, 0.9960973858833313, -0.42091307044029236, -0.6315218806266785, -0.5006111264228821, -0.22774957120418549, 0.37678903341293335, -0.3207109868526459, 1.4176238775253296, 1.549065113067627, 0.24956336617469788, -0.5595213174819946, -1.0432456731796265, -0.2851766049861908, 1.6005635261535645, 1.288466453552246, -0.0424891822040081, -0.23260267078876495, -1.6881259679794312, -0.17956899106502533, -0.6434920430183411, 0.6110893487930298, -0.1792241334915161, -0.4435651898384094, 0.10967442393302917, -0.1904631406068802, -0.14824214577674866, 0.12050126492977142, 0.6933029294013977, 0.6729357838630676, -0.19981107115745544, 0.4056257903575897, -0.8267755508422852, -0.01669851318001747, -0.039651039987802505, 0.10193690657615662, -0.21443477272987366, 1.5850801467895508, 0.3872196674346924, -0.08816271275281906, 0.08494238555431366, -0.515800952911377, -1.5020216703414917, 1.5034410953521729, 0.047460488975048065, 0.40200111269950867, -0.6398446559906006, -0.07540716975927353, 1.2340492010116577, -1.107846736907959, -0.650516927242279, 0.7147544026374817, 0.03765326738357544, 0.009669645689427853, 0.7363497614860535, 0.6131119132041931, 1.0425688028335571, 0.1401708722114563, 0.4821983873844147, -0.5303700566291809, -0.7660272121429443, 0.8115370273590088, 0.8004727363586426, 0.4225352108478546, -0.33035582304000854, -0.20781265199184418, 1.1050440073013306, -0.6445503234863281, 1.2176977396011353, -0.22489452362060547, 0.477669894695282, -0.05355404317378998, 0.7443671226501465, -0.8580880761146545, -0.9879196286201477, -1.1283020973205566, 0.04072731360793114, -0.20224036276340485, 1.3255846500396729, -1.029370903968811, 0.2746962904930115, -1.646953821182251, 0.16719084978103638, -1.1485683917999268, -0.4416712820529938, -0.02147544175386429, 0.5625220537185669, -0.4568433463573456, -0.6181845664978027, -0.7675259113311768, 0.10802169889211655, 1.6352925300598145, -1.2364109754562378, 0.22945070266723633, 0.3836779296398163, 0.690571129322052, 0.4904176592826843, -0.5993835926055908, -1.4663186073303223, -0.2830885946750641, 1.00152587890625, 0.30953449010849, -0.09923192113637924, -0.9829260110855103, -1.7633534669876099, 0.6605807542800903, 1.3000301122665405, 0.09579429775476456, -0.154962420463562, -1.1981549263000488, 0.49465668201446533, 0.37754037976264954, 0.421687513589859 ] ]
{ "indices": [ 4094582072, 691409538, 2678223555, 3589630101, 2391722386, 4022367199, 639386442, 2325784421, 1622730881, 2517333479, 1181726436, 448220673, 2874966370, 3819098157, 2179962017, 82883857, 1432087569, 1117261085, 1786548735, 1063320047, 1230423685, 2877535289, 647928480, 1438597426, 3059829727, 1704236722, 997012898, 1404934148, 1830628714, 2733467792, 2673623443, 722829366, 225924602, 1491351846, 1833938074, 3062174764 ], "values": [ 0.4299508493493549, 0.693505763745749, 0.4299508493493549, 0.6013505282996094, 0.6013505282996094, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.6013505282996094, 0.693505763745749, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549 ] }
{ "context": "Remember, it's pulled directly out of memory through the MDR, from MDR directly into IR. It's never sign extended in the fetch path. Yeah. Okay. That's no problem. Okay. So where were we? I think we've done these, right? We've done these. All right. So what about these? So all we're doing is calculating branch enable and decode. The whole reason for decode is so we can get to, we can look at the opcode and get to the start of the execution state for the correct opcode, right? So do we need to do anything with ALU or memory? No." }
537890
[ [ 0.3878675699234009, -0.5217520594596863, 0.8456787467002869, 0.4137105643749237, 0.9736803770065308, -0.11865241825580597, -0.21895845234394073, 0.3468196988105774, 0.4167998135089874, -0.5052545666694641, 0.984455406665802, 0.8691227436065674, 0.434581995010376, 0.3118060827255249, 0.14798864722251892, -0.028645901009440422, 0.2408815324306488, -0.5272514820098877, -0.5591872930526733, -0.6429513692855835, 0.3746025562286377, -0.038734037429094315, 0.01576213911175728, -0.1358400583267212, -0.683519184589386, 0.5564101338386536, 0.04472295567393303, -1.0066418647766113, -0.1847391426563263, 0.865423321723938, -0.26113492250442505, -1.6527304649353027, 0.7158358097076416, -0.554186224937439, 2.197183609008789, 0.2878769636154175, 0.1809145212173462, -0.5202518701553345, 0.24070163071155548, 0.5532564520835876, -0.6833773851394653, 0.4488452672958374, -0.16513432562351227, 0.6232991814613342, -0.6810672879219055, 0.5149345397949219, 0.2997983992099762, -0.21292199194431305, 1.102317214012146, -1.2019919157028198, 1.1703598499298096, -0.17462344467639923, 1.259516954421997, 0.35870179533958435, 0.19658386707305908, 0.4511459171772003, 1.3495135307312012, 1.6496520042419434, 0.4937669336795807, -1.1151859760284424, -0.09895866364240646, -0.861221194267273, -0.11760783940553665, -0.3491937816143036, -0.15685106813907623, 0.08678588271141052, -0.7541153430938721, -0.9385740160942078, 0.7556979060173035, -0.4857911467552185, -0.9001197218894958, 0.6179719567298889, 0.786521852016449, 0.19693411886692047, 0.8867054581642151, -0.5871830582618713, 0.025185493752360344, 0.20472456514835358, 0.7744637727737427, 1.1725753545761108, -0.7376897931098938, 0.22136639058589935, -0.40987128019332886, 0.17512176930904388, 0.5326270461082458, -0.7790806889533997, 1.314251184463501, -0.4957546293735504, 0.6649855971336365, 0.9091383218765259, 0.41969242691993713, -0.6227656006813049, 1.5833768844604492, 0.04274822399020195, -0.8773304224014282, -0.3650079369544983, 0.9888878464698792, 1.3474866151809692, 0.005306525621563196, -1.2781010866165161, 0.3852030336856842, -0.7516627311706543, -0.11321374028921127, 1.6669849157333374, 0.1869191825389862, 0.44319161772727966, -0.3566603660583496, -0.3320736885070801, -1.2702651023864746, 0.47011318802833557, -0.7911282777786255, 1.06645929813385, -0.7245035767555237, 1.1212753057479858, -0.01905195787549019, -0.8199872970581055, -0.4229242503643036, -0.75722736120224, 1.092254400253296, 1.2573888301849365, 0.8923139572143555, 0.4098247289657593, 1.0692507028579712, -0.5087735652923584, -1.9243566989898682, 0.39028412103652954, 0.6841714978218079, 0.027648502960801125, -1.2184735536575317, 0.8637716770172119, 0.29895615577697754, 0.3181542754173279, 0.30090296268463135, -0.7423602342605591, 0.5242260098457336, 0.9022476077079773, -1.670145034790039, -1.1460585594177246, 0.8181151747703552, -0.07875604927539825, -0.24322746694087982, -2.0365734100341797, 0.03383662924170494, 0.9230469465255737, 1.1461304426193237, 0.6908762454986572, 0.6743809580802917, 0.4817974269390106, -0.21546366810798645, 0.17712979018688202, -0.46901601552963257, 0.5000548958778381, -1.3453843593597412, -0.0981149822473526, -1.223136067390442, -1.1398451328277588, 0.33540648221969604, -0.1005067378282547, -0.8599512577056885, 0.27789345383644104, 0.19329099357128143, -0.4677667021751404, 0.16551385819911957, -0.5439253449440002, -0.8120420575141907, -0.9767112135887146, 1.2633318901062012, -0.17644557356834412, 0.8442320823669434, 0.8447965979576111, -0.12706999480724335, 0.7167772054672241, 0.37704548239707947, 0.4089382290840149, 1.285826325416565, -0.47772887349128723, 0.26126837730407715, -0.5121111273765564, -0.08596500754356384, 0.1911548674106598, 0.08116079121828079, -0.48291638493537903, 0.17032469809055328, 1.4893808364868164, 0.23878245055675507, 0.4577435851097107, -0.7283633947372437, -0.8108150959014893, 1.03029203414917, -0.7673425674438477, -0.6700329780578613, -1.0346120595932007, 0.4966558814048767, -0.366678923368454, -0.5996952056884766, -0.8826087117195129, -0.7138097882270813, 0.12101996690034866, 0.37382397055625916, -0.593006432056427, -0.0768733099102974, 0.6101822257041931, 1.0829336643218994, -0.6181188225746155, -0.11018601804971695, 1.0277293920516968, 1.0699154138565063, -0.609958827495575, 0.1008213683962822, -1.016424536705017, -0.5485013723373413, 0.5741536617279053, -0.38314950466156006, 0.2958829998970032, 0.5652104020118713, -0.21918343007564545, 0.39862531423568726, 0.7604207992553711, -0.21188941597938538, -0.009848130866885185, -0.9898266196250916, 0.922027051448822, 2.0265045166015625, 1.3651217222213745, 0.3095610737800598, 0.3084624409675598, -0.20799463987350464, -0.2859823703765869, 0.15253859758377075, -0.8396297693252563, -0.17881472408771515, -1.1355946063995361, 0.2404470592737198, 0.1209399402141571, 0.27296754717826843, 0.049164239317178726, 1.4280664920806885, 0.7921276092529297, 1.3224927186965942, 0.6177656054496765, -1.4938241243362427, 0.7173712253570557, -0.10804849117994308, -0.07935729622840881, 0.18824754655361176, 1.5151740312576294, 0.19116300344467163, 1.0454641580581665, -0.16717371344566345, 0.049047842621803284, -1.085835576057434, 0.7700070142745972, 0.32326540350914, -1.1138540506362915, 0.7492730617523193, -1.187748670578003, -0.008704063482582569, -0.9698176383972168, -0.34529417753219604, 0.904487669467926, -0.17918919026851654, -0.42115941643714905, -0.7482545971870422, -0.5270852446556091, 0.15998928248882294, 0.3772657513618469, -0.012096554040908813, -0.6571463346481323, 1.3163713216781616, -0.35594993829727173, 0.43814849853515625, 0.7955872416496277, -0.304279088973999, -0.4577842056751251, -0.15113410353660583, 1.1455132961273193, -0.5246785283088684, 1.3777824640274048, -0.7613875269889832, -0.10667361319065094, 0.06121564656496048, 0.02061302773654461, 0.35612016916275024, -0.37081682682037354, -1.8679479360580444, 0.6489782929420471, -0.5204930901527405, -0.6323708295822144, 0.597040057182312, 0.57048100233078, -1.0460236072540283, 0.43483811616897583, 0.21806606650352478, -0.4968295097351074, -0.010482577607035637, 0.15321731567382812, 0.03268742188811302, 0.38078203797340393, 0.3215271234512329, 0.8346832394599915, 0.26777559518814087, -0.9958370923995972, 0.15335029363632202, -1.2221708297729492, -0.9734737873077393, -0.06963232904672623, -0.9501103758811951, -0.40997180342674255, -0.4126458764076233, -0.16442367434501648, -0.457516610622406, -0.6516287922859192, -0.18595241010189056, -1.1279703378677368, -1.7783069610595703, 0.07863960415124893, 0.2717568278312683, 0.6258105039596558, -0.05090055242180824, -0.6723521947860718, 0.7744367122650146, 1.2826459407806396, -0.6185538172721863, -0.4807063937187195, 0.11172749847173691, -0.23587295413017273, -0.6427051424980164, -0.18462330102920532, 0.5744507908821106, 0.1392320990562439, 0.02802775241434574, -0.7196748852729797, 1.0326319932937622, 1.5215109586715698, -0.16074791550636292, -0.8899734616279602, 0.2946873903274536, 0.1011209636926651, -0.972023069858551, 0.09410246461629868, 0.6479495763778687, 0.3569856882095337, 0.9061890244483948, 0.2627836763858795, 1.5831564664840698, 0.4267061948776245, -0.816845178604126, -0.035018399357795715, 0.11686623096466064, 0.35625848174095154, -0.3228967785835266, 0.30771604180336, -0.3303728997707367, -0.38635289669036865, -1.3618282079696655, 0.14971213042736053, 1.0235378742218018, -0.8611875772476196, 0.06731824576854706, 1.158520221710205, 0.9671280384063721, -0.029029324650764465, -0.1751675009727478, -0.5149365663528442, 0.11344610154628754, 0.3586288392543793, -0.6559242010116577, 0.09849418699741364, 0.8467468619346619, 0.2859852910041809, 0.7530551552772522, 0.6313604712486267, 0.18030941486358643, -0.6679744124412537, -1.4868957996368408, -0.6949856877326965, 0.06442464888095856, 0.9326821565628052, 0.3010113537311554, 1.0140700340270996, -0.03038633055984974, 0.2898443639278412, -0.03839835152029991, 0.15039296448230743, -1.6914294958114624, 0.22087818384170532, 0.12314800918102264, 0.13966985046863556, 0.007474618963897228, 0.06980000436306, 0.4096643924713135, 0.37491175532341003, -0.8342739939689636, 0.1605997085571289, 0.11460831016302109, -1.3051875829696655, 0.27712446451187134, 0.13885004818439484, -0.25714948773384094, 0.14904990792274475, 0.758590042591095, 2.4608154296875, 1.1353472471237183, 0.2820427119731903, 0.00979534164071083, 0.1838640570640564, -0.8117404580116272, -0.3238922953605652, 0.5157199501991272, 0.38870736956596375, 0.8329983353614807, 0.5020409226417542, 0.810588538646698, -0.7213600873947144, -0.07072697579860687, 0.3381725549697876, 0.9225473999977112, 0.6562188863754272, 0.3585270345211029, -0.20418256521224976, -0.6964161396026611, -0.2085159718990326, 0.06933269649744034, 1.0445486307144165, -0.6147574186325073, 1.4154831171035767, 0.7654793858528137, -0.14125707745552063, 0.4855686128139496, 1.0533148050308228, 0.814098596572876, 0.0026037320494651794, -0.5579718351364136, -1.1655136346817017, -0.7088190317153931, -0.3678763806819916, -0.29828453063964844, 0.21238498389720917, 1.0440409183502197, 0.5239226818084717, -0.6067896485328674, 0.36088821291923523, -0.14601385593414307, -1.4994781017303467, 0.45802053809165955, -0.23266220092773438, -0.14659886062145233, -0.5448721051216125, 0.8886582851409912, 0.6369878649711609, 0.20975486934185028, -0.22457163035869598, 0.2808901071548462, -0.3931780755519867, -2.475160837173462, -0.642093300819397, 0.1699860394001007, 0.5088204741477966, -0.7095281481742859, 0.43840745091438293, -0.565488874912262, -0.3820103704929352, 0.9612737894058228, -0.7661178708076477, 0.8327234387397766, -0.5608099102973938, 0.07281814515590668, -0.15170039236545563, -1.587306022644043, -1.610956072807312, 1.2120964527130127, -0.3016080856323242, -0.1305825114250183, 0.15274560451507568, 0.11825954169034958, -0.30821138620376587, 0.731563150882721, 0.6367833018302917, 0.2112135887145996, -0.18141420185565948, -0.34371545910835266, -0.10112021863460541, 0.5810092687606812, -1.226837396621704, -0.5959831476211548, 0.41054970026016235, -0.9705567359924316, -0.7644267082214355, 0.39648279547691345, -0.8971779942512512, 0.217559814453125, 0.8132312893867493, -0.16167256236076355, -0.7020958662033081, -0.8796438574790955, -1.4311881065368652, 0.4484875798225403, -0.35169854760169983, 0.879001259803772, 1.0053670406341553, 0.41495412588119507, -0.41030940413475037, 0.0647486224770546, -0.015373894944787025, 0.6703327894210815, -0.2838619649410248, 0.4235967695713043, -0.331539124250412, -0.8412134647369385, -0.8575956225395203, 0.8445764780044556, -0.2775347828865051, -0.12267657369375229, -0.4776787757873535, -0.3472323715686798, -0.18740662932395935, 1.6451855897903442, -1.1041829586029053, 0.06094840168952942, 0.09065326303243637, 0.4367586076259613, -1.2579143047332764, 0.27445441484451294, 0.26452699303627014, -1.2498061656951904, 0.31608352065086365, 0.819631040096283, 0.3595903515815735, 0.8369484543800354, -0.3224859833717346, 0.5104622840881348, 0.47036051750183105, -1.6172065734863281, 0.7399165034294128, 0.48917749524116516, 0.915952205657959, -0.019933141767978668, -1.1563136577606201, -0.5795395970344543, 0.6595020890235901, -0.2688072621822357, 0.40393415093421936, -0.09641097486019135, 0.4792368412017822, 1.0751343965530396, -0.32520613074302673, -0.5649396777153015, -0.7921876907348633, -1.0648630857467651, 0.4660673141479492, -0.010455651208758354, -1.0006004571914673, 0.3409345746040344, 0.12183620035648346, -0.6616434454917908, 0.20861054956912994, 1.639123558998108, 0.3855011761188507, 0.15086650848388672, 0.15944533050060272, -0.032876648008823395, 1.2647610902786255, 0.6438090205192566, 0.894896388053894, 0.002081124810501933, -0.13531872630119324, -0.6752827167510986, -1.0335768461227417, -1.0123071670532227, -0.5323691368103027, 0.14072516560554504, -0.7200944423675537, -0.36591145396232605, 1.2302417755126953, -0.02975924126803875, 1.1546227931976318, 0.12616783380508423, -0.7368200421333313, -0.2782561182975769, -0.6597350239753723, -0.8136537671089172, -0.3741830885410309, 0.09453415870666504, -0.07654691487550735, 0.4807492196559906, 0.86948162317276, -0.5811880826950073, -0.11055023223161697, 0.10068592429161072, 0.3587026000022888, -0.16419634222984314, 2.1032042503356934, 0.6089579463005066, 0.4973185360431671, 1.1718645095825195, 1.043562889099121, 0.9453320503234863, -0.5761673450469971, 0.2425937056541443, 0.4453778564929962, 0.5149267315864563, -0.2932080328464508, -0.25763362646102905, -1.1350252628326416, 0.4126625955104828, 1.143516182899475, -0.7317290902137756, 0.44781965017318726, -0.35541239380836487, 0.8367788195610046, -0.07440178096294403, 0.7456210851669312, -0.5982840061187744, 0.44579920172691345, -0.32996484637260437, 1.8388224840164185, -1.2512716054916382, -0.20606952905654907, 1.6432064771652222, 0.9016485810279846, 0.840947151184082, 0.35481348633766174, 0.4651474356651306, -0.14140690863132477, -0.20295129716396332, -1.1684350967407227, -0.5404425263404846, 1.1247843503952026, -2.0484561920166016, 0.8466419577598572, 0.05196889862418175, -0.845463216304779, 0.5515838861465454, 0.4818648099899292, 0.12997682392597198, -1.5218665599822998, -0.6683604717254639, -1.362204670906067, 0.6506419777870178, 0.917020857334137, -0.20372317731380463, -0.29636499285697937, -0.15346738696098328, 0.7725865244865417, -0.07304732501506805, 1.045221209526062, -0.4616576135158539, -1.3740814924240112, -0.048930574208498, -0.5367071628570557, -0.9042471051216125, 1.382617712020874, -0.02453324757516384, -0.8026476502418518, 1.2643210887908936, -0.42863208055496216, 0.9290817975997925, 0.5325040817260742, 0.15830641984939575, 0.04254724085330963, 1.2130793333053589, -0.2057393342256546, -0.26910698413848877, -0.02846357598900795, -0.1429065465927124, 4.299806594848633, 1.3547277450561523, 0.027066070586442947, -2.235902786254883, -0.15639729797840118, -1.070119023323059, 0.3455289304256439, -0.42461779713630676, 0.3242693543434143, 0.1546463668346405, 0.5646430850028992, 0.9319459795951843, 0.36799466609954834, -0.6120145320892334, 0.7955030202865601, 0.9311556816101074, -0.6089603304862976, -0.5015608072280884, 1.3175162076950073, -0.3106272518634796, 0.17664583027362823, -0.3224929869174957, -1.1016680002212524, -1.2350646257400513, 1.4557161331176758, 0.2540859282016754, 1.079081654548645, -0.5230054259300232, 0.5336105823516846, 0.20318643748760223, -0.28991371393203735, 0.7986274361610413, 0.47476473450660706, 1.7400985956192017, 0.4072447121143341, 0.29453057050704956, -0.14279036223888397, -0.5311747789382935, -0.5868125557899475, -0.04742687940597534, 0.46313905715942383, -0.1796962320804596, -0.5858597159385681, -0.5881972312927246, -1.3497099876403809, -0.06474807858467102, -0.28702738881111145, -0.77463698387146, 0.47931623458862305, 0.16281995177268982, 0.10278994590044022, 0.5785484910011292, -0.6841341853141785, -0.96959388256073, -0.8564714193344116, 0.923125684261322, 0.426677405834198, -0.239775612950325, -0.06630405783653259, -0.7043935060501099, 0.1323961466550827, -0.49565666913986206, 0.3953205943107605, -0.08227209001779556, 0.6474460959434509, -0.09427746385335922, 0.3805852234363556, 2.303368330001831, -0.5238826274871826, -0.9370707273483276, -1.2754571437835693, 0.41743341088294983, -0.03638578578829765, -0.5679814219474792, -0.42147761583328247, 1.0656243562698364, -0.3484000265598297, -0.00011478735541459173, -0.5478463172912598, -0.7657994031906128, -1.160435438156128, -0.28543949127197266, -0.6565485000610352, 0.3925657272338867, -0.7534562945365906, -1.1342899799346924, -0.23227013647556305, 0.10443349182605743, -0.3170183300971985, 0.13958843052387238, 0.04639765992760658, 1.314798355102539, 0.4651685655117035, -0.9274955987930298, -0.005912654101848602, 0.4353865683078766, -2.0008883476257324, 0.03339493274688721, -1.1912530660629272, 0.8946048021316528, 0.3035663962364197, -0.4067072868347168, 0.05639062449336052, 0.6138133406639099, -0.6897081732749939, -0.17331565916538239, 0.6828190684318542, -1.1032440662384033, -0.2119394838809967, -0.20202518999576569, 0.6237538456916809, -1.3261992931365967, -0.7427287101745605, -0.5114349126815796, -0.22087247669696808, -0.0749385878443718, 0.3451651334762573, -0.4810047745704651, -0.1789611279964447, -0.43189340829849243, 0.5819241404533386, 0.5719486474990845, 0.2732008695602417, -0.8148308992385864, -0.6195616126060486, 0.13526903092861176, -1.2468687295913696, -0.5286369919776917, 0.38212481141090393, -0.4990968108177185, 0.2735922634601593, 0.2531825006008148, -0.30977511405944824, 0.5436756610870361, -0.7914358377456665, -0.10261786729097366, 0.018219033256173134, 0.3736460208892822, -1.04228937625885, -0.04314231127500534, 0.49789929389953613, -0.26189014315605164, -0.8964765071868896, 1.192293643951416, -0.02237517572939396, 0.08755365759134293, -0.17039242386817932, -0.12363006919622421, 1.1517428159713745, 0.8199195861816406, -1.5227588415145874, -0.5614076256752014, 0.2779751718044281, 0.500181257724762, 0.45269858837127686, 0.43220165371894836, 0.2339012771844864, 0.1316383183002472, -0.320453941822052, 0.2225097268819809, 0.5661725401878357, 1.1644705533981323, -0.4859350621700287, -0.9464880228042603, -0.48221758008003235, 0.08399427682161331, -0.6245174407958984, 1.2200195789337158, 0.016658885404467583, 0.730269730091095, 0.5847682356834412, -0.7345508933067322, -0.48242810368537903, 0.6550406813621521, -1.461914300918579, -0.06311380118131638, 0.09948663413524628, 0.7633020877838135, 0.6813544631004333, -0.8988726735115051, -0.4107903242111206, 0.4477158188819885, -1.7552136182785034, 0.385713666677475, -0.14844125509262085, -0.6681442856788635, -0.8027885556221008, 1.3720848560333252, -0.3844752609729767, -0.18295355141162872, 0.3574448823928833, -0.17308072745800018, 1.0711045265197754, 0.2700839638710022, -0.3902597427368164, -0.6060522198677063, 0.4252305030822754, 0.8929526209831238, 0.1951727718114853, -0.4515868127346039, 0.49597594141960144, -0.34359011054039, -1.2491657733917236, -0.2523677945137024, -1.5468988418579102, 0.5589430332183838, 0.1357872486114502, 1.4501392841339111, 0.15171319246292114, 0.3143016993999481, -0.37860530614852905, 0.5086224675178528, -0.43490803241729736, -0.25219783186912537, 0.17275449633598328, 0.5300153493881226, -0.7752950191497803, -0.5231295824050903, 0.4013265073299408, 0.026472806930541992, -0.3611706793308258, -0.1305709332227707, -1.5797483921051025, 0.13735195994377136, 0.17858237028121948, -0.04439978301525116, -0.011505262926220894, -1.0898116827011108, -0.39973369240760803, -1.1304564476013184, -0.08502182364463806, 0.020159032195806503, 1.0033044815063477, 0.17548927664756775, 0.14335036277770996, -0.666431725025177, -0.6975575089454651, 0.3059471547603607, 0.7636445164680481, -1.2823855876922607, -1.0320123434066772, -0.0921444520354271, -0.18879735469818115, 0.5775878429412842, 0.7176876068115234, 0.19210003316402435, -0.48335230350494385, 0.20733468234539032, -0.09518435597419739, 0.2002817988395691, -0.5920255780220032, 0.018327534198760986, 0.8201674818992615, -0.667567253112793, 1.0066710710525513, 0.9171402454376221, 0.8544242978096008, -0.6531231999397278, -0.9902001023292542, -0.3036367893218994, 1.0920805931091309, 0.658145546913147, -0.06432689726352692, 0.10664941370487213, -1.8139346837997437, -0.020442919805645943, 0.3171161115169525, -0.1898529827594757, 0.36518317461013794, -0.43905290961265564, -0.32398512959480286, 0.19146788120269775, 0.32491594552993774, -0.12468883395195007, 0.5008033514022827, 0.7066339254379272, 0.5900477170944214, 0.3202499449253082, -0.03041013330221176, -0.35381749272346497, -0.08344168215990067, 0.9649336338043213, 0.1592472642660141, 1.2815124988555908, -0.7436361908912659, 0.36379557847976685, 0.4588470757007599, -0.2653801143169403, -1.3616381883621216, 1.5534274578094482, 0.21943511068820953, -0.4421559274196625, -1.160063624382019, -0.3859066069126129, 0.9673963189125061, -1.5584802627563477, -0.5039681196212769, 1.2090572118759155, 0.08910215646028519, -0.13679203391075134, 0.41947540640830994, 0.5089390873908997, 0.3225882351398468, 0.27289196848869324, 0.8766707181930542, -0.6071528792381287, -0.7675879597663879, 0.3169938027858734, 0.7742774486541748, 0.25266942381858826, -0.14239554107189178, 0.08024464547634125, 1.316293716430664, -0.5630946755409241, 1.9685813188552856, -0.3221389949321747, 0.5177984833717346, 0.11821805685758591, 0.5748934745788574, 0.32629120349884033, -0.7175503969192505, -1.1099693775177002, -0.187669575214386, 0.5103111863136292, 1.552072525024414, -0.9332674145698547, 0.8056016564369202, -0.5282766819000244, -0.10048966109752655, -0.9086508750915527, -0.24160854518413544, -0.03540799394249916, 0.2636308968067169, -0.21459786593914032, -0.7024857401847839, -1.0840054750442505, -0.07652484625577927, 1.158186912536621, -1.3818713426589966, -0.3450196087360382, -0.6612898707389832, 0.27943116426467896, 0.1547921895980835, -0.620101273059845, -2.012902021408081, -0.831211268901825, 0.955990195274353, 0.24685338139533997, -0.3237971365451813, -1.3726173639297485, -1.1364426612854004, 0.0828503668308258, 1.4436784982681274, -0.32476964592933655, -0.24801991879940033, -0.6769316792488098, -0.1300918012857437, 0.4345708191394806, 0.47968265414237976 ] ]
{ "indices": [ 1063320047, 1230423685, 2877535289, 647928480, 1438597426, 3059829727, 1704236722, 997012898, 1404934148, 1830628714, 2733467792, 2673623443, 722829366, 225924602, 1786548735, 1491351846, 1833938074, 3062174764, 2391722386, 1590456296, 345811625, 3819098157, 2874966370 ], "values": [ 0.6830438393972758, 0.518653437244768, 0.518653437244768, 0.518653437244768, 0.6830438393972758, 0.518653437244768, 0.518653437244768, 0.6830438393972758, 0.518653437244768, 0.6830438393972758, 0.518653437244768, 0.518653437244768, 0.6830438393972758, 0.518653437244768, 0.6830438393972758, 0.518653437244768, 0.6830438393972758, 0.518653437244768, 0.518653437244768, 0.518653437244768, 0.518653437244768, 0.518653437244768, 0.518653437244768 ] }
{ "context": "So what about these? So all we're doing is calculating branch enable and decode. The whole reason for decode is so we can get to, we can look at the opcode and get to the start of the execution state for the correct opcode, right? So do we need to do anything with ALU or memory? No. All right. So what should I set these to? XX0X? They're not doing anything in that state. Okay. Yeah." }
563973
[ [ 0.16193024814128876, -0.7809645533561707, 0.3050166964530945, 0.22898125648498535, 0.18099933862686157, 0.07716216146945953, -0.8470357656478882, 0.3463355600833893, 0.29074808955192566, -0.06424695253372192, 0.4558136761188507, 0.5382384061813354, 0.4174482822418213, 0.2434895932674408, 0.15881848335266113, -0.2039351910352707, 0.5961041450500488, -0.6070134043693542, -0.1740342527627945, -0.4351775050163269, 0.21531888842582703, 0.4598044455051422, -0.11539880931377411, -0.36839479207992554, -0.8535182476043701, 1.0641648769378662, 0.20701490342617035, -0.883633017539978, -0.11359970271587372, 0.7730766534805298, -0.31055641174316406, -1.2753618955612183, 0.8786974549293518, -0.5527076721191406, 2.0313355922698975, 0.2434132993221283, -0.15403929352760315, -0.3709580600261688, 0.3919035792350769, 0.7336311936378479, -0.6450605392456055, 0.6622985601425171, -0.35418200492858887, 0.29907384514808655, -0.8805339336395264, 0.360086590051651, -0.2658616304397583, -0.02229321375489235, 1.1442023515701294, -0.6638141870498657, 0.9506933689117432, -0.27690839767456055, 1.0234299898147583, -0.05702942609786987, 0.01191568560898304, 0.5784977674484253, 0.9771882891654968, 1.8953425884246826, 0.32972460985183716, -1.1954576969146729, 0.03802572563290596, -0.3821086287498474, -0.5515034794807434, -0.5708894729614258, -0.08744408190250397, 0.021950475871562958, -0.5309896469116211, -1.3397690057754517, 0.5368587374687195, -0.027967289090156555, -0.6036270260810852, 0.5006986260414124, 0.44447535276412964, 0.06853803247213364, 0.4457728862762451, -0.7252086997032166, 0.3390311896800995, 0.5434376001358032, 0.23433713614940643, 0.8209601640701294, -0.3807898461818695, 0.38845574855804443, -0.5939493179321289, 0.044076018035411835, 0.5378928184509277, -0.9139195084571838, 1.4240583181381226, -0.6036416888237, 0.348559707403183, 0.6970961093902588, 0.6156170964241028, -0.41307076811790466, 1.6124927997589111, 0.1629154235124588, -0.8379296064376831, -0.14768479764461517, 0.4724615514278412, 1.0401376485824585, 0.1700645387172699, -0.9546578526496887, 0.5600748658180237, -0.7668294310569763, -0.23563949763774872, 1.7506344318389893, 0.22363179922103882, 0.4320858120918274, 0.025798048824071884, -0.33080729842185974, -0.9897794723510742, 0.5310820937156677, -0.8309571743011475, 1.1483886241912842, -0.7315500378608704, 0.6153764724731445, 0.10454759001731873, -0.7442359328269958, -0.44640082120895386, -0.5284847021102905, 1.0085017681121826, 1.2084548473358154, 0.5631169676780701, 0.5160448551177979, 0.8256543874740601, -0.42238283157348633, -1.610004186630249, 0.8815746903419495, 0.28788867592811584, -0.04193633049726486, -0.46469026803970337, 0.7272925972938538, 0.1185857355594635, 0.12259554862976074, -0.23905397951602936, 0.1458532065153122, 0.2934519648551941, 0.19312480092048645, -2.00704288482666, -1.0607247352600098, 0.7725319266319275, 0.09113240242004395, 0.011708415113389492, -2.0250487327575684, 0.1648511439561844, 0.8660463690757751, 1.0411341190338135, 0.811066746711731, 0.6028332710266113, 0.11621280759572983, -0.364313542842865, 0.22760552167892456, -0.6393479704856873, 0.11896328628063202, -0.9834911227226257, 0.21396920084953308, -0.764639675617218, -1.5972119569778442, 0.33082160353660583, -0.4334053695201874, -0.4720814824104309, 0.41422250866889954, 0.12008437514305115, -0.2790009081363678, 0.11427101492881775, -0.31042546033859253, -1.0130878686904907, -0.7783148288726807, 1.414331316947937, -0.1856202781200409, 0.340130090713501, 1.2402468919754028, -0.014081120491027832, 0.19666342437267303, 0.2695961594581604, 0.26554688811302185, 1.268194556236267, -0.5713114738464355, 0.4826376438140869, -0.1645430624485016, -0.2878504991531372, 0.29414400458335876, 0.12669256329536438, -0.5730834007263184, -0.0603916198015213, 1.4219474792480469, 0.10391020774841309, 0.26174530386924744, -0.5140103697776794, -0.6861340403556824, 0.9727162718772888, -0.8282187581062317, -0.5828769207000732, -0.8862218856811523, 0.7824783325195312, -0.19263799488544464, -0.3349107503890991, -0.6898319721221924, -0.6674612760543823, -0.1972324103116989, 0.41704264283180237, -0.8586955666542053, -0.8876304030418396, 0.6592309474945068, 0.3881458640098572, -0.41265103220939636, 0.19418521225452423, 1.2560256719589233, 0.960738480091095, -0.5670090913772583, 0.8616260886192322, -0.6398476362228394, -0.5299214720726013, 0.32058796286582947, -0.5205240845680237, 0.13465110957622528, 0.4580756723880768, -0.41687890887260437, 0.12014871835708618, 0.27842429280281067, -0.5413267612457275, -0.3782903254032135, -1.3138402700424194, 0.7490825057029724, 1.8128061294555664, 1.0506112575531006, 0.5167051553726196, 0.21974654495716095, -0.33972233533859253, -0.1481277346611023, 0.4778946042060852, -0.6537833213806152, -0.236077219247818, -0.9623968601226807, 0.48711130023002625, 0.3071679472923279, 0.1780010312795639, 0.036904122680425644, 1.3436949253082275, 0.8407676219940186, 0.9725528359413147, 0.6094757318496704, -1.150246500968933, 1.1992883682250977, 0.347547322511673, -0.02866085059940815, 0.3190837502479553, 1.3689500093460083, -0.03454744815826416, 1.094608187675476, -0.4521654546260834, 0.08109092712402344, -0.88319331407547, 0.7502210140228271, 0.4346456229686737, -0.8784505724906921, 1.0110962390899658, -1.4463165998458862, 0.1059241071343422, -0.9390825629234314, -0.012303725816309452, 1.028251051902771, -0.2549329698085785, -0.26236823201179504, -0.8750842213630676, -0.6469721794128418, 0.28016552329063416, 0.41590416431427, -0.24914327263832092, -0.8490047454833984, 1.5450149774551392, -0.2732771337032318, 0.793276846408844, 0.7868403792381287, 0.17591217160224915, -0.5687944889068604, 0.20263902842998505, 0.8850918412208557, -0.43885257840156555, 1.139365553855896, -0.36768847703933716, -0.2674141526222229, -0.08765549957752228, 0.15643176436424255, 0.2183351218700409, -0.711127519607544, -1.6448286771774292, 0.4840558171272278, -0.5391779541969299, -1.0115857124328613, 0.7086337208747864, 0.364124059677124, -0.7062577605247498, 0.6415610313415527, -0.023399075493216515, -0.67681485414505, 0.03633863851428032, 0.5148491263389587, 0.21355588734149933, 0.5295696258544922, -0.3282747268676758, 0.8061067461967468, 0.12779702246189117, -1.1227073669433594, 0.36137673258781433, -1.163094401359558, -0.7585482001304626, 0.15830178558826447, -1.072972059249878, -0.43210574984550476, -0.3382168114185333, 0.12652410566806793, 0.002270948141813278, -0.682192862033844, -0.27786490321159363, -1.2802177667617798, -1.5517663955688477, 0.2326546013355255, 0.1586344689130783, 1.1219372749328613, -0.3300882577896118, -0.592788815498352, 1.0051350593566895, 0.8941162824630737, -0.807087779045105, -0.5463152527809143, -0.07392340898513794, -0.16216294467449188, -0.6844295263290405, -0.34047624468803406, 0.6019039750099182, 0.6263169646263123, -0.046268027275800705, -0.3406154215335846, 1.0533721446990967, 1.0115731954574585, -0.06657199561595917, -0.8264198899269104, 0.4147331416606903, 0.1815352588891983, -0.8978086709976196, 0.08322323858737946, 0.4609963893890381, 0.4127599000930786, 0.4716325104236603, 0.21177026629447937, 0.7178937196731567, 0.41914698481559753, -0.5428966879844666, 0.28680866956710815, -0.09073933959007263, 0.5090765953063965, -0.032002516090869904, -0.09817996621131897, -0.06237609311938286, -0.47099167108535767, -0.8788370490074158, 0.39349931478500366, 0.7613642811775208, -0.36025628447532654, 0.020600667223334312, 0.9352580904960632, 0.6558833718299866, -0.3446234166622162, -0.3580450713634491, -0.6797321438789368, 0.09560933709144592, 0.2091854363679886, -0.6194940805435181, -0.03632596135139465, 0.9097524881362915, 0.35166794061660767, 0.4338177740573883, 0.5202115774154663, -0.11338575184345245, -0.7506422400474548, -1.4802312850952148, -0.6438065767288208, 0.09198591858148575, 1.1525676250457764, 0.28986069560050964, 1.1485459804534912, -0.1732792854309082, 0.0761888399720192, -0.2527022957801819, 0.2691059708595276, -1.3858951330184937, 0.4603334367275238, 0.45219671726226807, 0.3213766813278198, 0.10791874676942825, -0.03272420912981033, 0.4323449432849884, -0.068293496966362, -0.7610741257667542, -0.31348273158073425, -0.2740095853805542, -0.8656710386276245, 0.3016360104084015, -0.3633636236190796, -0.03407687321305275, 0.31501179933547974, 0.22132621705532074, 1.7785042524337769, 0.7202032804489136, 0.4935224652290344, 0.11244577914476395, 0.17598244547843933, -0.39678704738616943, -0.41969895362854004, 0.744583785533905, 0.40500345826148987, 0.7428317070007324, 0.8887915015220642, 0.6122201085090637, -0.8354824781417847, -0.12425760179758072, 0.030632594600319862, 0.7940344214439392, 0.8856918811798096, 0.46093326807022095, -0.46878814697265625, -0.4905608594417572, 0.10405860841274261, 0.41200050711631775, 0.8942626118659973, -0.942783772945404, 0.9818360209465027, 0.5494743585586548, -0.32332688570022583, 0.48890161514282227, 1.2804571390151978, 1.008599877357483, -0.07205945998430252, -0.686264157295227, -0.8366701006889343, -0.13988451659679413, 0.24641239643096924, -0.3986351788043976, 0.3020912706851959, 0.7003902196884155, 0.21237365901470184, -0.8674041628837585, 0.5843469500541687, -0.5538964867591858, -1.6430015563964844, 0.18301959335803986, -0.39194217324256897, -0.6570659279823303, -0.4501217305660248, 0.9103876352310181, 0.2652551233768463, 0.7210739850997925, -0.18132202327251434, -0.055997006595134735, -0.45622947812080383, -2.641218900680542, -0.16590631008148193, 0.4696778953075409, 0.34116414189338684, -0.42501774430274963, 0.12649567425251007, -0.6499224305152893, -0.8309247493743896, 0.6463010907173157, -1.1485280990600586, 0.9281719326972961, -0.988061785697937, -0.1626787632703781, -0.21756693720817566, -1.753873586654663, -1.1957423686981201, 1.4016237258911133, -0.007702185772359371, 0.2525741755962372, 0.15798425674438477, -0.13422705233097076, 0.19980540871620178, 0.8230467438697815, 0.40590423345565796, 0.32871198654174805, -0.04085173457860947, -0.5170493125915527, 0.2805235981941223, 0.7809810638427734, -1.5883536338806152, -0.8855409026145935, 0.42329421639442444, -0.8611383438110352, -0.6331437230110168, 0.5348395109176636, -0.49079668521881104, -0.30940431356430054, 0.5230310559272766, -0.14339037239551544, -0.7351639866828918, -0.5096912384033203, -1.4432766437530518, 0.6603747010231018, -0.2558276355266571, 0.6397688388824463, 0.7682903409004211, 0.18868128955364227, -0.43860161304473877, -0.045183513313531876, -0.004966711159795523, 0.4204675257205963, -0.39351686835289, 0.6346677541732788, -0.7547280788421631, -0.7845286726951599, -0.42979028820991516, 0.9486401081085205, -0.24565830826759338, -0.025201518088579178, -0.08905753493309021, -0.10063829272985458, -0.23329290747642517, 0.9455745816230774, -1.0147924423217773, 0.29003289341926575, -0.26444974541664124, 0.22066642343997955, -0.7877113819122314, 0.1879604160785675, 0.42136481404304504, -1.4183664321899414, 0.3907976448535919, 0.6066710948944092, 0.5855093002319336, 0.48352131247520447, -0.5933297276496887, 0.7398842573165894, 0.07973448932170868, -1.1296151876449585, 0.8371806740760803, 0.17703139781951904, 0.8944734334945679, 0.17106689512729645, -0.8205180168151855, -0.5991101861000061, 0.6836090087890625, 0.25699329376220703, 0.22048808634281158, 0.049115002155303955, 0.5617725253105164, 0.8557553291320801, 0.07258135080337524, -0.5251314640045166, -1.1793606281280518, -0.195094496011734, 0.29700201749801636, 0.021943438798189163, -0.8523523211479187, 0.15265877544879913, 0.4253528118133545, -1.3051962852478027, 0.46826866269111633, 1.6600606441497803, 0.39783596992492676, 0.10241534560918808, 0.3486943244934082, 0.0072422269731760025, 1.1313230991363525, 0.5211200714111328, 0.5197461843490601, 0.22299429774284363, -0.10815131664276123, -0.8673548102378845, -0.9676020741462708, -0.9087750315666199, -0.47804033756256104, -0.05920820310711861, -0.7774744033813477, -0.5772520303726196, 0.9980431199073792, 0.13552239537239075, 0.7888560891151428, 0.04198000580072403, -1.2067654132843018, -0.4607628583908081, -0.8935124278068542, -0.5939875245094299, -0.2598593533039093, 0.5559601187705994, 0.4149446189403534, 0.2875250279903412, 1.0041072368621826, -0.6446251273155212, 0.41461536288261414, 0.2798539996147156, 0.3810717463493347, 0.3676385283470154, 1.7880175113677979, 0.20596610009670258, 0.6053425073623657, 1.2604169845581055, 0.5724751949310303, 0.8816821575164795, -0.5793179869651794, 0.1407220959663391, 0.7614194750785828, 0.27904704213142395, -0.17067022621631622, -0.10496322810649872, -1.0391356945037842, 0.7454138994216919, 1.1376423835754395, -0.7374660968780518, 0.6390548348426819, -0.3808014392852783, 0.47180676460266113, -0.34024593234062195, 0.6696969866752625, -0.8442978858947754, 0.5262773633003235, -0.36335405707359314, 1.774640679359436, -1.379494071006775, -0.4350630044937134, 1.1408820152282715, 0.9567811489105225, 0.6805866956710815, 0.40295320749282837, 0.4780343472957611, -0.1947014480829239, -0.49391981959342957, -0.535631000995636, -0.6461142301559448, 1.0079448223114014, -2.028846025466919, 0.8546098470687866, 0.10426804423332214, -0.20341001451015472, 0.45664888620376587, 0.5163636803627014, 0.05337774381041527, -1.0835524797439575, -0.6418060064315796, -0.8511137962341309, 0.23609387874603271, 0.36259984970092773, -0.45306628942489624, 0.013258276507258415, 0.12357873469591141, 1.0237759351730347, -0.2397109419107437, 1.0490747690200806, -0.7174845933914185, -1.9147385358810425, -0.09415355324745178, -0.6502360105514526, -1.025412678718567, 1.538785696029663, 0.24097368121147156, -0.5819858908653259, 1.1577023267745972, -0.38405779004096985, 0.7739872336387634, 0.6713778972625732, 0.5957238078117371, -0.038579050451517105, 1.2774258852005005, -0.20567402243614197, -0.2925845980644226, -0.3315184712409973, -0.7583519816398621, 5.109123229980469, 1.3815553188323975, -0.19101785123348236, -1.7702431678771973, 0.012732204981148243, -1.3299002647399902, 0.024380482733249664, -0.05884609743952751, -0.03277207911014557, 0.03535592183470726, 0.7192946076393127, 1.3665298223495483, 0.7695536613464355, -0.19891765713691711, 0.7444822788238525, 0.9254969954490662, -0.7043671607971191, -0.3342196047306061, 1.0894691944122314, -0.16386689245700836, -0.07442111521959305, -0.7258167862892151, -0.9218055009841919, -0.8860413432121277, 1.2422480583190918, 0.127720907330513, 0.8734138607978821, -0.721716046333313, 0.9525146484375, -0.3422802686691284, -0.4183581471443176, 0.8465976119041443, 0.4359137713909149, 0.9660605192184448, 0.18375518918037415, 0.16557787358760834, -0.10626275092363358, -0.14352953433990479, -0.4449250102043152, 0.26218077540397644, 0.43166854977607727, -0.4811874330043793, -0.5238164067268372, -0.3604666292667389, -1.1093569993972778, 0.11836936324834824, -0.693676769733429, -0.6841726899147034, 0.6002582907676697, -0.14520083367824554, -0.2703079879283905, 0.535550057888031, -0.5482025146484375, -0.9064890742301941, -0.6409528255462646, 0.6370549201965332, 0.3490541875362396, -0.0717434361577034, -0.31232285499572754, -0.8853438496589661, 0.2245277762413025, 0.09902859479188919, 0.3314293324947357, -0.02202964946627617, 0.624022364616394, 0.06518013775348663, 0.34217941761016846, 1.5697946548461914, -0.21048270165920258, -0.5017801523208618, -0.8294917941093445, 0.3792699873447418, -0.42547154426574707, -0.5913617610931396, -0.37368419766426086, 1.1882119178771973, -0.3958311080932617, 0.17944635450839996, -0.6277509331703186, -0.5069013237953186, -1.2714637517929077, 0.12494966387748718, -0.23563377559185028, 0.28962695598602295, -0.5860086679458618, -0.6483014225959778, -0.35677358508110046, 0.367178350687027, -0.44224584102630615, 0.0677303746342659, 0.029918678104877472, 1.4767003059387207, 0.5808438062667847, -0.5962986946105957, -0.47440797090530396, 0.22026453912258148, -1.729787826538086, -0.15506917238235474, -1.3163090944290161, 1.408959984779358, 0.6560297608375549, -0.37451350688934326, -0.179053395986557, 0.7790893316268921, -1.0896059274673462, 0.15969766676425934, 0.6907899975776672, -0.8531656265258789, -0.19952574372291565, -0.0036793299950659275, 0.6673684120178223, -1.5249581336975098, -0.7432401776313782, -0.5678998231887817, -0.12951898574829102, 0.15535420179367065, -0.19807665050029755, -0.6494326591491699, -0.21599702537059784, -0.5902450680732727, 0.5901678204536438, 0.6442177295684814, 0.44350752234458923, -0.7128642201423645, -0.2927221953868866, 0.17159628868103027, -1.108382225036621, -0.7676079869270325, 0.3105096220970154, -0.9585452675819397, 0.20047926902770996, 0.03327628970146179, -0.4542113244533539, 0.5733906030654907, -0.8656949996948242, 0.05640618875622749, 0.1583215296268463, 0.47572508454322815, -1.1254302263259888, -0.07581335306167603, 0.42880284786224365, -0.2914987802505493, -0.39224591851234436, 1.0535627603530884, -0.29018262028694153, 0.2155705988407135, 0.3011661767959595, 0.06713736057281494, 1.154638409614563, 0.9290722608566284, -1.110365629196167, -0.9535080790519714, 0.21927940845489502, 0.451126366853714, 0.17998334765434265, 0.26214051246643066, 0.022428173571825027, -0.08670405298471451, -0.6137909293174744, 0.338747501373291, 0.7634046077728271, 0.6750759482383728, -0.12792854011058807, -1.0461333990097046, -0.25260648131370544, -0.03175967559218407, -0.27053412795066833, 0.736812174320221, 0.0072575099766254425, 0.14955240488052368, 0.4607062041759491, -0.11146160215139389, -0.04621993750333786, 0.36909234523773193, -0.9867128133773804, -0.218031644821167, -0.20115584135055542, 0.8696038722991943, 0.9849475622177124, -0.6358085870742798, -0.08874945342540741, 0.42489463090896606, -1.664783000946045, 0.8746926188468933, 0.07630161195993423, -1.0680267810821533, -0.4189298748970032, 1.3380411863327026, -0.2585684657096863, 0.14545345306396484, 0.22069694101810455, -0.43910446763038635, 0.9060176014900208, 0.6274856328964233, -0.5628711581230164, -0.6652622222900391, 1.0954840183258057, 0.6763368844985962, 0.16043730080127716, -0.47772151231765747, 0.20281557738780975, -0.4990375339984894, -1.424185037612915, -0.06898720562458038, -1.5422855615615845, 0.6693999767303467, 0.13658304512500763, 1.0300744771957397, -0.2256034016609192, 0.5217185020446777, -0.23498763144016266, 0.22949421405792236, -0.02292049117386341, -0.09409081935882568, -0.0729663223028183, 0.9426595568656921, -0.5946603417396545, -0.2033030390739441, 0.40544402599334717, -0.0867232009768486, -0.38637784123420715, 0.08000024408102036, -1.650142788887024, -0.20266111195087433, 0.11713362485170364, -0.11278052628040314, 0.21287526190280914, -0.7276702523231506, -0.33958813548088074, -1.2292944192886353, 0.031547464430332184, 0.4101993143558502, 0.9410397410392761, 0.23672781884670258, 0.00662222970277071, -0.8870299458503723, -0.29698753356933594, 0.26883241534233093, 0.4735964238643646, -1.3224279880523682, -1.0098989009857178, 0.042182326316833496, 0.06857909262180328, 0.3452310860157013, 0.9317456483840942, -0.2187691032886505, -0.884060263633728, 0.7785553932189941, -0.27836236357688904, 0.08466171473264694, -1.0127233266830444, 0.33905917406082153, 1.1617558002471924, -0.6489977240562439, 0.8804804682731628, 0.564041018486023, 0.8978762030601501, -0.2723982036113739, -0.4700504243373871, -0.32066604495048523, 1.0923466682434082, 0.5723731517791748, -0.05556485429406166, 0.3029800355434418, -1.583040475845337, 0.162047877907753, 0.3939632177352905, 0.04745570197701454, 0.09229651093482971, -0.42652830481529236, -0.305893212556839, 0.3660656213760376, 0.5359576940536499, -0.08212041109800339, 0.6301873326301575, 0.4629008173942566, 0.6152157783508301, 0.5334486961364746, -0.2938397526741028, -0.001163607812486589, -0.10108346492052078, 0.6052456498146057, 0.19060850143432617, 1.068410038948059, -0.6341112852096558, 0.3357110619544983, 0.5653750896453857, -0.5080429911613464, -0.8578360080718994, 1.0375176668167114, 0.15009565651416779, -0.4180653691291809, -0.9027140140533447, -0.6184903979301453, 1.0235580205917358, -1.734118103981018, -0.036770325154066086, 0.720291018486023, 0.05601004138588905, -0.2010657787322998, 0.4195709526538849, 0.10132799297571182, 0.3100746273994446, 0.8037354946136475, 0.7040643095970154, -1.1604286432266235, -1.0979772806167603, 0.26315149664878845, 0.5169538259506226, 0.2527349293231964, -0.7624516487121582, 0.7063083052635193, 1.1162575483322144, -0.2896063029766083, 1.4774086475372314, 0.12774409353733063, 0.8625316619873047, 0.13154098391532898, 0.3800223767757416, 0.3948461413383484, -0.40875235199928284, -0.786278247833252, -0.07838055491447449, 0.41454067826271057, 1.7763197422027588, -0.6603226065635681, 0.4114384353160858, -0.6244421601295471, 0.3221023678779602, -0.8282191157341003, 0.3015725612640381, -0.6727235317230225, 0.30080291628837585, -0.08879157900810242, -0.518496572971344, -1.3825898170471191, 0.010970409959554672, 0.7111201286315918, -1.0736422538757324, -0.1420048177242279, -0.20381423830986023, -0.019826246425509453, -0.2878424823284149, -0.4383862316608429, -1.4960607290267944, -0.5810427665710449, 0.9285045266151428, 0.3741392195224762, -0.1352735459804535, -1.3766237497329712, -1.112837553024292, -0.32544079422950745, 1.374972939491272, -0.4582870900630951, -0.02646007016301155, -0.8116968870162964, -0.14626826345920563, 0.2290719598531723, 0.18091295659542084 ] ]
{ "indices": [ 3819098157, 2874966370, 3875167354, 3997133275, 3690221902, 1497027039, 3557355342, 2877535289, 647928480, 1230423685, 1432087569, 1438597426, 1786548735, 722829366, 2067848296, 2912884399, 1830401145, 629871972, 2707362363, 691409538, 1732333218, 1830628714, 1063320047, 4186256544, 1481737067, 2236453805, 3490935618, 1960040400 ], "values": [ 0.45886343419922815, 0.7178239640284703, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.7178239640284703, 0.45886343419922815, 0.7178239640284703, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285 ] }
{ "context": "Okay. Yeah. Yes. Yeah. So that was the question Sasha asked earlier. Yeah. So branch enable is calculated before you've decoded, right? During the decode state. So you don't know what kind of instruction it is. You don't even know if it's a legal instruction, right? Because not all opcodes are legal instructions. So you're going to calculate it every time. But it's a garbage bit. It's a garbage bit." }
927550
[ [ 0.011812464334070683, -0.7510578036308289, 0.562394380569458, 0.6394427418708801, 0.8404761552810669, -0.13450251519680023, -0.259236603975296, 0.5658761262893677, 0.4776252806186676, -0.5127121806144714, 1.0253751277923584, 0.5971453189849854, 0.9481939077377319, 0.37049737572669983, 0.3128831088542938, -0.26646846532821655, 0.46341490745544434, -0.4877913296222687, -0.3131242096424103, -0.5443188548088074, 1.1402497291564941, -0.2839602530002594, -0.3034045994281769, 0.0052373348735272884, -0.7466499209403992, 0.5779175162315369, -0.18455633521080017, -0.7282440662384033, 0.008693487383425236, 0.8471763730049133, -0.5799429416656494, -1.1302613019943237, 0.30161502957344055, 0.06091639772057533, 1.7583776712417603, 0.02594592235982418, -0.13788709044456482, -0.6100128293037415, 0.21551692485809326, 0.4765831530094147, -0.18827871978282928, 0.3062903583049774, -0.34643039107322693, 0.37404683232307434, -1.2653629779815674, 0.4643111824989319, -0.24706532061100006, -0.4031197130680084, 1.2676208019256592, -0.9180275797843933, 0.8302550911903381, -0.29814398288726807, 0.942414402961731, 0.27510660886764526, 0.3584654927253723, -0.00265913805924356, 1.2847191095352173, 1.6437517404556274, 0.6594501733779907, -1.5212445259094238, 0.05614931881427765, -0.6459717750549316, 0.5177126526832581, -0.22112949192523956, 0.1347043663263321, -0.5023426413536072, -0.6220178008079529, -0.8307952880859375, 0.7274791598320007, -0.41874369978904724, -0.9244882464408875, 0.4399842321872711, 0.46976128220558167, 0.34851640462875366, 0.42231637239456177, -0.41207924485206604, 0.016601597890257835, 0.022566065192222595, 0.955248236656189, 1.3368839025497437, -1.1884623765945435, 0.2644314765930176, -0.4203294515609741, 0.623627781867981, 0.5033081769943237, -0.9756276607513428, 1.181563138961792, -0.5697709918022156, 0.19622649252414703, 0.8079911470413208, 0.3474277853965759, -0.8072365522384644, 1.6566908359527588, 0.5582216382026672, -0.8504056930541992, -0.12007199972867966, 0.486427366733551, 1.331005573272705, 0.31611162424087524, -0.8275631666183472, 0.29453253746032715, -1.0303101539611816, -0.07912499457597733, 1.5563288927078247, 0.10272407531738281, 0.6444340944290161, -0.28016069531440735, -0.053854092955589294, -0.6321794390678406, 0.6825425028800964, -0.7392990589141846, 1.0273741483688354, -0.33545082807540894, 0.7136577367782593, -0.3106074333190918, -0.6981109976768494, -0.9126328825950623, -0.441097229719162, 1.1516087055206299, 0.8230231404304504, 0.6293055415153503, 0.6902410387992859, 0.8999152779579163, -0.8861210942268372, -2.2084624767303467, 0.6109716296195984, 0.3995606601238251, -0.21655778586864471, -1.2927067279815674, 0.5407961010932922, 0.48508980870246887, 0.17412160336971283, 0.5548881888389587, -0.3543371856212616, 0.6814132332801819, 1.07993745803833, -1.6229711771011353, -1.1559771299362183, 0.8826468586921692, 0.10733942687511444, -0.5863660573959351, -1.5119694471359253, 0.4030146598815918, 0.830971896648407, 1.2341976165771484, 0.7557116150856018, 0.4233380854129791, 0.09899948537349701, -0.3503759801387787, 0.5612671971321106, -0.8364946842193604, -0.06182720139622688, -1.5642712116241455, -0.45291560888290405, -0.5839457511901855, -0.5354920625686646, 0.01713995821774006, 0.1338687390089035, -0.6613531112670898, 0.20074406266212463, 0.555411159992218, -0.24544282257556915, -0.05191813409328461, -0.19975683093070984, -0.8363320231437683, -1.092936396598816, 1.5352774858474731, -0.08432812243700027, 0.22557415068149567, 1.041251540184021, -0.24914997816085815, 0.2023068517446518, 0.3249388039112091, 0.28812798857688904, 1.0890876054763794, -0.9504855871200562, 0.14898806810379028, -0.7886783480644226, -0.13537490367889404, 0.35387587547302246, -0.3136800527572632, -0.3858877420425415, 0.27997446060180664, 1.5100287199020386, 0.4085310399532318, 0.1526370495557785, -0.5181939601898193, -0.22599025070667267, 1.7008724212646484, -0.87204509973526, -0.8253389000892639, -1.140388011932373, 0.3525737226009369, 0.09080420434474945, -0.7240637540817261, -1.2226839065551758, -0.5214493274688721, 0.46267735958099365, 0.46385422348976135, -0.46763285994529724, -0.5844948887825012, 0.5591994524002075, 0.8659768104553223, -0.8665938973426819, -0.09015754610300064, 0.8515285849571228, 0.7784959673881531, -0.7915052175521851, 0.7859019041061401, -0.6088959574699402, -0.6914545297622681, 0.9946884512901306, -0.02156403847038746, 0.12036522477865219, 0.35404449701309204, 0.2517118752002716, 0.49613508582115173, 0.967304527759552, -0.046337347477674484, 0.5643635392189026, -1.0621607303619385, 1.0115622282028198, 1.9898427724838257, 1.1829637289047241, 0.18994618952274323, 0.39529263973236084, -0.18842774629592896, 0.0363009013235569, 0.3634028136730194, -0.7230860590934753, -0.6096268892288208, -1.4209258556365967, 0.05380268394947052, 0.5358568429946899, -0.179191455245018, 0.04330148920416832, 1.652808427810669, 0.6643193364143372, 0.9808487296104431, 0.16845256090164185, -1.4996424913406372, 0.7875239253044128, 0.6454428434371948, 0.4285610318183899, 0.4549117088317871, 1.6048091650009155, 0.1965249478816986, 1.1971404552459717, -0.34354501962661743, 0.30831220746040344, -0.8402146100997925, 0.4413556456565857, 0.26711535453796387, -0.8433693647384644, -0.10455373674631119, -1.0520358085632324, 0.289060115814209, -1.1315263509750366, -0.43603378534317017, 0.4505304992198944, -0.14727337658405304, -0.33676043152809143, -0.1924053132534027, -0.4837157130241394, 0.17573952674865723, 0.24653470516204834, 0.08832915872335434, -0.7338703870773315, 1.476389765739441, -0.6050224900245667, 0.08821702003479004, 0.7202867865562439, -0.5256502628326416, -1.0876004695892334, -0.013304531574249268, 0.7353928089141846, -0.6309766173362732, 1.4448232650756836, -1.1475800275802612, -0.7566166520118713, -0.36689430475234985, 0.49425920844078064, 0.5915746688842773, -0.10504042357206345, -2.062127113342285, 0.45193061232566833, -0.5434659719467163, -1.011457085609436, 0.7522844672203064, 0.21695375442504883, -1.0367786884307861, 0.6460196375846863, -0.23690354824066162, -0.725418746471405, 0.12594886124134064, -0.07048282772302628, 0.13737720251083374, -0.022668616846203804, -0.02829296514391899, 0.5522065758705139, 0.1944853961467743, -1.475462794303894, -0.0715816542506218, -1.0261420011520386, -0.42465290427207947, 0.2567325532436371, -0.7378928661346436, -0.4754965305328369, -0.6856371760368347, 0.40150150656700134, -0.4150415360927582, -0.5999346971511841, -0.22020943462848663, -1.1584876775741577, -1.5758144855499268, -0.08629170060157776, 0.7058112621307373, 0.901667594909668, -0.7010424733161926, -0.4891180694103241, 1.4081499576568604, 0.5395488739013672, -0.6189948320388794, -0.24424442648887634, 0.22261938452720642, -0.001977714244276285, -1.1391404867172241, -0.37027013301849365, 0.8226833343505859, 0.34276914596557617, -0.08351779729127884, -0.24342268705368042, 1.0499873161315918, 1.5869194269180298, -0.5103480219841003, -0.6606608629226685, 0.03506320342421532, 0.4831162393093109, -0.9201986193656921, 0.033892516046762466, 0.6477540731430054, 0.3572744131088257, 1.443772554397583, 0.08698467910289764, 1.1379616260528564, 0.16631671786308289, -0.47647085785865784, 0.665389358997345, 0.5127869248390198, 0.36236056685447693, -0.30941805243492126, 0.23936519026756287, 0.023499540984630585, -0.5903913378715515, -0.9127295613288879, 0.5845902562141418, 1.0119961500167847, -0.7307628393173218, -0.06838897615671158, 1.1878505945205688, 0.7979270815849304, 0.2564045488834381, -0.2057511806488037, -0.28597548604011536, -0.005081144627183676, 0.22546346485614777, -0.34399813413619995, -0.22655966877937317, 0.5413822531700134, -0.03149285167455673, 0.3838147819042206, 0.4078195095062256, -0.7146419286727905, -0.7527626156806946, -1.68549382686615, -0.8033616542816162, 0.5168530941009521, 0.9479795694351196, 0.5586323142051697, 0.7309387922286987, 0.32190725207328796, 0.18371079862117767, -0.24271821975708008, 0.22111812233924866, -1.202328085899353, 0.3064655065536499, 0.016967907547950745, 0.035326309502124786, 0.5041103959083557, -0.23460523784160614, 0.24269989132881165, -0.20782174170017242, -0.819391667842865, 0.10797477513551712, -0.2981020510196686, -1.0836620330810547, 0.35029178857803345, 0.2604198455810547, -0.26737213134765625, 0.31913653016090393, 0.8338146805763245, 2.195488452911377, 0.8554672002792358, 0.5280574560165405, 0.42946696281433105, 0.5402652025222778, -0.7224618196487427, -0.2096375674009323, 0.33664408326148987, 0.1708732694387436, 0.9131954312324524, 0.8761256337165833, 0.6470003724098206, -0.8234950304031372, 0.07614284008741379, 0.27113378047943115, 0.8119603395462036, 0.10066197067499161, 0.46588030457496643, 0.17870357632637024, -0.47419273853302, -0.7009566426277161, 0.4542354941368103, 1.115352988243103, -0.23975364863872528, 1.7830431461334229, 1.1757110357284546, 0.15060803294181824, 0.014003788121044636, 1.0071710348129272, 1.4522502422332764, 0.22582776844501495, -0.1830982267856598, -0.8672787547111511, -0.9270221590995789, -0.5992249250411987, -0.14793661236763, 0.2681844234466553, 1.4165234565734863, 0.4200374186038971, -0.8319584131240845, 0.6970387697219849, 0.2640010118484497, -1.214849829673767, 0.2973114550113678, -0.43831002712249756, -0.5114834904670715, -0.16579316556453705, 0.6305365562438965, 0.3263982832431793, 0.16181252896785736, -0.35865429043769836, 0.19824430346488953, -0.9559267163276672, -2.609264373779297, -0.37692904472351074, 0.2924222946166992, 1.1313813924789429, -0.3520149886608124, 0.09945891797542572, -0.9513973593711853, -1.0856120586395264, 0.7642465233802795, -0.46721208095550537, 1.1258444786071777, -0.9064345955848694, 0.6622564792633057, -0.20331253111362457, -1.3393365144729614, -1.0815647840499878, 1.138709545135498, -0.18269146978855133, 0.06256769597530365, -0.2706306576728821, 0.0691315233707428, -0.5535651445388794, 0.4114227592945099, 0.5297036170959473, 0.49999377131462097, -0.4627705514431, -0.5338873267173767, 0.23081566393375397, 0.5976197719573975, -1.0668245553970337, -0.731044590473175, 0.3251465857028961, -1.028130054473877, -0.8765408396720886, 0.7068920731544495, -0.8246315717697144, -0.17341327667236328, 0.39809998869895935, -0.10489578545093536, -0.5414428114891052, -0.5686693787574768, -1.518326997756958, 0.642316460609436, -0.32960447669029236, 0.8876190185546875, 0.8583912253379822, 0.8139084577560425, -0.7224127054214478, -0.002172148087993264, -0.6421807408332825, 0.08349263668060303, -0.4190211296081543, 0.8467571139335632, -0.4738408625125885, -0.5256839990615845, -0.9150525331497192, 1.0045937299728394, -0.21456456184387207, -0.06164056807756424, -0.3576752245426178, -0.4395938217639923, -0.12262067198753357, 1.3284374475479126, -1.296339988708496, 0.23154965043067932, -0.3390958905220032, -0.022607753053307533, -0.7597304582595825, 0.505074679851532, 0.3955879509449005, -1.3133270740509033, 0.5301674604415894, 0.513422966003418, 0.0480891652405262, 1.2401831150054932, -0.637037992477417, -0.38522911071777344, 0.6272295713424683, -1.3822333812713623, 0.6503067016601562, 0.305469274520874, 0.6646488308906555, -0.46604347229003906, -0.6226427555084229, -0.7951274514198303, 0.4209451973438263, -0.46581998467445374, 0.4990848898887634, -0.38643524050712585, 0.8466852307319641, 0.3855573236942291, -0.39094415307044983, -1.0411505699157715, -1.0602662563323975, -0.840436577796936, 0.5539088249206543, -0.13535334169864655, -0.6620466709136963, 0.01907876506447792, 0.39945438504219055, -0.4899577498435974, 0.2111404985189438, 1.331992745399475, -0.13410250842571259, 0.5267208814620972, 0.4018888473510742, 0.6624948978424072, 0.6772943735122681, 0.9178026914596558, 0.5675073862075806, -0.29744330048561096, 0.022273825481534004, -0.11895968019962311, -0.5212472677230835, -1.2354533672332764, -0.532020092010498, -0.0718185231089592, -0.9447879791259766, -0.6445823907852173, 1.430503487586975, -0.2776948809623718, 0.5610241889953613, 0.2609049379825592, -0.5676454305648804, -0.3072305917739868, -0.253143310546875, -0.602013349533081, -0.2484954297542572, 0.23262110352516174, -0.469119131565094, 0.22929660975933075, 0.20738844573497772, -0.6492869257926941, -0.42851895093917847, 0.1625547707080841, 0.49849826097488403, 0.08585171401500702, 2.2115278244018555, 0.6103007793426514, 0.2742389142513275, 0.7743418216705322, 0.8556068539619446, 0.4988439381122589, -0.5962681174278259, 0.32077401876449585, 0.7882290482521057, 0.6503625512123108, -0.14836661517620087, 0.250894159078598, -1.1226919889450073, 0.5551080107688904, 1.6565415859222412, -0.8376815319061279, 0.5648859143257141, -0.41034096479415894, 0.3566734790802002, -0.3473786413669586, 0.4732820689678192, -0.9093377590179443, 0.9254890084266663, -0.33744680881500244, 1.8956812620162964, -1.3996541500091553, -0.9364930391311646, 1.607664942741394, 0.99953693151474, 0.429116815328598, -0.006153537426143885, 0.5770441293716431, -0.5960007309913635, -0.07922536879777908, -1.1633917093276978, -0.3227922022342682, 1.1907745599746704, -1.3065727949142456, 0.7769203186035156, 0.26062336564064026, -0.9088867902755737, 0.5892812013626099, 0.5993913412094116, 0.23009198904037476, -1.7842204570770264, -0.7739184498786926, -1.4418156147003174, 0.9708090424537659, 0.48298320174217224, -0.07907941937446594, -0.19155961275100708, 0.2930644154548645, 0.5429045557975769, -0.3091963231563568, 0.7891823649406433, -0.577343761920929, -1.6984319686889648, -0.004734097048640251, -0.6527923345565796, -0.8336166739463806, 1.332451343536377, 0.3674957752227783, -0.5054255127906799, 1.2410669326782227, -0.41187286376953125, 1.312099575996399, 0.2734374403953552, 0.4257751703262329, -0.10349808633327484, 1.3896889686584473, -0.23309989273548126, -0.2405211478471756, -0.2798822820186615, -0.047585807740688324, 4.5135393142700195, 1.774228811264038, 0.0023101195693016052, -2.140631675720215, 0.14853976666927338, -0.7472947239875793, 0.24064022302627563, 0.019066546112298965, 0.21865339577198029, 0.360034316778183, 0.9178783297538757, 0.8070579767227173, 0.36769676208496094, -0.3717362880706787, 0.42335352301597595, 0.36964261531829834, -0.5193305015563965, -1.0672770738601685, 0.8647596836090088, 0.19300848245620728, 0.7652472257614136, -0.2710304856300354, -1.2600774765014648, -1.368052363395691, 0.9153630137443542, -0.08905850350856781, 0.8122848868370056, -0.3928293287754059, 0.8642902374267578, 0.25717946887016296, -0.7102434635162354, 1.08169686794281, 0.8455027937889099, 0.925639808177948, 0.5495012402534485, 0.24562564492225647, -0.7118268013000488, -0.8769764304161072, -0.5663993954658508, 0.33747801184654236, 0.13112564384937286, -0.12669816613197327, -0.42105376720428467, -0.3390405476093292, -1.2698668241500854, -0.2306315004825592, -0.4058973789215088, -0.8490520119667053, 0.30280864238739014, -0.34609782695770264, 0.05896259471774101, 0.34698235988616943, -0.6121587157249451, -0.9181022047996521, -0.9096736907958984, 0.5668677091598511, 0.2642273008823395, -0.7317023873329163, -0.7607517242431641, -0.34641119837760925, 0.42938587069511414, -0.4276273846626282, 0.7445642948150635, 0.40653368830680847, 0.7953631281852722, 0.4099508225917816, -0.30888545513153076, 2.2082648277282715, 0.2847914695739746, -0.680527925491333, -0.9231615662574768, 0.0073029217310249805, -0.34575963020324707, -0.42769989371299744, -0.5912361741065979, 0.9396985173225403, -0.4751969873905182, 0.19621463119983673, -0.8183783292770386, -0.360768586397171, -0.9859670400619507, 0.3353172242641449, -0.49748438596725464, 0.30708709359169006, -0.511821448802948, -1.0195589065551758, -0.18259726464748383, 0.48552367091178894, 0.3080768883228302, 0.13696058094501495, 0.5018883943557739, 1.6079283952713013, 1.000613808631897, -0.7699118256568909, -0.056437909603118896, 0.4641912281513214, -1.774582862854004, 0.0611908920109272, -0.6645111441612244, 1.1612392663955688, 0.08636844903230667, -0.30999866127967834, -0.2920066714286804, 0.9965410828590393, -0.9041072726249695, -0.260926216840744, 0.9791889786720276, -1.510988712310791, -0.044200826436281204, 0.11849426478147507, 0.7220348715782166, -1.2857383489608765, -0.8875596523284912, -0.4024689495563507, 0.028592830523848534, -0.2620578706264496, -0.11007284373044968, -0.4606092572212219, 0.4976500868797302, -0.3977566063404083, 0.2514018714427948, 0.3302188217639923, 0.36319342255592346, -0.2808646261692047, -0.33553510904312134, 0.24294710159301758, -0.9813741445541382, -0.1353030651807785, 0.029598308727145195, 0.043420109897851944, 0.4986414909362793, -0.12544257938861847, -0.4260031580924988, 0.6654618382453918, -1.0353829860687256, -0.45864319801330566, -0.06120677664875984, 0.5521711111068726, -1.1490894556045532, 0.24518559873104095, 0.3200922906398773, -0.5562687516212463, -0.8940044641494751, 1.093429446220398, -0.7125141024589539, 0.03479527682065964, 0.4067912697792053, -0.12015647441148758, 0.8579037189483643, 0.4081287682056427, -0.9073380827903748, -0.16447848081588745, 0.39769446849823, 0.45450907945632935, 0.10570185631513596, 0.17449969053268433, -0.0979069247841835, 0.11967567354440689, 0.0469505675137043, 0.3241821229457855, 0.46607160568237305, 0.8976446390151978, -0.37626293301582336, -0.3644517660140991, -0.09804317355155945, 0.21277454495429993, -0.7297307252883911, 0.5977197289466858, -0.2980804443359375, 0.8539745807647705, 0.5879955291748047, -0.099132239818573, -0.14295168220996857, 0.6419036984443665, -1.5095919370651245, -0.03964924067258835, -0.6265262365341187, 0.07419028133153915, 0.37279772758483887, -0.5637701749801636, 0.4146009683609009, 1.0639222860336304, -1.6964917182922363, 0.6026187539100647, -0.49363383650779724, -1.0507824420928955, -1.0370069742202759, 0.6032587885856628, 0.29227349162101746, -0.05735431984066963, 0.01645348221063614, -0.23146755993366241, 1.1099530458450317, -0.08275218307971954, -0.7340397834777832, -0.7166239619255066, 0.035428211092948914, 0.7907613515853882, -0.03275113180279732, -0.48689761757850647, 0.6951739192008972, -0.7117359042167664, -1.1250227689743042, -0.48154890537261963, -1.6072916984558105, -0.032839953899383545, 0.019216807559132576, 1.4984382390975952, 0.23495720326900482, 0.654964029788971, -0.11365626007318497, 0.261641263961792, 0.1472414880990982, -0.8643426299095154, 0.18258188664913177, 0.49811580777168274, -0.8206872940063477, -0.06267771124839783, 0.6241685152053833, 0.006664024665951729, -0.8645117878913879, 0.5508024096488953, -1.318953037261963, -0.19885241985321045, 0.2611856758594513, -0.16261889040470123, 0.15521244704723358, -1.2701609134674072, -0.11638703942298889, -1.4420753717422485, 0.5826337337493896, -0.06191745400428772, 0.7413069009780884, 0.13162456452846527, -0.028994932770729065, -0.514004111289978, -0.33538350462913513, 0.017690012231469154, -0.090198814868927, -1.0355724096298218, -0.8615671396255493, -0.14709098637104034, -0.12147641181945801, 1.0510072708129883, 0.630376398563385, 0.24440467357635498, -0.6120710968971252, 0.5368853211402893, -0.2731076776981354, -0.2248457968235016, -0.582241415977478, -0.1887146234512329, 0.6812025904655457, -0.33574894070625305, 0.9735019207000732, 1.5336185693740845, 0.7274461984634399, -0.8843985199928284, -1.2196452617645264, -0.38550305366516113, 1.2640093564987183, 1.0206159353256226, -0.351635605096817, 0.22056587040424347, -1.4042754173278809, -0.025520000606775284, -0.10746970027685165, 0.7871031165122986, -0.02608010172843933, -0.3504759967327118, -0.35439181327819824, 0.19342784583568573, 0.3229353427886963, 0.01408564206212759, 0.4025401473045349, 0.7510504126548767, 0.4101165235042572, 0.3681522011756897, -0.5518226027488708, -0.0169113427400589, -0.4518880546092987, 0.7658736705780029, -0.24789950251579285, 1.0704336166381836, -0.07640569657087326, 0.03859787434339523, 0.34251314401626587, 0.332946240901947, -1.4068177938461304, 1.4771023988723755, 0.3491446375846863, 0.17903903126716614, -0.6670145988464355, -0.4904840886592865, 1.0085785388946533, -1.4129751920700073, -0.4945243299007416, 0.9672335982322693, 0.1562839299440384, -0.034209009259939194, 0.5186638832092285, 0.4639270305633545, 0.7371792197227478, 0.18128859996795654, 0.8445269465446472, -0.2397119700908661, -0.4863579273223877, 0.5825957655906677, 1.0468885898590088, 0.6642776727676392, -0.14877580106258392, 0.1665237545967102, 0.8897558450698853, -0.6031287908554077, 1.3938837051391602, 0.009690412320196629, 0.6684041619300842, 0.1387270838022232, 0.42308416962623596, -0.200819194316864, -1.208025336265564, -0.6686545610427856, 0.0013687724713236094, 0.07250819355249405, 1.3967517614364624, -0.7444018125534058, 0.5089673399925232, -0.9723269939422607, 0.08843743801116943, -1.1208746433258057, -0.10966182500123978, -0.1547098010778427, 0.4538813829421997, -0.17869941890239716, -0.53962242603302, -0.6689168810844421, 0.0007556944037787616, 1.5048226118087769, -1.4968944787979126, -0.07223544269800186, -0.38814789056777954, 0.7515697479248047, 0.032085295766592026, -0.681310772895813, -1.770373821258545, -0.6534156799316406, 0.5824818015098572, 0.1816783994436264, -0.3327223062515259, -1.091425895690918, -1.635563611984253, -0.2461673617362976, 1.4296951293945312, 0.09335588663816452, -0.5319525003433228, -1.010444164276123, 0.1248413473367691, 0.605082631111145, 0.34329599142074585 ] ]
{ "indices": [ 691409538, 3490935618, 1960040400 ], "values": [ 0.82453351696008, 0.82453351696008, 0.82453351696008 ] }
{ "context": " But it's a garbage bit. It's a garbage bit" }
349083
[ [ 0.2855915129184723, -0.6880691647529602, 1.0197457075119019, 0.519231379032135, 1.2792162895202637, -0.06532763689756393, -0.11921048909425735, 0.773098349571228, 0.6697760820388794, -0.6114844083786011, 0.8481613993644714, 0.6597165465354919, 0.5755478739738464, 0.27396082878112793, 0.2801458537578583, -0.03757040202617645, 0.3470810651779175, -0.24649874866008759, -0.11378350108861923, -0.8204771876335144, 0.8745255470275879, -0.2269347757101059, -0.10691607743501663, -0.2535315454006195, -0.8319657444953918, 0.2954414486885071, 0.13132083415985107, -0.9244629740715027, -0.030150651931762695, 1.115661859512329, -0.1271793097257614, -1.2854366302490234, 0.32582682371139526, -0.354072242975235, 2.045215368270874, 0.21832048892974854, -0.19376502931118011, -0.5502163171768188, 0.15766747295856476, 0.7551921606063843, -0.5780099034309387, 0.42145925760269165, 0.15061615407466888, 0.4918067455291748, -1.2663980722427368, 0.18354937434196472, 0.16474270820617676, -0.12527769804000854, 1.3569227457046509, -0.9447179436683655, 0.8407101035118103, -0.49947309494018555, 1.0954912900924683, 0.4418908655643463, 0.009239593520760536, 0.45744022727012634, 1.0297787189483643, 1.3993909358978271, 0.46029898524284363, -1.3144303560256958, -0.06382592022418976, -0.8614708781242371, 0.2663598656654358, -0.1858210414648056, -0.3859918415546417, -0.36094895005226135, -0.8379115462303162, -0.719998836517334, 1.07770574092865, -0.256077378988266, -0.857172966003418, 0.4814152121543884, 0.4574064612388611, 0.31197723746299744, 0.7890623211860657, -0.7149994373321533, 0.13528741896152496, 0.4089873433113098, 0.9842134714126587, 1.336002230644226, -0.589289128780365, 0.36366984248161316, -0.3559539318084717, 0.6515102982521057, 0.608158528804779, -0.8092183470726013, 1.3364590406417847, -0.6971516609191895, 0.5150542259216309, 1.0526041984558105, 0.10147013515233994, -0.7950752973556519, 1.6322177648544312, 0.4151113033294678, -0.8256177306175232, -0.11368724703788757, 0.6373746395111084, 1.3831744194030762, -0.11315485090017319, -1.1695556640625, 0.3170410394668579, -1.0367348194122314, -0.20734816789627075, 1.6832671165466309, 0.21074028313159943, 0.4857294261455536, -0.29096028208732605, -0.3535365164279938, -0.9392011761665344, 0.3966350257396698, -0.9638046622276306, 1.244621753692627, -0.7962350249290466, 0.7077229619026184, -0.04164711385965347, -0.769305408000946, -0.5886752009391785, -0.535780131816864, 1.330989956855774, 0.8142458200454712, 0.7095838189125061, 0.7032310366630554, 0.9995242357254028, -0.6036115884780884, -1.8551744222640991, 0.5084145665168762, 0.49707648158073425, -0.31691110134124756, -0.9767732620239258, 0.746630847454071, 0.2474089115858078, 0.17352095246315002, 0.3798758089542389, -0.29074835777282715, 0.42570212483406067, 0.9781992435455322, -1.6659114360809326, -1.3082959651947021, 0.8689305186271667, -0.08553295582532883, -0.09044817090034485, -1.8787202835083008, 0.27549299597740173, 0.6633085012435913, 1.0753051042556763, 0.5906050801277161, 0.5399048924446106, 0.39809268712997437, -0.2513161897659302, 0.14676934480667114, -0.6279852390289307, 0.20152759552001953, -1.2462358474731445, -0.16903886198997498, -1.0882915258407593, -1.1524635553359985, 0.1240159198641777, -0.3407657742500305, -0.8801202178001404, 0.2841610312461853, 0.20271538197994232, -0.29754963517189026, 0.2101428061723709, -0.10198406875133514, -0.8398883938789368, -0.5402306914329529, 1.5831774473190308, 0.16102904081344604, 0.33497557044029236, 1.1314769983291626, -0.045000575482845306, -0.2582903802394867, 0.3492649495601654, 0.3656681478023529, 1.4187836647033691, -0.499823659658432, 0.4858918786048889, -0.6479182243347168, -0.00029765855288133025, -0.06600652635097504, -0.3513987958431244, -0.5150144100189209, -0.19863900542259216, 1.208146333694458, 0.44553419947624207, -0.17589719593524933, -0.6772242784500122, -0.5070757865905762, 1.0908546447753906, -1.0698994398117065, -0.3582689166069031, -1.230658769607544, 0.318872332572937, -0.14491575956344604, -0.3625577986240387, -0.976655125617981, -0.6133829355239868, -0.06687445938587189, 0.6930103898048401, -0.41681087017059326, -0.5181535482406616, 0.7086135745048523, 0.960694432258606, -1.0356006622314453, 0.006960551254451275, 0.9508000612258911, 0.7196417450904846, -0.6205875873565674, 0.6909372806549072, -0.5058810710906982, -0.4724629819393158, 0.35640186071395874, 0.005434743128716946, 0.6441988348960876, 0.7005146741867065, -0.21071746945381165, 0.6047886610031128, 0.4942164421081543, -0.17049892246723175, 0.41613245010375977, -1.1980491876602173, 1.3104403018951416, 1.8611446619033813, 1.1662449836730957, 0.2698429524898529, 0.2999950349330902, -0.41120445728302, -0.3237227499485016, -0.009651069529354572, -0.6725156903266907, -0.18867500126361847, -1.1414828300476074, 0.6247552633285522, 0.5556700825691223, 0.0014468466397374868, -0.11501450836658478, 1.9405237436294556, 0.9295905828475952, 1.3762694597244263, 0.18374735116958618, -1.441470980644226, 0.35833102464675903, 0.5545533895492554, 0.305610328912735, 0.01936226151883602, 1.3051375150680542, 0.013889677822589874, 0.9670642018318176, 0.21421562135219574, 0.12164145708084106, -0.44681257009506226, 0.4030330777168274, 0.10524211823940277, -0.9133526682853699, 0.6939539909362793, -1.0238142013549805, 0.35496941208839417, -0.9744541049003601, -0.661181628704071, 0.6238805651664734, -0.20655064284801483, -0.3452419340610504, 0.043976061046123505, -0.3916943669319153, 0.028729476034641266, -0.1590428501367569, -0.5921189188957214, -0.7405394315719604, 1.266943097114563, -0.5817105770111084, 0.5308485627174377, 0.9452789425849915, -0.31674695014953613, -0.7552423477172852, 0.07297559082508087, 1.017110824584961, -0.5189663171768188, 1.4292752742767334, -0.8874107599258423, -0.35755258798599243, -0.28092607855796814, 0.41846540570259094, 0.35366976261138916, 0.19279153645038605, -1.7302101850509644, 0.6952748894691467, -0.8915512561798096, -0.8749668598175049, 0.49077072739601135, 0.3838387131690979, -0.9609007239341736, 0.13421694934368134, -0.15491952002048492, -0.7357996702194214, 0.41572216153144836, -0.011211940087378025, 0.3349595367908478, -0.060743339359760284, 0.4694746732711792, 0.7464925050735474, 0.19176992774009705, -1.6179420948028564, 0.28378981351852417, -1.4017530679702759, -0.42912447452545166, -0.07450512796640396, -0.5421198010444641, -0.4125296175479889, -0.6409987807273865, -0.05858343094587326, -0.510697603225708, -0.446238249540329, -0.4950810968875885, -1.1697536706924438, -1.8486473560333252, 0.1587439328432083, 0.36517125368118286, 0.8033971190452576, -0.22591926157474518, -0.28915464878082275, 0.959476888179779, 0.9393824338912964, -0.7664918899536133, -0.3861125111579895, 0.2708175778388977, -0.1818469613790512, -0.974266767501831, -0.10711405426263809, 0.6357279419898987, 0.49954453110694885, -0.07974548637866974, -0.3358621895313263, 0.9618440866470337, 1.550543189048767, -0.8099033236503601, -0.882678210735321, 0.13256534934043884, 0.12519675493240356, -1.0053143501281738, -0.41924646496772766, 0.4972734749317169, 0.5147503614425659, 0.9538053274154663, 0.20353363454341888, 1.1456129550933838, 0.38550087809562683, -0.599310040473938, 0.33710432052612305, 0.5776056051254272, 0.32673174142837524, -0.24826306104660034, 0.4315792918205261, -0.18599623441696167, -0.26276275515556335, -1.4134000539779663, 0.6798936128616333, 0.910508394241333, -0.6952528953552246, -0.23787541687488556, 1.2700955867767334, 0.9779277443885803, 0.04396839439868927, 0.1131165400147438, -0.6079431176185608, 0.22811833024024963, 0.5268374681472778, -0.5101296305656433, 0.10966113209724426, 0.8134869337081909, 0.10194428265094757, 0.7021059393882751, 0.7702853679656982, -0.49572595953941345, -0.6992956399917603, -1.4911658763885498, -0.6419622898101807, 0.26423513889312744, 0.7953178286552429, 0.37697282433509827, 0.7464845180511475, 0.11418250948190689, 0.03544951230287552, -0.3376958966255188, 0.3138220012187958, -0.9074370265007019, 0.2277834415435791, 0.3906594216823578, -0.21377751231193542, 0.26855820417404175, 0.07150612771511078, 0.022470183670520782, -0.2298164814710617, -1.0218080282211304, -0.19129334390163422, -0.30382096767425537, -1.3977683782577515, 0.41425853967666626, 0.27746716141700745, -0.503059983253479, 0.33521145582199097, 0.6107960939407349, 2.261077404022217, 0.9318090081214905, 0.1719561517238617, 0.22951576113700867, 0.4449029862880707, -0.8233063220977783, -0.3276628851890564, 0.6501376032829285, 0.5275329351425171, 0.7814128994941711, 0.41224536299705505, 0.7530516386032104, -1.2044892311096191, 0.021857483312487602, 0.21226073801517487, 0.9302159547805786, 0.23454582691192627, 0.6325473189353943, -0.04577900841832161, -1.1457363367080688, -0.488799124956131, 0.0838191956281662, 1.2251936197280884, -0.6921640634536743, 1.5521059036254883, 0.802922248840332, 0.02845202013850212, 0.21554532647132874, 0.9808992147445679, 1.166906714439392, 0.017111532390117645, -0.1652684062719345, -0.7826038002967834, -0.6417211294174194, -0.1920679360628128, 0.021417317911982536, 0.30182817578315735, 0.9364193081855774, 0.45062923431396484, -0.5768245458602905, 0.4142836928367615, 0.10890137404203415, -1.2183760404586792, 0.10671615600585938, -0.3488004505634308, -0.33547428250312805, -0.8784146308898926, 0.6681696176528931, 0.46963298320770264, 0.1682625561952591, -0.2888430058956146, 0.152727410197258, -0.546042263507843, -2.7187840938568115, -0.4736171066761017, -0.30173978209495544, 0.7400579452514648, -0.7418442368507385, 0.4228304624557495, -0.7077067494392395, -0.7858882546424866, 0.8882820010185242, -0.4285021424293518, 0.9726205468177795, -0.5679781436920166, 0.3340446949005127, -0.07421670109033585, -1.3757917881011963, -1.092124581336975, 1.3554373979568481, -0.21704217791557312, -0.17972008883953094, 0.037494074553251266, 0.025701332837343216, -0.37343552708625793, 0.3695080280303955, 0.519400417804718, 0.24757002294063568, -0.2696583569049835, -0.5651273727416992, 0.011238480918109417, 0.3575189411640167, -1.1807631254196167, -0.6367857456207275, 0.23144671320915222, -1.4423658847808838, -0.6997310519218445, 0.5724499225616455, -0.7937090396881104, -0.05355674400925636, 0.6532714366912842, -0.18516163527965546, -0.6143829822540283, -0.8714165687561035, -1.3275566101074219, 0.5127214789390564, -0.26939672231674194, 1.1257987022399902, 0.6147007346153259, 0.3801974356174469, -0.8060381412506104, 0.33191338181495667, 0.047487255185842514, 0.27869439125061035, -0.5255425572395325, 0.49715787172317505, -0.3461659550666809, -0.6922964453697205, -0.9385309219360352, 1.0476665496826172, -0.19779449701309204, -0.1988392025232315, -0.6824595332145691, -0.4630662798881531, -0.13299903273582458, 1.5791161060333252, -0.8320630192756653, 0.10206402093172073, -0.03763270378112793, -0.12785455584526062, -0.8800418972969055, 0.520378053188324, 0.375763475894928, -1.334471344947815, 0.19213265180587769, 0.40544450283050537, 0.46847406029701233, 0.7142266631126404, -0.5199310779571533, 0.0456140972673893, 0.547387957572937, -1.2861247062683105, 0.4112882912158966, 0.274263858795166, 0.9082282781600952, -0.44034942984580994, -0.7884467840194702, -0.7423257231712341, 0.5892385244369507, -0.6884247660636902, 0.4988824129104614, -0.05582144483923912, 0.5480113625526428, 0.3160937428474426, -0.6480688452720642, -0.7646066546440125, -0.7850180864334106, -1.0557422637939453, 0.46420690417289734, 0.19968602061271667, -0.7801162600517273, 0.055732741951942444, 0.13192397356033325, -0.52373206615448, -0.023752955719828606, 1.6288185119628906, -0.07310202717781067, 0.3161461353302002, 0.5349316000938416, 0.14049169421195984, 1.087893009185791, 0.7645745873451233, 1.3112308979034424, -0.2242937982082367, 0.06891429424285889, -0.2858719825744629, -0.6172487139701843, -0.973228394985199, -0.692571222782135, -0.3739166855812073, -1.0868289470672607, -0.39776480197906494, 1.3035272359848022, -0.2022865265607834, 0.4513394832611084, -0.003742586588487029, -0.8728969097137451, -0.21071483194828033, -0.2558322846889496, -0.5644813179969788, -0.4400590658187866, 0.112721286714077, -0.13549336791038513, 0.47801679372787476, 0.025718750432133675, -0.5794780254364014, -0.4524855613708496, 0.3146536648273468, 0.3671480119228363, 0.2496335655450821, 2.126814365386963, 0.576690137386322, 0.3292688727378845, 1.1914303302764893, 0.564130961894989, 0.9537859559059143, -0.8650604486465454, 0.2656233310699463, 0.2878919243812561, 0.9168223142623901, -0.24973134696483612, 0.25889331102371216, -0.8825417757034302, 0.06649567186832428, 1.5489786863327026, -1.2096368074417114, 0.7168916463851929, -0.8026818037033081, 0.6575131416320801, -0.2429731786251068, 0.8075824975967407, -0.5807977318763733, 0.8724589943885803, -0.24618321657180786, 2.071216106414795, -1.2669501304626465, -0.3693537712097168, 1.4448963403701782, 0.9672858715057373, 0.4405168890953064, 0.4195080101490021, 0.6798208355903625, -0.4120344817638397, -0.23926515877246857, -1.1007145643234253, -0.6628969311714172, 1.1559275388717651, -1.3088314533233643, 1.0005877017974854, -0.0695759654045105, -0.9071788787841797, 0.84388667345047, 0.4810309410095215, 0.05723758414387703, -1.718858242034912, -0.48848849534988403, -1.2029619216918945, 1.2151408195495605, 0.3651234209537506, -0.10338255017995834, -0.2329593300819397, -0.2297138273715973, 0.5224674344062805, -0.26598694920539856, 1.371838092803955, -0.5857908725738525, -1.8202340602874756, 0.014692614786326885, -0.36486855149269104, -1.0064977407455444, 1.4818902015686035, 0.3940166234970093, -0.644446611404419, 1.0797539949417114, -0.38403114676475525, 0.8788576722145081, 0.03789868578314781, 0.25586792826652527, 0.14618705213069916, 1.6509760618209839, -0.48808062076568604, -0.8671977519989014, -0.4565759301185608, 0.1324809193611145, 4.529663562774658, 1.6081234216690063, -0.3089326024055481, -2.0250961780548096, 0.05855593830347061, -1.1529031991958618, 0.29801511764526367, 0.07449343800544739, 0.48788174986839294, 0.1341109424829483, 0.8845471143722534, 1.259056568145752, 0.4092646837234497, -0.07036208361387253, 0.49229249358177185, 0.6127996444702148, -0.6521759033203125, -0.7973389625549316, 0.8367653489112854, 0.1280873864889145, 0.18397101759910583, -0.5442625880241394, -1.08925199508667, -1.0672904253005981, 1.1288164854049683, -0.007656225934624672, 0.5190510153770447, -0.27089542150497437, 0.5947368741035461, 0.08514362573623657, -0.616442084312439, 0.9286189675331116, 0.29221463203430176, 1.2770129442214966, 0.5492073893547058, 0.4093645513057709, -0.12402014434337616, -0.6556434035301208, -0.6224409937858582, 0.032737910747528076, 0.2765391170978546, -0.021061761304736137, -0.42422768473625183, -0.4997287392616272, -1.3088464736938477, -0.12344373017549515, -0.34246009588241577, -0.9978998303413391, 0.2251759022474289, -0.19966639578342438, -0.07939690351486206, 0.41472792625427246, -0.5939639806747437, -1.0485962629318237, -0.8281537294387817, 0.9431046843528748, 0.3635271191596985, -0.6865823268890381, -0.1839970350265503, -0.6823549270629883, 0.5001848936080933, -0.6564685702323914, 0.6524746417999268, 0.32336950302124023, 0.7027001976966858, -0.16527970135211945, -0.29191166162490845, 2.3785459995269775, 0.22583259642124176, -0.851224422454834, -1.1929519176483154, 0.3625594675540924, -0.30342918634414673, -0.4075529873371124, -0.31111612915992737, 1.1169770956039429, -0.6201682090759277, 0.2541440725326538, -0.7079629898071289, -1.0095090866088867, -0.5803090929985046, 0.036917440593242645, -0.5893524885177612, 0.25427520275115967, -0.6242868304252625, -1.3662688732147217, 0.016188906505703926, 0.4394347667694092, 0.3830135464668274, 0.16434450447559357, 0.1112278625369072, 1.7238420248031616, 0.8316030502319336, -0.8188078999519348, 0.2056998759508133, 0.34681981801986694, -1.8096331357955933, -0.1419464498758316, -1.0648987293243408, 0.9281556010246277, 0.16024932265281677, -0.4196642339229584, 0.0345199853181839, 0.446967214345932, -0.8739133477210999, -0.36732956767082214, 0.6368758678436279, -0.8131695985794067, -0.18392413854599, -0.01647976227104664, 1.1035486459732056, -1.3193613290786743, -0.7047260403633118, -0.3392636477947235, -0.5958372950553894, -0.2919628322124481, -0.14997027814388275, -0.40829840302467346, 0.05369392782449722, 0.1037149429321289, 0.6710108518600464, 0.41045501828193665, 0.6133273243904114, -0.6556900143623352, -0.36293891072273254, 0.09265027940273285, -0.933991551399231, -0.32079899311065674, 0.10934663563966751, -0.41488680243492126, 0.29427388310432434, -0.15333525836467743, -0.6130385398864746, 0.6064145565032959, -0.8065500259399414, -0.3629739284515381, -0.10432463884353638, 0.49345433712005615, -0.8331485986709595, 0.239991694688797, 0.22122031450271606, -0.2999502122402191, -1.4238500595092773, 0.7265552878379822, -0.33253589272499084, 0.15938536822795868, 0.10546807944774628, 0.09707391262054443, 0.7620977759361267, 0.5720162391662598, -1.0342851877212524, 0.0711318776011467, 0.6056999564170837, 0.720329761505127, 0.0673995390534401, 0.38263314962387085, 0.42334985733032227, 0.15729206800460815, -0.07500049471855164, 0.2478293478488922, 0.2022009640932083, 0.9547310471534729, -0.5374469757080078, -0.5101845860481262, -0.3726978600025177, 0.12199694663286209, -0.43860170245170593, 0.65679931640625, 0.14752869307994843, 0.8591387867927551, 0.26897552609443665, 0.054720595479011536, -0.028331594541668892, 0.643375039100647, -1.5388222932815552, 0.05477356165647507, -0.48120400309562683, 0.23009172081947327, 0.502159059047699, -0.9742199778556824, 0.3318496644496918, 0.7040544152259827, -1.3811147212982178, 0.353188157081604, -0.460750937461853, -0.6888096332550049, -1.0148452520370483, 0.8805490732192993, 0.054889995604753494, 0.4295892119407654, 0.19925814867019653, 0.020054269582033157, 1.2394957542419434, -0.0013566603884100914, -0.714448869228363, -0.5385252833366394, 0.5221666097640991, 0.7786045670509338, 0.26037856936454773, -0.6460296511650085, 0.5385316014289856, -0.5635377764701843, -1.0890228748321533, -0.04016197845339775, -1.6166843175888062, 0.5012331604957581, 0.018658412620425224, 1.2722469568252563, 0.08781077712774277, 0.5123828649520874, -0.3654809892177582, 0.01570424810051918, -0.1139519065618515, -0.2023562639951706, -0.010582813061773777, 0.5234023928642273, -0.5579699277877808, -0.4594009816646576, 0.18537414073944092, -0.07224519550800323, -0.4239501655101776, 0.2634582221508026, -1.4406089782714844, 0.04534465819597244, 0.24343672394752502, -0.002542732283473015, 0.27630215883255005, -1.1171766519546509, 0.1930539757013321, -1.1176307201385498, 0.5538035035133362, -0.20305971801280975, 0.9853186011314392, 0.3067014217376709, -0.27606603503227234, -0.6093090176582336, -0.5412132143974304, 0.18518248200416565, 0.2113548219203949, -1.3701145648956299, -0.9206562042236328, -0.08785992860794067, -0.48734065890312195, 1.0066215991973877, 0.3189532160758972, -0.2224309742450714, -0.266361802816391, 0.5917360782623291, -0.17591463029384613, -0.23213784396648407, -0.6321362257003784, -0.2551805377006531, 0.5075212121009827, -0.6934477090835571, 0.9959009289741516, 1.6405168771743774, 0.9125210642814636, -0.6237429976463318, -1.0047723054885864, -0.3598584532737732, 1.1110243797302246, 0.9080897569656372, -0.08503387868404388, -0.035832297056913376, -1.6473770141601562, 0.13890229165554047, -0.08521643280982971, 0.6521741151809692, 0.3278193473815918, 0.008473668247461319, -0.36851954460144043, 0.09195526689291, 0.6789822578430176, -0.0841805562376976, 0.33589479327201843, 0.20652274787425995, 0.2831422686576843, 0.2496173232793808, -0.2823556065559387, -0.3108871281147003, -0.0909120962023735, 0.9437124133110046, -0.009896422736346722, 1.2283612489700317, 0.02427579276263714, 0.3108028173446655, 0.44636067748069763, -0.014072281308472157, -1.1772782802581787, 1.6347779035568237, 0.1619509905576706, -0.24061991274356842, -0.9304889440536499, -0.11723964661359787, 0.8948035836219788, -1.5685482025146484, -0.3624418377876282, 1.228929877281189, 0.4198906719684601, -0.010813454166054726, 0.43874311447143555, 0.5704593062400818, 0.5584499835968018, 0.22651417553424835, 0.9674370884895325, -0.663012683391571, -0.41277745366096497, 0.4566035270690918, 1.010844349861145, 0.3095647096633911, -0.03169306367635727, 0.34169086813926697, 0.9918221235275269, -0.14702996611595154, 1.7322547435760498, -0.2968679368495941, 0.3783320188522339, 0.298689067363739, 0.5805714726448059, -0.22485946118831635, -1.1336435079574585, -1.0747967958450317, -0.0610966719686985, 0.3644479513168335, 1.3757160902023315, -0.7641745805740356, 0.7995620965957642, -0.9236858487129211, 0.27255505323410034, -1.1867443323135376, -0.25429385900497437, -0.2214914709329605, 0.44356250762939453, -0.11024826765060425, -0.7332046031951904, -0.5765822529792786, -0.08165877312421799, 1.8504139184951782, -1.4759624004364014, -0.17050579190254211, -0.17472031712532043, 0.37744036316871643, 0.3304489850997925, -0.5603591203689575, -1.937200903892517, -1.1602330207824707, 0.3886517286300659, 0.31148216128349304, -0.2700478434562683, -1.09555983543396, -1.6961220502853394, 0.26242774724960327, 1.3110510110855103, -0.25211605429649353, -0.5740199685096741, -0.6615465879440308, -0.04787081852555275, 0.3067767918109894, 0.7954956293106079 ] ]
{ "indices": [ 3819098157, 2874966370, 3875167354, 3997133275, 3690221902, 1497027039, 3557355342, 2877535289, 647928480, 1230423685, 1432087569, 1438597426, 1786548735, 722829366, 2067848296, 2912884399, 1830401145, 629871972, 2707362363, 691409538, 1732333218, 1830628714, 1063320047, 4186256544, 1481737067, 2236453805, 3490935618, 1960040400 ], "values": [ 0.45886343419922815, 0.7178239640284703, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.7178239640284703, 0.45886343419922815, 0.7178239640284703, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285 ] }
{ "context": "Okay. Yeah. Yes. Yeah. So that was the question Sasha asked earlier. Yeah. So branch enable is calculated before you've decoded, right? During the decode state. So you don't know what kind of instruction it is. You don't even know if it's a legal instruction, right? Because not all opcodes are legal instructions. So you're going to calculate it every time. But it's a garbage bit. It's a garbage bit." }
374324
[ [ 0.2563976049423218, -0.8016167283058167, 0.17177774012088776, 0.19405673444271088, 0.3058058023452759, -0.02520722895860672, -0.6081172823905945, 0.6334035992622375, 0.7978919148445129, -1.0278337001800537, 1.0670121908187866, 0.4813995361328125, 0.31355950236320496, 0.5337815284729004, 0.39037472009658813, -0.2127694934606552, 0.6528778672218323, -0.4823395907878876, 0.11825145781040192, -0.1493246704339981, 1.2146366834640503, -0.006157143507152796, -0.5073656439781189, -0.2103573977947235, -0.6938492655754089, 1.077116847038269, 0.0306392852216959, -0.7065100073814392, 0.3093850612640381, 0.7671101093292236, -0.8092276453971863, -1.3124363422393799, 0.48296234011650085, -0.47351858019828796, 1.7523488998413086, 0.1820063441991806, -0.4011114537715912, -0.27829882502555847, 0.32862964272499084, 0.5531877279281616, -0.14759822189807892, 0.34513059258461, -0.5762512683868408, 0.7646239995956421, -1.1221007108688354, 0.18433763086795807, -0.516842782497406, -0.15421779453754425, 1.0576136112213135, -0.4660376012325287, 0.920738697052002, -0.16641592979431152, 0.8283149600028992, 0.0584489181637764, 0.41304153203964233, 0.7100799679756165, 0.9976080060005188, 1.5956218242645264, 0.37667548656463623, -1.258313775062561, -0.01863030157983303, -0.3955412209033966, 0.14668141305446625, -0.6583990454673767, -0.1556139439344406, -0.4440569281578064, -0.38746514916419983, -0.9965786337852478, 1.0277454853057861, -0.14954476058483124, -0.7780569791793823, 0.37303468585014343, 0.4849115312099457, 0.3337180018424988, 0.5707074999809265, -0.8633878827095032, 0.2822928726673126, 0.5469567179679871, 0.6037689447402954, 1.1748420000076294, -0.9578344821929932, 0.2226179540157318, -0.53830486536026, 0.34236636757850647, 0.43508753180503845, -0.5146623849868774, 1.3759843111038208, -0.4055769443511963, 0.05634896084666252, 0.8506882190704346, 0.24276617169380188, -0.6271811127662659, 1.325388789176941, 0.31044578552246094, -0.6335422396659851, -0.08023848384618759, 0.4309106767177582, 1.3961602449417114, 0.31088048219680786, -0.9180876016616821, 0.3423508405685425, -1.1990149021148682, -0.060745175927877426, 1.3875645399093628, 0.04489222913980484, 0.41928744316101074, -0.229423388838768, -0.2248275876045227, -0.5995252728462219, 0.2763761579990387, -1.123923420906067, 0.7983825206756592, -0.06101337447762489, 0.8324944972991943, -0.27448686957359314, -0.4644962549209595, -0.716009795665741, -0.4092322587966919, 1.268033504486084, 0.6787864565849304, 1.101191759109497, 0.286342054605484, 0.7287512421607971, -0.43081939220428467, -2.162677526473999, 0.7852572798728943, 0.29888153076171875, 0.10779748111963272, -1.0311954021453857, 0.6804133057594299, 0.6916091442108154, 0.33005979657173157, 0.07467910647392273, -0.1338624209165573, 0.6006976962089539, 0.755975604057312, -1.9784425497055054, -1.0778255462646484, 1.187923789024353, 0.24227261543273926, -0.624362587928772, -1.741969108581543, 0.2656914293766022, 1.1259076595306396, 1.1682665348052979, 0.567362904548645, 0.7120789289474487, 0.3443935811519623, -0.19220282137393951, 0.48181962966918945, -0.6764504313468933, 0.24738547205924988, -1.2075861692428589, -0.38164618611335754, -0.560730516910553, -0.8211285471916199, 0.06760921329259872, -0.10622120648622513, -0.6621999740600586, 0.3743146061897278, 0.56180739402771, -0.4372098743915558, 0.21566377580165863, -0.06832001358270645, -1.0434166193008423, -0.9973885416984558, 1.8411072492599487, -0.08672380447387695, 0.5177993774414062, 1.2910791635513306, 0.2401667982339859, 0.05800081789493561, 0.126283198595047, 0.21452324092388153, 1.0912244319915771, -0.631577730178833, 0.19931377470493317, -0.4693963825702667, 0.02373366430401802, 0.245956689119339, -0.4876931607723236, -0.6258978843688965, 0.1815364956855774, 1.5638177394866943, 0.4807971119880676, -0.12652555108070374, -0.806178092956543, 0.0035335696302354336, 0.9812504649162292, -0.9525649547576904, -0.6227443218231201, -1.0050550699234009, 0.6791884899139404, -0.16875775158405304, -0.6738383173942566, -0.9926270246505737, -0.7150495648384094, 0.08831370621919632, 0.6244705319404602, -0.7234439253807068, -1.3290497064590454, 0.5699384212493896, 0.8426961302757263, -1.1855005025863647, -0.0800408199429512, 0.6672734022140503, 1.4133549928665161, -0.9564896821975708, 0.7510359883308411, -0.4103032350540161, -0.24667862057685852, 0.4714643061161041, -0.15063968300819397, 0.19618286192417145, 0.6239606738090515, 0.1690448820590973, 0.14084568619728088, 0.3954145610332489, -0.10025687515735626, 0.28302377462387085, -1.1493520736694336, 1.0603429079055786, 1.887917399406433, 1.107499599456787, 0.20381367206573486, 0.30744048953056335, 0.15930810570716858, -0.0318814218044281, 0.2123093605041504, -0.7076920866966248, -0.5351215600967407, -1.2526508569717407, -0.10903839021921158, 0.31747761368751526, -0.199299618601799, 0.15938997268676758, 1.8938106298446655, 0.7765393853187561, 0.7685779929161072, -0.10765141248703003, -1.3155676126480103, 0.8715755939483643, 0.25023868680000305, 0.11901859939098358, 0.7083393931388855, 1.507405161857605, 0.46439439058303833, 1.3154319524765015, -0.4821009039878845, 0.3337005078792572, -0.6963361501693726, 0.5709862112998962, 0.28431281447410583, -0.9954876899719238, 0.16743896901607513, -1.0089402198791504, 0.14000184834003448, -0.8045355081558228, -0.431237131357193, 0.6042214035987854, -0.12693114578723907, -0.1819608509540558, -0.44452205300331116, -0.7029321789741516, -0.11046772450208664, -0.17989079654216766, 0.18878808617591858, -0.7615463137626648, 1.4787706136703491, -0.3838629722595215, 0.4371453523635864, 0.8074737191200256, -0.46415430307388306, -0.5801815986633301, 0.43851470947265625, 1.1048712730407715, -0.3309714198112488, 0.926700234413147, -0.9271812438964844, -0.5390329360961914, -0.33823439478874207, 0.23585712909698486, 0.47089534997940063, -0.2398868054151535, -1.5313352346420288, 0.5466917157173157, -0.6144068837165833, -0.8358379602432251, 0.4404917061328888, 0.24971887469291687, -1.0258333683013916, 0.33252352476119995, -0.5423870086669922, -1.112769603729248, 0.21095113456249237, 0.19440658390522003, -0.061266280710697174, 0.19857805967330933, -0.07059185951948166, 0.5569278001785278, 0.09257493168115616, -1.4877334833145142, 0.03503882884979248, -1.173866868019104, -0.244835764169693, 0.28440549969673157, -0.8059225678443909, -0.5920525193214417, -0.42471158504486084, 0.28189995884895325, -0.12425215542316437, -0.2403295487165451, -0.2061794102191925, -1.1039787530899048, -1.3932243585586548, 0.08315262198448181, 0.4869399964809418, 1.025597333908081, -0.2152622789144516, -0.4186790883541107, 1.189066767692566, 0.9500568509101868, -0.8117529153823853, -0.39222416281700134, 0.12509404122829437, -0.0797438696026802, -1.1390057802200317, -0.3097047209739685, 1.0409091711044312, 0.2134903371334076, 0.4244397282600403, -0.29627248644828796, 0.9104022979736328, 1.5320132970809937, -0.14539235830307007, -1.189610481262207, 0.06937216967344284, 0.027039088308811188, -0.7981783151626587, 0.15221929550170898, 0.8447630405426025, 0.7892463803291321, 0.7142768502235413, 0.17882446944713593, 1.2706516981124878, 0.24122875928878784, -0.647404670715332, 0.647240161895752, 0.1700369119644165, 0.3676773011684418, -0.31346049904823303, 0.11495749652385712, 0.38439494371414185, -0.3662532866001129, -0.9947436451911926, 0.4973035156726837, 0.8720930218696594, -0.8496942520141602, -0.11559807509183884, 0.7397806644439697, 0.7850576043128967, 0.08731569349765778, -0.42692476511001587, -0.4817592203617096, 0.31270647048950195, 0.5807099938392639, -0.445883184671402, 0.023530708625912666, 0.6177729964256287, -0.11184093356132507, 0.4402197003364563, 0.47986653447151184, -0.554462730884552, -0.6780089735984802, -1.8046338558197021, -0.6580142378807068, 0.3218250274658203, 0.9999167919158936, 0.4540267288684845, 0.5883880257606506, -0.04206893965601921, 0.1977464109659195, -0.20211416482925415, -0.044102076441049576, -1.7602347135543823, 0.17075549066066742, 0.4007817804813385, -0.2480180710554123, 0.5723910927772522, -0.47883275151252747, 0.4201769530773163, -0.2703506350517273, -0.6898148059844971, -0.17258813977241516, -0.021077284589409828, -1.013118863105774, 0.5828144550323486, 0.24861274659633636, -0.5477648973464966, 0.6629010438919067, 0.6644536256790161, 1.9430183172225952, 0.74669349193573, 0.4904599189758301, 0.21071453392505646, 0.08612394332885742, -0.5313249826431274, -0.17541909217834473, 0.38925373554229736, 0.4001331925392151, 0.8692083954811096, 1.0727505683898926, 0.8105087280273438, -0.6732276082038879, -0.1532304733991623, 0.2139282524585724, 1.1102705001831055, 0.3914250135421753, 0.09039049595594406, -0.019198069348931313, -0.5161564350128174, -0.4673337936401367, 0.0843910202383995, 1.0027834177017212, -0.37494319677352905, 1.4328148365020752, 0.806524395942688, 0.24201269447803497, 0.2019825279712677, 1.2830854654312134, 1.6096508502960205, -0.16247999668121338, -0.3990876078605652, -0.4870213568210602, -0.7617747783660889, -0.163676917552948, -0.4525759518146515, 0.14550328254699707, 1.2232621908187866, 0.6847492456436157, -0.6169118285179138, 0.39356788992881775, 0.3237389624118805, -1.5666453838348389, 0.29523420333862305, -0.5153576135635376, -0.6580333113670349, -0.6085130572319031, 0.7955206036567688, 0.18044644594192505, 0.519235372543335, -0.4042097330093384, 0.2931731343269348, -0.6627044081687927, -2.468229055404663, -0.5653989315032959, 0.4448460340499878, 0.6739845275878906, -0.7338686585426331, 0.2674345076084137, -0.7297568917274475, -1.0716400146484375, 0.592862069606781, -0.5537611246109009, 1.1715795993804932, -0.9153538942337036, 0.32596415281295776, -0.32308676838874817, -1.446978211402893, -1.0283381938934326, 0.6894634962081909, -0.11109985411167145, 0.19231028854846954, -0.12902739644050598, -0.27373212575912476, -0.22338175773620605, 0.22631245851516724, 0.354611873626709, 0.45202142000198364, -0.42554593086242676, -0.6028569936752319, -0.015558559447526932, 0.610140323638916, -1.0614410638809204, -0.9290128946304321, 0.31095758080482483, -1.0764825344085693, -0.7804539799690247, 0.8689629435539246, -0.6414478421211243, -0.6710519790649414, 0.5598796010017395, -0.18368326127529144, -0.8302596211433411, -0.7227448225021362, -1.310130000114441, 0.9259797930717468, -0.5676068067550659, 0.6104865074157715, 0.302418053150177, 0.6051771640777588, -0.4057783782482147, -0.06545256823301315, -0.4937184453010559, 0.11781927943229675, -0.7492795586585999, 0.7488178610801697, -0.9348639845848083, -0.5619853138923645, -0.8431475758552551, 0.9091101288795471, 0.17636385560035706, 0.049437910318374634, -0.13604070246219635, -0.587592363357544, -0.0075706616044044495, 1.3745020627975464, -1.212287187576294, 0.4718042016029358, -0.04252338036894798, 0.025393996387720108, -0.7739546895027161, 0.23745080828666687, 0.47725820541381836, -1.6370060443878174, 0.4693998694419861, 0.6494848728179932, -0.0739608034491539, 1.4065327644348145, -0.5570129156112671, -0.31570497155189514, 0.6206634640693665, -1.101651668548584, 0.9306418299674988, 0.5840476751327515, 0.6206262707710266, -0.06152903661131859, -0.9653729200363159, -0.15824230015277863, 0.7608863711357117, -0.24108068645000458, 0.07644660770893097, -0.40609464049339294, 0.5836434960365295, 0.5305886268615723, -0.3989562392234802, -0.810926079750061, -1.4087427854537964, -0.6975269913673401, 0.28285637497901917, -0.024699019268155098, -0.7545785903930664, -0.1073186844587326, 0.03811740130186081, -0.6144374012947083, 0.40093693137168884, 1.422003984451294, 0.22333593666553497, 0.5739011168479919, 0.2884192168712616, 0.3731047511100769, 1.1020554304122925, 0.9641319513320923, 0.30787205696105957, -0.06657823920249939, -0.11365104466676712, -0.21148718893527985, -0.3986835479736328, -0.9315750002861023, -0.5120659470558167, -0.152712881565094, -1.0126677751541138, -0.18417207896709442, 0.9456353187561035, -0.2191029191017151, 0.4730757474899292, -0.11767428368330002, -0.5471216440200806, -0.6922971606254578, -0.5935942530632019, -0.8333303332328796, -0.2390625774860382, 0.00039656381704844534, -0.05274790897965431, 0.4724538326263428, -0.09615737199783325, -0.764143168926239, -0.6263943314552307, 0.14873777329921722, 0.26093026995658875, 0.04790479689836502, 2.105520486831665, 0.44539424777030945, 0.6357449889183044, 1.025378704071045, 0.30317074060440063, 0.8548530340194702, -0.5772169828414917, 0.4846334159374237, 0.8846220970153809, 0.5319375991821289, -0.17028523981571198, 0.5554314255714417, -0.95266193151474, 0.25272873044013977, 1.2076414823532104, -0.7416868805885315, 0.7336507439613342, -0.536190927028656, 0.4310949742794037, -0.06156932935118675, 0.244190976023674, -0.5558104515075684, 0.6497315168380737, -0.5447143316268921, 2.0023326873779297, -1.1867903470993042, -0.6053434610366821, 1.5459811687469482, 1.1443966627120972, 0.4568788707256317, -0.09660585969686508, 0.49673712253570557, -0.3215290307998657, -0.3693435788154602, -0.6665999889373779, -0.439742773771286, 1.5007394552230835, -1.2546939849853516, 0.8956699371337891, -0.1916309893131256, -0.6979332566261292, 0.6131600141525269, 0.6899464130401611, 0.2932190001010895, -1.3268681764602661, -0.8176286816596985, -1.3813813924789429, 0.38062983751296997, 0.3659730553627014, -0.29097384214401245, -0.20851218700408936, 0.26827964186668396, 0.6634539365768433, -0.4166232645511627, 0.8324254155158997, -0.3912580907344818, -1.9553297758102417, -0.19094882905483246, -0.3377254009246826, -1.4392884969711304, 1.577083706855774, 0.2429531365633011, -0.3726574182510376, 1.1873027086257935, -0.369000643491745, 0.8775096535682678, 0.32755526900291443, 0.24466516077518463, 0.11519206315279007, 1.5454295873641968, -0.4143449366092682, -0.07975228130817413, -0.4193669557571411, -0.6553133130073547, 4.704304218292236, 1.486212968826294, 0.1983012706041336, -2.053687810897827, 0.3135663866996765, -0.8976398706436157, 0.23527789115905762, -0.6039642095565796, 0.23449912667274475, 0.07030711323022842, 0.7825251817703247, 0.9871745109558105, 0.516179084777832, -0.0924384817481041, 0.7047403454780579, 0.7970705628395081, -0.28343474864959717, -0.6500375270843506, 0.6744380593299866, -0.004200948402285576, 0.013825262896716595, -0.23703746497631073, -1.0359210968017578, -1.204280138015747, 1.4634348154067993, -0.0447387732565403, 0.6333749294281006, -0.6808541417121887, 1.2951985597610474, 0.16259102523326874, -0.4271840453147888, 0.8773153424263, 0.765128493309021, 0.8332632780075073, 0.8521716594696045, 0.07977038621902466, -0.518375813961029, -0.4745860695838928, -0.6597398519515991, 0.41142237186431885, 0.3886386752128601, -0.14790762960910797, -0.24460457265377045, -0.6057126522064209, -0.9549111127853394, -0.07216276973485947, -0.5334697365760803, -0.7085441946983337, 0.4933808743953705, -0.14308711886405945, -0.10496100783348083, 0.2675462067127228, -0.6447839140892029, -1.023749589920044, -0.8220886588096619, 0.8964276909828186, 0.31938669085502625, -0.7953602075576782, -0.2429547905921936, -0.6439664363861084, 0.15346655249595642, -0.2794073224067688, 0.8606430292129517, 0.19694313406944275, 0.5314670205116272, 0.2548910677433014, -0.17791952192783356, 2.048036813735962, -0.1273636519908905, -0.7436957359313965, -0.9817949533462524, 0.48053812980651855, -0.11774325370788574, -0.33270731568336487, -0.631295382976532, 0.948546290397644, -0.4449286460876465, 0.4003998935222626, -0.727644681930542, -0.49957504868507385, -1.1524943113327026, 0.21825961768627167, -0.4585742652416229, 0.2698074281215668, -0.3531542718410492, -0.8697121739387512, -0.3181837797164917, 0.28412747383117676, 0.15609517693519592, 0.0324561633169651, 0.6028602719306946, 1.4438451528549194, 0.8279603123664856, -0.9044221043586731, 0.004958671052008867, 0.3708603084087372, -1.9815319776535034, -0.2866441607475281, -1.114546298980713, 1.1529510021209717, 0.39790281653404236, -0.3813328444957733, -0.2342807501554489, 0.8606599569320679, -0.8589697480201721, -0.20476533472537994, 0.6354379653930664, -1.1408525705337524, -0.38935545086860657, 0.01568145677447319, 0.8915895223617554, -1.3702963590621948, -1.0123636722564697, -0.5390675067901611, -0.23239633440971375, 0.26080575585365295, -0.3925919532775879, -0.6495289206504822, 0.5837304592132568, -0.3784373104572296, 0.7818016409873962, 0.3267906904220581, 0.6746500134468079, -0.3611592948436737, -0.3002121150493622, 0.1037992462515831, -0.9267973303794861, 0.1058957502245903, -0.05699586868286133, -0.6075406670570374, 0.2572435438632965, 0.17063625156879425, -0.16074027121067047, 0.4448392689228058, -0.8034927248954773, 0.19294659793376923, 0.021276310086250305, 0.5965268015861511, -1.0580540895462036, 0.024873333051800728, 0.3998282253742218, -0.09447271376848221, -0.9908838868141174, 1.2155487537384033, -0.6971855163574219, -0.14778274297714233, 0.16363537311553955, 0.1036965548992157, 1.0125312805175781, 0.49373626708984375, -0.7492389678955078, -0.06383650004863739, 0.596122682094574, 0.7013040781021118, 0.12811794877052307, 0.16033360362052917, 0.05357123538851738, -0.09886196255683899, 0.017068954184651375, 0.04206819087266922, 0.520522952079773, 1.1530388593673706, -0.04921085387468338, -0.5633606910705566, -0.24003569781780243, 0.054937075823545456, -0.8281477093696594, 0.5990182757377625, -0.6859096884727478, 0.5760201215744019, 0.39163070917129517, -0.1694130003452301, 0.4459906816482544, 0.7305496335029602, -1.439469575881958, -0.08988728374242783, -0.241804838180542, 0.4389403760433197, 0.7027967572212219, -0.6913875937461853, 0.5795251727104187, 0.8582934737205505, -1.97916841506958, 0.37688612937927246, -0.18577682971954346, -1.1036896705627441, -0.7750874757766724, 1.0082584619522095, -0.16508060693740845, 0.33083516359329224, 0.28645268082618713, -0.28212106227874756, 0.9150294661521912, -0.12236420810222626, -0.5002265572547913, -0.4854243993759155, 0.29325419664382935, 0.6742919683456421, -0.027742382138967514, -0.3670049011707306, 0.9177801012992859, -1.0620431900024414, -1.44900643825531, -0.42563390731811523, -1.6325761079788208, 0.5934719443321228, 0.13217653334140778, 1.4129178524017334, 0.18410807847976685, 0.7016984224319458, -0.1480715423822403, 0.11133170127868652, -0.5140337944030762, -0.42342448234558105, 0.21542523801326752, 0.8370280265808105, -0.38715991377830505, -0.37239736318588257, 0.49240830540657043, -0.038438133895397186, -0.713201642036438, 0.5695661306381226, -1.3592450618743896, -0.19528649747371674, 0.09168894588947296, -0.08506010472774506, 0.1435358226299286, -0.8477009534835815, 0.000117797615530435, -1.381398320198059, 0.27209222316741943, 0.39376938343048096, 0.538482129573822, 0.30358827114105225, 0.18904678523540497, -0.26106032729148865, -0.2970912754535675, 0.4325697720050812, 0.45016685128211975, -1.4751904010772705, -0.8328191041946411, -0.019571514800190926, -0.36354121565818787, 1.1620030403137207, 0.42185184359550476, -0.10906778275966644, -0.6404616832733154, 0.7056352496147156, -0.20804888010025024, -0.0651298463344574, -0.7470617294311523, 0.10593703389167786, 0.8431470990180969, -0.3109804391860962, 0.796299159526825, 1.1123374700546265, 0.9251708984375, -0.7323340773582458, -1.1479252576828003, -0.0803975835442543, 1.069704532623291, 0.760429322719574, -0.27719423174858093, 0.13106821477413177, -1.7657500505447388, 0.04891002178192139, 0.507427453994751, 0.6318333745002747, 0.025757839903235435, -0.47021394968032837, -0.5072402954101562, 0.45386818051338196, 0.28876611590385437, 0.07899441570043564, 0.41847047209739685, 0.5738688707351685, 0.5052627325057983, 0.33629319071769714, -0.32588839530944824, -0.3146158456802368, -0.2844896614551544, 0.5908491611480713, -0.17542622983455658, 1.6010318994522095, -0.30179595947265625, 0.03883950039744377, 0.23598161339759827, -0.12138945609331131, -1.341249704360962, 1.671736478805542, 0.40004685521125793, 0.07967804372310638, -0.9642120003700256, -0.6145304441452026, 1.3439754247665405, -1.2748743295669556, -0.3788280189037323, 0.7667219042778015, -0.16899973154067993, 0.017641426995396614, 0.15773098170757294, 0.21875101327896118, 0.13033470511436462, 0.4635951817035675, 0.8921149969100952, -0.7361121773719788, -0.5213928818702698, 0.44500303268432617, 1.0376960039138794, 0.8487481474876404, -0.3200567364692688, 0.4690079092979431, 0.7927491664886475, -0.6067467927932739, 1.6670931577682495, 0.12621437013149261, 0.14809994399547577, 0.15673869848251343, 0.19871819019317627, 0.3133052885532379, -0.8396510481834412, -1.2196308374404907, -0.31973591446876526, 0.03870709240436554, 1.3999642133712769, -0.5909958481788635, 0.32264015078544617, -0.8305916786193848, 0.3083217442035675, -1.1947356462478638, 0.156521275639534, -0.41708362102508545, 0.6035225987434387, 0.029256712645292282, -0.33258140087127686, -1.2953109741210938, -0.12090365588665009, 1.3847450017929077, -1.5225937366485596, -0.07505463063716888, -0.4061778783798218, 0.21446488797664642, 0.1098552793264389, -0.6444786190986633, -1.6449679136276245, -0.7325018644332886, 0.6268323659896851, 0.28411370515823364, -0.49081727862358093, -1.076714038848877, -1.459684133529663, -0.16238242387771606, 1.4604544639587402, -0.3275633454322815, -0.49968498945236206, -0.6616454124450684, 0.22499863803386688, 0.25414565205574036, 0.33613836765289307 ] ]
{ "indices": [ 691409538, 3490935618, 1960040400, 640124220, 2877535289, 1063320047, 3951743159, 4186256544, 1230423685, 1463597126, 2631458543, 629871972, 3997133275, 3819098157, 82883857, 1786548735, 1308276157, 3059829727, 245221680, 1181726436, 722829366, 1438597426, 1904070401, 2257684172, 3002345859 ], "values": [ 0.8691674849614707, 0.6549466811756126, 0.6549466811756126, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813 ] }
{ "context": "But it's a garbage bit. It's a garbage bit. You only use it if it's a branch. You're always going to calculate it and then just ignore it unless it's a branch instruction. Any other questions on this? Okay. So I think that's it, right? That's our four. So these are the whole table with all four fetch state and decode state and all of the signals. So that one's in the slides for you." }
318546
[ [ 0.2778528332710266, -0.7671237587928772, -0.1557721048593521, 0.4322015345096588, 0.5696717500686646, 0.13250677287578583, -0.5403541326522827, 0.8251424431800842, 0.6821298003196716, -0.6110854744911194, 0.7783084511756897, 0.841391384601593, 0.48998239636421204, 0.3910386562347412, 0.2835732102394104, -0.3392171263694763, 0.6449529528617859, -0.15713012218475342, 0.24532760679721832, -0.22177819907665253, 1.1528160572052002, -0.22125361859798431, -0.6339855790138245, 0.020247381180524826, -0.6275858879089355, 0.7930925488471985, 0.31647732853889465, -0.7589295506477356, 0.3770463466644287, 0.44443586468696594, -0.6136175990104675, -1.3368362188339233, 0.5464612245559692, 0.19441959261894226, 1.129756212234497, -0.0864601731300354, -0.5397138595581055, 0.045032799243927, 0.5260151624679565, 0.7841570973396301, -0.12522493302822113, 0.37470218539237976, -0.6133432984352112, 0.6972581744194031, -1.5483707189559937, 0.4907308518886566, -0.5319098830223083, -0.179853618144989, 1.2734845876693726, -0.7086775302886963, 1.1948418617248535, -0.33554649353027344, 0.6685646176338196, 0.7885233163833618, 0.33407533168792725, 0.4316391348838806, 1.1745823621749878, 1.3981984853744507, 0.2509918212890625, -1.3256953954696655, -0.13301248848438263, -0.4305703341960907, 0.8196695446968079, -0.7399794459342957, -0.12681372463703156, 0.052981894463300705, -0.3931350111961365, -0.802139401435852, 0.48722970485687256, -0.6043124794960022, -0.49773940443992615, 0.14640741050243378, 0.6430137753486633, 0.5204461216926575, 0.6213818192481995, -0.4247036278247833, 0.36572685837745667, 0.11658813804388046, 0.31368985772132874, 0.8504880666732788, -1.1267532110214233, 0.12410718947649002, -0.7473313212394714, 0.5757834911346436, 0.4179675579071045, -0.8970088958740234, 1.538744568824768, -0.5698762536048889, 0.11984746158123016, 0.8471003174781799, 0.45359790325164795, -0.4076370596885681, 1.4543999433517456, 0.1739036738872528, -0.3590599596500397, 0.03293071314692497, 0.005145637318491936, 1.0145208835601807, 0.8778467178344727, -0.2514681816101074, 0.003494642209261656, -1.1111674308776855, 0.18544965982437134, 1.5461337566375732, -0.029412109404802322, 0.6087470054626465, 0.15606807172298431, -0.03755028918385506, -0.041733767837285995, 0.5718391537666321, -0.7773489952087402, 0.5324299931526184, 0.6158556342124939, 0.8939110040664673, -0.38044866919517517, -0.43188247084617615, -1.022810935974121, -0.29083338379859924, 1.4510530233383179, 0.4212692379951477, 0.9881764054298401, 0.4072800874710083, 0.38790440559387207, -1.214137077331543, -2.387089729309082, 0.5170047879219055, 0.3008953928947449, -0.3898312747478485, -0.90160071849823, 0.2889963984489441, 0.38491159677505493, -0.3613576591014862, 0.15551453828811646, 0.031106529757380486, 0.6618636250495911, 1.0170512199401855, -2.1635146141052246, -1.2878960371017456, 0.864260733127594, 0.16011379659175873, -0.672966718673706, -1.334120273590088, 0.39526161551475525, 0.7676337957382202, 1.3062092065811157, 0.5111840963363647, 0.6540538668632507, 0.14361590147018433, -0.2304370254278183, 0.723577082157135, -0.6058816909790039, 0.08637500554323196, -1.3829874992370605, -0.4101237654685974, -0.5389413833618164, -0.2950570285320282, 0.06945972144603729, -0.08168301731348038, -0.32816967368125916, 0.03283509239554405, 0.6435679197311401, -0.22608034312725067, -0.2979069650173187, -0.06059321388602257, -0.8411059975624084, -0.9827407002449036, 2.046462297439575, -0.37436366081237793, 0.18996162712574005, 1.2917650938034058, -0.1291888952255249, -0.22165410220623016, 0.015774764120578766, 0.2873776853084564, 1.1184955835342407, -1.1225495338439941, -0.12589064240455627, -0.5029923915863037, -0.08585403859615326, 0.06622151285409927, -0.646301805973053, -0.6957512497901917, 0.267435222864151, 1.3257001638412476, 0.2351074069738388, 0.22635316848754883, -0.47297948598861694, 0.4429870545864105, 1.3530821800231934, -0.8272613286972046, -0.6342437863349915, -1.2097187042236328, 0.5845612287521362, -0.02065664529800415, -1.061605453491211, -0.9876927137374878, -0.6888293623924255, 0.3763400912284851, 0.28917843103408813, -0.8111959099769592, -1.203170657157898, 0.725214958190918, 0.7168702483177185, -0.9233083128929138, 0.07368382811546326, 0.4319397509098053, 1.1709314584732056, -0.8435310125350952, 0.8072990775108337, -0.6251076459884644, -0.29068228602409363, 0.8757190704345703, 0.23038558661937714, 0.25939100980758667, 0.519039511680603, 0.024733053520321846, 0.4568236470222473, 0.6038088202476501, -0.09006427973508835, 0.14122535288333893, -0.6958515644073486, 0.8859030604362488, 2.2725934982299805, 1.3324313163757324, 0.09348923712968826, 0.5463630557060242, 0.032089460641145706, 0.4235385060310364, 0.34906235337257385, -0.40540996193885803, -0.8801472187042236, -1.594447374343872, -0.20521976053714752, 0.5476592779159546, -0.6760376691818237, 0.22157613933086395, 2.062371015548706, 0.21272853016853333, 0.5062235593795776, -0.24343512952327728, -1.101570725440979, 0.9783406853675842, 0.5102678537368774, 0.5453478693962097, 0.5961811542510986, 1.5233813524246216, 0.2716277539730072, 1.4085845947265625, -0.7204479575157166, 0.7234557271003723, -0.52427738904953, 0.739470362663269, -0.08230507373809814, -0.6544790863990784, -0.08916237950325012, -1.000461459159851, 0.4020574688911438, -0.9628592133522034, -0.4974032938480377, 0.035756535828113556, -0.3855890929698944, -0.2709643542766571, -0.14670641720294952, -0.8048730492591858, -0.0021620343904942274, 0.0817292183637619, -0.09566114097833633, -0.7731066942214966, 1.1534147262573242, -0.845828115940094, 0.14919908344745636, 0.45427900552749634, -0.20635920763015747, -1.2233860492706299, 0.4269919693470001, 0.7066361904144287, -0.6005807518959045, 0.9776932597160339, -1.0280508995056152, -0.8743711113929749, -0.6495934128761292, 0.2986850142478943, 0.518301784992218, -0.26666292548179626, -1.9515310525894165, -0.13890120387077332, -0.6315531134605408, -1.1344491243362427, 0.8625876307487488, 0.09723766148090363, -1.260114073753357, 0.4694596230983734, -0.24102936685085297, -1.12888765335083, 0.11037775129079819, -0.18552222847938538, -0.028329787775874138, -0.20647487044334412, -0.09724544733762741, 0.7428562045097351, 0.061412326991558075, -1.5331555604934692, -0.01885296031832695, -0.9362033605575562, -0.16984917223453522, 0.16093286871910095, -0.20514611899852753, -0.4881124496459961, -0.3343571126461029, 0.4073351323604584, 0.19056136906147003, -0.17642967402935028, -0.4549283981323242, -1.1538945436477661, -1.4846563339233398, -0.21308235824108124, 1.021433711051941, 1.0531271696090698, -0.5379497408866882, -0.054829735308885574, 1.0947502851486206, 0.5340583920478821, -0.9405569434165955, -0.3415745198726654, 0.7179279327392578, 0.11323912441730499, -1.1831337213516235, -0.09733913838863373, 1.029292345046997, 0.35055071115493774, 0.36700737476348877, -0.11643543094396591, 1.0351965427398682, 1.8338629007339478, -0.6309900879859924, -1.2067742347717285, 0.3866249620914459, 0.18719908595085144, -0.5807813405990601, 0.47427186369895935, 0.637690007686615, -0.035711869597435, 0.7998570203781128, 0.031773652881383896, 1.011928677558899, 0.3878795802593231, -0.4284480810165405, 0.9055203795433044, 0.4434705376625061, 0.31930622458457947, 0.08971250057220459, 0.020229093730449677, 0.4054660201072693, -0.7173989415168762, -0.6705788969993591, 0.4937753975391388, 1.1205304861068726, -0.6674526929855347, 0.13933302462100983, 1.1621507406234741, 0.520880401134491, 0.31341657042503357, -0.3869037628173828, 0.047465063631534576, 0.10901802033185959, 0.8293881416320801, -0.526238203048706, -0.13464011251926422, 0.16305571794509888, -0.14969323575496674, 0.18280023336410522, 0.4137822091579437, -0.4404246211051941, -0.696733295917511, -1.459398627281189, -0.9249842166900635, 0.7147091031074524, 1.1569441556930542, 0.48056280612945557, 0.525790274143219, 0.24090810120105743, 0.21578148007392883, -0.33701232075691223, -0.06338921934366226, -1.7307428121566772, 0.15982668101787567, 0.3844652771949768, -0.3821351230144501, 0.5279378294944763, -0.5359762907028198, 0.28355029225349426, -0.5490539073944092, -0.9309605956077576, -0.26335081458091736, -0.3016859292984009, -0.9313182234764099, 0.6030129194259644, 0.556625485420227, -0.3905891180038452, 0.7653894424438477, 0.6167151927947998, 1.8393694162368774, 0.6161555647850037, 0.3144746422767639, 0.5244610905647278, -0.08134357631206512, -0.3441624939441681, -0.2687181532382965, 0.10400764644145966, 0.34042590856552124, 0.8841744661331177, 0.791220486164093, 0.6973429918289185, -0.638150691986084, 0.21223823726177216, 0.4075064957141876, 1.1930335760116577, 0.2888108491897583, -0.2098473459482193, -0.14591385424137115, 0.10098530352115631, -0.7298091650009155, 0.390881210565567, 1.1535919904708862, -0.33016639947891235, 1.9265180826187134, 0.9069704413414001, 0.6201236844062805, -0.3625400960445404, 0.9827715158462524, 1.4726365804672241, -0.5529703497886658, -0.26960861682891846, -0.9764770269393921, -0.8147476315498352, -0.4926057457923889, -0.6820906400680542, 0.48812898993492126, 1.3049205541610718, 0.8511630892753601, -0.4191674292087555, 0.45999714732170105, -0.3565390408039093, -1.1923295259475708, 0.2294558733701706, -0.8670879602432251, -0.48456117510795593, -0.22808587551116943, 0.07199771702289581, 0.3650115430355072, 0.32402855157852173, -0.8264637589454651, -0.06959535926580429, -0.9127050042152405, -2.2680647373199463, 0.037062786519527435, 0.6048352122306824, 1.0972098112106323, -0.7140049934387207, 0.14640244841575623, -1.3024784326553345, -1.4610061645507812, 0.44751492142677307, -0.713003396987915, 1.2311015129089355, -1.075089693069458, 0.44573745131492615, -0.6531624794006348, -1.0440856218338013, -0.7435014843940735, 0.7700064778327942, 0.17264209687709808, 0.26018524169921875, -0.41246435046195984, -0.0762343630194664, -0.06994762271642685, 0.27166104316711426, 0.19050370156764984, 0.8645355105400085, -0.6742377281188965, -0.587460458278656, -0.16822358965873718, 0.9371098875999451, -1.07840096950531, -0.2718392312526703, 0.27676284313201904, -1.1420252323150635, -0.8126915693283081, 0.6424229741096497, -0.7174431681632996, -0.6127735376358032, 0.44551602005958557, -0.00023951602634042501, -0.29137730598449707, -0.10973919183015823, -1.0901070833206177, 1.2651978731155396, -0.010714195668697357, 0.42347946763038635, 0.4532410800457001, 0.8487099409103394, -0.6190152764320374, -0.19224382936954498, -0.8626169562339783, -0.11233779788017273, -0.8224239945411682, 0.8866025805473328, -0.9790703654289246, -0.08269572257995605, -0.6575665473937988, 0.8893527984619141, -0.060209669172763824, 0.32163187861442566, -0.3708297908306122, -0.6829878091812134, -0.21903301775455475, 0.690803050994873, -1.1722251176834106, 0.7579371333122253, -0.07894953340291977, 0.11065521836280823, -0.8222223520278931, -0.12462571263313293, 0.4670127034187317, -1.3118069171905518, 0.39183875918388367, 0.38591405749320984, -0.03030480071902275, 1.6424081325531006, -1.2575658559799194, -0.22512869536876678, 0.48536765575408936, -0.9857816696166992, 0.934191107749939, 0.49126359820365906, 0.03500550240278244, -0.04297511279582977, -0.8550719022750854, 0.21386085450649261, 0.4675583243370056, -0.45578113198280334, -0.12456882745027542, -0.1885157823562622, 0.6165770292282104, -0.0113129373639822, -0.21813033521175385, -1.142356514930725, -1.4632413387298584, -0.6881712079048157, 0.33884668350219727, 0.09795106202363968, -0.2820352017879486, -0.318999320268631, 0.5253193974494934, -0.8376708030700684, 0.2042047083377838, 1.1759153604507446, 0.08510715514421463, 0.9318189024925232, 0.5986188054084778, 0.8676567077636719, 1.033334493637085, 1.4273240566253662, 0.05057384818792343, -0.028094246983528137, -0.29283493757247925, 0.40627896785736084, -0.47162169218063354, -1.0873427391052246, -0.6524248123168945, -0.01424237061291933, -1.3094245195388794, -0.4691794812679291, 1.4140678644180298, -0.5101316571235657, 0.23207710683345795, -0.11221824586391449, -0.21106572449207306, -0.4748547673225403, -0.2468816041946411, -0.45383548736572266, -0.3526565134525299, 0.5901976823806763, -0.342277467250824, 0.2024572193622589, -0.13906791806221008, -0.6124827861785889, -0.8179144263267517, -0.04824598878622055, 0.09488722681999207, 0.2726198136806488, 2.4802443981170654, 0.15830098092556, 0.790527880191803, 0.8688449859619141, 0.8282709717750549, 0.47261637449264526, -0.6090152859687805, 0.746368408203125, 1.2620171308517456, 0.43548110127449036, -0.15153300762176514, 0.3115031123161316, -0.978071391582489, 0.48005813360214233, 1.1285041570663452, -0.6597720384597778, 0.6387802362442017, -0.0018612948479130864, 0.04351169988512993, -0.6145239472389221, -0.20782040059566498, -0.8481952548027039, 0.6129825115203857, -0.46835464239120483, 1.9991141557693481, -1.456583857536316, -1.3067069053649902, 1.4619591236114502, 1.4258947372436523, 0.18602560460567474, 0.08303701132535934, 0.2699011266231537, -0.42444759607315063, -0.48662489652633667, -0.7604867815971375, -0.5958948135375977, 1.2502195835113525, -1.3943184614181519, 0.6759558916091919, -0.005531318485736847, -0.373890221118927, 0.7212865948677063, 0.7874054908752441, 0.23314782977104187, -1.3173551559448242, -1.0492217540740967, -1.652758002281189, 0.8163828253746033, 0.4135662913322449, -0.36531341075897217, -0.27908048033714294, 0.10989474505186081, 0.8450587391853333, -0.5522447824478149, 0.5622478723526001, -0.3806745111942291, -1.5456092357635498, -0.39162957668304443, -0.2782915234565735, -1.0853081941604614, 1.2763075828552246, 0.3578380346298218, -0.3487187623977661, 0.9466381669044495, -0.19641505181789398, 1.1789199113845825, 0.22727209329605103, 0.8955882787704468, 0.31297001242637634, 1.3721493482589722, -0.48903292417526245, 0.002648589899763465, -0.2626752257347107, -0.29189297556877136, 4.492526054382324, 1.1037825345993042, 0.12326909601688385, -1.9818509817123413, 0.5199310779571533, -0.6703914999961853, 0.32068100571632385, -0.5141050815582275, 0.36545178294181824, 0.4476841688156128, 0.7533453106880188, 0.6778719425201416, 0.4214329719543457, -0.3443567156791687, 0.2721770405769348, 1.1132746934890747, -0.00015129963867366314, -0.727156400680542, 0.6040735244750977, 0.4507157802581787, 0.4181436002254486, -0.028101835399866104, -0.7986775636672974, -1.2018004655838013, 1.0778523683547974, -0.5479333996772766, 0.3420374393463135, -0.804172158241272, 1.1942226886749268, 0.01101667433977127, -0.5229796171188354, 0.9550350308418274, 0.7414327263832092, 0.6156660914421082, 0.5875927209854126, 0.4168630838394165, -0.7426353693008423, -0.40447595715522766, -0.541079044342041, 0.613258421421051, 0.2843572795391083, -0.0006485890480689704, -0.5324946045875549, -0.22836117446422577, -0.5206345319747925, -0.482677698135376, -0.9434939026832581, -0.8564985394477844, 0.12379483878612518, -0.1873268038034439, 0.10189274698495865, 0.3490348160266876, -0.6080546975135803, -1.1980583667755127, -1.0457134246826172, 0.8811514377593994, -0.004513067658990622, -0.5993092656135559, -0.6832994222640991, -0.2611134946346283, 0.09552893787622452, -0.13799749314785004, 0.6881548762321472, 0.7259805202484131, 0.5743456482887268, 0.5714748501777649, -0.5783790349960327, 1.9962027072906494, 0.04874369874596596, -0.5129761099815369, -0.757120668888092, 0.13086408376693726, -0.2864828109741211, -0.34332817792892456, -0.4501418471336365, 0.8793246150016785, -0.3346879184246063, 0.36373448371887207, -0.718132495880127, -0.4504849910736084, -0.8518668413162231, 0.4752742648124695, -0.24678100645542145, -0.13703402876853943, -0.187186136841774, -0.8106482625007629, -0.31569209694862366, 0.5412898063659668, 0.5521492958068848, 0.41906625032424927, 0.612879753112793, 1.7297923564910889, 1.1203343868255615, -1.0356913805007935, 0.5147971510887146, 0.8048304319381714, -1.4886231422424316, -0.3059746325016022, -0.6159744262695312, 1.3054609298706055, 0.4414863884449005, -0.5236958265304565, -0.3710171580314636, 1.1251789331436157, -0.9778121709823608, 0.08541341125965118, 0.6458265781402588, -1.052724003791809, -0.5220372080802917, 0.002318546175956726, 0.8993728756904602, -0.8385654091835022, -0.8061140775680542, -0.5826085209846497, 0.06872054189443588, 0.3108452558517456, -0.7341949939727783, -0.5736798048019409, 0.6593207716941833, -0.6377244591712952, 0.5242584943771362, 0.1420474797487259, 0.46443623304367065, -0.21961164474487305, 0.18983979523181915, -0.1293310672044754, -0.7595595717430115, 0.1818043291568756, -0.4714585542678833, -0.23751923441886902, 0.34834879636764526, 0.13104362785816193, 0.02989504486322403, 0.5037975907325745, -1.0918126106262207, -0.15166451036930084, 0.3813631236553192, 0.42593634128570557, -0.7671495079994202, -0.13357499241828918, 0.060723304748535156, -0.5723106265068054, -1.1710625886917114, 1.9021246433258057, -0.9412845969200134, -0.2947874963283539, 0.7795261144638062, -0.46788671612739563, 1.0461885929107666, 0.3990449905395508, -0.699995756149292, -0.02412785217165947, 0.454030841588974, 0.6995654702186584, 0.5360904335975647, 0.024583784863352776, -0.28353172540664673, -0.0881047397851944, 0.06353167444467545, 0.6021251678466797, 0.4944133162498474, 0.661135733127594, 0.17646054923534393, -0.2823222279548645, 0.013801543973386288, 0.1834082305431366, -0.7497034072875977, 0.523457944393158, -0.8351647853851318, 0.7004755139350891, 0.489261269569397, -0.11184975504875183, 0.6633976101875305, 0.8385160565376282, -1.6579309701919556, -0.4357849359512329, -0.4993423521518707, -0.02021254412829876, 0.6748308539390564, -0.6357855200767517, 0.41395050287246704, 1.2222726345062256, -1.9969770908355713, 0.26501959562301636, -0.4941251575946808, -0.4749743938446045, -1.1124213933944702, 0.4874822497367859, 0.07826831191778183, 0.33899569511413574, -0.10737679153680801, -0.3289710581302643, 0.7178793549537659, 0.020246950909495354, -0.7553340196609497, -0.7979397773742676, 0.29967987537384033, 0.9200384616851807, -0.37120577692985535, -0.15457552671432495, 0.8544156551361084, -1.323993444442749, -1.38363516330719, -0.39445024728775024, -1.5840208530426025, -0.07591216266155243, 0.04163047671318054, 1.1183629035949707, 0.22273501753807068, 0.9086592197418213, 0.18602067232131958, 0.001940571703016758, -0.09724173694849014, -0.7292193174362183, 0.6237838864326477, 0.24266934394836426, -0.43509596586227417, -0.23887380957603455, 0.5274287462234497, 0.4497145116329193, -0.7526689767837524, 0.36154693365097046, -0.92186039686203, 0.2749381959438324, -0.4667583405971527, -0.34479042887687683, 0.2342274785041809, -1.5564044713974, -0.10583735257387161, -1.040915846824646, 0.6395302414894104, 0.7094741463661194, 0.31988391280174255, 0.34216001629829407, 0.022160794585943222, -0.41585126519203186, -0.45308664441108704, -0.21580640971660614, 0.2382623553276062, -1.1682134866714478, -0.8600477576255798, 0.0615297295153141, -0.35199272632598877, 0.8622525930404663, 0.48681727051734924, -0.11250530928373337, -0.526081383228302, 1.1252638101577759, -0.04011854529380798, -0.31646862626075745, -0.8516934514045715, -0.22881880402565002, 0.9178604483604431, -0.36581361293792725, 1.1778823137283325, 1.6212698221206665, 0.5401197671890259, -0.8659667372703552, -1.1168463230133057, 0.13691852986812592, 1.2819558382034302, 0.7392771244049072, -0.2770557999610901, -0.23311921954154968, -1.152465581893921, 0.36833518743515015, -0.08106452226638794, 0.5301845073699951, -0.1866004317998886, -0.6658422350883484, -0.29868361353874207, 0.12901422381401062, 0.017884667962789536, 0.3201257586479187, 0.651862621307373, 0.8587693572044373, 0.6269428730010986, 0.09036152064800262, -0.5532014966011047, 0.0038914980832487345, -0.49281489849090576, 0.3020015358924866, -0.4709843397140503, 1.244573712348938, -0.2906014919281006, -0.23355917632579803, 0.2753041386604309, 0.023590222001075745, -1.417667031288147, 1.3796226978302002, 0.40548670291900635, 0.12500722706317902, -0.8406887054443359, -0.49327072501182556, 1.360186219215393, -1.3070648908615112, -0.7911226749420166, 0.5601174235343933, 0.18796619772911072, -0.018015481531620026, 0.6922075748443604, -0.27930328249931335, 0.4598196744918823, 0.6165239810943604, 0.7543144226074219, -0.7475993037223816, -0.6961562633514404, 0.5784600377082825, 0.843321681022644, 0.8704311847686768, -0.05325644090771675, 0.3841668963432312, 0.7785249948501587, -0.8676535487174988, 0.880173921585083, 0.18178385496139526, 0.17709092795848846, 0.07844501733779907, 0.3617428243160248, -0.14166554808616638, -0.564947247505188, -1.17827570438385, -0.003574932459741831, -0.16547474265098572, 1.4986766576766968, -0.773865282535553, 0.010550535283982754, -1.471017599105835, 0.22432757914066315, -0.8562462329864502, -0.013992046937346458, -0.3637474477291107, 0.5701396465301514, -0.021196765825152397, -0.44146692752838135, -0.7481011152267456, 0.030884800478816032, 1.4168381690979004, -1.2764025926589966, 0.22343021631240845, -0.0013702386058866978, 0.5385231375694275, 0.16641202569007874, -0.4939002990722656, -1.6439849138259888, -0.3731584846973419, 0.8851104378700256, 0.49935492873191833, -0.5264734625816345, -1.0660210847854614, -1.237932562828064, -0.1335270255804062, 1.3843088150024414, -0.08001253008842468, -0.6635012626647949, -1.1858553886413574, 0.5866712331771851, 0.355007529258728, 0.6088255047798157 ] ]
{ "indices": [ 3059829727, 245221680, 1308276157, 1181726436, 722829366, 1438597426, 1904070401, 2257684172, 691409538, 3002345859, 214084523, 2180406531, 1491351846, 874291080, 3997133275, 1404934148, 2990561014, 2673623443, 3819098157, 4075116728, 1786548735, 2733467792, 3686012684, 1463597126, 358389376, 2542944140, 3882113197, 1079027559, 1296924235, 297260153, 4146668087 ], "values": [ 0.4261152563889012, 0.4261152563889012, 0.5975888056451725, 0.4261152563889012, 0.7878006973706712, 0.4261152563889012, 0.4261152563889012, 0.5975888056451725, 0.7481134113278183, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.7878006973706712, 0.7481134113278183, 0.4261152563889012, 0.4261152563889012, 0.5975888056451725, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.5975888056451725, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.4261152563889012, 0.5975888056451725, 0.4261152563889012 ] }
{ "context": "So these are the whole table with all four fetch state and decode state and all of the signals. So that one's in the slides for you. It's not really something we need to dwell on here. Any more questions before we look at LDI execution? Okay. So let's do LDI execution. All right. So here's execution of LDI. It starts up here. These are other loads. So just ignore these two states. LDI comes down here and then goes all the way down to this, which is one, two, three, four, five states, right? So it takes five states to execute an LDI." }
668542
[ [ 0.32559847831726074, -0.9781534075737, 0.0007903915247879922, 0.5489349365234375, 0.6061063408851624, 0.043724071234464645, -0.3939347565174103, 0.6902258992195129, 0.7807652950286865, -0.3420485556125641, 0.9336028695106506, 0.7554407119750977, 0.7711346745491028, 0.29777616262435913, 0.26051387190818787, -0.40751728415489197, 0.6960759162902832, -0.11460138112306595, -0.19649431109428406, -0.32522788643836975, 1.0757893323898315, -0.39143800735473633, -0.29597756266593933, 0.13604280352592468, -0.5704119205474854, 0.810738205909729, 0.026841305196285248, -0.7030742764472961, 0.0056914957240223885, 0.7689563632011414, -0.6184794306755066, -1.5194716453552246, 0.20756295323371887, -0.01695467159152031, 1.308125615119934, -0.3630716800689697, -0.5736904740333557, -0.2658713161945343, 0.31222236156463623, 0.5957611799240112, -0.15228524804115295, 0.34511053562164307, -0.4570852518081665, 1.063860297203064, -1.475580096244812, 0.3149106800556183, -0.5091772079467773, -0.513469398021698, 1.0691059827804565, -0.6100562214851379, 1.0155726671218872, -0.3110831677913666, 0.6748871207237244, 0.3130747079849243, 0.0415244996547699, 0.1758272647857666, 1.429632544517517, 1.4170761108398438, 0.2902337908744812, -1.3890348672866821, -0.04064830392599106, -0.1679636538028717, 0.9110053777694702, -0.359730064868927, -0.010620388202369213, -0.3618127107620239, -0.5199378132820129, -0.8287570476531982, 0.5520626902580261, -0.6739549040794373, -0.8013789653778076, 0.25198695063591003, 0.619509220123291, 0.36229047179222107, 0.6602780818939209, -0.2456650584936142, -0.08851166069507599, 0.013416769914329052, 0.2867639362812042, 1.1912856101989746, -1.346380352973938, 0.053610242903232574, -0.7553543448448181, 0.2883627116680145, 0.4224257469177246, -0.7441182732582092, 1.4837740659713745, -0.7514451146125793, -0.1597001850605011, 0.7610064744949341, 0.21101896464824677, -0.774899423122406, 1.6891902685165405, 0.23387309908866882, -0.398546040058136, -0.1400880217552185, 0.036037612706422806, 1.0561513900756836, 0.6795400381088257, -0.18472416698932648, -0.1312064677476883, -1.12450110912323, 0.2595173120498657, 1.207819938659668, -0.053096652030944824, 0.5156793594360352, 0.05225088447332382, 0.018120018765330315, -0.2537434697151184, 0.48496130108833313, -0.862434446811676, 0.9439453482627869, 0.4910774528980255, 0.5129910111427307, -0.35326653718948364, -0.3147297203540802, -0.825142502784729, -0.2778414189815521, 1.1410197019577026, 0.29630565643310547, 0.7162949442863464, 0.6695743203163147, 0.5800656676292419, -1.2132760286331177, -2.0012295246124268, 0.5024872422218323, -0.04334809631109238, -0.41514578461647034, -0.8537665009498596, 0.397003710269928, 0.23745588958263397, -0.4203150272369385, 0.27219000458717346, -0.038686685264110565, 0.8636583685874939, 1.0811914205551147, -2.081368923187256, -1.0259835720062256, 0.9966232180595398, -0.007598395459353924, -0.8570119142532349, -1.230667233467102, 0.35094407200813293, 0.5814685821533203, 1.4827486276626587, 0.40651947259902954, 0.5257173776626587, 0.25445014238357544, 0.021504390984773636, 0.5855843424797058, -0.5528136491775513, 0.04098071530461311, -1.5318983793258667, -0.4910241961479187, -0.2597324550151825, -0.10220988839864731, 0.0779513567686081, 0.22225607931613922, -0.5078478455543518, -0.12153748422861099, 0.563747763633728, -0.37236589193344116, -0.35840362310409546, 0.12141308933496475, -0.9541988372802734, -0.7453593015670776, 1.805301308631897, -0.2331434190273285, -0.10214991867542267, 0.9857050180435181, 0.08810585737228394, -0.3465879261493683, 0.17317022383213043, 0.2350410670042038, 0.9306333661079407, -0.9117580652236938, 0.18053999543190002, -0.12412811070680618, 0.02827553264796734, 0.2735612988471985, -0.502410888671875, -0.5809593796730042, 0.44480881094932556, 1.197876214981079, 0.5386501550674438, 0.1223173588514328, -0.4027975797653198, 0.2136313021183014, 1.4841029644012451, -1.075225591659546, -0.6501938104629517, -1.2303129434585571, 0.49917688965797424, 0.0634937733411789, -0.9347227811813354, -0.692989706993103, -0.7786813974380493, 0.2879383862018585, 0.29456132650375366, -0.6241987347602844, -1.2296743392944336, 0.35148563981056213, 0.7136239409446716, -1.1909761428833008, -0.15643124282360077, 0.6374450325965881, 1.095167636871338, -0.8260866403579712, 0.6763134598731995, -0.46618565917015076, -0.5061196684837341, 0.9799953103065491, -0.012825526297092438, -0.05501421168446541, 0.12915357947349548, 0.33225110173225403, 0.3454762101173401, 0.8680260181427002, -0.11630713194608688, 0.4781663417816162, -0.755282461643219, 0.7054584622383118, 2.3114571571350098, 1.2482424974441528, 0.042190708220005035, 0.520523726940155, 0.0033358356449753046, 0.24339644610881805, 0.2863190472126007, -0.807076096534729, -1.2556277513504028, -1.604230284690857, 0.07432807981967926, 0.41229721903800964, -0.48422807455062866, 0.06194513291120529, 1.763749599456787, 0.29335033893585205, 0.44348254799842834, -0.26597046852111816, -1.1136366128921509, 0.9254021644592285, 0.5541455149650574, 0.1849268674850464, 0.6592479348182678, 1.6110981702804565, 0.33758872747421265, 1.5697503089904785, -0.3267977833747864, 0.5943881273269653, -0.6307774782180786, 0.5186424851417542, -0.06554042547941208, -0.5537478923797607, -0.24236418306827545, -1.3502973318099976, 0.41971248388290405, -0.9266831874847412, -0.5273394584655762, 0.26299503445625305, -0.2709561288356781, -0.10719781368970871, -0.0826822817325592, -0.6087740063667297, -0.2134634405374527, 0.23208989202976227, 0.1496742218732834, -0.5901046991348267, 1.4704595804214478, -0.7601604461669922, -0.015555794350802898, 0.4770681858062744, -0.2549040615558624, -1.2426148653030396, 0.1846313178539276, 0.8571943044662476, -0.5385382175445557, 1.003507137298584, -1.1833148002624512, -0.8932906985282898, -0.6811569929122925, 0.40518948435783386, 0.39197736978530884, -0.025035347789525986, -1.7826155424118042, 0.05433063209056854, -0.48007261753082275, -1.050899863243103, 0.6188826560974121, 0.24089106917381287, -1.3939810991287231, 0.48968836665153503, -0.4312393069267273, -0.9208385348320007, 0.5445250272750854, -0.05571550875902176, 0.010518663562834263, -0.21697458624839783, -0.10601487010717392, 0.5678027272224426, -0.04904283583164215, -1.2862628698349, -0.15774904191493988, -0.7479919791221619, 0.07793225347995758, -0.1505713313817978, -0.22177353501319885, -0.5958921909332275, -0.30877357721328735, 0.574177086353302, 0.17895853519439697, -0.2358122169971466, -0.42496827244758606, -1.0325543880462646, -1.4750691652297974, -0.13167764246463776, 0.9634159207344055, 1.0144518613815308, -0.7315881848335266, -0.27527570724487305, 1.0000900030136108, 0.22078658640384674, -0.7619683146476746, -0.10432877391576767, 0.7639995217323303, 0.09855791181325912, -1.5337947607040405, 0.12244933843612671, 0.9328833222389221, 0.7140735983848572, 0.4536253809928894, -0.31563565135002136, 1.226230263710022, 1.6096783876419067, -0.4152701497077942, -1.0389304161071777, 0.13018861413002014, 0.4566524624824524, -0.7262154221534729, 0.2945776581764221, 0.5537164211273193, 0.34088432788848877, 1.1625138521194458, 0.1969570368528366, 0.8020718693733215, 0.2617169916629791, -0.3371237516403198, 0.9810115694999695, 0.4357280731201172, 0.23034095764160156, 0.004874459467828274, 0.0987064391374588, 0.3750362694263458, -0.8044278025627136, -0.8497730493545532, 0.7958097457885742, 1.0184588432312012, -0.6739028096199036, 0.1087895929813385, 0.7625768184661865, 0.41872167587280273, 0.33135804533958435, -0.37009865045547485, -0.027813024818897247, 0.4273424446582794, 0.5474169850349426, -0.36661115288734436, 0.17544715106487274, 0.5370588898658752, -0.002598157385364175, 0.1173490509390831, 0.3791031241416931, -0.6993055939674377, -0.8317212462425232, -1.4424771070480347, -0.9622634053230286, 0.44403591752052307, 0.9532721638679504, 0.5304049253463745, 0.5033743381500244, -0.05641651153564453, 0.2783290147781372, -0.14293162524700165, -0.20218001306056976, -1.6981145143508911, 0.4443613588809967, 0.008886865340173244, -0.2640529274940491, 0.8836521506309509, -0.40607696771621704, 0.13498853147029877, -0.20756669342517853, -1.2124180793762207, 0.06483301520347595, -0.513615608215332, -1.1443134546279907, 0.5037953853607178, 0.4381805658340454, -0.4414568245410919, 0.6788873076438904, 0.6509959101676941, 1.826175332069397, 0.5539660453796387, 0.29750820994377136, 0.5778777599334717, 0.11010479927062988, -0.28789272904396057, -0.39238208532333374, 0.0758787989616394, 0.28995805978775024, 0.7197594046592712, 1.0354605913162231, 0.5646395087242126, -0.7623822689056396, 0.11364737898111343, 0.32397010922431946, 1.0043919086456299, 0.13557536900043488, 0.0104608666151762, -0.16820146143436432, 0.19255664944648743, -0.9849514365196228, 0.5464977025985718, 1.3281655311584473, -0.16622519493103027, 1.9500596523284912, 0.7199867367744446, 0.6544531583786011, -0.18372948467731476, 1.0899468660354614, 1.6915055513381958, -0.21881349384784698, -0.37032395601272583, -0.8081306219100952, -0.63739013671875, -0.35903075337409973, -0.7835490703582764, 0.5189943909645081, 1.3277586698532104, 0.5446274280548096, -0.5614186525344849, 0.4311954379081726, 0.0657925009727478, -1.128801941871643, -0.3064436912536621, -0.6395144462585449, -0.5665557980537415, -0.05229354277253151, 0.42600083351135254, 0.22498378157615662, 0.8381443023681641, -0.7950025200843811, 0.17029348015785217, -0.9488915801048279, -2.3398523330688477, 0.07990562170743942, 0.3781846761703491, 1.0223743915557861, -0.43316149711608887, -0.0010907734977081418, -1.3111099004745483, -1.105360984802246, 0.459837943315506, -0.6725655794143677, 1.487943410873413, -0.9646651148796082, 0.6171061396598816, -0.5456522107124329, -0.7475153803825378, -1.054626226425171, 0.9066205024719238, -0.002147418912500143, 0.09678544849157333, -0.34263327717781067, -0.25414595007896423, -0.21723966300487518, 0.20894114673137665, 0.14045672118663788, 0.7584710717201233, -0.7561441659927368, -0.22134201228618622, 0.04343947395682335, 0.7257570624351501, -1.1038767099380493, -0.3282865583896637, 0.39111366868019104, -0.9605398774147034, -0.6387650966644287, 0.6294165253639221, -0.696122944355011, -0.44070613384246826, 0.6325268745422363, 0.07309801876544952, -0.30992230772972107, -0.36666667461395264, -1.1376988887786865, 1.096940279006958, -0.393527090549469, 0.5277661085128784, 0.3950507342815399, 0.8592168688774109, -0.5137941241264343, -0.09614113718271255, -0.6511320471763611, -0.04717313125729561, -0.7095855474472046, 0.8616257309913635, -0.7589570879936218, -0.1493854522705078, -1.07682204246521, 0.9218201637268066, -0.19670993089675903, 0.19195681810379028, -0.3981958031654358, -0.41333338618278503, -0.29349157214164734, 0.8177798986434937, -1.1262086629867554, 0.5190416574478149, -0.06207124888896942, 0.26970648765563965, -0.7338511943817139, 0.0031715689692646265, 0.5692829489707947, -1.6038763523101807, 0.6843424439430237, 0.16199436783790588, -0.19050689041614532, 1.7643072605133057, -1.0286693572998047, -0.43337807059288025, 0.32725557684898376, -1.1671881675720215, 0.8993970155715942, 0.3672032952308655, 0.405653715133667, -0.18047094345092773, -0.7249993681907654, 0.16239449381828308, 0.3697988986968994, -0.5628684759140015, 0.24485176801681519, -0.19066652655601501, 0.5666620135307312, -0.060740336775779724, -0.2882091701030731, -0.8657631874084473, -1.4609153270721436, -0.5805858373641968, 0.5390677452087402, 0.41524839401245117, -0.27926135063171387, -0.20780156552791595, 0.6304782629013062, -0.5267612338066101, 0.17465975880622864, 1.2739852666854858, -0.2018267661333084, 0.7355920672416687, 0.5702742338180542, 0.7039017677307129, 1.1408846378326416, 1.340120792388916, -0.06457594782114029, -0.04868932068347931, 0.01999031752347946, 0.38486960530281067, -0.19559259712696075, -1.2727001905441284, -0.5139200687408447, 0.05449725314974785, -0.8558908700942993, -0.6882581114768982, 1.1676969528198242, -0.7335387468338013, 0.48766717314720154, -0.2458171546459198, -0.4386949837207794, -0.4706285297870636, -0.25993043184280396, -0.4381577968597412, -0.4104645848274231, 0.557363748550415, -0.6680351495742798, 0.14748944342136383, 0.07338528335094452, -0.8922661542892456, -0.775704026222229, 0.032789286226034164, -0.010796441696584225, 0.36191049218177795, 2.400188446044922, 0.5347397327423096, 0.7237164974212646, 0.7714799642562866, 0.9408887624740601, 0.3471210300922394, -0.4270361661911011, 0.6715713143348694, 1.1153604984283447, 0.5747694373130798, -0.1774175614118576, 0.32238292694091797, -1.0904747247695923, 0.549580454826355, 1.5891916751861572, -0.4892400801181793, 0.9546512365341187, -0.02974601462483406, -0.2188539355993271, -0.48960167169570923, -0.06185626611113548, -0.8969224095344543, 0.99237060546875, -0.5100181102752686, 2.0060722827911377, -1.269685983657837, -1.2403850555419922, 1.3753464221954346, 1.5224418640136719, 0.312956303358078, 0.17271365225315094, 0.23260615766048431, -0.5680699944496155, 0.06224039942026138, -0.7515683174133301, -0.5378221273422241, 1.2829394340515137, -0.9747748374938965, 0.4418379068374634, -0.10595188289880753, -0.5375024676322937, 0.30641424655914307, 0.4100908041000366, 0.08549287170171738, -1.4748159646987915, -0.8778936266899109, -1.8685600757598877, 0.8034877777099609, 0.5480872392654419, -0.35997554659843445, -0.11807960271835327, 0.09458215534687042, 0.8650911450386047, -0.45067086815834045, 0.6525204181671143, -0.6818310618400574, -1.5340919494628906, -0.17114779353141785, -0.44970959424972534, -1.0843616724014282, 1.3303372859954834, 0.5956493020057678, -0.37177932262420654, 1.1273469924926758, -0.3294352889060974, 1.398644208908081, 0.0464329794049263, 0.8867718577384949, 0.09857053309679031, 1.1050165891647339, -0.7374061346054077, -0.06921525299549103, -0.432194322347641, 0.10635087639093399, 4.630855083465576, 1.3112272024154663, 0.08149166405200958, -1.9826233386993408, 0.1167839765548706, -0.560562014579773, 0.3705052137374878, -0.18030141294002533, 0.43729227781295776, 0.5528843402862549, 0.7415399551391602, 0.5249454379081726, 0.3896182179450989, -0.5442625880241394, 0.2667258381843567, 0.80328768491745, -0.455792635679245, -0.4604930877685547, 0.5810580253601074, 0.5020760893821716, 0.5719591975212097, -0.14774928987026215, -0.7721382975578308, -1.202244520187378, 0.7080653309822083, -0.37927138805389404, 0.5426207184791565, -0.8323734402656555, 1.0694164037704468, 0.055804502218961716, -0.6062700748443604, 0.8415026068687439, 0.6784713864326477, 0.3851723372936249, 0.35594338178634644, 0.3083173930644989, -0.6322233080863953, -0.38233518600463867, -0.5808895826339722, 0.5555810332298279, 0.234418123960495, -0.1623818576335907, -0.12779229879379272, -0.3938632607460022, -0.7654743790626526, -0.48595064878463745, -0.6910454630851746, -0.9163928627967834, 0.0028356267139315605, -0.2657492160797119, -0.2054104059934616, 0.2576838731765747, -0.93354332447052, -1.1280696392059326, -0.9247534275054932, 0.7713643908500671, -0.2602303922176361, -0.5861726403236389, -0.9225279092788696, -0.19731217622756958, 0.25809770822525024, -0.15870727598667145, 0.8093975186347961, 0.328230082988739, 0.7754383683204651, 0.6207788586616516, -0.5055069923400879, 2.0690102577209473, 0.5979657173156738, -0.5079257488250732, -0.6110541224479675, 0.06614813208580017, -0.40252768993377686, -0.42684581875801086, -0.4957457184791565, 1.078910231590271, -0.6593450307846069, 0.23344166576862335, -1.170893669128418, -0.28502580523490906, -0.7151823043823242, 0.6302134394645691, -0.37981173396110535, 0.047641169279813766, 0.024521855637431145, -0.5446904301643372, -0.08146947622299194, 0.4400731027126312, 0.2577231824398041, 0.1361270397901535, 0.627602756023407, 1.882287621498108, 0.9674333333969116, -0.7614494562149048, 0.2752303183078766, 0.7566751837730408, -1.3892189264297485, -0.21185117959976196, -0.6159456968307495, 1.1163084506988525, 0.6527968645095825, -0.4950978755950928, -0.49495020508766174, 1.1389474868774414, -0.9237160682678223, 0.1772306263446808, 0.7613323926925659, -1.4981454610824585, -0.2485838383436203, 0.048266615718603134, 0.8041488528251648, -1.0998778343200684, -0.5701636075973511, -0.6695182919502258, -0.2989349961280823, -0.12124329805374146, -0.8272460699081421, -0.6338189244270325, 1.0582263469696045, -0.6711674928665161, 0.26085829734802246, -0.049402233213186264, 0.21030528843402863, 0.007165518589317799, -0.3041459321975708, 0.2110702097415924, -0.7412639260292053, 0.2452012598514557, -0.27530646324157715, 0.00011447235010564327, 0.5272180438041687, 0.1090392991900444, 0.0647047609090805, 0.4611707627773285, -0.7460514307022095, -0.2567620873451233, 0.008283647708594799, 0.6397650837898254, -0.8031419515609741, 0.24104271829128265, 0.17135784029960632, -0.5865331888198853, -0.7924460172653198, 1.4604722261428833, -0.5790186524391174, -0.3391740024089813, 0.6148179173469543, -0.35469236969947815, 1.1212472915649414, 0.5095151662826538, -0.3623438775539398, -0.0385221503674984, 0.5082714557647705, 0.7841722369194031, 0.6315961480140686, 0.015565970912575722, -0.3038581311702728, -0.04676029086112976, 0.1872132122516632, 0.4471026360988617, 0.7847365140914917, 0.6880466938018799, 0.23563973605632782, -0.2627156376838684, -0.3517611026763916, 0.04412014037370682, -0.5515618920326233, 0.5190848708152771, -0.937972903251648, 0.6592773795127869, 0.48150163888931274, -0.21730278432369232, 0.811153769493103, 0.930228590965271, -1.4591318368911743, -0.48078030347824097, -0.736899733543396, 0.0969797670841217, 0.5932950973510742, -0.4222707748413086, 1.016723871231079, 1.372291088104248, -1.8075425624847412, 0.15531358122825623, -0.6363229155540466, -0.6408936381340027, -0.9623103141784668, 0.3848381042480469, 0.07562261074781418, 0.05329301580786705, -0.32726120948791504, -0.19330348074436188, 0.8648759722709656, -0.018578222021460533, -0.8533053994178772, -0.7005401253700256, 0.02611919306218624, 0.7117273211479187, -0.21757489442825317, -0.2298857420682907, 0.8981606960296631, -1.4629508256912231, -1.09557044506073, -0.16598057746887207, -1.4117205142974854, -0.3259483873844147, -0.04106910526752472, 0.9639763832092285, 0.06888085603713989, 0.8465381860733032, 0.059468843042850494, -0.15834185481071472, 0.0010611859615892172, -0.7134397625923157, 0.5891295075416565, 0.4932321608066559, -0.6236377358436584, 0.19140836596488953, 0.5499100685119629, 0.23535387217998505, -0.6289924383163452, 0.5922877788543701, -0.8873614072799683, -0.026880282908678055, -0.1396825760602951, -0.21219609677791595, 0.146963968873024, -1.316615343093872, -0.04553188011050224, -1.2179166078567505, 0.5723153948783875, 0.4735616147518158, 0.3151177763938904, 0.3593828082084656, -0.1672912836074829, -0.41456449031829834, -0.1705000102519989, -0.010066229850053787, 0.14151068031787872, -0.8899973630905151, -0.737359344959259, -0.19877594709396362, -0.585710346698761, 1.2137326002120972, 0.2840341031551361, -0.22327928245067596, -0.8134245276451111, 1.1854743957519531, -0.1657288372516632, -0.24976682662963867, -0.6797833442687988, -0.4707639515399933, 0.6852161288261414, -0.3237382769584656, 1.1326580047607422, 1.5711926221847534, 0.8013837337493896, -0.9808153510093689, -1.0163153409957886, 0.07622932642698288, 1.2478657960891724, 0.596574604511261, -0.4242817461490631, -0.2305532693862915, -1.10281503200531, 0.26421141624450684, -0.04965592175722122, 1.062928557395935, -0.03545534238219261, -1.0663087368011475, -0.196209117770195, 0.06330441683530807, 0.14172010123729706, 0.5015621781349182, 0.6320681571960449, 0.7516149878501892, 0.5235816240310669, 0.24163278937339783, -0.879295289516449, -0.034523025155067444, -0.49493494629859924, 0.2725682854652405, -0.5718902945518494, 1.4012503623962402, -0.11093564331531525, -0.15681450068950653, 0.19371874630451202, -0.08322671055793762, -1.331878662109375, 1.3088990449905396, 0.48820412158966064, 0.1400812268257141, -0.7417352199554443, -0.5594269633293152, 1.1694190502166748, -1.28196120262146, -0.7088189721107483, 0.48624327778816223, 0.12273730337619781, 0.18633896112442017, 0.6415966153144836, 0.29172080755233765, 0.5502100586891174, 0.4053601920604706, 0.7981939315795898, -0.5331100821495056, -0.48845401406288147, 0.9189594388008118, 1.027681589126587, 0.7598826289176941, -0.057021040469408035, 0.10436633229255676, 0.6891754269599915, -0.853184700012207, 1.189469337463379, 0.10093086212873459, 0.03427358344197273, 0.4589087665081024, 0.3150177299976349, 0.05537619814276695, -0.901210367679596, -1.2712873220443726, 0.027462713420391083, -0.05335626006126404, 1.4886868000030518, -0.5901554822921753, 0.03750620409846306, -1.315700650215149, 0.18542572855949402, -0.42381465435028076, 0.02769455686211586, -0.2951846718788147, 0.6642628908157349, 0.12028268724679947, -0.3880944848060608, -1.0184688568115234, -0.25322169065475464, 1.5584949254989624, -1.4923250675201416, -0.02356749027967453, -0.06268343329429626, 0.6937519907951355, 0.17986761033535004, -0.7332930564880371, -1.7549383640289307, -0.48055148124694824, 0.6145304441452026, 0.24952375888824463, -0.5069332122802734, -0.9209387898445129, -1.1470327377319336, -0.29067397117614746, 1.3457530736923218, -0.14488303661346436, -0.4664628207683563, -1.1950126886367798, 0.2750053405761719, 0.5797643065452576, 0.5715866684913635 ] ]
{ "indices": [ 1463597126, 358389376, 722829366, 2990561014, 2542944140, 3882113197, 1079027559, 2257684172, 1296924235, 1308276157, 297260153, 1786548735, 4146668087, 2673623443, 4075116728, 691409538, 189262593, 3174900812, 1904070401, 3688822001, 2733467792, 2851137560, 3662309742, 3526251860, 1960040400, 2842715111, 3534898626, 640124220, 4040153055, 2183041579, 1284918442 ], "values": [ 0.45449725721703593, 0.6249544369532175, 0.7691962589732724, 0.7142460396515701, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.7142460396515701, 0.45449725721703593, 0.6249544369532175, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.6249544369532175, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593, 0.45449725721703593 ] }
{ "context": "So just ignore these two states. LDI comes down here and then goes all the way down to this, which is one, two, three, four, five states, right? So it takes five states to execute an LDI. So let's work out all the control signals for those five. So we'll start up here in the first execution state. We'll call that LDI one. And what we have to do there is take the nine bit offset. I'm just using the RTL from the FSM diagram." }
761252
[ [ 0.19966596364974976, -0.8540493845939636, 0.3414488434791565, 0.5063709616661072, 0.6082377433776855, -0.14826259016990662, -0.6059507131576538, 0.7642008066177368, 0.720675528049469, -0.9435637593269348, 0.9048675894737244, 0.6163977980613708, 0.5264108777046204, 0.4340118169784546, 0.35444217920303345, -0.4799070358276367, 0.7757737636566162, -0.2430642545223236, -0.1601201295852661, -0.20698484778404236, 1.2554950714111328, 0.04662291705608368, -0.5975558757781982, -0.1909274309873581, -0.6080549955368042, 0.8122673034667969, -0.059791237115859985, -0.7286335229873657, 0.18542112410068512, 0.7898664474487305, -0.37116965651512146, -1.406722903251648, 0.639104425907135, -0.25709375739097595, 1.8202043771743774, 0.23654083907604218, -0.38569551706314087, -0.429337739944458, 0.24237631261348724, 0.669055163860321, -0.30800366401672363, 0.49038395285606384, -0.4960314631462097, 0.8666755557060242, -1.0294066667556763, 0.3282460868358612, -0.36550310254096985, -0.13085803389549255, 1.1254266500473022, -0.7292593121528625, 0.9839750528335571, -0.4183143079280853, 0.9915861487388611, 0.24130244553089142, 0.41667336225509644, 0.43269872665405273, 1.070151686668396, 1.5019643306732178, 0.4399900436401367, -1.5173112154006958, -0.03341904655098915, -0.5650267601013184, 0.44827258586883545, -0.5152466297149658, -0.06472257524728775, -0.386721134185791, -0.6164044737815857, -0.9419673681259155, 0.7175871729850769, -0.32917463779449463, -0.4912426173686981, 0.36968889832496643, 0.6571300029754639, 0.1877138316631317, 0.511844277381897, -0.7470453977584839, 0.13137690722942352, 0.6826725006103516, 0.6292663812637329, 0.9614319801330566, -1.2182589769363403, 0.35545629262924194, -0.7194133400917053, 0.09523363411426544, 0.440860778093338, -0.6809478998184204, 1.2680991888046265, -0.6889827251434326, 0.4891078770160675, 1.0495481491088867, 0.5418606996536255, -0.8117122054100037, 1.6114460229873657, 0.18157273530960083, -0.4581873416900635, -0.06571481376886368, 0.44074320793151855, 1.498418927192688, 0.2020701915025711, -0.984509289264679, 0.46082812547683716, -1.2580952644348145, -0.009195909835398197, 1.5853118896484375, 0.01578373648226261, 0.6930625438690186, 0.005809029098600149, -0.2716323435306549, -0.5373114347457886, 0.3165375292301178, -0.9984844923019409, 1.0428160429000854, -0.2301277071237564, 0.7061370611190796, -0.3462488055229187, -0.5314573049545288, -0.6317542791366577, -0.632937490940094, 1.2476214170455933, 0.3366912007331848, 0.8758096694946289, 0.35840800404548645, 0.7424511909484863, -0.4736047387123108, -2.132024049758911, 0.6903855204582214, 0.38446927070617676, -0.19207172095775604, -1.0381503105163574, 0.8115090131759644, 0.4095655083656311, 0.15691009163856506, 0.052960943430662155, -0.11876358836889267, 0.6321926712989807, 0.953789234161377, -2.068878412246704, -1.3067729473114014, 1.0389245748519897, 0.26298221945762634, -0.6649666428565979, -1.7786895036697388, 0.4540955424308777, 1.119374394416809, 1.3323014974594116, 0.7001503109931946, 0.6937443017959595, 0.371271014213562, -0.16988703608512878, 0.35208868980407715, -0.8280960917472839, 0.1590537130832672, -1.1433110237121582, -0.45502975583076477, -0.6759604811668396, -0.9088069796562195, -0.10492157936096191, -0.04786081239581108, -0.6809890270233154, 0.15624850988388062, 0.5336651802062988, -0.3022875189781189, 0.22020286321640015, -0.14449745416641235, -0.9244014024734497, -0.9468363523483276, 1.954171895980835, -0.1334768533706665, 0.6328050494194031, 1.3336738348007202, -0.18379102647304535, -0.23847438395023346, 0.31783053278923035, 0.29471051692962646, 1.415488600730896, -0.6365392208099365, 0.12170650064945221, -0.7299610376358032, -0.013812488876283169, 0.2406376600265503, -0.3550548255443573, -0.5755650401115417, -0.12150728702545166, 1.2937949895858765, 0.3618837893009186, 0.08628500252962112, -0.5888673663139343, -0.019482562318444252, 1.1898159980773926, -1.1299059391021729, -0.9042268991470337, -1.1666077375411987, 0.6669782996177673, 0.1442212015390396, -0.5245413780212402, -0.9104583263397217, -0.5599064230918884, 0.27752506732940674, 0.6392375826835632, -0.6738179922103882, -1.0466407537460327, 0.7433791756629944, 0.8036597967147827, -0.9976138472557068, -0.20010341703891754, 0.8230209350585938, 1.2641291618347168, -0.7081533074378967, 0.8293522000312805, -0.5816625952720642, -0.3116864860057831, 0.5866419672966003, 0.007094625849276781, 0.551643431186676, 0.6380685567855835, 0.2656283378601074, 0.25011521577835083, 0.47064831852912903, -0.053095459938049316, 0.3140217363834381, -1.1307564973831177, 1.0179851055145264, 2.1874396800994873, 1.112320065498352, 0.17691753804683685, 0.4781109690666199, 0.017671290785074234, -0.15926724672317505, 0.1824694722890854, -0.709119439125061, -0.6231648325920105, -1.1117782592773438, -0.0002901391126215458, 0.4263184368610382, -0.3516242206096649, 0.06492497026920319, 1.979529619216919, 0.7613416314125061, 1.136049509048462, -0.1125207170844078, -1.2722442150115967, 0.8919615745544434, 0.5306530594825745, 0.3753759264945984, 0.7065189480781555, 1.429013729095459, 0.28193187713623047, 1.2846837043762207, -0.8514012098312378, 0.5324277877807617, -0.6744916439056396, 0.6301401853561401, 0.15342922508716583, -1.0124993324279785, 0.25823113322257996, -1.0861061811447144, 0.5317245125770569, -0.883931577205658, -0.450263112783432, 0.45186761021614075, -0.3405875265598297, -0.35109665989875793, -0.47888317704200745, -0.7406103014945984, -0.13931117951869965, -0.14012828469276428, -0.08123678714036942, -0.5849190354347229, 1.4097980260849, -0.5735830068588257, 0.4154396653175354, 0.7977151870727539, -0.4415154457092285, -0.814802885055542, 0.3943980634212494, 0.9660738110542297, -0.5615904331207275, 1.1007294654846191, -0.8940428495407104, -0.6181477904319763, -0.3057253062725067, 0.24309419095516205, 0.6233861446380615, -0.23021478950977325, -1.7657997608184814, 0.6186052560806274, -0.5201972126960754, -0.6898316740989685, 0.4262675940990448, 0.08762471377849579, -1.0185474157333374, 0.23570284247398376, -0.4893471300601959, -0.954068660736084, 0.24710479378700256, -0.1783745139837265, -0.1615879088640213, 0.008096065372228622, 0.04331931099295616, 0.5134217739105225, -0.005095986649394035, -1.7137314081192017, 0.004420000594109297, -1.1504783630371094, -0.18855507671833038, 0.03754936903715134, -0.7271334528923035, -0.6580862998962402, -0.41613781452178955, 0.16888804733753204, -0.1302470713853836, -0.4633564352989197, -0.22489133477210999, -1.2545301914215088, -1.5491153001785278, 0.24227581918239594, 0.6556712985038757, 1.068906545639038, -0.25846657156944275, -0.5408821105957031, 1.366937279701233, 0.9219869375228882, -0.7168172001838684, -0.3884839117527008, 0.2568725645542145, 0.13436608016490936, -1.2269904613494873, -0.29561036825180054, 0.9073094129562378, 0.062970370054245, 0.6676843762397766, -0.39629828929901123, 0.921750009059906, 1.695081353187561, -0.4071972370147705, -0.9120337963104248, 0.15804660320281982, -0.10615286231040955, -0.86663818359375, -0.024172691628336906, 0.614800751209259, 0.5702831745147705, 0.9207174777984619, 0.4845852553844452, 1.2202092409133911, 0.24416381120681763, -0.6307302117347717, 0.6227761507034302, 0.3880729377269745, 0.3915195167064667, -0.4170677661895752, 0.04729117080569267, 0.259298175573349, -0.4965766966342926, -1.148141622543335, 0.7409108281135559, 0.7156320810317993, -0.7167935371398926, -0.08255606144666672, 1.0213638544082642, 0.7990539073944092, 0.3922450542449951, -0.4607972502708435, -0.35125410556793213, 0.13425679504871368, 0.5648375153541565, -0.4636363387107849, 0.03078191541135311, 0.7245240807533264, -0.1207791119813919, 0.6140463352203369, 0.5450631380081177, -0.44084373116493225, -0.7753684520721436, -1.7385157346725464, -0.6730242371559143, 0.4002630412578583, 0.9905347228050232, 0.5361356139183044, 0.48146504163742065, 0.03115026466548443, 0.14757739007472992, -0.3268123269081116, -0.06635501235723495, -1.828698992729187, 0.3722134530544281, 0.7646649479866028, -0.27147358655929565, 0.5733060240745544, -0.45797502994537354, 0.4447043836116791, -0.2526029944419861, -0.8149786591529846, -0.22324851155281067, -0.1945386677980423, -1.180436372756958, 0.552767813205719, 0.42813757061958313, -0.46461623907089233, 0.6110497117042542, 0.5968827605247498, 2.3045198917388916, 0.6919829845428467, 0.4718920886516571, 0.3132060468196869, -0.06350328028202057, -0.6970799565315247, -0.19851335883140564, 0.3163800537586212, 0.6420237421989441, 1.0041546821594238, 0.8682975172996521, 0.7188035845756531, -0.9105525016784668, 0.03977784886956215, 0.31567806005477905, 1.2704062461853027, 0.189333975315094, 0.21959921717643738, -0.24484261870384216, -0.7663969397544861, -0.4344359040260315, 0.012830880470573902, 1.0201443433761597, -0.5845053791999817, 1.6277014017105103, 1.013599157333374, 0.08463749289512634, 0.024076513946056366, 1.394224762916565, 1.7964990139007568, -0.17670641839504242, -0.6010817885398865, -0.7402911186218262, -0.8799655437469482, -0.37343016266822815, -0.25720730423927307, 0.4386278986930847, 1.3492709398269653, 0.910818338394165, -0.5125234127044678, 0.6137444972991943, 0.2058572918176651, -1.4685397148132324, 0.24708692729473114, -0.6302306056022644, -0.6052671074867249, -0.34327974915504456, 0.5196350812911987, 0.35526174306869507, 0.3751811385154724, -0.5787636637687683, 0.2906884253025055, -0.7079746127128601, -2.4615182876586914, -0.525346040725708, 0.4808424711227417, 0.6372872591018677, -0.747858464717865, 0.49093562364578247, -0.9213851094245911, -1.1452094316482544, 0.683383047580719, -0.716984748840332, 1.491772174835205, -0.8208858370780945, 0.4893892705440521, -0.2450738102197647, -1.5381313562393188, -0.837019681930542, 0.9604846835136414, 0.027027135714888573, 0.1997404396533966, -0.03623700886964798, -0.18049508333206177, -0.17844654619693756, 0.3405894637107849, 0.5880186557769775, 0.42565107345581055, -0.49817442893981934, -0.4905269742012024, -0.112918421626091, 0.7775394320487976, -0.9892933368682861, -0.7209763526916504, 0.13188020884990692, -1.2561509609222412, -0.8276655673980713, 1.0397071838378906, -0.6902159452438354, -0.7766922116279602, 0.7776981592178345, -0.17423799633979797, -0.7554163932800293, -0.9739037156105042, -1.4871020317077637, 1.0025428533554077, -0.5311148762702942, 0.6000058054924011, 0.3808179497718811, 0.6326704025268555, -0.7628666758537292, 0.03828756511211395, -0.46259790658950806, -0.034988995641469955, -0.587668776512146, 0.7631781697273254, -0.8914019465446472, -0.255106121301651, -0.7270600199699402, 0.977843165397644, 0.1105339527130127, 0.03464842960238457, -0.2801750898361206, -0.5101813077926636, -0.28191715478897095, 1.2197121381759644, -1.0288680791854858, 0.7348194718360901, -0.27708446979522705, -0.04002486541867256, -0.6611975431442261, 0.34069234132766724, 0.3878816068172455, -1.541054606437683, 0.5901817679405212, 0.6147829294204712, 0.02452864870429039, 1.3591241836547852, -0.6431646347045898, -0.4457062780857086, 0.6556835174560547, -1.2015637159347534, 0.9567317962646484, 0.5674387216567993, 0.5741181373596191, 0.004607842769473791, -0.8074350357055664, -0.13683965802192688, 0.5345495939254761, -0.32458025217056274, 0.17946293950080872, -0.1886170506477356, 0.48562437295913696, 0.3428550958633423, -0.3700402081012726, -0.813181459903717, -1.5041335821151733, -0.6497979760169983, 0.1736869066953659, 0.03512151911854744, -0.8013079762458801, -0.07953909784555435, 0.23972642421722412, -0.7263481616973877, 0.3833959400653839, 1.3759465217590332, 0.05443360656499863, 0.6183272004127502, 0.22477935254573822, 0.4213191866874695, 1.3051387071609497, 0.9905482530593872, 0.3572836220264435, -0.3040761649608612, -0.2967783510684967, -0.3871782124042511, -0.542985200881958, -1.1840369701385498, -0.8007010817527771, -0.02792065218091011, -1.1246076822280884, -0.2884054183959961, 1.2769792079925537, -0.19432184100151062, 0.6948422789573669, -0.03253449127078056, -0.6493247151374817, -0.5300991535186768, -0.2970820665359497, -0.6987338066101074, -0.28314512968063354, 0.1469336748123169, 0.029975350946187973, 0.5271553993225098, 0.09309548139572144, -0.9714639782905579, -0.6397919654846191, 0.2347116321325302, 0.3616798222064972, 0.18324542045593262, 2.413670063018799, 0.5747918486595154, 0.46983715891838074, 1.0309109687805176, 0.37769994139671326, 0.712920606136322, -0.6162024736404419, 0.3808824121952057, 0.7463367581367493, 0.6873045563697815, -0.32641714811325073, 0.45367956161499023, -0.9424275159835815, 0.21415221691131592, 1.2809909582138062, -0.6800983548164368, 0.7399846911430359, -0.34918978810310364, 0.3280976712703705, -0.3842395544052124, 0.2589357793331146, -0.49919214844703674, 0.729406476020813, -0.3995750844478607, 2.007253646850586, -1.1768786907196045, -0.782430112361908, 1.545034646987915, 1.2207573652267456, 0.36120206117630005, 0.15066692233085632, 0.562973141670227, -0.5081019997596741, -0.2730567157268524, -0.7563754320144653, -0.5625441670417786, 1.5230125188827515, -1.4790217876434326, 0.6809545755386353, 0.04969742149114609, -0.4981488883495331, 0.6709333658218384, 0.7586813569068909, 0.10259727388620377, -1.452912449836731, -0.7348501086235046, -1.2985827922821045, 0.4408735930919647, 0.497178852558136, -0.24512895941734314, -0.16093994677066803, 0.1612040251493454, 0.49472200870513916, -0.3412267863750458, 0.7178155779838562, -0.34692275524139404, -1.8020589351654053, -0.06673305481672287, -0.6384723782539368, -1.1679582595825195, 1.6120549440383911, 0.37120866775512695, -0.48360708355903625, 1.2064838409423828, -0.4617314040660858, 1.067478895187378, 0.31464263796806335, 0.4360407292842865, 0.18088985979557037, 1.3996686935424805, -0.3652048408985138, -0.39231452345848083, -0.47157391905784607, -0.5603790283203125, 4.116652965545654, 1.490893840789795, 0.08781548589468002, -1.9419541358947754, 0.4240930676460266, -0.916775643825531, 0.2130107581615448, -0.281023770570755, 0.35602256655693054, 0.1247611939907074, 1.0320631265640259, 1.023962140083313, 0.6300467252731323, -0.28163495659828186, 0.6153079271316528, 0.7753278017044067, -0.30755549669265747, -0.5991487503051758, 0.849441409111023, 0.1649741381406784, 0.060919683426618576, -0.17382508516311646, -0.9902334809303284, -1.2770041227340698, 1.2441434860229492, -0.3307492434978485, 0.6166670322418213, -0.7491304874420166, 1.1887497901916504, 0.3108447790145874, -0.451914519071579, 1.0052247047424316, 0.7040844559669495, 0.9463962316513062, 0.7221934795379639, 0.14650064706802368, -0.7264438271522522, -0.6014429330825806, -0.566548228263855, 0.5938921570777893, 0.33805400133132935, -0.21151183545589447, -0.22725678980350494, -0.6629161238670349, -1.0804836750030518, 0.027365291491150856, -0.6524657011032104, -0.9352231621742249, 0.5259225964546204, 0.015213324688374996, -0.3072165250778198, 0.4015292823314667, -0.8317186832427979, -0.901075541973114, -0.9666709899902344, 0.8890635371208191, 0.44838762283325195, -0.8215124011039734, -0.03654364123940468, -0.3033413290977478, 0.3055697977542877, -0.2877851128578186, 0.7971571683883667, 0.5628299713134766, 0.4489256739616394, 0.21923716366291046, -0.19041338562965393, 2.0464580059051514, -0.02155919373035431, -0.9301778078079224, -1.1656420230865479, 0.2900974750518799, -0.20854805409908295, -0.17102833092212677, -0.4566607177257538, 0.8198389410972595, -0.26905807852745056, 0.5700039267539978, -0.8885137438774109, -0.41984787583351135, -1.4916276931762695, 0.3420001268386841, -0.6285340189933777, -0.04019124060869217, -0.5186178088188171, -1.0527887344360352, -0.36357834935188293, 0.5941293239593506, 0.42577800154685974, 0.41859981417655945, 0.5524934530258179, 1.6875635385513306, 1.184045433998108, -0.8928810358047485, 0.18163354694843292, 0.6813117265701294, -2.12087345123291, -0.16143853962421417, -1.0342707633972168, 1.0062414407730103, 0.44727814197540283, -0.6640723347663879, -0.23599973320960999, 0.949654221534729, -1.129509687423706, -0.13995729386806488, 0.6398782134056091, -1.0009759664535522, -0.27092185616493225, 0.011466980911791325, 1.226151704788208, -1.351702094078064, -0.6712859272956848, -0.26159045100212097, -0.18654994666576385, -0.030309895053505898, -0.4183405041694641, -0.596073567867279, 0.7729740738868713, -0.45673033595085144, 0.7876537442207336, 0.3516823649406433, 0.7237544655799866, -0.4900151789188385, -0.15499578416347504, 0.05101931840181351, -1.0995301008224487, 0.04801668971776962, -0.006874969694763422, -0.7199462056159973, 0.21872806549072266, 0.2655728757381439, -0.40894174575805664, 0.6810707449913025, -0.9876929521560669, -0.06127891689538956, 0.03434063121676445, 0.6351456046104431, -1.1622570753097534, 0.15954096615314484, 0.24049808084964752, -0.41951608657836914, -0.9513331651687622, 1.2343837022781372, -0.6605627536773682, 0.052468277513980865, 0.3233448266983032, -0.0303155779838562, 1.0684278011322021, 0.4129684865474701, -0.8488429188728333, 0.011247862130403519, 0.6003867983818054, 0.7293367385864258, 0.2371877133846283, 0.2776673138141632, -0.1835073083639145, -0.12294159084558487, -0.03799104690551758, 0.16304630041122437, 0.47087404131889343, 1.2905149459838867, -0.10301264375448227, -0.4470374286174774, -0.12807747721672058, -0.05812584608793259, -0.7422431111335754, 0.6875866651535034, -0.5325703620910645, 0.39183780550956726, 0.7760476469993591, -0.37030652165412903, 0.21461860835552216, 0.8016636967658997, -1.5988028049468994, -0.1072835847735405, -0.3056967258453369, 0.22019249200820923, 0.7069770097732544, -0.8437089920043945, 0.4198329746723175, 0.9347475171089172, -1.9325270652770996, 0.3371426463127136, -0.5197905898094177, -0.6510353684425354, -0.8937961459159851, 1.0018694400787354, -0.049122314900159836, 0.31730917096138, 0.1338423490524292, -0.2086031287908554, 0.962485671043396, -0.2948471009731293, -0.5601473450660706, -0.47283777594566345, 0.4033795893192291, 0.6129645109176636, -0.007697187829762697, -0.687786340713501, 0.6795504689216614, -1.2152470350265503, -1.5422999858856201, -0.42208579182624817, -1.66214919090271, 0.5988550186157227, 0.1533709615468979, 1.343261480331421, 0.17188885807991028, 0.9531642198562622, -0.06338224560022354, -0.11005641520023346, -0.3034239113330841, -0.5563439130783081, 0.38123461604118347, 0.6408255100250244, -0.5863979458808899, -0.472432017326355, 0.48432356119155884, 0.13929487764835358, -0.943473756313324, 0.5106847882270813, -1.0808545351028442, -0.1436585783958435, 0.32217180728912354, -0.1214962750673294, 0.3950946629047394, -0.9572209715843201, 0.03122570551931858, -1.218024492263794, 0.3350306749343872, 0.3274536728858948, 0.6142516136169434, 0.45432522892951965, 0.018936073407530785, -0.5576294660568237, -0.3087897300720215, 0.33319705724716187, 0.35557353496551514, -1.3706930875778198, -0.7261748909950256, 0.05423394590616226, -0.35352519154548645, 0.9426383376121521, 0.6046626567840576, 0.10898104310035706, -0.4662417471408844, 0.9520477056503296, -0.20931312441825867, -0.060156628489494324, -0.7001519799232483, -0.3129747211933136, 0.7710821628570557, -0.406036376953125, 0.8645462989807129, 1.1463817358016968, 0.9042739868164062, -0.5576313138008118, -1.1375194787979126, -0.17818601429462433, 1.0084949731826782, 0.47694599628448486, -0.15773607790470123, 0.24242369830608368, -1.6532576084136963, 0.2780928611755371, 0.05514269322156906, 0.6147592663764954, 0.04096589237451553, -0.5314973592758179, -0.7396451234817505, 0.23466703295707703, 0.16301798820495605, -0.1411292552947998, 0.5367497205734253, 0.6767934560775757, 0.47322744131088257, 0.03183748945593834, -0.374355286359787, -0.1482706516981125, -0.27033957839012146, 0.5824893712997437, -0.41890910267829895, 1.6717753410339355, -0.31200477480888367, 0.06839773803949356, -0.11216828227043152, 0.11828482896089554, -1.5113213062286377, 1.498433232307434, 0.3695114254951477, 0.16955816745758057, -0.8522911667823792, -0.7137224078178406, 1.3180408477783203, -1.4321469068527222, -0.37080609798431396, 0.9580795168876648, -0.09070349484682083, -0.07987863570451736, 0.483973890542984, 0.25706157088279724, 0.08088821917772293, 0.1873932033777237, 0.9800156950950623, -0.9156724810600281, -0.5021853446960449, 0.4315687119960785, 1.1875898838043213, 0.8040698766708374, -0.3644658625125885, 0.5122094750404358, 0.816058874130249, -0.5595653653144836, 1.5104867219924927, -0.0901869684457779, 0.44274213910102844, 0.20887228846549988, 0.12509456276893616, 0.13705606758594513, -0.8324875235557556, -1.2395119667053223, -0.17312660813331604, -0.04225553572177887, 1.164045810699463, -0.7270074486732483, 0.4283226728439331, -1.0037246942520142, 0.32830700278282166, -1.3992496728897095, -0.06936395913362503, -0.5644850730895996, 0.6794602870941162, 0.0098580839112401, -0.6149517297744751, -1.141827940940857, -0.06650525331497192, 1.451241374015808, -1.470969319343567, -0.01351393386721611, -0.19161492586135864, 0.32671669125556946, 0.36808738112449646, -0.4248078465461731, -1.9619866609573364, -0.8983334898948669, 0.5614122152328491, 0.6681326627731323, -0.3845197260379791, -1.1733407974243164, -1.7436226606369019, -0.15189331769943237, 1.3134878873825073, -0.21308070421218872, -0.6081675291061401, -0.8220642805099487, 0.21956810355186462, 0.4123515784740448, 0.4584687054157257 ] ]
{ "indices": [ 4146668087, 3526251860, 1960040400, 2842715111, 3534898626, 640124220, 4040153055, 2183041579, 1284918442, 1622730881, 2517333479, 639386442, 3023675989, 3774983271, 3748190670, 189734734, 3676260520, 3677720983, 1786548735, 1866681274, 2006536704, 4075116728, 691409538, 1404934148, 1975257448, 4068491112, 997012898, 1200021954, 1491351846, 2542944140 ], "values": [ 0.45886343419922815, 0.7723054894193192, 0.6290697586112285, 0.7178239640284703, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.6290697586112285, 0.45886343419922815, 0.7178239640284703, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.6290697586112285, 0.6290697586112285, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815, 0.45886343419922815 ] }
{ "context": "And what we have to do there is take the nine bit offset. I'm just using the RTL from the FSM diagram. This is sign extended from nine bits in the IR, then added to the PC and then stored in the MAR. So what registers change? Just MAR, right? So the rest should be what? The zeros. So let's look at what happens. So let's see. We have MAR gets PC plus offset nine. So offset nine needs to come out of the IR." }
898794
[ [ 0.288787305355072, -0.7255497574806213, -0.2857561707496643, 0.46867820620536804, 0.5149369239807129, 0.04320136830210686, -0.2854309380054474, 0.4416707754135132, 1.1916929483413696, -0.5673037171363831, 1.0109221935272217, 0.7590241432189941, 0.821448802947998, 0.23788392543792725, 0.10926874727010727, -0.3639637231826782, 0.5592707991600037, -0.06280429661273956, -0.3331981897354126, -0.2664352059364319, 1.2789314985275269, -0.36315542459487915, -0.49268510937690735, -0.045842304825782776, -0.7237235307693481, 0.9667139053344727, -0.04229307174682617, -0.8218376636505127, 0.2247324287891388, 0.8875151872634888, -0.6284614205360413, -1.3865642547607422, 0.41119372844696045, 0.021292606368660927, 1.339812159538269, -0.11815148591995239, -0.5718571543693542, -0.22506608068943024, 0.24653027951717377, 0.7075296640396118, 0.015024559572339058, 0.34517186880111694, -0.5272448658943176, 0.8228611350059509, -1.3648343086242676, 0.3549487590789795, -0.33051276206970215, -0.414057195186615, 1.2139458656311035, -0.7175667881965637, 1.056193232536316, -0.46508556604385376, 0.6526209712028503, 0.3242434561252594, 0.3064974248409271, 0.23058082163333893, 0.9745990633964539, 1.4457374811172485, 0.5024327039718628, -1.094057559967041, -0.09979014843702316, -0.24477273225784302, 1.042292594909668, -0.47696009278297424, -0.0723915845155716, -0.23809579014778137, -0.5519238114356995, -0.8669345378875732, 0.6693439483642578, -0.7987171411514282, -0.9262649416923523, 0.20159663259983063, 0.5545527935028076, 0.38860416412353516, 0.575611412525177, -0.30208179354667664, 0.014931912533938885, 0.1551700085401535, 0.5540431141853333, 0.9656877517700195, -1.3459172248840332, 0.2524734437465668, -0.8475902676582336, 0.1894870400428772, 0.4409336745738983, -0.867180347442627, 1.3448082208633423, -0.6061228513717651, -0.13096113502979279, 0.8904417753219604, 0.1681528091430664, -0.708172082901001, 1.564557433128357, 0.28967204689979553, -0.4461674094200134, 0.06742272526025772, 0.03524542227387428, 1.1029235124588013, 0.7857111096382141, -0.4883561432361603, -0.1463743895292282, -1.128021478652954, 0.26215946674346924, 1.4306739568710327, -0.054654333740472794, 0.6011077761650085, 0.008333240635693073, -0.009692910127341747, -0.22533516585826874, 0.46994781494140625, -0.34803321957588196, 0.8767799735069275, 0.18299123644828796, 0.3325212299823761, -0.3122745156288147, -0.6692811250686646, -0.8191463351249695, -0.06234047934412956, 1.0290515422821045, 0.19712311029434204, 0.9313920140266418, 0.6833733320236206, 0.5374326705932617, -1.1767059564590454, -2.2639763355255127, 0.48131561279296875, 0.13805052638053894, -0.44161224365234375, -0.858005166053772, 0.8121269941329956, 0.36593863368034363, -0.17684020102024078, 0.3841213881969452, 0.012495598755776882, 0.6367342472076416, 1.0387780666351318, -1.982319951057434, -1.33903169631958, 1.0384808778762817, 0.0063878921791911125, -0.6860136985778809, -1.1852225065231323, 0.3795093297958374, 0.8863831162452698, 1.5332313776016235, 0.4172948896884918, 0.6027416586875916, 0.022124778479337692, 0.08222392201423645, 0.5949183702468872, -0.5673921704292297, 0.08404984325170517, -1.5678013563156128, -0.4779983162879944, -0.4471650719642639, -0.1312521994113922, 0.1681118756532669, 0.08041324466466904, -0.6211984753608704, -0.14033614099025726, 0.619027316570282, -0.28267720341682434, -0.3758881688117981, -0.07622586935758591, -0.8655228614807129, -0.840295672416687, 1.9033511877059937, -0.06870126724243164, -0.07023458182811737, 1.1068624258041382, -0.1981315165758133, -0.7470283508300781, 0.05910731106996536, 0.34993547201156616, 0.8829331398010254, -0.8620982766151428, 0.3015599846839905, -0.16563351452350616, -0.08393024653196335, 0.2488265186548233, -0.6230135560035706, -0.494614839553833, 0.24924544990062714, 1.0009506940841675, 0.6302591562271118, 0.1369740217924118, -0.4383598566055298, 0.09611354768276215, 1.6209251880645752, -1.2621092796325684, -0.7606287002563477, -1.2985941171646118, 0.43318089842796326, 0.3540140390396118, -0.876785933971405, -0.8553463220596313, -0.5684885382652283, 0.3767614960670471, 0.49623796343803406, -0.6012682318687439, -0.9811716675758362, 0.38883233070373535, 0.8643438816070557, -1.3364081382751465, 0.04963582009077072, 0.6185380816459656, 1.1174445152282715, -0.521201491355896, 0.7645350694656372, -0.33407720923423767, -0.44434598088264465, 0.8665929436683655, 0.047022510319948196, 0.016842463985085487, 0.16923788189888, 0.4135410189628601, 0.011128302663564682, 0.848253071308136, -0.16920508444309235, 0.624352216720581, -0.7982535362243652, 0.891512393951416, 2.3883118629455566, 1.1237008571624756, 0.34832000732421875, 0.646409809589386, 0.1987803876399994, 0.22146156430244446, 0.20216935873031616, -0.7570694088935852, -0.951935887336731, -1.2892577648162842, 0.04946335032582283, 0.4394755959510803, -0.4237685799598694, -0.059180449694395065, 1.8387881517410278, 0.3710731267929077, 0.6910717487335205, -0.18124344944953918, -1.3019366264343262, 0.8432229161262512, 0.539063572883606, 0.4723069667816162, 0.507504403591156, 1.4915205240249634, 0.19923022389411926, 1.616584300994873, -0.48629477620124817, 0.19769126176834106, -0.6082870960235596, 0.5057287812232971, 0.23688696324825287, -0.7147430181503296, -0.36402663588523865, -1.358736515045166, 0.4655701220035553, -1.001721978187561, -0.6451898813247681, 0.23816251754760742, -0.4181459844112396, -0.3617979884147644, -0.28131264448165894, -0.6176052689552307, 0.1317664086818695, 0.23224467039108276, -0.07535971701145172, -0.4881493151187897, 1.3720202445983887, -0.9582865238189697, 0.11033634096384048, 0.28007766604423523, -0.3900547921657562, -1.3246819972991943, 0.30403953790664673, 0.5829340219497681, -0.5351649522781372, 1.0993326902389526, -1.3309723138809204, -0.9102461934089661, -0.7865525484085083, 0.32037171721458435, 0.39269304275512695, -0.1278381496667862, -1.9089531898498535, 0.06552155315876007, -0.642472505569458, -0.8482717275619507, 0.5306153297424316, 0.24112756550312042, -1.2614772319793701, 0.41241368651390076, -0.44840314984321594, -1.0641828775405884, 0.19296589493751526, -0.08652275055646896, 0.15275558829307556, 0.13986653089523315, -0.10186423361301422, 0.26806870102882385, 0.19360430538654327, -1.5234109163284302, -0.2668895125389099, -0.799065113067627, 0.09542005509138107, 0.03104475699365139, -0.19166789948940277, -0.504339873790741, -0.2901095151901245, 0.6880748271942139, 0.2684788405895233, -0.26793918013572693, -0.521115779876709, -1.091046690940857, -1.732157588005066, 0.07422643899917603, 0.8015634417533875, 1.0904699563980103, -0.6149781942367554, -0.36580920219421387, 1.1068631410598755, 0.335694819688797, -0.6981832981109619, -0.18058542907238007, 0.5581042170524597, 0.24960295855998993, -1.4133532047271729, -0.013936301693320274, 0.9061229825019836, 0.5351776480674744, 0.2743018865585327, -0.3488769233226776, 1.0929267406463623, 1.64809250831604, -0.364643931388855, -1.069398283958435, 0.08919043093919754, 0.3819832503795624, -0.7052605152130127, 0.011898419819772243, 0.6114614605903625, 0.31189465522766113, 1.2641029357910156, -0.022347062826156616, 1.0734426975250244, 0.21332772076129913, -0.008459240198135376, 0.5871772170066833, 0.4845099151134491, 0.46380168199539185, -0.18680700659751892, 0.14746947586536407, 0.30765849351882935, -0.6610060334205627, -0.7917380928993225, 0.7433915734291077, 1.1583943367004395, -0.9104019999504089, 0.24922673404216766, 0.6602376699447632, 0.4204988479614258, 0.3571918308734894, -0.3075023293495178, 0.20697779953479767, 0.3696991503238678, 0.4473811089992523, -0.18846099078655243, 0.12583446502685547, 0.48097506165504456, -0.04430125281214714, -0.006333697587251663, 0.6606393456459045, -0.72247713804245, -0.9177955985069275, -1.682521939277649, -1.0331664085388184, 0.5172828435897827, 1.0292187929153442, 0.5364124774932861, 0.6406803131103516, 0.024809859693050385, 0.19802913069725037, -0.5699119567871094, -0.18133342266082764, -1.521043062210083, 0.4257955551147461, -0.09542836993932724, -0.16024529933929443, 0.6620231866836548, -0.31522253155708313, 0.03817388042807579, -0.4207187294960022, -1.337823748588562, 0.09187992662191391, -0.44036659598350525, -1.036877989768982, 0.6174464225769043, 0.2792307734489441, -0.44222038984298706, 0.8194549083709717, 0.6497459411621094, 1.7904261350631714, 0.469425767660141, 0.19802531599998474, 0.7468703985214233, 0.1578752100467682, -0.38435840606689453, -0.35742029547691345, 0.027946164831519127, 0.18574412167072296, 0.8890573382377625, 0.7975282073020935, 0.6304788589477539, -0.8581149578094482, 0.09816238284111023, 0.4558950662612915, 0.8954228758811951, 0.1716810166835785, 0.1810564398765564, 0.040491729974746704, 0.16827048361301422, -1.0777426958084106, 0.4801912009716034, 1.082467794418335, -0.3728908598423004, 1.8319867849349976, 0.7970567345619202, 0.5369760990142822, -0.3291274309158325, 0.896331787109375, 1.8363927602767944, -0.0318557471036911, -0.10927445441484451, -0.6265418529510498, -0.9194524884223938, -0.5079012513160706, -0.6328333616256714, 0.3598109185695648, 1.169122576713562, 0.7826026082038879, -0.6774061918258667, 0.5933708548545837, -0.02633609063923359, -1.0246068239212036, 0.20928622782230377, -0.5197272896766663, -0.5550700426101685, 0.04884779825806618, 0.5463443994522095, 0.20114445686340332, 0.620333731174469, -0.7131540775299072, 0.2453186810016632, -1.1121553182601929, -2.3301022052764893, 0.026311038061976433, 0.3021484315395355, 1.1422470808029175, -0.7817005515098572, 0.06805568933486938, -0.9836137890815735, -1.0727546215057373, 0.4229377210140228, -0.5698193907737732, 1.6344515085220337, -1.0853703022003174, 0.7627599239349365, -0.5771622657775879, -0.7633357048034668, -1.1642714738845825, 0.8969610929489136, -0.09374707192182541, 0.10265451669692993, -0.21372833847999573, 0.042199887335300446, -0.2600109875202179, 0.2721325159072876, 0.3037031292915344, 0.8545006513595581, -0.8261258602142334, -0.2664124369621277, -0.05291944369673729, 0.8325634598731995, -1.0030285120010376, -0.3560982644557953, 0.4999672472476959, -0.8919839859008789, -0.7228344678878784, 0.7612845301628113, -0.7918897271156311, -0.33540284633636475, 0.5195654034614563, -0.05700373277068138, -0.2764546871185303, -0.19220371544361115, -1.181220531463623, 1.0185353755950928, -0.07468917965888977, 0.4384145736694336, 0.41520488262176514, 1.0490093231201172, -0.759832501411438, -0.10195786505937576, -0.5617013573646545, 0.01965765841305256, -0.5526707768440247, 1.0108871459960938, -0.9768913388252258, -0.05624786764383316, -1.203652262687683, 0.5820869207382202, 0.07260727882385254, 0.4384448528289795, -0.22344864904880524, -0.4288499653339386, -0.23920853435993195, 0.8540204763412476, -1.034578800201416, 0.28978386521339417, -0.25109240412712097, 0.12111341208219528, -0.5922018885612488, 0.014416590332984924, 0.3949488699436188, -1.673928141593933, 0.7535598278045654, 0.28945422172546387, -0.06771785020828247, 1.4712949991226196, -0.8144280910491943, -0.32339930534362793, 0.41127264499664307, -1.19073486328125, 0.7520458102226257, 0.41040733456611633, 0.26358267664909363, -0.34286245703697205, -0.8691838383674622, -0.08654791116714478, 0.38272878527641296, -0.6423877477645874, 0.21884867548942566, -0.3132285177707672, 0.6903352737426758, -0.13528592884540558, -0.21324147284030914, -0.864133358001709, -1.4776813983917236, -0.639248788356781, 0.5753125548362732, 0.6281049847602844, -0.1701507270336151, -0.1797044277191162, 0.46989908814430237, -0.5115176439285278, 0.1599356085062027, 1.1166713237762451, -0.36852896213531494, 0.6737961769104004, 0.42687129974365234, 0.8550229072570801, 0.9638425707817078, 1.4439618587493896, 0.042407888919115067, -0.033775694668293, 0.0357179269194603, 0.23983582854270935, -0.15997566282749176, -1.2986969947814941, -0.5562423467636108, 0.03245864063501358, -0.9355800747871399, -0.5765230655670166, 1.1962474584579468, -0.4307473301887512, 0.11608447879552841, 0.015782469883561134, -0.20907683670520782, -0.44965818524360657, -0.07833126932382584, -0.551546573638916, -0.32354095578193665, 0.5497999787330627, -0.7250795960426331, 0.3161155581474304, 0.17627888917922974, -0.733442485332489, -0.7636316418647766, 0.018793446943163872, 0.25974637269973755, 0.4737119674682617, 2.3188109397888184, 0.28938132524490356, 0.6326794028282166, 0.7215386629104614, 0.8715177774429321, 0.2658624053001404, -0.22114072740077972, 0.7037976980209351, 1.0786206722259521, 0.7131311297416687, -0.24336151778697968, 0.24585334956645966, -1.2171369791030884, 0.5548677444458008, 1.523206353187561, -1.0333441495895386, 0.7772597074508667, -0.14131173491477966, -0.12303159385919571, -0.32832980155944824, 0.034536708146333694, -0.9231857061386108, 1.037480115890503, -0.39230573177337646, 2.0230226516723633, -1.3256891965866089, -1.1745893955230713, 1.4829702377319336, 1.3636399507522583, 0.330848753452301, 0.09460557997226715, 0.38342446088790894, -0.38876980543136597, 0.055954836308956146, -1.234336495399475, -0.4072933495044708, 1.176469087600708, -0.9900516867637634, 0.6394622325897217, -0.17543339729309082, -0.6489983201026917, 0.6163777709007263, 0.3261893689632416, 0.23006877303123474, -1.4790961742401123, -0.8189991116523743, -1.653668761253357, 0.8749635815620422, 0.43050646781921387, -0.26982396841049194, -0.2370051145553589, 0.0742531418800354, 0.7436382174491882, -0.42508482933044434, 0.6546436548233032, -0.6559033989906311, -1.7068874835968018, -0.07144583761692047, -0.2729898691177368, -1.235671043395996, 1.3550533056259155, 0.3617739677429199, -0.3833422362804413, 1.0463173389434814, -0.25460249185562134, 1.2151434421539307, 0.06280937045812607, 0.6076887845993042, 0.2864208519458771, 1.078181266784668, -0.715916097164154, -0.33202123641967773, -0.6684548854827881, -0.35571613907814026, 4.61850118637085, 1.4190682172775269, -0.06819109618663788, -1.9559825658798218, 0.18841350078582764, -0.47985219955444336, 0.36979392170906067, -0.05720033124089241, 0.4703000783920288, 0.43007907271385193, 0.6633040904998779, 0.5641703009605408, 0.291189581155777, -0.3109697103500366, 0.29745224118232727, 0.8352636098861694, -0.4831313192844391, -0.5606212019920349, 0.6057799458503723, 0.5199235677719116, 0.49199536442756653, -0.1875806450843811, -0.6907765865325928, -1.1601413488388062, 0.7525880932807922, -0.48145782947540283, 0.6005589365959167, -0.6798551082611084, 1.072302222251892, 0.1000436544418335, -0.654423177242279, 0.8878450989723206, 0.6650949120521545, 0.41518479585647583, 0.5982122421264648, 0.1567046344280243, -0.7706634402275085, -0.36811012029647827, -0.40954720973968506, 0.8522592186927795, 0.4858868718147278, -0.2593293786048889, -0.2944144606590271, -0.2914224863052368, -0.9150530695915222, -0.24700035154819489, -0.7664756178855896, -0.8196858167648315, -0.09627702832221985, -0.3253484070301056, 0.21179234981536865, 0.4071410596370697, -0.6349916458129883, -1.1125316619873047, -0.9244816899299622, 0.6554335951805115, -0.10664746910333633, -0.7052953839302063, -0.8941709399223328, -0.4349626898765564, 0.2940150797367096, -0.3118121922016144, 0.870266854763031, 0.5646045804023743, 0.7849628925323486, 0.5529654622077942, -0.8450977802276611, 2.0243728160858154, 0.43250375986099243, -0.49511656165122986, -0.813716471195221, 0.15685990452766418, -0.5475638508796692, -0.5123289823532104, -0.5930888652801514, 0.992897629737854, -0.5629275441169739, 0.170169860124588, -1.0934948921203613, -0.499565064907074, -0.8922311663627625, 0.6568413972854614, -0.44415464997291565, -0.12017368525266647, -0.1734161227941513, -0.45689985156059265, 0.004952906630933285, 0.632152795791626, 0.23963391780853271, -0.002218689303845167, 0.5731783509254456, 1.6128991842269897, 0.9213292002677917, -1.0500305891036987, 0.3276333808898926, 0.6004312038421631, -1.1279515027999878, 0.022987835109233856, -0.7449713349342346, 1.1802481412887573, 0.6029253005981445, -0.5751271843910217, -0.2771241068840027, 1.2054390907287598, -0.9409031867980957, -0.12951086461544037, 0.8310046195983887, -1.5997356176376343, -0.3718750476837158, 0.09806296974420547, 0.9858925342559814, -0.9408109188079834, -0.6626367568969727, -0.7428293824195862, -0.3132849633693695, -0.17778843641281128, -0.5816758275032043, -0.5746670365333557, 0.8283208608627319, -0.6235458254814148, 0.17546136677265167, 0.003320189891383052, 0.3907633125782013, -0.1346549391746521, -0.17714668810367584, 0.32215237617492676, -0.6355583667755127, 0.5088918805122375, -0.26710113883018494, -0.061615195125341415, 0.4529860019683838, 0.17045964300632477, 0.08418072015047073, 0.691456139087677, -0.8990771174430847, -0.2385510802268982, 0.033698875457048416, 0.4009162187576294, -0.7923998236656189, 0.1885443478822708, 0.03863978385925293, -0.5467831492424011, -0.7512432932853699, 1.4467573165893555, -0.5817062854766846, -0.30636924505233765, 0.6272304058074951, -0.1419309675693512, 0.9542759656906128, 0.5985252261161804, -0.5489516854286194, 0.012748648412525654, 0.35533735156059265, 0.8590370416641235, 0.40279677510261536, -0.04593989625573158, -0.20380042493343353, 0.18878327310085297, 0.24885666370391846, 0.39152225852012634, 0.6729544401168823, 1.0939841270446777, 0.1642642617225647, -0.37601304054260254, -0.14751797914505005, 0.07655330747365952, -0.5973349213600159, 0.6693730354309082, -0.9836440682411194, 0.7458144426345825, 0.5693342089653015, 0.09042967110872269, 0.8315140008926392, 0.7936790585517883, -1.3462504148483276, -0.3526862561702728, -0.7266027927398682, 0.11983127892017365, 0.5474597215652466, -0.5889906883239746, 1.0246062278747559, 1.2592772245407104, -1.5978823900222778, 0.1412092000246048, -0.7536022663116455, -0.6402906775474548, -1.028175950050354, 0.3617130219936371, 0.2291547954082489, 0.36920636892318726, -0.01178598590195179, -0.08143002539873123, 0.7114837169647217, 0.12026281654834747, -0.6824755072593689, -0.5638104677200317, 0.0764564648270607, 0.5834478139877319, -0.07333143800497055, -0.22425203025341034, 0.7442082166671753, -1.191951036453247, -1.1234824657440186, -0.24287475645542145, -1.5626335144042969, -0.2450319528579712, 0.013360870070755482, 1.0814059972763062, 0.1969708353281021, 0.9214309453964233, 0.08423353731632233, -0.23596879839897156, -0.026681512594223022, -0.740032970905304, 0.49330270290374756, 0.4073251783847809, -0.7504270076751709, 0.24432212114334106, 0.556388258934021, 0.34209105372428894, -1.0773853063583374, 0.7599148750305176, -0.8176282644271851, -0.022583166137337685, -0.0671488419175148, -0.1448075920343399, 0.35871440172195435, -1.3456639051437378, 0.053175222128629684, -1.151503086090088, 0.7478029727935791, 0.3543735146522522, 0.41425588726997375, 0.11323065310716629, -0.287910521030426, -0.45624083280563354, -0.1766095608472824, 0.04866985231637955, 0.15604791045188904, -0.9905080795288086, -0.6768853664398193, -0.16393451392650604, -0.4251081049442291, 1.1908067464828491, 0.3364097774028778, -0.2123495191335678, -0.8211594820022583, 1.0745975971221924, -0.3420315980911255, -0.4426714777946472, -0.6306730508804321, -0.3730071187019348, 0.6389642953872681, -0.3001796305179596, 1.317812442779541, 1.5475937128067017, 0.6945475339889526, -0.8940391540527344, -0.9718287587165833, 0.05222729593515396, 1.199697494506836, 0.6839457750320435, -0.4350447356700897, -0.04653415083885193, -1.179033875465393, 0.0719902366399765, -0.22459453344345093, 1.154022455215454, -0.07708684355020523, -0.6193042397499084, -0.13853009045124054, 0.09330251812934875, -0.019659094512462616, 0.4023566246032715, 0.6604396104812622, 0.6453462243080139, 0.6189145445823669, 0.29150256514549255, -0.8003401160240173, -0.0024473601952195168, -0.4469698965549469, 0.29118409752845764, -0.42227500677108765, 1.3498787879943848, 0.11665362864732742, -0.26379311084747314, 0.15790943801403046, -0.17905950546264648, -1.5123387575149536, 1.4063622951507568, 0.5336648225784302, 0.14539343118667603, -0.6632838845252991, -0.3241644501686096, 1.07619047164917, -1.2888280153274536, -0.6609493494033813, 0.5686851739883423, -0.1563563346862793, 0.13755197823047638, 0.7620484232902527, 0.2791264057159424, 0.6599200963973999, 0.16077007353305817, 0.8518907427787781, -0.46620041131973267, -0.36234742403030396, 0.7851919531822205, 0.9193418025970459, 0.6485974788665771, -0.2913242280483246, 0.05034198611974716, 0.6482547521591187, -0.6830414533615112, 1.2245330810546875, 0.007322573568671942, 0.03702409192919731, 0.19266249239444733, 0.3225381076335907, -0.20095789432525635, -1.0773297548294067, -1.1664988994598389, -0.006591697223484516, -0.19168053567409515, 1.391523838043213, -0.710858941078186, 0.13128358125686646, -0.9632377624511719, -0.15759676694869995, -0.770569920539856, -0.12127498537302017, -0.14072419703006744, 0.720819354057312, 0.12448900938034058, -0.41779881715774536, -1.0605798959732056, -0.23705343902111053, 1.6169763803482056, -1.3353279829025269, -0.1386352777481079, -0.07898010313510895, 0.5891172289848328, 0.13241717219352722, -0.5618692636489868, -1.6137727499008179, -0.40619492530822754, 0.7710705995559692, 0.24641740322113037, -0.5654575824737549, -1.1004691123962402, -1.1960054636001587, -0.22617554664611816, 1.121802806854248, -0.17413438856601715, -0.3426123559474945, -1.2920558452606201, -0.07259845733642578, 0.6314854025840759, 0.6223260164260864 ] ]
{ "indices": [ 189734734, 997012898, 3774983271, 1200021954, 2842715111, 3526251860, 1491351846, 2542944140, 639386442, 2067848296, 2912884399, 3449948193, 691409538, 1622730881, 3336012768, 1029574456, 2006536704, 1960040400, 4186256544, 2132027491, 358389376, 1041011349, 1063320047, 2207927533, 2517333479, 499549952, 3688822001, 4011823516, 2386266869, 2257684172, 1079027559 ], "values": [ 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.6013505282996094, 0.6013505282996094, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.693505763745749, 0.6013505282996094, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.7904079569883157, 0.7510542103959614, 0.6013505282996094, 0.693505763745749, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.4299508493493549, 0.6013505282996094, 0.4299508493493549 ] }
{ "context": "We have MAR gets PC plus offset nine. So offset nine needs to come out of the IR. I don't know if you can read it, but there's a sign extension of the eight to zero bits here that will go up into address two MUX. So we're going to have to pick that sign extended version out of address two MUX, and that'll go into the address adder. So that's step one. For PC, it's going to have to come down this way and go through address one MUX." }
652584
[ [ -0.0241067036986351, -0.6982777118682861, 0.17115411162376404, 0.833256721496582, 0.43332019448280334, 0.2532213628292084, -0.35233789682388306, 0.9963012933731079, 0.7947081327438354, -0.6618523597717285, 0.7414699196815491, 0.9970419406890869, 1.154629111289978, 0.26847410202026367, 0.24274477362632751, -0.2004944384098053, 0.5272665619850159, -0.018975693732500076, -0.4561120569705963, -0.4639822542667389, 0.8263717889785767, -0.4998246431350708, 0.007259853649884462, 0.14274364709854126, -0.7335937023162842, 0.6001881957054138, 0.17442703247070312, -1.3054286241531372, 0.2961203455924988, 0.5117241740226746, -0.5223649144172668, -1.3474806547164917, 0.8869273066520691, 0.13550683856010437, 1.3439372777938843, -0.18455269932746887, -0.32288944721221924, -0.27680373191833496, 0.17036333680152893, 0.4330461323261261, -0.28559184074401855, 0.06745018810033798, -0.3347909450531006, 0.5163265466690063, -1.2520889043807983, 0.1961255967617035, -0.2460108995437622, -0.32256951928138733, 1.3032644987106323, -0.860183596611023, 1.253430724143982, -0.6100560426712036, 1.005519986152649, 0.7896102070808411, 0.25929751992225647, 0.22195470333099365, 1.4936697483062744, 1.474817156791687, 0.5605050921440125, -1.3167022466659546, 0.020510733127593994, -0.31569358706474304, 0.9762282967567444, -0.26605910062789917, -0.469844251871109, -0.059613872319459915, -0.3872528374195099, -0.08550051599740982, 0.022010456770658493, -0.38968801498413086, -0.5079225301742554, -0.01327508594840765, 0.3954192101955414, 0.9417040944099426, 0.9569755792617798, -0.6647765040397644, 0.4224265515804291, -0.21034875512123108, 0.08367020636796951, 0.912748396396637, -1.0310611724853516, 0.5363593101501465, -0.8377639651298523, 1.2982348203659058, 0.4383290410041809, -0.9061276316642761, 1.0930380821228027, -0.696424663066864, -0.3144358992576599, 0.913580596446991, 0.3323436975479126, -0.6512139439582825, 1.4593178033828735, 0.1952970176935196, -0.6396675109863281, 0.36137673258781433, -0.23109391331672668, 0.842738687992096, 0.4307142198085785, -0.7169175148010254, 0.046543046832084656, -1.212534785270691, 0.29448050260543823, 1.673116683959961, -0.4462345242500305, 0.46585941314697266, 0.04181285575032234, -0.026357168331742287, -0.3263479173183441, 0.6661000847816467, -0.35368582606315613, 0.699421226978302, -0.3675723671913147, 0.8054993748664856, -0.2827978730201721, -0.7679921388626099, -0.8969076871871948, -0.4057413637638092, 1.3621547222137451, 0.6590270400047302, 1.030941367149353, 0.17450164258480072, 1.0588339567184448, -0.9123026132583618, -1.913757562637329, 0.5831398963928223, 0.13445837795734406, 0.06705641746520996, -1.0672714710235596, 0.5203229784965515, 0.4247531592845917, 0.21292956173419952, -0.05579923465847969, -0.4767293930053711, 0.26415517926216125, 0.9182016849517822, -1.7409231662750244, -1.5901758670806885, 0.7337016463279724, 0.09342002123594284, -0.40008655190467834, -1.5089432001113892, 0.7363792657852173, 0.8113132119178772, 1.3586440086364746, 0.30860549211502075, 0.5713561177253723, 0.42758944630622864, -0.04739729315042496, 0.6993460655212402, -0.9458652138710022, -0.33375242352485657, -1.5691946744918823, -0.3627738952636719, -0.3430125117301941, -0.014074115082621574, 0.3293103575706482, 0.26880794763565063, -0.36967265605926514, -0.22222121059894562, 0.7123537063598633, -0.4229710102081299, -0.31541556119918823, -0.010873779654502869, -0.6666136384010315, -1.2145183086395264, 2.0789153575897217, -0.2907307744026184, 0.36064413189888, 1.081321120262146, -0.2548254132270813, -0.3756481111049652, 0.2863904535770416, -0.1733204424381256, 1.5284534692764282, -0.9035919308662415, -0.197874516248703, -0.6423729062080383, 0.11102479696273804, -0.19834329187870026, -0.683514416217804, -0.4709157645702362, 0.2674490809440613, 0.9970423579216003, 0.2505258321762085, 0.07342664152383804, -0.3632098436355591, -0.11909424513578415, 1.1214823722839355, -0.6070848107337952, -0.6451766490936279, -1.3261723518371582, 0.37069499492645264, -0.09782879054546356, -0.980155885219574, -1.0973726511001587, -0.5025999546051025, 0.1833287477493286, 0.6163764595985413, -0.824623167514801, -1.0334240198135376, 0.1706908494234085, 0.8756912350654602, -0.8371100425720215, 0.05497675761580467, 0.7628763318061829, 0.8428130149841309, -0.8877606391906738, 0.8070027828216553, -0.6954006552696228, -0.4118397831916809, 0.5887352228164673, -0.11672941595315933, 0.13976535201072693, 0.19076547026634216, -0.030786756426095963, 0.3091855049133301, 0.4358347952365875, -0.025742748752236366, 0.4380582571029663, -0.796502947807312, 1.2088912725448608, 1.911864995956421, 0.9530314207077026, 0.21695774793624878, 0.6423699259757996, 0.009336470626294613, 0.49593353271484375, 0.30267706513404846, -0.02658848650753498, -0.7853606939315796, -1.9471076726913452, -0.45399078726768494, 0.1999540477991104, -0.3279913663864136, 0.22001098096370697, 1.7212214469909668, 0.6330342292785645, 0.6306637525558472, -0.2904622554779053, -1.4494913816452026, 0.6908438205718994, 0.2923157811164856, 0.5425050258636475, 0.20957458019256592, 1.4222098588943481, 0.28776487708091736, 1.2576818466186523, -0.3271386921405792, -0.05929436907172203, -0.6843628287315369, 0.5960206389427185, 0.23259827494621277, -0.5097228288650513, 0.024507366120815277, -1.143290638923645, 0.21547657251358032, -1.1318191289901733, -0.48517584800720215, 0.5767727494239807, -0.35352253913879395, -0.8296305537223816, -0.22921955585479736, -0.5796524882316589, 0.02507004514336586, 0.010931961238384247, -0.3316035866737366, -0.5547415018081665, 1.4327399730682373, -1.2476866245269775, 0.050628870725631714, 0.29661694169044495, -0.3699628710746765, -0.9844444990158081, 0.015176108106970787, 0.7075285911560059, -0.30876752734184265, 1.2195608615875244, -0.9064183831214905, -0.7435482144355774, -0.8739264011383057, 0.17154724895954132, 0.36913684010505676, -0.3927997946739197, -1.9076987504959106, 0.5156789422035217, -0.35656464099884033, -0.7429404258728027, 1.032117247581482, -0.13875967264175415, -1.0421500205993652, 0.7016106247901917, 0.07009025663137436, -0.7599180340766907, 0.09356137365102768, -0.3651057183742523, 0.3208448588848114, -0.0139299426227808, -0.31679093837738037, 0.2217877358198166, 0.05298500135540962, -1.741715908050537, -0.016132323071360588, -0.9104095101356506, -0.3773249685764313, -0.07475802302360535, -0.25595828890800476, -0.43003523349761963, -0.23366154730319977, 0.7625221610069275, 0.17631413042545319, -0.003988860175013542, -0.4283524751663208, -1.2328978776931763, -1.5369014739990234, -0.09919686615467072, 0.4374721646308899, 0.8596533536911011, -0.9738640189170837, -0.18319281935691833, 1.752972960472107, 0.6473760008811951, -0.5576210618019104, -0.2778855562210083, 0.7596327066421509, 0.5940045118331909, -0.9549322724342346, 0.1874292939901352, 0.5348938703536987, 0.005799805745482445, 0.04858464002609253, -0.3921947181224823, 0.7565603256225586, 2.050210475921631, -0.9456835985183716, -0.7927312850952148, 0.1098068356513977, 0.26782453060150146, -0.6844044327735901, 0.1169259175658226, 0.26732999086380005, 0.14918991923332214, 1.0882306098937988, -0.2098768651485443, 0.9901896119117737, 0.20686279237270355, -0.37640368938446045, 0.6596478819847107, 0.052411772310733795, 0.5098979473114014, 0.0411161445081234, 0.1776900589466095, 0.637069582939148, -1.082423210144043, -0.7265334129333496, 0.3095003366470337, 1.202831506729126, -1.119706630706787, 0.2081563025712967, 0.9767876863479614, 0.7623159885406494, 0.2969619631767273, -0.1542281061410904, 0.18689337372779846, -0.09773729741573334, 0.7843092083930969, -0.243647500872612, -0.21913017332553864, 0.3301839828491211, -0.2643212378025055, 0.13588383793830872, 0.6201658248901367, -0.825942873954773, -0.19789375364780426, -1.3891628980636597, -1.0106151103973389, 0.8441253900527954, 1.1789346933364868, 0.6153746843338013, 0.7792910933494568, 0.2814909517765045, -0.16614748537540436, -0.9040078520774841, 0.009166271425783634, -1.4121413230895996, 0.2181435227394104, 0.15757805109024048, -0.1818332076072693, 0.4743945300579071, -0.07708054780960083, 0.3667171895503998, -0.6557164788246155, -1.7005144357681274, 0.10166798532009125, -0.07367373257875443, -1.2892118692398071, 0.6961790919303894, 0.23196689784526825, -0.632088840007782, 0.48903846740722656, 1.015110969543457, 1.9109158515930176, 0.41237422823905945, 0.5356101989746094, 0.6406846046447754, 0.3445051610469818, -0.3917750418186188, 0.13400377333164215, -0.15467216074466705, 0.03828439489006996, 0.9729319214820862, 0.14916732907295227, 0.7787808775901794, -0.9040648341178894, 0.23910339176654816, 0.4697853922843933, 0.843353271484375, 0.2478315234184265, 0.06134067475795746, -0.12462923675775528, -0.1481461524963379, -0.7999527454376221, 0.13293834030628204, 1.3190186023712158, -0.31821534037590027, 1.4997265338897705, 1.009108066558838, 0.4351806938648224, -0.3120926320552826, 1.0686525106430054, 1.2505067586898804, -0.335095077753067, 0.13314861059188843, -0.5795478224754333, -0.9314525723457336, -0.6525922417640686, -0.08264723420143127, 0.3456209897994995, 0.6856197118759155, 0.5171395540237427, -0.27107003331184387, 0.9070993065834045, 0.09040172398090363, -1.0229896306991577, -0.03610149398446083, -0.8005272746086121, -0.2139374315738678, 0.1866958737373352, 0.4349357485771179, 0.8560429811477661, 0.12270017713308334, -1.0129520893096924, -0.7290119528770447, -0.8666249513626099, -2.2010231018066406, -0.21074365079402924, 0.16687773168087006, 1.7106066942214966, -0.4462418556213379, 0.22177302837371826, -1.190198540687561, -1.5098530054092407, 0.4349520206451416, -0.4875448942184448, 1.5214555263519287, -0.9439132213592529, 0.9689490795135498, -0.018901223316788673, -0.8891640305519104, -1.1978495121002197, 0.9743334650993347, -0.19723138213157654, -0.3856905996799469, -0.3670293688774109, 0.33221450448036194, -0.8506714701652527, 0.528977632522583, 0.13947908580303192, 1.3217447996139526, -0.7742041349411011, -0.33930495381355286, 0.18663616478443146, 1.3613049983978271, -0.8931646347045898, -0.17718608677387238, 0.1496347337961197, -1.0629724264144897, -0.8764245510101318, 0.8388010263442993, -0.22135435044765472, -0.10192489624023438, 0.45493292808532715, -0.3649117648601532, -0.0812014788389206, -0.1671116203069687, -1.3516714572906494, 1.5765427350997925, 0.07306096702814102, 0.22541336715221405, 0.8454750776290894, 0.7829387784004211, -0.6626014113426208, -0.0017957260133698583, -0.9134362936019897, 0.3399244248867035, -0.7328194379806519, 1.433348536491394, -0.8870849609375, 0.1339397430419922, -1.0481232404708862, 0.8549250960350037, -0.20673616230487823, 0.0657489225268364, -0.24915511906147003, 0.022084612399339676, -0.4600844979286194, 0.8128233551979065, -0.9259563088417053, 0.20607426762580872, -0.5308408737182617, 0.07914002239704132, -0.4797743260860443, 0.04632211849093437, 0.42258214950561523, -1.524680256843567, 0.28099456429481506, 0.6228304505348206, 0.3057715892791748, 1.3879363536834717, -1.0113226175308228, -0.5111904144287109, 0.6890125870704651, -1.0743556022644043, 0.8486336469650269, 0.27661654353141785, -0.25106388330459595, -0.31533581018447876, -0.8601379990577698, -0.08038702607154846, 0.48386409878730774, -0.3171236217021942, 0.43136805295944214, 0.040369704365730286, 0.8311681151390076, 0.03510039672255516, -0.7293676733970642, -1.0213851928710938, -0.5426079034805298, -0.7607335448265076, 0.4781745970249176, 0.3633342385292053, -0.11584699153900146, -0.029926534742116928, 0.26136815547943115, -0.4964355528354645, 0.18572939932346344, 1.119958758354187, -0.26536351442337036, 0.5830749869346619, 0.6275071501731873, 0.6055452823638916, 0.7746627926826477, 1.1257238388061523, 0.8280238509178162, -0.3121742010116577, 0.018858911469578743, 0.37299659848213196, -0.5833635926246643, -1.687612771987915, -0.7194960117340088, -0.10941680520772934, -0.9730461239814758, -0.16717728972434998, 1.6860839128494263, -0.19194342195987701, 0.4417684078216553, 0.1405152827501297, -0.05641883611679077, -0.6461837887763977, 0.046192750334739685, -0.5576087236404419, -0.756074070930481, 0.7310810685157776, -0.6159095764160156, 0.33518680930137634, -0.02664848603308201, -0.2912710905075073, -0.8765124678611755, 0.28606826066970825, 0.2601640820503235, 0.18013687431812286, 2.1122679710388184, 0.5825298428535461, 0.11687320470809937, 0.6322821974754333, 0.6288732290267944, 0.058281127363443375, -0.2346183806657791, 0.6736476421356201, 1.181230068206787, 0.5515532493591309, -0.5489843487739563, 0.15587888658046722, -1.0028800964355469, 0.5890697240829468, 1.8842490911483765, -0.7689725756645203, 0.4462122917175293, -0.2072700411081314, 0.2018696665763855, -0.8273758292198181, -0.2587966024875641, -0.7845141887664795, 0.5312551856040955, -0.3867734670639038, 2.4988653659820557, -1.1950052976608276, -1.7918591499328613, 1.0636714696884155, 1.5811984539031982, 0.2864896357059479, -0.1852773278951645, 0.6779270768165588, -0.12558193504810333, -0.3501224219799042, -1.2459944486618042, -0.26646682620048523, 0.8012030720710754, -1.345258116722107, 1.03656804561615, 0.030168579891324043, -0.20205292105674744, 0.45139724016189575, 0.7723142504692078, 0.3959304094314575, -1.5319066047668457, -1.5353710651397705, -1.4615854024887085, 1.0277870893478394, 0.08292488008737564, -0.14840921759605408, 0.01329418271780014, -0.007310377433896065, 0.4704788327217102, -0.35329610109329224, 0.9129185676574707, -0.619824230670929, -1.5103707313537598, -0.7152749300003052, -0.9205183386802673, -1.071286678314209, 1.5661226511001587, 0.29554805159568787, -0.49544480443000793, 0.9938512444496155, -0.330045610666275, 1.2093812227249146, 0.30633941292762756, 0.5930389761924744, 0.25776463747024536, 1.2775678634643555, -0.4654451906681061, 0.17033424973487854, -0.5114896893501282, 0.049538686871528625, 4.220146656036377, 1.051679015159607, -0.405514657497406, -2.1563022136688232, -0.14142653346061707, -0.6959162354469299, 0.40970614552497864, 0.025509526953101158, 0.5804033279418945, 0.3083929717540741, 0.7927905917167664, 0.5600302815437317, 0.21570011973381042, -0.2784414291381836, 0.3578677177429199, 0.6387909650802612, -0.6908553838729858, -0.9865016341209412, 0.5555187463760376, 0.5775325894355774, 0.2818109691143036, -0.25427988171577454, -1.0626097917556763, -0.9506221413612366, 0.9924576878547668, -0.2079860419034958, 0.23377446830272675, -0.2527935206890106, 1.114528775215149, -0.014575506560504436, -0.925593376159668, 0.7895846962928772, 0.7912355661392212, 0.21235741674900055, 0.7735556364059448, 0.27093592286109924, -0.6640337705612183, -0.4013444781303406, -0.7200354933738708, 0.6526809334754944, 0.21657848358154297, -0.13560284674167633, -0.1729135364294052, -0.09493767470121384, -0.7346760034561157, -0.3774425983428955, -0.49858611822128296, -0.7322059869766235, 0.08563550561666489, -0.35835781693458557, 0.6526933908462524, 0.25730690360069275, 0.15884868800640106, -1.0070979595184326, -0.7094900012016296, 1.1061580181121826, 0.3474046587944031, -0.3761460483074188, -0.7249184250831604, -0.8411610126495361, 0.6107224822044373, -0.293617308139801, 0.41109591722488403, 0.9236271977424622, 0.5649938583374023, 0.7654666304588318, -0.8645307421684265, 2.0225560665130615, -0.0767621397972107, -0.49177566170692444, -0.3466222882270813, 0.09911805391311646, -0.29327279329299927, -0.3829895853996277, -0.7024232149124146, 0.745594322681427, -0.8465573191642761, 0.41442161798477173, -0.8262282013893127, -0.5924671292304993, -0.6758493781089783, 0.8481330871582031, 0.07338360697031021, -0.06388475745916367, -0.390520304441452, -0.5264173150062561, -0.035891249775886536, 0.6708672046661377, 0.24814724922180176, 0.16556501388549805, 0.2560688555240631, 1.3704547882080078, 1.0077649354934692, -1.2519911527633667, 0.38362568616867065, 0.30918094515800476, -0.6933361291885376, 0.2676598131656647, -0.5046055912971497, 1.3143266439437866, -0.04668048024177551, -0.5473392009735107, -0.4275180399417877, 0.92103111743927, -0.7808758616447449, -0.1391400694847107, 0.9301631450653076, -1.3663913011550903, -0.0050572887994349, -0.046760715544223785, 0.9454762935638428, -0.6211352348327637, -0.604081392288208, -0.4256656765937805, 0.17141331732273102, -0.2785196006298065, -0.18138954043388367, -0.7100512981414795, 0.6286432147026062, -0.3690711259841919, 0.3460993766784668, -0.048370134085416794, 0.2456580549478531, 0.07612154632806778, -0.006751361768692732, 0.17260253429412842, -0.954050600528717, -0.08434054255485535, -0.5307888388633728, 0.14670486748218536, 0.15995833277702332, -0.05587897449731827, -0.23073607683181763, 0.8163086771965027, -1.2256627082824707, -0.595609724521637, 0.13640150427818298, 0.32439953088760376, -1.4086999893188477, 0.14756150543689728, 0.395696222782135, -0.3580905795097351, -0.6070837378501892, 1.8146605491638184, -0.6627228260040283, -0.304206520318985, 0.6740992665290833, -0.32138049602508545, 0.6030484437942505, 0.8889155983924866, -0.9857443571090698, -0.23711295425891876, 0.3835483491420746, 0.40846729278564453, 0.40727269649505615, -0.15417000651359558, -0.12081393599510193, 0.022954635322093964, 0.43997621536254883, 0.6030687093734741, 0.2612093985080719, 0.7881405353546143, 0.1466130018234253, -0.5528165698051453, 0.24061745405197144, 1.1633630990982056, -0.4255869388580322, 0.36306047439575195, -0.8096686601638794, 0.8009480237960815, 0.28367140889167786, -0.2758317291736603, 0.2745623290538788, 0.45086243748664856, -1.4380148649215698, -0.5036493539810181, -0.20022685825824738, 0.17727462947368622, 0.9420127272605896, -0.63087397813797, 0.300680547952652, 1.294457197189331, -1.2653193473815918, 0.04078507423400879, -0.7567535638809204, -0.5617705583572388, -1.3369425535202026, 0.3422698974609375, 0.4083520174026489, 0.2485283762216568, 0.061579156666994095, 0.0706210657954216, 0.9093855023384094, -0.30316162109375, -0.5387813448905945, -0.9344151020050049, 0.20580828189849854, 0.6181769371032715, -0.4233556389808655, -0.38240182399749756, 0.9891155958175659, -1.1635615825653076, -1.2201006412506104, -0.44981905817985535, -1.2510432004928589, 0.04256569594144821, -0.12075650691986084, 0.7464842796325684, 0.0673956498503685, 0.7377178072929382, -0.10562343150377274, 0.16441690921783447, -0.13185471296310425, -0.7129889726638794, 0.5158329606056213, 0.10269899666309357, -0.3066460192203522, -0.020213330164551735, 0.6907253861427307, 1.0002186298370361, -0.6357402801513672, 0.6904789209365845, -0.9459876418113708, -0.2181885540485382, 0.2977515161037445, 0.12322856485843658, 0.5185792446136475, -1.3592784404754639, -0.3363402187824249, -1.0038518905639648, 0.6885282397270203, 0.11945190280675888, -0.04955733194947243, -0.30652716755867004, 0.15318094193935394, -0.8414835929870605, -0.2099282443523407, 0.6214230060577393, 0.2390476018190384, -1.098268747329712, -0.6244036555290222, -0.3281900882720947, -0.18674492835998535, 1.050829529762268, 0.3642748296260834, -0.10308294743299484, -0.5169379115104675, 1.0966684818267822, -0.32694584131240845, -0.4638073444366455, -0.7933691740036011, -0.5030569434165955, 0.5149275660514832, -0.6251802444458008, 1.2688320875167847, 1.5629185438156128, 0.6254186630249023, -0.9132822751998901, -0.9981103539466858, -0.3548564314842224, 1.4137401580810547, 1.2488775253295898, 0.11008977890014648, -0.0946219339966774, -1.6156399250030518, 0.09182918071746826, -0.4256778955459595, 0.5446884036064148, -0.30437856912612915, -0.5773193836212158, -0.1755126565694809, -0.16272224485874176, 0.009339046664536, 0.06471376866102219, 0.6162775158882141, 0.7521095275878906, 0.017118925228714943, 0.4647100567817688, -0.8122918605804443, 0.0027104048058390617, -0.16058117151260376, 0.1851101815700531, -0.25265437364578247, 1.6281893253326416, 0.2925613224506378, -0.09380097687244415, 0.17085513472557068, -0.17254269123077393, -1.6031688451766968, 1.5050967931747437, 0.2190840095281601, 0.38151606917381287, -0.7259407043457031, -0.08012130856513977, 1.4642338752746582, -1.2391568422317505, -0.9171537756919861, 0.8334366679191589, 0.32960236072540283, 0.03096744418144226, 0.8174329400062561, 0.47670286893844604, 0.833442211151123, 0.1846872717142105, 0.3936079740524292, -0.5546503663063049, -0.7546014189720154, 0.7150899767875671, 0.8358522057533264, 0.5663575530052185, -0.34680190682411194, 0.2736263871192932, 1.1189532279968262, -0.6223317384719849, 1.2626742124557495, -0.14229810237884521, 0.46297308802604675, -0.08369195461273193, 0.9005662202835083, -0.9287714958190918, -0.7550592422485352, -1.0954669713974, 0.01367703452706337, -0.18339167535305023, 1.238421082496643, -1.0401099920272827, 0.1726992279291153, -1.6074730157852173, 0.23442266881465912, -1.2556333541870117, -0.22534701228141785, -0.07787630707025528, 0.7366054654121399, -0.39753633737564087, -0.5788953900337219, -0.7024825811386108, 0.26785150170326233, 1.6176680326461792, -1.2361201047897339, 0.38245904445648193, 0.22441056370735168, 0.6324344873428345, 0.38416925072669983, -0.6465813517570496, -1.7208764553070068, -0.4134095311164856, 1.0867375135421753, 0.21682436764240265, -0.23387424647808075, -1.0056980848312378, -1.7829235792160034, 0.5261885523796082, 1.2455904483795166, 0.043560635298490524, -0.15606532990932465, -1.2871075868606567, 0.6594504714012146, 0.39290815591812134, 0.5290610790252686 ] ]
{ "indices": [ 691409538, 2386266869, 2257684172, 3774983271, 4186256544, 2542944140, 1079027559, 2132027491, 1041011349, 3589630101, 358389376, 997012898, 3023675989, 1153915709, 489905694, 2842715111, 3526251860, 1622730881, 2517333479, 3282398576, 1960040400, 3905155331, 189734734, 1432619228, 3009698197, 2442179339, 1491351846, 1296924235, 28501148, 262840313, 989116115, 862607732, 189262593, 448220673 ], "values": [ 0.7540182209966191, 0.43385612017797237, 0.6051599098019981, 0.6051599098019981, 0.6968784229233658, 0.43385612017797237, 0.43385612017797237, 0.43385612017797237, 0.6051599098019981, 0.43385612017797237, 0.43385612017797237, 0.6051599098019981, 0.6051599098019981, 0.6051599098019981, 0.43385612017797237, 0.43385612017797237, 0.43385612017797237, 0.43385612017797237, 0.43385612017797237, 0.43385612017797237, 0.43385612017797237, 0.43385612017797237, 0.6051599098019981, 0.43385612017797237, 0.43385612017797237, 0.43385612017797237, 0.43385612017797237, 0.43385612017797237, 0.6051599098019981, 0.43385612017797237, 0.6051599098019981, 0.43385612017797237, 0.43385612017797237, 0.43385612017797237 ] }
{ "context": "So that's step one. For PC, it's going to have to come down this way and go through address one MUX. So that's this direction. So then those two get added together. PC is green, offset nine, sign extended to 16 bits is blue. Those get added together. That has to then go through the MAR MUX, across the bus, and then down into the MAR. So that's purple. So we need those three parts. We have to configure all of those parts so that this data flow works through the data path." }
712879
[ [ -0.21423393487930298, -1.0443872213363647, 0.03685873746871948, -0.8147899508476257, 0.09102845191955566, 0.4071635901927948, -0.015460971742868423, 0.4084254801273346, 0.3256414830684662, -0.22644498944282532, 0.6411023736000061, 0.4970497190952301, 1.0170588493347168, 0.22413307428359985, 0.788299560546875, 0.05595105513930321, 0.4746088981628418, -0.4153275787830353, -0.6118354797363281, -0.8801040053367615, -0.2891491651535034, -0.4441211521625519, -0.375507652759552, 0.0014485354768112302, -0.9773784875869751, 0.7736886739730835, -0.24465949833393097, -0.5554134249687195, 0.11065161228179932, 0.9250125288963318, -0.48047953844070435, -1.280691146850586, 0.8437273502349854, -0.9241759777069092, 1.0092195272445679, -0.3846828043460846, -0.1666882038116455, -0.43285688757896423, -0.22837968170642853, 0.7907000780105591, -0.5332326292991638, 0.006682548206299543, -0.5727494955062866, 1.119941234588623, -1.290356159210205, -0.05025613680481911, 0.12476266920566559, 0.33289897441864014, 0.6487504839897156, -0.3581167757511139, 1.3019591569900513, -0.711556077003479, 0.4071371257305145, 0.3208604156970978, -0.5060861706733704, 0.9473007321357727, 1.0243326425552368, 1.3666324615478516, -0.2200194001197815, -0.5873152017593384, 0.01687845028936863, -0.17591799795627594, 0.6831719279289246, -0.17062343657016754, -0.10161048173904419, -0.1848975569009781, -0.13625310361385345, -0.6510672569274902, 1.0243488550186157, -0.3971400260925293, -0.6266452670097351, 0.417913556098938, 0.40138450264930725, 0.4854687750339508, 0.7007180452346802, -0.5664130449295044, 0.29299476742744446, 0.0676574632525444, 0.6614547371864319, 0.6143410205841064, -0.7332580089569092, -0.20760008692741394, -0.27417421340942383, 0.034427326172590256, 0.5473178029060364, -0.42845574021339417, 1.5802396535873413, -0.1608230620622635, 0.335670530796051, 0.2819880247116089, 0.004923237022012472, -0.4388141632080078, 1.5320953130722046, 0.23697401583194733, -0.9651148319244385, 0.15221360325813293, 0.7579869627952576, 0.7437574863433838, -0.5136327147483826, -1.1402043104171753, 0.5427391529083252, -0.49900415539741516, -0.34265103936195374, 0.7119746804237366, 0.3933694064617157, -0.2099602222442627, -0.5403295159339905, -0.5942716002464294, -0.9459018707275391, 0.1665932685136795, -1.1667187213897705, 0.4927078187465668, -0.41605615615844727, 0.05826755240559578, 0.5550023317337036, -0.328256219625473, -0.5542018413543701, -0.3979906439781189, 0.44565147161483765, 1.2222827672958374, 0.7860321402549744, 0.08021404594182968, 0.42323416471481323, -0.6663815379142761, -1.27214515209198, 1.1463043689727783, 0.20404790341854095, 0.44630107283592224, -0.7966739535331726, 0.02105066180229187, 0.5623646378517151, 0.5340180397033691, 0.04010958969593048, -0.6938148736953735, 0.1801287680864334, 0.5361891388893127, -2.0358707904815674, -1.2847578525543213, 1.010658860206604, -0.23746828734874725, -0.6253550052642822, -1.2185994386672974, -0.23768188059329987, 0.3679538667201996, 0.821645975112915, 0.16735148429870605, 0.43030548095703125, 0.316942036151886, -0.18008168041706085, 0.9390332698822021, -0.704484224319458, 0.8169957399368286, -1.1422507762908936, -0.10064898431301117, -0.37628307938575745, -0.8763085603713989, -0.25209760665893555, 0.22839002311229706, -0.1514972746372223, -0.09060633182525635, 0.6244044899940491, -0.5817161798477173, 0.36317095160484314, -0.5530799627304077, -1.26936674118042, -0.7508915662765503, 2.235114336013794, -0.3446092903614044, 0.6365934014320374, -0.03180732950568199, 0.5935564041137695, 1.1320844888687134, -0.3487260043621063, 0.00899279024451971, 1.6669120788574219, -0.5479525923728943, 0.2877698838710785, 0.24576474726200104, -0.14590878784656525, -0.12239591032266617, -0.5462847948074341, -0.9855193495750427, 0.15832218527793884, 0.17026178538799286, -0.2602536082267761, 0.5306089520454407, -0.5355146527290344, -0.33454903960227966, 0.6102269291877747, -1.070111870765686, -0.4881133735179901, -0.6216463446617126, 1.1962890625, -0.41263607144355774, -0.46484291553497314, -0.8883607387542725, -1.2811466455459595, 0.22111396491527557, -0.30904099345207214, -0.7282161712646484, -0.09137655049562454, 0.3472043573856354, 0.33061885833740234, -1.0128206014633179, -0.5504332184791565, 0.4384949803352356, 0.9742137789726257, -0.6280480623245239, 0.26468417048454285, -0.3556883633136749, -0.272014856338501, 1.0264310836791992, -0.5938292145729065, 0.02544296160340309, -0.01255209930241108, -0.3222392797470093, -0.07580864429473877, 0.6546056866645813, 0.006104881409555674, 0.11680209636688232, -1.6095460653305054, 0.8677021861076355, 2.003687858581543, 0.44867974519729614, 0.7207090258598328, 0.34618422389030457, -0.5102115273475647, -0.3209150731563568, 0.7416980266571045, -0.8709061145782471, 0.20177510380744934, -0.5221377015113831, 0.6654301881790161, -0.5887874364852905, -0.3456551134586334, -0.3260035514831543, 1.326464056968689, 0.3414975702762604, 0.6489341855049133, 0.6239197850227356, -0.2400452047586441, 0.7837719321250916, 0.8343100547790527, 0.4608502984046936, -0.5002667903900146, 1.3084837198257446, 0.7702058553695679, 0.565736711025238, -0.7998416423797607, -0.22015969455242157, -0.41217732429504395, 1.275933027267456, 0.3007417619228363, -0.3922451138496399, 0.12329466640949249, -0.9684724807739258, 0.8538532257080078, -0.7772130966186523, -0.0547727607190609, 0.7882451415061951, 0.11565962433815002, -0.05865688994526863, -0.2726322114467621, -0.6882380247116089, -0.3032255172729492, 0.5959614515304565, -0.7366614937782288, -0.37005460262298584, 1.384732723236084, -0.2107761651277542, 1.0126770734786987, 0.40608054399490356, -0.7772969007492065, -0.20595026016235352, 0.4077083468437195, 0.6172277927398682, 0.3907352685928345, 1.0795516967773438, -1.2855080366134644, -0.4433463513851166, -0.7567291259765625, 0.44004321098327637, 0.33733832836151123, 0.1258133202791214, -1.288946270942688, 0.1820947527885437, -0.04847367852926254, -0.8102278113365173, 0.18119141459465027, 0.15093894302845, -0.9166329503059387, 0.5042197108268738, -0.09000994265079498, -1.1780701875686646, 0.11112964153289795, 0.4700395166873932, 0.009914806112647057, 0.14688321948051453, 0.22748605906963348, 0.6546934843063354, 0.942682147026062, -1.3459419012069702, -0.009809628129005432, -0.8680086731910706, -0.34607183933258057, 0.7197664380073547, -1.0185617208480835, -0.33778613805770874, -0.7802152037620544, 0.41453057527542114, -0.3567041754722595, -0.4519214928150177, -0.7454938888549805, -0.34162527322769165, -1.2824417352676392, -0.2374659776687622, -0.04403052479028702, 0.31925874948501587, -0.19237248599529266, -0.5244622230529785, 1.4065122604370117, 0.06229068338871002, -1.1852188110351562, -0.06836403161287308, 0.2913239002227783, -0.6791577339172363, -1.0907466411590576, -0.6684257388114929, 0.8394659757614136, 0.4032386541366577, -0.018434317782521248, -0.19620873034000397, 0.7218365669250488, 0.7199612855911255, -0.27688130736351013, -0.9160021543502808, -0.34479764103889465, 0.21644361317157745, -0.5332260131835938, 0.2140514850616455, 1.0937573909759521, 0.4272012412548065, 0.8516561388969421, 0.1637580245733261, 0.40529313683509827, 0.5674101114273071, -0.5067405104637146, 0.8679453730583191, -0.5297873616218567, 0.9741408228874207, 0.02249212935566902, -0.42539849877357483, 0.26561400294303894, -0.28531429171562195, -0.33755946159362793, 0.7011234760284424, 0.5434930920600891, -0.6342914700508118, 0.8173474669456482, 0.8771562576293945, 1.1543729305267334, -0.5801312923431396, -0.3085208535194397, -0.5600926280021667, 0.682874858379364, 0.06426476687192917, -0.40545231103897095, 0.02628549002110958, 0.04345511272549629, -0.018790127709507942, 0.47612258791923523, 0.7388144135475159, -0.7733681201934814, -0.3588929772377014, -1.9276596307754517, -0.006193573120981455, 0.4703131318092346, 0.3874487280845642, -0.024577664211392403, 0.8290640711784363, 0.5205101370811462, 0.08436042815446854, -0.534674882888794, 0.18157832324504852, -2.152956962585449, 0.18011346459388733, 0.3121466636657715, 0.44907739758491516, -0.021679449826478958, -0.43389230966567993, 0.31822311878204346, -0.3316289782524109, -1.2343096733093262, 0.30646228790283203, 0.3007860481739044, -1.3454817533493042, 0.5822882056236267, -0.15854765474796295, -0.20436571538448334, 0.22035564482212067, -0.13474293053150177, 1.6018753051757812, 0.7438787817955017, -0.14521300792694092, 0.005884021986275911, 0.3092481195926666, -0.5699595808982849, -0.700354278087616, 0.28950220346450806, 0.4128984808921814, 0.9406812191009521, 0.23160776495933533, 0.9577698111534119, -0.5223137140274048, -0.5639618039131165, 1.0179377794265747, 0.9258641004562378, -0.2037656158208847, 0.30362069606781006, -0.26751595735549927, -0.15073485672473907, -0.3333708345890045, -0.2923603653907776, 0.7537420392036438, 0.27091869711875916, 0.935642659664154, 0.5737240314483643, -0.22784064710140228, 0.30259257555007935, 1.039133071899414, 0.9589889049530029, -0.25809943675994873, -0.347840815782547, -0.3357835114002228, -0.7826212644577026, 0.3453751504421234, -0.4309948682785034, 0.005094785243272781, 0.9021883010864258, 0.4321596920490265, -1.347901463508606, 0.09229836612939835, 0.4461977779865265, -0.831287145614624, 0.1214887723326683, -0.3734721541404724, 0.24762502312660217, -0.035502076148986816, 0.9260993599891663, -0.3156363070011139, -0.018126055598258972, 0.4097414016723633, 0.14513258635997772, -1.0028241872787476, -2.3906803131103516, -0.24390114843845367, -0.013712935149669647, 1.347912073135376, -0.15110467374324799, -0.3223536014556885, -0.4688645899295807, -0.8918935060501099, 0.1917102187871933, -0.5554552674293518, 0.45245251059532166, -0.8828617334365845, 0.482493132352829, -0.671620786190033, -1.4504902362823486, -0.7527708411216736, 1.0040185451507568, -0.5293870568275452, 0.5325933694839478, -0.07705022394657135, -0.057906363159418106, 0.5674618482589722, 0.15125645697116852, 0.13191986083984375, 0.8315154314041138, 0.3124208152294159, -0.23042912781238556, 0.8846265077590942, 1.0062366724014282, -1.4920827150344849, -0.9549373388290405, 0.3979520797729492, -0.31186825037002563, -0.5097760558128357, 1.3854894638061523, -0.6825499534606934, -0.2594474256038666, 0.29008153080940247, -0.10415248572826385, -0.129567950963974, -0.14934103190898895, -1.1196602582931519, 0.8843145966529846, -0.37937280535697937, 0.6322267055511475, 0.9385957717895508, 0.2089262753725052, -0.6747369766235352, -0.34708139300346375, -0.3537432849407196, 0.25969791412353516, -1.0744855403900146, 0.42504826188087463, -0.14993111789226532, -0.3954166769981384, -1.1365147829055786, 0.9685598015785217, -0.25492602586746216, 0.030782638117671013, -0.2792929410934448, -0.15601050853729248, 0.15523812174797058, 0.7762445211410522, -1.2468417882919312, 0.501162052154541, -0.006425543688237667, 0.1853732168674469, -1.1377099752426147, 0.2416997253894806, 0.013361651450395584, -1.5130053758621216, 0.11631188541650772, 0.08538692444562912, 0.18954575061798096, 0.7397284507751465, -0.5035502314567566, 0.3822416067123413, 0.2338794618844986, -1.3175898790359497, 1.2928602695465088, 0.5655232071876526, -0.04064707085490227, 0.3039766848087311, -1.0139508247375488, -0.23887445032596588, 0.7464665174484253, -0.22556482255458832, -0.2637866139411926, -0.11637986451387405, 0.9760252237319946, 0.30174368619918823, 0.016706086695194244, -1.6117442846298218, -1.5603652000427246, -0.2990363836288452, -0.1299988180398941, 0.49845120310783386, -0.1807292252779007, 0.2724912464618683, -0.5003634095191956, -1.2517787218093872, 0.3705860674381256, 0.6153475046157837, 0.4239930808544159, 0.3410290479660034, 0.49611273407936096, 0.25765159726142883, 0.6688041687011719, 0.9560367465019226, 0.3877587914466858, 0.6173388361930847, -0.6052232980728149, 0.054076116532087326, -1.4199798107147217, -1.1423468589782715, -0.21871960163116455, -0.7144989967346191, -0.9158462285995483, -0.44059714674949646, 0.8761904835700989, 0.20426557958126068, 0.7387472987174988, 0.42633336782455444, -0.9099655747413635, -1.000468373298645, 0.23451459407806396, -0.0734020248055458, -0.6744204163551331, 0.4881475567817688, -0.08286493271589279, 0.4977693557739258, 0.015844039618968964, -0.08042663335800171, 0.1262599229812622, -0.052783168852329254, 0.1759262979030609, 1.0572104454040527, 1.9284393787384033, 0.27252089977264404, 0.6313987374305725, 0.7305418848991394, -0.09814903140068054, 0.8991770148277283, -0.9493997693061829, 0.28854507207870483, 0.18865850567817688, 0.22908690571784973, -0.5466943979263306, -0.2039184272289276, -0.6875430941581726, 0.45018866658210754, 0.9256576299667358, -0.4979287385940552, 0.38732632994651794, -0.2809475362300873, 0.5500036478042603, -0.27299901843070984, 0.07478182762861252, -0.7309525609016418, 0.4941902458667755, -0.7825247645378113, 2.254556894302368, -0.6028997898101807, -0.12695461511611938, 1.1684280633926392, 1.5329911708831787, 1.1307792663574219, -0.12306904047727585, 1.0410780906677246, 0.24463900923728943, 0.1560060977935791, -0.5348801016807556, -0.6820545196533203, 0.41680923104286194, -0.5557428002357483, 0.38224777579307556, 0.12220846861600876, 0.056763697415590286, 1.0148892402648926, 0.24551627039909363, 0.5291528701782227, -0.9163988828659058, -0.8001993894577026, -1.5159286260604858, 0.6088533401489258, 0.6543706059455872, -0.6061998605728149, -0.043090835213661194, 0.2079770267009735, 1.1914197206497192, 0.18643072247505188, 0.9011790752410889, -1.1180459260940552, -2.1681973934173584, 0.2730600833892822, 0.2506025433540344, -1.4027348756790161, 1.488527774810791, 0.7702224850654602, -0.3884834349155426, 0.38176479935646057, -0.10967043787240982, 0.5727007985115051, 0.37172451615333557, -0.22715221345424652, 0.11707421392202377, 1.4862898588180542, -0.22560031712055206, 0.11347509920597076, -0.5779121518135071, -0.39053937792778015, 5.4509053230285645, 1.4418736696243286, 0.04374809190630913, -1.4389458894729614, 0.20227041840553284, -0.5916169881820679, -0.37255480885505676, 0.04244140535593033, 0.48796921968460083, 0.6764077544212341, -0.47195205092430115, 0.9276848435401917, 0.6263440847396851, 0.02829231694340706, 0.8677142858505249, 0.24922598898410797, -0.12314742058515549, -0.45947784185409546, 0.5870698094367981, 0.7973347902297974, 0.8597226738929749, -0.4510452449321747, -0.39771968126296997, -0.8411301970481873, 0.7759308815002441, -0.24941487610340118, 0.4118158221244812, -0.9226013422012329, 1.6109980344772339, 0.4482286274433136, -0.2321709394454956, 0.9688945412635803, 0.392926961183548, 0.28882861137390137, 0.12065821886062622, 0.05755460634827614, 0.3676105737686157, -0.3683655858039856, -0.5496382713317871, 0.2279793918132782, 0.4932771623134613, -0.3361518085002899, -0.349883109331131, 0.1672815978527069, -0.7378364205360413, -0.24758605659008026, -0.7511779069900513, -0.06610895693302155, 0.17696219682693481, -0.04277064651250839, 0.23967091739177704, 0.05532320961356163, -0.2374296933412552, -1.5679504871368408, -0.6544808149337769, 0.12026546895503998, -0.22519813477993011, 0.25742200016975403, -0.06624355912208557, -0.9291114211082458, 0.3567452132701874, 0.28577521443367004, 0.687752366065979, 0.0021850166376680136, 0.453009694814682, -0.2895585298538208, -0.5705976486206055, 1.4793522357940674, -0.6677367687225342, -0.5305637717247009, -0.540063738822937, -0.2695923447608948, -0.04057745262980461, 0.08659899979829788, 0.010879116132855415, 0.912067174911499, -0.05874215438961983, 0.1953435242176056, -0.527256190776825, -0.25474756956100464, -0.7480189800262451, 0.7616177201271057, 0.1344764232635498, 0.12666815519332886, -0.03634447231888771, -0.14197984337806702, 0.33887287974357605, 0.13132530450820923, -0.3660551607608795, -0.4237448275089264, -0.7014760971069336, 1.2805720567703247, -0.39742347598075867, -0.47762757539749146, -0.259734183549881, -0.19059255719184875, -1.1970059871673584, -0.24021972715854645, -1.2089983224868774, 1.1112979650497437, 0.23181985318660736, 0.05893155187368393, -0.1368342489004135, 1.4006950855255127, -0.5523397922515869, 0.25363266468048096, 0.5076673626899719, -0.6100646257400513, -0.01237881276756525, -0.24166002869606018, 1.144504427909851, -0.8546435236930847, -0.8085446357727051, -0.27317026257514954, -0.12754780054092407, 0.3716592490673065, -0.5367136597633362, -0.5580136775970459, 0.8108162879943848, -0.11781402677297592, 0.42198091745376587, 0.011946124024689198, 0.08790033310651779, -0.44721150398254395, 0.04002857208251953, -0.22685812413692474, -0.5255179405212402, -0.004456415772438049, -0.20618285238742828, -0.28815263509750366, 0.2102869749069214, 0.012477886863052845, -0.18207113444805145, -0.3279549181461334, -0.4017867147922516, -0.41122356057167053, 0.24971838295459747, 0.1650179922580719, -0.7740846276283264, 0.14561618864536285, 0.21911275386810303, -0.42051437497138977, -0.7023767828941345, 0.6293982863426208, -0.7752140760421753, 0.1654377579689026, 0.7083489298820496, -0.29783642292022705, 1.6482460498809814, 0.6852776408195496, -0.7693135142326355, -0.6659017205238342, 0.588821530342102, 0.2974109351634979, -0.4341469705104828, 0.14951924979686737, 0.03152526542544365, 0.6916824579238892, -0.16789504885673523, 0.1469690352678299, 0.34821948409080505, 0.6324859261512756, 0.5927215218544006, -0.7586855292320251, -0.012992884032428265, -0.6162536144256592, -0.3075208067893982, 0.18709298968315125, -0.33956965804100037, 0.2407907247543335, -0.5125665068626404, 0.3816721737384796, 0.18325519561767578, -0.40476441383361816, -1.0233927965164185, -0.14033475518226624, 0.2573291063308716, 0.07456852495670319, 1.2474766969680786, -0.7931452393531799, 0.5793167352676392, 1.3094874620437622, -1.39249587059021, -0.18909016251564026, -0.15663374960422516, -0.42637258768081665, -0.9600977897644043, 0.9714481830596924, 0.13269777595996857, 0.09305346757173538, 0.22839203476905823, -0.11167509853839874, -0.2790725529193878, 0.5383334159851074, -0.795569658279419, -0.30713826417922974, 0.47875386476516724, 1.0650568008422852, 0.07035035640001297, -0.35523128509521484, 1.0886156558990479, -0.6474961042404175, -1.1835567951202393, 0.4825393259525299, -0.6364325284957886, -0.07096800208091736, -0.26556727290153503, 1.3397787809371948, 0.22478710114955902, 0.3536737263202667, -0.06969199329614639, -0.31695556640625, -0.18430571258068085, -0.36432844400405884, 0.2572132349014282, 1.0157593488693237, -0.4126819670200348, 0.3102415204048157, 0.5040655136108398, 0.08904370665550232, -0.3487681448459625, 0.6017649173736572, -1.638956904411316, -0.5658939480781555, -0.22967493534088135, -0.3601375222206116, 0.6465474963188171, -0.763849139213562, -0.6761801242828369, -0.4715585708618164, 0.7533078193664551, 0.6853675246238708, 0.15086379647254944, 0.8168215751647949, 0.7238911390304565, -0.47013965249061584, -0.4396876394748688, 0.6291640400886536, 0.425309419631958, -0.26938337087631226, -1.0552268028259277, 0.15697288513183594, -0.7348136901855469, 0.8696925640106201, 0.7164351940155029, -0.97198885679245, -1.220078468322754, 0.5933597087860107, -0.05607866123318672, -0.4196793735027313, -0.69565349817276, 0.25765421986579895, 1.3941339254379272, -0.5973479747772217, 0.42781758308410645, 1.0750316381454468, 0.8464977145195007, -0.9797669649124146, -1.3015072345733643, -0.20858995616436005, 0.8372558355331421, 1.229617714881897, -0.09102503955364227, 0.3045847415924072, -1.1634674072265625, 0.41803985834121704, 0.3648642599582672, 0.04448186978697777, 0.18703046441078186, 0.09478171169757843, -0.2620329260826111, 0.6362085342407227, 0.6314517855644226, 0.7322690486907959, 0.31131380796432495, 0.6567896604537964, -0.4462621808052063, 0.5886766314506531, -0.053826458752155304, 0.1860535889863968, -0.44268926978111267, 0.8256300091743469, -0.31572240591049194, 1.0995105504989624, -0.30495256185531616, -0.13101156055927277, 0.5502777695655823, -0.6385762691497803, -1.6694432497024536, 0.8853355646133423, -0.08333387970924377, 0.025453777983784676, -0.4917892515659332, -0.20526888966560364, 1.532899260520935, -1.3669312000274658, 0.4987049698829651, 0.3658406436443329, -0.645509660243988, -0.26272866129875183, 0.9372457265853882, 0.5793986916542053, 0.4963908791542053, 0.33261772990226746, 0.0616285502910614, -1.1638518571853638, -0.7320138812065125, 0.886349081993103, 1.0994631052017212, -0.0030959765426814556, -0.09996555745601654, 0.7047631740570068, 0.7050255537033081, -0.5047500729560852, 0.6626545190811157, 0.12155428528785706, 0.7182886004447937, -0.1715322583913803, 0.07040274143218994, 0.40708765387535095, -0.9131635427474976, -0.8207919001579285, 0.00002979519013024401, 0.4613620936870575, 1.334828495979309, -0.5581976771354675, -0.08792927116155624, -0.4660908579826355, 0.4505791664123535, -0.5720551013946533, 0.5365793704986572, -0.9946094155311584, 0.5587104558944702, 0.2575770616531372, -0.2178405374288559, -1.4541659355163574, 0.19073335826396942, 1.3130360841751099, -0.46215829253196716, 0.3383541405200958, -0.2986607253551483, 0.6477744579315186, -0.23381079733371735, -0.9887643456459045, -1.3672547340393066, -0.8055287003517151, 0.28121328353881836, 0.43747851252555847, -0.4343225061893463, -1.218643069267273, -0.932275652885437, -0.6286905407905579, 1.057064175605774, -0.6520496606826782, -0.14546985924243927, -0.9022549390792847, -0.0407184399664402, 0.3511199653148651, 1.19355309009552 ] ]
{ "indices": [ 1491351846, 1296924235, 28501148, 262840313, 989116115, 862607732, 189262593, 448220673, 1786548735, 2063350695, 189734734, 1041011349, 3076736765, 4186256544, 3009698197, 2257684172, 1866681274, 2006536704, 1904070401, 3172858508, 2836570682, 1936903680, 2394752843 ], "values": [ 0.791501851540453, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.7400676094394503, 0.7400676094394503, 0.6549466811756126, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.6549466811756126, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813, 0.4869299023387813 ] }
{ "context": "So we need those three parts. We have to configure all of those parts so that this data flow works through the data path. All right. So what do we need gating? The MAR MUX, right? So up here, this thing needs to go out on the bus. So MAR MUX needs to be a one. What about the rest? Zeros, right? Again, only one gate signal should be on. If you write on the final, multiple gate signals turn on." }
680555
[ [ 0.1720016747713089, -0.8495442867279053, -0.027413414791226387, -0.19470082223415375, -0.07169714570045471, 0.2057247906923294, 0.30464693903923035, 0.6917709708213806, 0.5812332034111023, -0.18624752759933472, 0.14112256467342377, 0.7016794085502625, 1.0455654859542847, 0.31832045316696167, 0.6131634712219238, 0.3709729313850403, 0.5150869488716125, 0.19589650630950928, -0.46544691920280457, -0.9534361362457275, 0.34510573744773865, -0.7942703366279602, -0.5866013169288635, 0.07372419536113739, -1.1491079330444336, 0.5312603116035461, -0.24601610004901886, -0.7924816608428955, 0.39116808772087097, 0.407744824886322, -0.8409124612808228, -1.2803895473480225, 0.2881321907043457, -0.7729723453521729, 0.7879096865653992, -0.24997185170650482, -0.15065772831439972, -0.4295006990432739, 0.11890468746423721, 0.3434898257255554, -0.24526351690292358, -0.23862291872501373, -0.5473847985267639, 0.9349926710128784, -0.8592368364334106, -0.1446695774793625, -0.3554631471633911, 0.28895458579063416, 0.9053076505661011, -0.4493009150028229, 1.2093957662582397, -0.8281211256980896, 0.45226049423217773, 0.10519207268953323, -0.0958809107542038, 0.5123127102851868, 1.1803467273712158, 1.4986085891723633, -0.1954900473356247, -0.5094465613365173, -0.5659517049789429, 0.1454976201057434, 0.5115445256233215, -0.3672146797180176, -0.7984142899513245, -0.035816315561532974, -0.5643871426582336, -0.5976462364196777, 0.6794201731681824, -0.14729665219783783, -0.8860828280448914, 0.19601240754127502, 0.11685612052679062, 0.24180223047733307, 0.9728986620903015, -0.22320635616779327, 0.25503891706466675, 0.11307117342948914, 1.2143570184707642, 0.7766411900520325, -0.935924768447876, 0.13442738354206085, -0.17910628020763397, 0.9288564324378967, 0.8253637552261353, -0.45453810691833496, 1.498791217803955, -0.10760793834924698, 0.511539876461029, 0.3928642272949219, -0.0377168133854866, -0.36269235610961914, 1.1907870769500732, 0.37441524863243103, -0.4251720607280731, 0.227101668715477, 0.04310926795005798, 0.7553197741508484, -0.12327776104211807, -0.6676411628723145, 0.2642192244529724, -0.8032203912734985, -0.01260390505194664, 0.8849160075187683, 0.11455975472927094, 0.39640480279922485, -0.34618479013442993, -0.22542358934879303, -0.6817420125007629, 0.24394434690475464, -0.5856406688690186, 0.7304030656814575, -0.20145390927791595, 0.4422627389431, 0.39691025018692017, -0.27443793416023254, -0.7562299966812134, -0.1090129166841507, 0.5264236927032471, 1.165178656578064, 0.918791651725769, 0.14871597290039062, 0.4277488887310028, -0.7508073449134827, -1.25191068649292, 0.7772462368011475, 0.06845486164093018, 0.17634160816669464, -0.8673742413520813, 0.3865836560726166, 1.0465575456619263, -0.06325630843639374, 0.06231094151735306, -0.3683922290802002, 0.2508639097213745, 0.6085416674613953, -2.0623841285705566, -1.4983818531036377, 1.3370492458343506, 0.14398325979709625, -0.2643127143383026, -1.208490252494812, 0.2806518077850342, 0.35646021366119385, 1.1553765535354614, 0.14800779521465302, 0.38335031270980835, 0.010463430546224117, -0.4919620454311371, 0.8638759851455688, -0.9850630760192871, 0.41431182622909546, -1.3094979524612427, -0.23985782265663147, -0.4934132993221283, -0.37860509753227234, -0.06917043775320053, 0.6303020119667053, -0.28308919072151184, -0.22834518551826477, 0.6869471669197083, -0.24689966440200806, -0.04421428218483925, -0.27227112650871277, -0.9622207283973694, -0.9636704921722412, 1.9880409240722656, -0.16427654027938843, 1.3030644655227661, 0.5562934875488281, 0.6928713321685791, 0.3845524191856384, -0.2911350131034851, -0.05069876089692116, 1.5015232563018799, -0.75175541639328, 0.06812208890914917, -0.14642609655857086, -0.3013828992843628, 0.006979880388826132, -0.40804022550582886, -1.1114057302474976, 0.832319974899292, 0.3295600116252899, 0.0563722588121891, 0.43781358003616333, -0.35109275579452515, 0.4215802252292633, 1.3149737119674683, -0.5967481136322021, -0.6900010704994202, -0.8551221489906311, 0.388873428106308, -0.15837065875530243, -0.6962987780570984, -0.7177448868751526, -1.2653555870056152, 0.12076182663440704, -0.2345990687608719, -0.4039604067802429, -0.5581260919570923, 0.34304893016815186, 0.7372109293937683, -1.4773532152175903, 0.03930456191301346, 0.8867994546890259, 1.3130439519882202, -0.7017150521278381, 0.35724371671676636, -0.7138831615447998, -0.0489581860601902, 0.6120938658714294, -0.01240192074328661, 0.6932047009468079, -0.2837490737438202, -0.13031665980815887, 0.413703054189682, 0.8703368902206421, -0.015947479754686356, 0.2528500556945801, -1.3876229524612427, 1.1118850708007812, 1.9288222789764404, 0.5690202713012695, 0.40691670775413513, 0.45006629824638367, -0.20020729303359985, -0.10201828181743622, 0.6316197514533997, -0.8922365307807922, -0.717951238155365, -0.8437683582305908, 0.5928655862808228, -0.5670760869979858, -0.5485413074493408, -0.0952468067407608, 1.3768558502197266, 0.4125796854496002, 0.5888961553573608, 0.10918928682804108, -0.24433831870555878, 0.4339902102947235, 1.0193783044815063, 0.4289657473564148, 0.2754653990268707, 1.3020124435424805, 0.4923648536205292, 0.90208899974823, -0.371204137802124, 0.26492834091186523, -0.4466191232204437, 0.7921409010887146, 0.478058785200119, -0.42171427607536316, -0.02648119069635868, -0.9580258131027222, 0.5748530626296997, -0.81196129322052, -0.13269038498401642, 0.5802493691444397, -0.04150108993053436, -0.4316144287586212, -0.013498486950993538, -0.4882487654685974, -0.4367087185382843, 0.5923445820808411, -0.2289264053106308, -0.9313856959342957, 1.4136385917663574, -0.36924076080322266, 0.7623209953308105, 0.43623119592666626, -0.8210396766662598, -0.6336796283721924, 0.7453509569168091, 0.8199013471603394, -0.00545697845518589, 0.8620796203613281, -1.26478910446167, -0.3319244384765625, -0.6857773065567017, 0.6775720119476318, 0.20233294367790222, 0.4742280840873718, -1.6310561895370483, -0.26830121874809265, -0.22117412090301514, -1.0145536661148071, 0.6765698194503784, 0.009631747379899025, -0.6889659762382507, 0.34767016768455505, -0.4612794816493988, -1.1694837808609009, 0.11824246495962143, -0.09764343500137329, 0.09870544821023941, 0.13087572157382965, 0.012180056422948837, 0.6348109245300293, 0.9866567254066467, -1.9041650295257568, -0.07046100497245789, -0.8182019591331482, -0.1889047771692276, 0.32439401745796204, -0.7913569808006287, -0.34790223836898804, -0.5903499126434326, 1.035934329032898, -0.034849148243665695, -0.17396678030490875, -0.7877776026725769, -0.2571638822555542, -1.5605541467666626, -0.120819091796875, 0.340154230594635, 0.34160715341567993, 0.07293219119310379, -0.1057986170053482, 1.1338629722595215, 0.04602719843387604, -0.33846035599708557, -0.25027287006378174, 0.03531308472156525, -0.6304954886436462, -1.2355108261108398, -0.43332919478416443, 1.213212490081787, 0.5633166432380676, -0.19822223484516144, -0.24792270362377167, 0.4241315722465515, 1.2593549489974976, -0.22433100640773773, -0.8840975165367126, -0.1942611187696457, 0.22843776643276215, -0.8305562138557434, -0.13107962906360626, 1.2478300333023071, 0.3599933981895447, 0.5316652655601501, 0.21237076818943024, 0.46776333451271057, 0.8941802382469177, -0.31103259325027466, 0.738309383392334, -0.5780143141746521, 0.705933690071106, -0.08328422158956528, -0.6121904850006104, 0.5143964886665344, -0.41113710403442383, -0.018027035519480705, 0.5283867716789246, 1.2511060237884521, -0.8133698105812073, 0.24163511395454407, 0.9611824154853821, 0.7504420876502991, 0.030896417796611786, -0.2046063393354416, -0.25772371888160706, 0.20657575130462646, 0.47448986768722534, -0.23638886213302612, 0.13977761566638947, -0.08457966893911362, -0.13576294481754303, 0.6616264581680298, 0.6848690509796143, -0.6932996511459351, 0.004801027476787567, -1.813912034034729, -0.35428959131240845, 0.46602725982666016, 0.6717458367347717, -0.1511709839105606, -0.005314384121447802, 0.4701075553894043, 0.006650419905781746, -1.1905064582824707, 0.4796273410320282, -1.9738863706588745, 0.4677348732948303, 0.1030849739909172, 0.02831556648015976, 0.5665080547332764, -0.21253171563148499, 0.2886565327644348, -0.6534055471420288, -1.2013086080551147, -0.028765376657247543, 0.25979673862457275, -0.8987328410148621, 1.051623821258545, 0.20980273187160492, -0.739781379699707, 0.20024824142456055, 0.46248859167099, 2.070272922515869, 0.5543273687362671, -0.026983391493558884, 0.4324856996536255, 0.40247219800949097, -0.640437126159668, -0.5466762781143188, 0.30434030294418335, 0.6012548804283142, 0.700293779373169, 0.12386789172887802, 0.2944861352443695, -0.9811010956764221, -0.16735050082206726, 0.5607815980911255, 0.8529917597770691, -0.16780780255794525, 0.02127142809331417, -0.03999457508325577, -0.12175869196653366, -1.0993571281433105, -0.4480932950973511, 1.1603493690490723, 0.17335444688796997, 1.086094856262207, 1.0417486429214478, -0.10255555808544159, 0.016087975353002548, 0.6933156251907349, 0.8215780258178711, 0.22830945253372192, -0.2663612365722656, -0.7052319645881653, -0.8258113265037537, 0.31083953380584717, -0.2745865285396576, 0.6428977251052856, 0.8548499941825867, 0.5899969339370728, -1.249089241027832, -0.25005602836608887, 0.44813764095306396, -1.1039596796035767, 0.04137703776359558, -0.37521055340766907, -0.030585769563913345, 0.14937293529510498, 0.8630564212799072, -0.22670131921768188, 0.29784098267555237, -0.26523444056510925, 0.027360152453184128, -1.0389803647994995, -2.355621099472046, -0.16075913608074188, -0.00917218066751957, 1.4618158340454102, -0.320042222738266, -0.03622230514883995, -0.9509854316711426, -0.9840835332870483, -0.35859450697898865, -0.6927295923233032, 0.5773290395736694, -1.5123165845870972, 0.6193070411682129, -0.5503376722335815, -1.15469491481781, -0.7394899725914001, 0.9271252155303955, -0.5065280795097351, 0.3905506432056427, -0.42967817187309265, -0.028268499299883842, 0.25736749172210693, 0.3928130865097046, 0.1728792041540146, 0.7382302284240723, -0.20343627035617828, -0.38044625520706177, 0.9549753665924072, 1.171953797340393, -1.2377212047576904, -0.67621248960495, 0.019621068611741066, 0.01463808212429285, -0.2007627934217453, 1.3630999326705933, -0.49588507413864136, -0.5355634689331055, 0.3708282709121704, -0.2022826224565506, -0.026974759995937347, -0.14617504179477692, -1.2668675184249878, 0.6757552027702332, -0.18519577383995056, 0.5207477807998657, 0.7499549984931946, 0.9954681992530823, -0.8926283717155457, -0.432807594537735, -0.4118419289588928, 0.12027279287576675, -1.1305476427078247, 1.128183364868164, 0.027374617755413055, -0.6371989250183105, -1.2581753730773926, 1.2349215745925903, -0.3235388696193695, 0.052336908876895905, -0.20282921195030212, -0.6504334807395935, -0.1445992887020111, 0.571082353591919, -1.440477728843689, 0.7557698488235474, -0.2932835519313812, 0.0714741051197052, -0.9413818120956421, 0.01872086338698864, 0.11841066926717758, -1.6589610576629639, 0.0007913910085335374, 0.44346925616264343, 0.6226930618286133, 0.8906463980674744, -0.6977636814117432, -0.22156983613967896, 0.4887767732143402, -1.1694880723953247, 1.2277709245681763, 0.3463902175426483, 0.43253159523010254, 0.14271105825901031, -0.9208184480667114, 0.04194854944944382, 0.47953131794929504, -0.25664764642715454, -0.01267115119844675, 0.11944834887981415, 0.8616771697998047, 0.1500346064567566, -0.11182350665330887, -1.381332278251648, -1.4761601686477661, -0.2757358253002167, 0.10023483633995056, 0.3269195258617401, -0.4301944971084595, 0.26642996072769165, -0.4505923092365265, -0.8484567999839783, 0.15193986892700195, 0.43855568766593933, 0.2987688481807709, 0.43600544333457947, 0.5658977031707764, 0.5549183487892151, 0.30505549907684326, 1.1887093782424927, 0.5317351818084717, 0.06362593173980713, -0.8095720410346985, 0.15236274898052216, -1.326942801475525, -1.1488032341003418, -0.36976805329322815, -0.4215424656867981, -0.8058879375457764, -0.46415331959724426, 0.866661548614502, 0.016797851771116257, 0.20091328024864197, 0.2347092628479004, -0.42972761392593384, -1.0806840658187866, 0.08819399774074554, -0.46435460448265076, -0.46452784538269043, 0.7181388139724731, -0.18309396505355835, 1.0355772972106934, -0.3213968276977539, -0.6459987759590149, -0.13568012416362762, -0.3715214729309082, 0.4967050850391388, 0.6013094186782837, 2.111820936203003, 0.6786035299301147, 0.45733076333999634, 0.8597259521484375, 0.06647592782974243, 1.0213358402252197, -0.6291842460632324, 0.5876187086105347, 0.8447843790054321, 0.16986073553562164, -0.11556977033615112, 0.11503707617521286, -0.7712976932525635, 0.20388570427894592, 1.0663756132125854, -0.9694817662239075, 0.44661155343055725, -0.31565314531326294, 0.16349443793296814, -0.6257957816123962, -0.09485779702663422, -1.0144933462142944, 0.23580071330070496, -0.8006476759910583, 2.104322910308838, -0.8331812024116516, -0.7043447494506836, 1.0176258087158203, 1.6200387477874756, 0.9043458104133606, 0.11803490668535233, 1.0124930143356323, -0.19136178493499756, 0.10254514962434769, -0.48650386929512024, -0.23767635226249695, 0.9828810691833496, -0.9297195076942444, 0.2619553804397583, 0.45152145624160767, -0.4747042655944824, 0.9840100407600403, 0.4636589288711548, 0.5340932607650757, -1.2360877990722656, -0.9222671389579773, -1.851776361465454, 0.5500184297561646, 0.4033460319042206, -0.5601282715797424, 0.27132493257522583, -0.41278141736984253, 0.9909537434577942, -0.1118277981877327, 0.5333694815635681, -0.8222870826721191, -1.8243881464004517, -0.3950846791267395, 0.3642546832561493, -1.5989205837249756, 1.6911667585372925, 0.7723371982574463, 0.19189007580280304, 0.8327051401138306, -0.27860432863235474, 0.7123603820800781, 0.5556493997573853, -0.04544517397880554, 0.05933644250035286, 1.4509758949279785, -0.10461156815290451, 0.18351562321186066, -0.7283300161361694, -0.2625828981399536, 5.177356243133545, 1.321323037147522, 0.2825949192047119, -1.7874274253845215, -0.09364017099142075, -0.6469592452049255, -0.08128280937671661, -0.17145414650440216, 0.6740005612373352, 0.8184038996696472, 0.080198734998703, 1.2157840728759766, 0.4298211932182312, 0.1906881034374237, 0.547468364238739, 0.3841583728790283, -0.4443909227848053, -0.7856724262237549, 0.3365529477596283, 0.5897389054298401, 0.42948755621910095, -0.4853586256504059, -0.25968560576438904, -0.4459640085697174, 1.112344741821289, 0.014418520964682102, 0.49258658289909363, -0.6110143065452576, 1.6202678680419922, 0.5931376814842224, -0.16450390219688416, 1.0603578090667725, -0.012364701367914677, 0.1637672334909439, 0.5374740362167358, 0.45736679434776306, 0.1035524383187294, -0.14870327711105347, -0.4678167700767517, -0.006049234885722399, 0.3907145857810974, 0.28483161330223083, 0.12634392082691193, 0.17628103494644165, -0.7992096543312073, -0.26597434282302856, -0.6059034466743469, -0.35769957304000854, 0.33828356862068176, -0.11686675995588303, -0.12499590218067169, 0.17231245338916779, 0.383172869682312, -1.7856439352035522, -0.45508742332458496, 0.5358089804649353, -0.15192396938800812, -0.268001914024353, -0.301686555147171, -0.9927466511726379, 0.4419047236442566, -0.054802730679512024, 0.8597938418388367, 0.3682817816734314, 0.842552661895752, -0.07164865732192993, -0.9003621935844421, 1.8183811902999878, -0.4405944049358368, -0.2911722958087921, -0.2507023513317108, -0.18573780357837677, -0.1226324662566185, 0.05817601457238197, -0.032889727503061295, 0.974918782711029, -0.1447470784187317, -0.09114275872707367, -0.6043898463249207, -0.03707078844308853, -0.6011033058166504, 0.7188882827758789, 0.41385337710380554, -0.15782979130744934, 0.42811745405197144, -0.6273528337478638, -0.15686725080013275, 0.2596970200538635, -0.13759830594062805, -0.362984299659729, -0.09286589175462723, 1.738877296447754, -0.273485004901886, -0.3884320855140686, 0.25852611660957336, 0.2700839340686798, -1.0625755786895752, -0.39788511395454407, -0.6497514247894287, 1.4519869089126587, -0.014191457070410252, -0.022068185731768608, -0.3778274953365326, 1.1548038721084595, -0.8160989880561829, 0.10170052945613861, 0.7154457569122314, -0.5871602296829224, 0.055868182331323624, -0.03211265057325363, 1.0754700899124146, -0.9937732815742493, -1.0575779676437378, -1.0013680458068848, -0.08806807547807693, 0.2562437355518341, -0.2725712060928345, -0.7355489730834961, 0.6879919767379761, -0.40578946471214294, 0.115148164331913, -0.10089494287967682, 0.05822279304265976, -0.21410052478313446, 0.27589282393455505, 0.09419038891792297, -0.5893104672431946, 0.17797069251537323, -0.36047688126564026, 0.024027150124311447, 0.28293222188949585, -0.32041671872138977, -0.584950864315033, -0.30060696601867676, -0.6244426965713501, -0.3283884525299072, 0.034884288907051086, 0.2791701853275299, -0.8750559091567993, -0.10610842704772949, 0.025503486394882202, -0.12498071789741516, -0.9886878132820129, 0.8863887786865234, -0.9566494226455688, 0.35058313608169556, 0.5002927184104919, -0.3217472434043884, 1.2012039422988892, 0.9211657047271729, -0.6963012218475342, -0.3790164887905121, 1.022670030593872, 0.5626844763755798, -0.43800583481788635, 0.22208692133426666, 0.07776755094528198, 0.4079436957836151, 0.30124640464782715, 0.547743022441864, 0.5201779007911682, 0.7441505193710327, 0.6245976686477661, -0.927101194858551, -0.19075873494148254, 0.35225602984428406, -0.5021297931671143, -0.29671618342399597, -0.8090777397155762, 0.4904475212097168, -0.44985058903694153, 0.08394578099250793, 0.23248390853405, -0.19278599321842194, -1.2498143911361694, -0.5162467360496521, 0.05169077217578888, -0.22929899394512177, 0.7845847010612488, -0.8215424418449402, 0.7585090398788452, 1.2583171129226685, -1.3180245161056519, 0.01909363642334938, -0.2870125472545624, -0.7547460198402405, -1.3408164978027344, 1.1323461532592773, -0.20596423745155334, 0.2207760065793991, 0.37361690402030945, -0.5024155378341675, -0.44618645310401917, 0.5280778408050537, -0.7728006839752197, -0.7812970876693726, -0.25880149006843567, 0.7265637516975403, -0.14930403232574463, -0.041180193424224854, 1.0188578367233276, -0.680374026298523, -0.6116180419921875, 0.2283524125814438, -0.32839235663414, -0.549274206161499, -0.08878858387470245, 1.2768124341964722, 0.18075616657733917, 0.6669387817382812, 0.12263061851263046, -0.08770567178726196, -0.1322258710861206, -0.8857008814811707, 0.2908518612384796, 0.7689711451530457, -0.9177156686782837, 0.14215995371341705, 0.5905137658119202, 0.2435423582792282, -0.36040574312210083, 0.6560245156288147, -1.8787124156951904, 0.14402922987937927, -0.6375494003295898, -0.39664745330810547, 0.533270001411438, -0.6778126358985901, -0.4676864445209503, -0.9911342859268188, 0.5997870564460754, 0.6394663453102112, -0.1118449941277504, 0.9345486760139465, 0.7631382942199707, -0.8355855941772461, -0.12744355201721191, 0.06694389134645462, 0.17509415745735168, -0.421481192111969, -1.2306925058364868, -0.7588844895362854, -0.7374978065490723, 1.2272448539733887, 0.4000089764595032, -0.5918269753456116, -0.8836304545402527, 1.0082725286483765, -0.07043404877185822, -0.5271590948104858, -0.5373827815055847, 0.2149125635623932, 1.2103819847106934, -0.6082929968833923, 0.4376240074634552, 1.5932005643844604, 0.6325010061264038, -1.487144112586975, -1.4355570077896118, -0.17507120966911316, 1.2225446701049805, 1.3102666139602661, 0.15697678923606873, -0.13335725665092468, -1.151299238204956, 0.5118568539619446, -0.08001842349767685, 0.00708029605448246, 0.25555238127708435, -0.22475078701972961, -0.42484667897224426, 0.6041576266288757, 0.37560611963272095, 0.8680915236473083, 0.3303016722202301, 1.1143285036087036, -0.2907986640930176, 0.5937949419021606, -0.6998537182807922, 0.2621760964393616, -0.2011917382478714, 0.41211432218551636, -0.12286293506622314, 1.2378482818603516, -0.35589149594306946, 0.08765796571969986, 0.3733948767185211, -0.48728930950164795, -1.545588731765747, 0.9149170517921448, -0.4903198182582855, 0.6830867528915405, -0.43289896845817566, -0.10162220150232315, 1.606803059577942, -1.2125442028045654, 0.2924885153770447, 0.01903153955936432, -0.7746890783309937, -0.13270999491214752, 1.1512583494186401, 0.2514163553714752, 0.7280101180076599, 0.14348822832107544, -0.402468204498291, -0.9179065227508545, -0.63410484790802, 0.7210181951522827, 0.9080772995948792, -0.019941827282309532, 0.14327526092529297, 0.44105690717697144, 0.3601119816303253, -0.737428605556488, 0.9111813306808472, 0.40864795446395874, 0.5702064037322998, -0.40893733501434326, 0.16290226578712463, 0.044633038341999054, -0.9977800846099854, -1.4597387313842773, -0.02827364020049572, 0.09714193642139435, 1.7579528093338013, -0.5219894647598267, 0.020209601148962975, -0.7900255918502808, 0.23487937450408936, -0.49033981561660767, 0.6042901873588562, -0.4901027977466583, 0.4105551540851593, -0.005821995437145233, -0.14681009948253632, -0.9802531599998474, 0.14698266983032227, 1.3813103437423706, -1.1072279214859009, 0.22469355165958405, -0.17542335391044617, 0.6650523543357849, -0.4320802688598633, -0.7758302688598633, -1.4700270891189575, -0.40370601415634155, -0.3165195882320404, 0.2362215518951416, -0.23597870767116547, -1.245901346206665, -0.7184725403785706, -0.703429102897644, 1.257359504699707, -0.507480263710022, -0.5454233884811401, -0.5369846224784851, 0.10425936430692673, 0.1688043624162674, 0.8504137992858887 ] ]
{ "indices": [ 1866681274, 2006536704, 1786548735, 2257684172, 2063350695, 1904070401, 3172858508, 2836570682, 1936903680, 2394752843, 3534898626, 4186256544, 146955813, 2067848296, 1983770811, 1041011349, 1551089265, 2874966370, 2132027491, 3796669908, 1590456296, 3774983271, 640124220, 3677720983 ], "values": [ 0.49194488589648017, 0.49194488589648017, 0.743909605600537, 0.743909605600537, 0.6594679073562161, 0.6594679073562161, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.743909605600537, 0.49194488589648017, 0.49194488589648017, 0.49194488589648017, 0.6594679073562161, 0.6594679073562161, 0.6594679073562161, 0.49194488589648017, 0.49194488589648017 ] }
{ "context": " What about the rest? Zeros, right? Again, only one gate signal should be on. If you write on the final, multiple gate signals turn on. I'm going to cross it out. So don't do that, please. All right. So what about the MUXs? Which ones do we care about? Yeah, the address MUXs, these we better set up. This one we better set up. What about PC MUX? Not used, right? PC is not changing" }
898770
[ [ -0.057269662618637085, -0.8806999325752258, -0.03568728268146515, -0.6012082695960999, -0.01682504080235958, 0.35886186361312866, 0.09359994530677795, 0.33236163854599, 0.6060370206832886, -0.31215566396713257, 0.4412361979484558, 0.6411495804786682, 1.0332345962524414, -0.09756051003932953, 0.8449556231498718, -0.03201258182525635, 0.6750209927558899, -0.2517842948436737, -0.6696423888206482, -0.9633526802062988, 0.22432243824005127, -0.5768488049507141, -0.6258947849273682, 0.05053591728210449, -0.884345293045044, 0.805565595626831, -0.2807105481624603, -0.8433029651641846, 0.07766682654619217, 0.6484389305114746, -0.6839808225631714, -1.4712504148483276, 0.43719831109046936, -1.0118132829666138, 0.7441746592521667, -0.10613775998353958, -0.3583879768848419, -0.30035722255706787, 0.0996944010257721, 0.4099564254283905, -0.5931375026702881, 0.07173028588294983, -0.5192170739173889, 1.2610843181610107, -1.165401816368103, 0.10395465791225433, -0.2056807279586792, 0.4393438994884491, 0.7364457249641418, -0.49150583148002625, 1.6014374494552612, -0.9254099726676941, 0.63189697265625, 0.2826279103755951, -0.21226578950881958, 0.6289769411087036, 1.0040123462677002, 1.4977405071258545, 0.07717908918857574, -0.3401947021484375, 0.0323973223567009, 0.08198564499616623, 0.7933713793754578, -0.23987121880054474, -0.3095921576023102, -0.2298792600631714, -0.36358216404914856, -0.49746954441070557, 1.0060558319091797, 0.015305870212614536, -0.8173791766166687, 0.25567764043807983, 0.5071409344673157, 0.3017287254333496, 0.684265673160553, -0.14393851161003113, -0.14901237189769745, -0.16910885274410248, 0.9986068606376648, 1.0928981304168701, -1.1509464979171753, -0.07545320689678192, -0.3728175461292267, 0.28759729862213135, 0.39148351550102234, -0.6595892310142517, 1.619981050491333, -0.016422213986516, 0.632025420665741, 0.3947581350803375, -0.006155691109597683, -0.5098631978034973, 1.283542513847351, 0.21990224719047546, -0.6524990797042847, 0.4146365225315094, 0.4688117206096649, 0.7388388514518738, -0.570037305355072, -1.163874864578247, 0.641714870929718, -0.8131855130195618, -0.22265374660491943, 0.8418312668800354, 0.5089641809463501, 0.014515124261379242, -0.2940828800201416, -0.8030934929847717, -0.831230878829956, 0.1968938261270523, -0.7871397137641907, 0.6238366365432739, 0.13808631896972656, 0.34232771396636963, 0.5217926502227783, -0.23939462006092072, -0.3716772794723511, -0.27761512994766235, 0.667651355266571, 1.3466359376907349, 0.858787477016449, 0.17863187193870544, 0.3196254074573517, -0.7664608955383301, -1.5088707208633423, 1.2196341753005981, -0.050124213099479675, 0.3655574321746826, -0.780899167060852, 0.056470636278390884, 0.6054530143737793, 0.35197198390960693, 0.17488443851470947, -0.7734760046005249, 0.12208698689937592, 0.7894642353057861, -2.257823944091797, -1.5862128734588623, 1.1299382448196411, 0.06209307163953781, -0.33830884099006653, -1.0075448751449585, 0.04437806457281113, 0.36206483840942383, 1.1037085056304932, 0.13474060595035553, 0.4034312963485718, 0.23789077997207642, -0.14007078111171722, 1.0830016136169434, -0.849562406539917, 0.6240953803062439, -1.4719586372375488, -0.08767379075288773, -0.4091853201389313, -0.3746383786201477, -0.08102278411388397, 0.5520550012588501, -0.3230263292789459, -0.2268885225057602, 0.6849631071090698, -0.5002835988998413, 0.4603036642074585, -0.30683690309524536, -1.2509640455245972, -1.0067378282546997, 2.260955572128296, -0.49826663732528687, 1.161111831665039, 0.20252270996570587, 0.9166212677955627, 0.9822598099708557, -0.3966381847858429, 0.09420506656169891, 1.782116174697876, -0.743403434753418, 0.30814656615257263, 0.08798497915267944, -0.356395959854126, -0.3307477533817291, -0.34555739164352417, -1.0637519359588623, 0.7022532820701599, 0.09085878729820251, -0.25902992486953735, 0.6333213448524475, -0.6339619159698486, -0.042520761489868164, 1.0407053232192993, -0.8786370158195496, -0.3861992061138153, -0.6949562430381775, 0.9023091197013855, -0.19558657705783844, -0.529694676399231, -0.8499226570129395, -1.4633724689483643, 0.17118105292320251, -0.1557731032371521, -0.4554721713066101, -0.14853344857692719, 0.42181795835494995, 0.700587809085846, -1.3899158239364624, -0.25175583362579346, 0.7160837054252625, 1.328684687614441, -0.8101091384887695, 0.31279727816581726, -0.40321823954582214, -0.016289878636598587, 1.15104079246521, -0.3198053538799286, 0.45298928022384644, -0.38798266649246216, 0.028828434646129608, 0.21795392036437988, 0.7316558361053467, 0.20406754314899445, 0.14098809659481049, -1.8048514127731323, 0.9716120958328247, 2.029287338256836, 0.2969687581062317, 0.6507771015167236, 0.638057291507721, -0.6505559682846069, -0.1559455841779709, 0.7681525349617004, -1.118536353111267, -0.47907668352127075, -0.7715856432914734, 0.6958338618278503, -0.6114388108253479, -0.35014307498931885, -0.4302734136581421, 1.4386589527130127, 0.18962912261486053, 0.7071372866630554, 0.4262581169605255, -0.23616307973861694, 0.7273286581039429, 0.7176125645637512, 0.5028690695762634, -0.17834246158599854, 1.3086682558059692, 0.5364785194396973, 0.6357649564743042, -0.47680145502090454, 0.14530064165592194, -0.47052669525146484, 1.0593531131744385, 0.15540026128292084, -0.3974916934967041, 0.09179676324129105, -0.8254523277282715, 0.7583794593811035, -0.8618311285972595, -0.211412712931633, 0.5602726340293884, 0.09160920232534409, -0.22465206682682037, -0.20653480291366577, -0.586783230304718, -0.5657264590263367, 0.4120331406593323, -0.651709258556366, -0.2770756483078003, 1.467383623123169, -0.2811663746833801, 0.9226871728897095, 0.4434318542480469, -0.8757103085517883, -0.4857789874076843, 0.3878004848957062, 0.6277706027030945, 0.0959114357829094, 0.9137852787971497, -1.554573893547058, -0.42328235507011414, -0.5631275773048401, 0.6720496416091919, 0.2419845461845398, 0.2127159982919693, -1.5447874069213867, 0.15913477540016174, -0.17860543727874756, -1.0563161373138428, 0.21356414258480072, 0.1756543219089508, -1.056595802307129, 0.5615100264549255, -0.2863542437553406, -1.2013777494430542, 0.32156994938850403, 0.11172325164079666, 0.07969372719526291, 0.10117687284946442, 0.413692444562912, 1.0084223747253418, 0.8885733485221863, -1.6338579654693604, -0.005185979884117842, -0.831763505935669, -0.27765557169914246, 0.5675849914550781, -1.0995985269546509, -0.6158782243728638, -0.6720874905586243, 0.822547435760498, 0.022259943187236786, -0.6019642949104309, -0.6349284052848816, -0.38086846470832825, -1.3033820390701294, -0.11097129434347153, 0.31183549761772156, 0.3904682397842407, -0.10525458306074142, -0.6390506625175476, 1.245546579360962, 0.13289596140384674, -0.6611223220825195, -0.13331830501556396, 0.2916112244129181, -0.4936898350715637, -1.3111168146133423, -0.6489923000335693, 0.9534134268760681, 0.2985285818576813, -0.01896742731332779, -0.3698688745498657, 0.7340476512908936, 0.8349707126617432, 0.23370589315891266, -0.9630911350250244, -0.4998335838317871, 0.3138509690761566, -0.7889395952224731, 0.07067354768514633, 1.136983036994934, 0.1891164481639862, 0.8609630465507507, 0.20021653175354004, 0.08108492195606232, 0.5924561619758606, -0.3069201409816742, 0.8565207123756409, -0.22578464448451996, 1.1448376178741455, 0.012382201850414276, -0.6196401119232178, 0.5172353386878967, -0.2244662195444107, -0.3373537063598633, 0.516194224357605, 0.624665379524231, -0.8112936615943909, 0.6679247617721558, 1.04832923412323, 0.7352954745292664, -0.5330131649971008, -0.364031046628952, -0.57987380027771, 0.5032709836959839, 0.21817821264266968, -0.12541641294956207, -0.04221828281879425, -0.07846479117870331, -0.1557767540216446, 0.5226973295211792, 0.5492185354232788, -0.7446863651275635, -0.27950483560562134, -1.7481064796447754, -0.1317349374294281, 0.5483628511428833, 0.29782965779304504, -0.16968722641468048, 0.2879735231399536, 0.49098658561706543, 0.16891498863697052, -0.7131434082984924, 0.01222363580018282, -2.366626739501953, 0.33501192927360535, 0.28859221935272217, 0.06136853247880936, 0.3028844892978668, -0.31747159361839294, 0.1778930276632309, -0.23002450168132782, -1.2338979244232178, 0.30775928497314453, 0.20294013619422913, -1.1135646104812622, 0.482096791267395, 0.33070582151412964, -0.19256556034088135, -0.09627830237150192, 0.24757862091064453, 2.0668344497680664, 0.5774140954017639, -0.278244286775589, 0.13376745581626892, 0.38733306527137756, -0.7789085507392883, -0.7876392602920532, 0.09976673126220703, 0.5414989590644836, 1.187692403793335, 0.2267911583185196, 0.8722454309463501, -0.6793281435966492, -0.30824580788612366, 0.8054209351539612, 0.7336836457252502, -0.28942227363586426, -0.07038141787052155, -0.0954972505569458, -0.24572381377220154, -0.8632078766822815, -0.14689171314239502, 1.0279779434204102, 0.31793272495269775, 1.0038906335830688, 0.9159733653068542, -0.15137703716754913, 0.14182619750499725, 0.8086705803871155, 0.9831300377845764, 0.058053530752658844, -0.25334757566452026, -0.5289238691329956, -0.6153834462165833, 0.282848984003067, -0.47978532314300537, 0.4003629982471466, 1.2149053812026978, 0.7361905574798584, -1.4248864650726318, 0.26122796535491943, 0.6840605139732361, -1.1779519319534302, 0.2857036292552948, -0.232835054397583, -0.09091895073652267, -0.06853608042001724, 1.0327602624893188, -0.1831754595041275, 0.48310574889183044, 0.39792129397392273, 0.2600080370903015, -0.9535747170448303, -2.563498020172119, -0.27738672494888306, 0.18209204077720642, 1.5094462633132935, -0.3669484853744507, -0.1471874862909317, -0.8617309927940369, -0.7043418288230896, -0.08314572274684906, -0.49907612800598145, 0.5486503839492798, -1.2726709842681885, 0.446808397769928, -0.40538546442985535, -1.4441944360733032, -0.6299557685852051, 0.9560725688934326, -0.712223470211029, 0.6311115622520447, 0.012578818015754223, 0.1003805547952652, 0.5173232555389404, 0.29598212242126465, 0.18838101625442505, 0.9420477151870728, 0.04259740188717842, -0.15984536707401276, 1.0509134531021118, 0.9765030741691589, -1.4547898769378662, -1.0235928297042847, 0.15726663172245026, -0.22953450679779053, -0.3146021366119385, 1.341481328010559, -0.6443672776222229, -0.4551478624343872, 0.18918631970882416, 0.1179599016904831, -0.1621021181344986, 0.16767972707748413, -1.3193641901016235, 0.8263331055641174, -0.3255080282688141, 0.5736802220344543, 0.953163206577301, 0.5632230043411255, -0.7444702982902527, -0.4823920428752899, -0.6198533773422241, 0.13240154087543488, -1.24760901927948, 0.991843044757843, -0.004805909935384989, -0.5113352537155151, -1.2452070713043213, 1.2290680408477783, -0.3661390244960785, 0.1693936437368393, -0.16877944767475128, -0.14256595075130463, -0.029712697491049767, 0.5258593559265137, -1.4365040063858032, 0.6269301772117615, -0.3505573570728302, 0.2939068078994751, -1.020422339439392, 0.12518583238124847, 0.19907720386981964, -1.5949242115020752, 0.1628817468881607, 0.05383437126874924, 0.49055904150009155, 0.8030664920806885, -0.5696612596511841, 0.003487885231152177, 0.3287420868873596, -1.452791452407837, 1.186813473701477, 0.35977795720100403, 0.1850620061159134, 0.27356815338134766, -0.6385424137115479, -0.11006229370832443, 0.7758721113204956, -0.3974320888519287, -0.3295164108276367, 0.21021948754787445, 0.805763304233551, 0.12421182543039322, 0.07392557710409164, -1.775119662284851, -1.5930132865905762, -0.136870875954628, 0.08666393160820007, 0.31654492020606995, -0.3292541205883026, 0.36797407269477844, -0.5723088383674622, -0.9802079796791077, 0.3664931654930115, 0.5382534265518188, 0.2646004557609558, 0.5587114095687866, 0.5776726603507996, 0.6778535842895508, 0.7216525077819824, 0.9407429695129395, 0.3159629702568054, 0.17618553340435028, -0.5728633403778076, 0.27509668469429016, -1.4324458837509155, -1.2438958883285522, -0.1735198050737381, -0.7913321852684021, -0.8659830093383789, -0.5685237050056458, 0.8338881731033325, -0.028805924579501152, 0.3379078805446625, 0.21974141895771027, -0.7105347514152527, -1.0658202171325684, 0.3659709393978119, -0.06773283332586288, -0.5533930063247681, 0.5000836849212646, -0.3594812750816345, 0.8208964467048645, -0.08964302390813828, -0.4119136929512024, 0.1073685958981514, -0.25537362694740295, -0.027352914214134216, 0.759804904460907, 2.0734994411468506, 0.24251770973205566, 0.46457305550575256, 0.45566609501838684, -0.07023893296718597, 0.9824828505516052, -0.7834528684616089, 0.4838118255138397, 0.2719990611076355, 0.13302327692508698, -0.3919413983821869, -0.008901409804821014, -0.8708512783050537, 0.3328377902507782, 0.9594736695289612, -0.9708079695701599, 0.38342851400375366, -0.43853995203971863, 0.2984473407268524, -0.4374423027038574, -0.1403072327375412, -0.9408015608787537, 0.7857728004455566, -1.1662299633026123, 2.2253470420837402, -0.5891346335411072, -0.7364176511764526, 1.1279100179672241, 1.5427756309509277, 0.9639620184898376, 0.035396791994571686, 1.1204383373260498, -0.17885497212409973, 0.11731079965829849, -0.7879078388214111, -0.576083242893219, 0.752058744430542, -0.6336919069290161, 0.17580826580524445, 0.42667779326438904, -0.13837969303131104, 1.160125970840454, 0.23914411664009094, 0.4691025912761688, -1.1556860208511353, -1.0868784189224243, -1.8655925989151, 0.6705878973007202, 0.9390502572059631, -0.7426215410232544, -0.0020467822905629873, -0.03679325804114342, 1.2968010902404785, -0.17288613319396973, 0.6432926654815674, -0.7999030947685242, -1.9579277038574219, -0.11497333645820618, 0.37122103571891785, -1.5708109140396118, 1.4313966035842896, 0.9161752462387085, -0.26853710412979126, 0.5522421002388, -0.3372275233268738, 0.7354565262794495, 0.6688629984855652, 0.11920222640037537, 0.1317555010318756, 1.3447659015655518, -0.013404477387666702, 0.43363893032073975, -0.5565133690834045, -0.42507144808769226, 4.780230522155762, 1.2886322736740112, 0.29333481192588806, -1.6160897016525269, 0.24467377364635468, -0.7521398067474365, -0.45036548376083374, -0.1353413313627243, 0.6408926844596863, 0.7826745510101318, -0.1532282829284668, 0.9787062406539917, 0.5025177001953125, 0.19732986390590668, 0.5383468866348267, 0.17798663675785065, -0.25291192531585693, -0.7212679386138916, 0.765048623085022, 0.814048707485199, 0.861746609210968, -0.680298388004303, -0.41626426577568054, -0.7191502451896667, 0.92990642786026, -0.05058863013982773, 0.8529776334762573, -1.0234907865524292, 2.122856616973877, 0.5617188215255737, -0.19137480854988098, 0.7938429713249207, 0.2252425253391266, 0.07443174719810486, 0.28639134764671326, 0.05241186544299126, 0.1349339783191681, -0.6471043825149536, -0.4542277455329895, -0.06172560527920723, 0.5415398478507996, 0.06648532301187515, -0.053486939519643784, 0.3286033272743225, -1.0121804475784302, -0.43195265531539917, -0.5108410120010376, -0.572829008102417, 0.3213474154472351, -0.11675258725881577, 0.11338639259338379, 0.23152004182338715, -0.32771608233451843, -2.0487215518951416, -0.6160913109779358, 0.06074832007288933, -0.15873892605304718, -0.014423076994717121, -0.2731994688510895, -0.4952794313430786, 0.3137507438659668, 0.09024174511432648, 0.7657119631767273, 0.2341015487909317, 0.7903463244438171, -0.14596465229988098, -0.9592180848121643, 1.843776822090149, -0.6710091233253479, -0.344571590423584, -0.5500329732894897, -0.4559991955757141, -0.19577853381633759, -0.01816265657544136, 0.05639098584651947, 0.7699444890022278, 0.01264787744730711, 0.043189436197280884, -0.6679680943489075, -0.07508383691310883, -1.0955708026885986, 0.8668578863143921, 0.3356136381626129, 0.03269923850893974, 0.48545655608177185, -0.40158262848854065, 0.14901718497276306, 0.32758858799934387, -0.05344906076788902, -0.45143720507621765, -0.3501654863357544, 1.733837366104126, -0.3533530533313751, -0.3537342846393585, -0.16198334097862244, 0.19665513932704926, -1.5246007442474365, -0.16689594089984894, -0.9705483317375183, 1.378132939338684, 0.29593536257743835, -0.20278416574001312, -0.7150747179985046, 1.3997284173965454, -0.4566285014152527, 0.1244334876537323, 0.8529608845710754, -0.39905986189842224, 0.21921789646148682, 0.10802707076072693, 1.1009505987167358, -0.9323729276657104, -0.9615676403045654, -0.4606879651546478, 0.002751164138317108, 0.16526885330677032, -0.5789035558700562, -0.6145980358123779, 0.8637232184410095, -0.4455520510673523, 0.3582252264022827, -0.07368484884500504, 0.33211663365364075, -0.2586638033390045, 0.12152279913425446, 0.04498692601919174, -0.6646426320075989, 0.2844201326370239, -0.3607842028141022, 0.10682026296854019, 0.16994871199131012, -0.012807084247469902, -0.6731112599372864, -0.4384249746799469, -0.5784597396850586, -0.3857904374599457, 0.12258727103471756, 0.049921173602342606, -0.913292646408081, -0.1284303516149521, 0.29033493995666504, -0.33354783058166504, -0.8672102093696594, 0.7602404356002808, -1.0723838806152344, -0.10321437567472458, 0.6450045704841614, -0.4240412712097168, 1.7334802150726318, 0.9135189652442932, -0.5086603760719299, -0.5309063792228699, 0.8076238632202148, 0.6367115378379822, -0.4458160400390625, 0.3283967673778534, 0.1370503157377243, 0.6815822124481201, 0.018358327448368073, 0.47451403737068176, 0.41747668385505676, 0.6733286380767822, 0.9170361757278442, -1.0173827409744263, -0.20793887972831726, -0.1486486941576004, -0.45909714698791504, -0.10951517522335052, -0.4231524169445038, 0.20750518143177032, -0.3975357115268707, 0.17308494448661804, 0.33645159006118774, -0.2830026149749756, -1.1057058572769165, -0.2732946574687958, 0.1154419556260109, -0.10692869126796722, 0.9750659465789795, -0.8400067687034607, 0.864291787147522, 1.3114944696426392, -1.24055016040802, 0.0259719155728817, -0.2486044317483902, -0.6844633221626282, -1.1733818054199219, 1.133623480796814, -0.04700538143515587, 0.2349126785993576, 0.0025815218687057495, -0.3816815912723541, -0.5233493447303772, 0.6692825555801392, -0.8128182291984558, -0.3974258601665497, 0.15422731637954712, 1.1255204677581787, -0.09619210660457611, -0.3688987195491791, 1.1554187536239624, -0.7233763337135315, -0.8638120293617249, 0.2779589295387268, -0.5858818888664246, -0.49486008286476135, -0.23650917410850525, 1.3646819591522217, 0.3422006666660309, 0.6127182245254517, 0.36020007729530334, -0.18273860216140747, -0.09244643151760101, -0.6408007740974426, 0.4179351329803467, 0.8702608942985535, -0.6385253071784973, 0.3575305938720703, 0.3038792610168457, -0.07459709793329239, -0.1603672057390213, 0.41516581177711487, -1.5921217203140259, -0.0803055390715599, -0.31076285243034363, -0.4922962188720703, 0.18735238909721375, -1.0371793508529663, -0.6956002712249756, -0.8001863956451416, 0.7113285064697266, 0.6893588900566101, -0.08381471782922745, 1.0895522832870483, 0.5723087787628174, -0.40662235021591187, -0.35588139295578003, 0.5037584900856018, 0.2921845614910126, -0.3924040198326111, -1.2203524112701416, -0.4063681662082672, -0.7083806991577148, 0.9953726530075073, 0.5762276649475098, -0.6835035681724548, -1.0237712860107422, 0.8188583850860596, -0.3185475170612335, -0.36542874574661255, -0.7942430377006531, -0.030110126361250877, 1.3726643323898315, -0.5440732836723328, 0.3672475218772888, 1.655435562133789, 0.72829270362854, -1.365837574005127, -1.6197192668914795, -0.2690011262893677, 0.9563777446746826, 1.261577844619751, -0.02795260399580002, 0.13166867196559906, -1.2479497194290161, 0.9310568571090698, 0.138131782412529, -0.04024197906255722, 0.5709939002990723, -0.23583005368709564, -0.7375739216804504, 0.7947445511817932, 0.46903660893440247, 0.9831353425979614, 0.6117263436317444, 0.890753448009491, -0.30689942836761475, 0.3651925325393677, -0.35373052954673767, 0.239014133810997, -0.5818580389022827, 0.7448267936706543, -0.19649170339107513, 1.3606306314468384, -0.4569946229457855, -0.11543112248182297, 0.25066274404525757, -0.1657174527645111, -1.5091564655303955, 0.766275942325592, -0.11387232691049576, 0.5498414635658264, -0.41016122698783875, -0.07722552120685577, 1.7145477533340454, -1.3751107454299927, 0.3732753098011017, 0.10542052984237671, -0.8972407579421997, -0.12805044651031494, 0.8768670558929443, 0.43951454758644104, 0.6031949520111084, 0.39841142296791077, -0.15599532425403595, -0.9879205822944641, -0.4718809127807617, 0.9341486096382141, 1.0403404235839844, 0.08793564885854721, -0.09822525829076767, 0.898652195930481, 0.454710453748703, -0.7164468169212341, 0.7255237102508545, 0.14167582988739014, 0.7692203521728516, -0.19720381498336792, 0.26480668783187866, 0.2151479572057724, -0.8575654625892639, -1.193562388420105, -0.04358028620481491, 0.1462819129228592, 1.7558927536010742, -0.6255205869674683, -0.23744365572929382, -0.4443417489528656, 0.3594008982181549, -0.6034960150718689, 0.5586166977882385, -1.1471058130264282, 0.46001681685447693, -0.4273119270801544, -0.11744925379753113, -1.1535964012145996, 0.2869628369808197, 1.5115773677825928, -0.7694680690765381, -0.1081218346953392, -0.34265878796577454, 0.7326331734657288, -0.07981669157743454, -0.6639886498451233, -1.2713736295700073, -0.5534932613372803, -0.13056504726409912, 0.2736896276473999, -0.3993864357471466, -1.211759328842163, -0.7857276201248169, -0.6083387136459351, 0.9933674335479736, -0.4776611328125, -0.22822703421115875, -0.8758835196495056, 0.1317744255065918, 0.44637399911880493, 0.9998960494995117 ] ]
{ "indices": [ 1866681274, 2006536704, 1786548735, 2257684172, 2063350695, 1904070401, 3172858508, 2836570682, 1936903680, 2394752843, 3534898626, 4186256544, 146955813, 2067848296, 1983770811, 1041011349, 1551089265, 2874966370, 2132027491, 3796669908, 1590456296, 3774983271, 640124220, 3677720983, 691409538, 1063320047 ], "values": [ 0.4633143131816905, 0.4633143131816905, 0.721437915187976, 0.721437915187976, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.721437915187976, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.6332396382760778, 0.721437915187976, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905 ] }
{ "context": "What about the rest? Zeros, right? Again, only one gate signal should be on. If you write on the final, multiple gate signals turn on. I'm going to cross it out. So don't do that, please. All right. So what about the MUXs? Which ones do we care about? Yeah, the address MUXs, these we better set up. This one we better set up. What about PC MUX? Not used, right? PC is not changing. And that's only used if we're going to change PC." }
178173
[ [ -0.07220026105642319, -0.42604902386665344, -0.06541276723146439, 0.27702730894088745, 0.5732518434524536, -0.006825615651905537, 0.04648258909583092, 0.37070757150650024, 0.659091055393219, -0.24332524836063385, 0.15767210721969604, 0.6036795973777771, 1.2875909805297852, 0.12497572600841522, 0.5529590249061584, 0.10474967211484909, 0.7392339706420898, 0.05274045094847679, -0.33198076486587524, -1.3400813341140747, 0.1351470649242401, -1.0625683069229126, -0.061354875564575195, 0.08448632061481476, -0.5816725492477417, 0.6363248825073242, -0.21129906177520752, -1.3419545888900757, 0.20868508517742157, 0.6612399220466614, -0.8714576363563538, -1.1399712562561035, 0.5295299291610718, -0.6876752972602844, 1.0433770418167114, 0.16882206499576569, 0.3220658302307129, -0.5811823606491089, -0.06971145421266556, 0.1735800802707672, -0.6243249177932739, 0.16567176580429077, -0.3778909146785736, 0.15460966527462006, -0.3316396474838257, -0.29234519600868225, -0.019930582493543625, 0.5972052216529846, 0.9900386929512024, -1.208417534828186, 1.149170994758606, -0.7851510047912598, 0.6441774368286133, 0.541907787322998, -0.15512701869010925, 0.5900646448135376, 1.4827600717544556, 1.7272287607192993, -0.15792620182037354, -0.4763548970222473, -0.7440764904022217, 0.33130761981010437, 0.4830748736858368, -0.34777215123176575, -0.7706431150436401, -0.03044883720576763, -0.34536197781562805, -0.4683072566986084, 1.1706740856170654, -0.22387266159057617, -1.0213980674743652, 0.04104236140847206, 0.11021978408098221, 0.5550386309623718, 0.8913180828094482, -0.38306519389152527, 0.3780823349952698, -0.17327746748924255, 1.1052993535995483, 0.787636399269104, -0.8205350637435913, 0.41110357642173767, -0.3205341696739197, 1.1465104818344116, 1.0241916179656982, -0.37571001052856445, 1.7201135158538818, -0.07446890324354172, 0.533660352230072, -0.049565885215997696, 0.49857160449028015, -0.5338397026062012, 1.403633952140808, 0.11286869645118713, -0.3097483217716217, 0.4339144229888916, 0.3739604651927948, 0.515890896320343, -0.4648524224758148, -0.6904996633529663, 0.5431525111198425, -0.5137621760368347, 0.8834742307662964, 1.5413814783096313, -0.2989664375782013, 0.39524608850479126, -0.3116624653339386, -0.0888197273015976, -0.04388704150915146, 0.2651498317718506, -0.1841992437839508, 0.9675396680831909, -0.7114529013633728, 0.7937247157096863, 0.2884741723537445, -0.31437844038009644, -0.39189180731773376, 0.05120436102151871, 0.6042558550834656, 1.3372762203216553, 1.1779276132583618, -0.1945190727710724, 0.5256568193435669, -0.9382216930389404, -1.096849799156189, 0.7925176024436951, 0.025581639260053635, -0.24283789098262787, -0.9898508787155151, 0.2468394637107849, 0.48749738931655884, 0.13449400663375854, -0.024250391870737076, -1.0453788042068481, 0.19699330627918243, 0.4265332520008087, -1.9938533306121826, -1.2999361753463745, 0.8611528873443604, -0.09178265929222107, -0.07365570962429047, -1.6330678462982178, 0.11904531717300415, 0.05825086310505867, 0.7578819990158081, 0.3019421696662903, -0.39105358719825745, 0.13312985002994537, -0.4925108551979065, 0.9569655060768127, -1.1112700700759888, 0.10946774482727051, -0.9881234169006348, -0.7888206839561462, -0.02271452732384205, -0.2859824597835541, -0.38451310992240906, 0.6551116108894348, -0.19518868625164032, -0.31983721256256104, 0.723626971244812, -0.4420497417449951, -0.15369343757629395, -0.5172008872032166, -0.6500473618507385, -1.2017606496810913, 2.3263204097747803, -0.1772075593471527, 1.107553482055664, 0.48588916659355164, 0.35314062237739563, 0.14000961184501648, -0.44254598021507263, -0.0824175700545311, 1.5060101747512817, -0.6363044381141663, 0.5432683825492859, -0.5809473395347595, -0.5441011786460876, 0.0795433297753334, -0.4720703363418579, -0.830929696559906, 0.6059138178825378, 0.41058167815208435, -0.07643545418977737, 0.7082533836364746, -0.13189378380775452, 0.4970592260360718, 1.1311742067337036, -0.6395737528800964, -0.35095787048339844, -0.8778486847877502, 0.5889784693717957, -0.11789501458406448, -0.6833949089050293, -0.8252284526824951, -1.126196265220642, -0.23931990563869476, 0.27537310123443604, -0.13452783226966858, -0.2548123300075531, 0.45225197076797485, 0.9389853477478027, -1.4662636518478394, -0.26987266540527344, 1.087897777557373, 0.8587824702262878, -0.5096505284309387, 0.5702699422836304, -0.9905893802642822, -0.28652074933052063, 0.8615293502807617, 0.10025382041931152, 0.5864279270172119, -0.16524776816368103, -0.3154333233833313, 0.3420765697956085, 0.40340226888656616, 0.03274088725447655, 0.8002088069915771, -1.775481104850769, 1.0484026670455933, 1.786139726638794, 0.15186668932437897, 0.39986470341682434, 0.7277954816818237, -0.5312553644180298, -0.06706565618515015, 0.6078894138336182, -1.0598613023757935, -0.6772725582122803, -0.8505949974060059, 0.7936042547225952, -0.4848920404911041, -0.03938344120979309, -0.0029827288817614317, 0.8239104151725769, 0.7342367172241211, 1.2040717601776123, -0.13632464408874512, -0.7084296941757202, 0.49379828572273254, 0.7678114175796509, 0.8583016395568848, 0.07787702232599258, 1.3072071075439453, 0.20838886499404907, 1.0216128826141357, -0.36976271867752075, 0.2756991982460022, -0.053569287061691284, 0.9691364169120789, 0.36332714557647705, -0.2609897553920746, 0.003626246238127351, -0.5311160683631897, 0.7392304539680481, -0.6388856172561646, 0.2074945867061615, 0.912804365158081, 0.2034369856119156, -0.7066431045532227, 0.3133679926395416, -0.7891008853912354, -0.5814244151115417, 0.5564934015274048, -0.44682273268699646, -1.0761018991470337, 1.3699758052825928, -0.6398592591285706, 0.36549657583236694, 0.2647169828414917, -0.8383417725563049, -0.5612321496009827, 0.16969162225723267, 0.4604804217815399, -0.25090283155441284, 1.217887282371521, -0.6854468584060669, -0.4412158727645874, -0.5349156856536865, 0.5958544611930847, 0.3877725303173065, 0.39093056321144104, -1.4106303453445435, 0.006956113968044519, -0.1927739828824997, -0.8820942044258118, 0.8899351358413696, -0.5137910842895508, -0.7271451354026794, 0.7017131447792053, -0.23881585896015167, -1.3372682332992554, -0.08591597527265549, -0.5667486190795898, 0.4961453080177307, 0.4633575677871704, -0.06523996591567993, 0.30474209785461426, 0.7782430052757263, -2.26871657371521, 0.06417553871870041, -0.7080655694007874, -0.6588962078094482, 0.19100087881088257, -1.0034552812576294, 0.08042009174823761, -0.7891644835472107, 1.0065621137619019, 0.1202864944934845, -0.6636369824409485, -1.1492047309875488, -0.6915410757064819, -1.8356419801712036, 0.32253021001815796, 0.03175386041402817, 0.4079153835773468, -0.14107206463813782, -0.46020758152008057, 1.3468685150146484, 0.36719661951065063, -0.3208279013633728, -0.15264154970645905, -0.02379714697599411, -0.7137752175331116, -1.4183051586151123, -0.5222428441047668, 1.1556870937347412, 0.6439515352249146, -0.368708074092865, 0.04964206740260124, 0.1290220320224762, 1.421379804611206, -0.3087875247001648, -0.5602031946182251, -0.4785490334033966, 0.32337814569473267, -0.8980780243873596, -0.3810441195964813, 0.6327468156814575, 0.5188822150230408, 1.0997494459152222, 0.11521799862384796, 0.7215114235877991, 0.6640568375587463, 0.03145430237054825, 0.774544358253479, -0.6294978260993958, 1.3616487979888916, 0.3050411343574524, -0.1149623766541481, 0.47608399391174316, -0.5276133418083191, -0.0785064697265625, 0.07924745231866837, 1.3078049421310425, -1.1032822132110596, 0.5522412061691284, 1.121762990951538, 0.2584184408187866, -0.2301587611436844, -0.0776328518986702, -0.12788653373718262, 0.34515470266342163, 0.3621518909931183, -0.21015077829360962, -0.40256932377815247, 0.24569369852542877, -0.14987732470035553, 0.9054256677627563, 1.1267575025558472, -0.42658731341362, -0.053376346826553345, -1.707466721534729, -0.4990555942058563, 0.7899801731109619, 0.3544408679008484, -0.06281893700361252, 0.3058464527130127, 0.6559150218963623, -0.28207775950431824, -1.614536166191101, 0.5665796399116516, -1.7283036708831787, 0.8194775581359863, 0.05080889165401459, 0.07519827783107758, 0.30381882190704346, 0.05085011571645737, 0.643281102180481, -0.7536216974258423, -1.5723522901535034, 0.14804309606552124, 0.08612916618585587, -1.2552001476287842, 0.9301607608795166, 0.35864579677581787, -0.5364789366722107, -0.61863112449646, 0.9953534007072449, 1.9993683099746704, 0.5500409007072449, -0.03365546837449074, 0.5647883415222168, 0.44623035192489624, -0.7656389474868774, -0.7254902720451355, -0.25291430950164795, 0.34483760595321655, 0.7436195015907288, -0.14348310232162476, 0.8033449649810791, -1.2154779434204102, -0.585995078086853, 0.9404070377349854, 0.6963989734649658, 0.11481454968452454, 0.38044479489326477, -0.09924254566431046, -0.6216259002685547, -1.0170966386795044, -0.18905629217624664, 1.590119481086731, -0.07992386817932129, 0.9974246621131897, 0.9397671818733215, -0.21744713187217712, -0.012471730820834637, 0.07702921330928802, 0.5900352597236633, -0.32348644733428955, -0.34633442759513855, -0.9684672355651855, -0.7016776204109192, -0.009367947466671467, -0.027991946786642075, 1.0161384344100952, 0.7324466109275818, 0.02678021788597107, -1.067108154296875, -0.4892723262310028, 0.48842373490333557, -0.5753713250160217, -0.16225485503673553, -0.2759886682033539, 0.06094290688633919, 0.2981323301792145, 0.7167094945907593, -0.0034459144808351994, -0.11938493698835373, -0.7057215571403503, -0.32646170258522034, -0.8025097846984863, -2.341374635696411, -0.042905766516923904, -0.03595181554555893, 1.3309326171875, -0.8658196926116943, 0.08515147864818573, -0.8256521224975586, -0.885915219783783, -0.4447620213031769, -0.8215470314025879, 0.48967471718788147, -0.9986137747764587, 0.5541031956672668, -0.34028953313827515, -1.1092876195907593, -0.803870677947998, 1.3134455680847168, -0.6970130205154419, 0.3766736388206482, -0.03779997676610947, 0.43725815415382385, -0.007145092356950045, 0.574436366558075, 0.10346969962120056, 0.8651761412620544, -0.6854828000068665, -0.146450012922287, 0.9933844804763794, 1.4202934503555298, -0.9045013785362244, -0.668644905090332, 0.3220072388648987, -0.3914368748664856, -0.17102433741092682, 0.9032118916511536, -0.4430982768535614, -0.2057466208934784, 0.6232481598854065, -0.20608748495578766, -0.02221391722559929, 0.17914779484272003, -1.1354440450668335, 0.7703617811203003, -0.32425981760025024, 0.7064036130905151, 0.7410393953323364, 0.930945873260498, -0.9317260980606079, -0.273159921169281, -0.46046674251556396, 0.3946056663990021, -0.949722945690155, 1.4238107204437256, -0.06198633462190628, -0.6380191445350647, -1.126762866973877, 1.0519577264785767, -0.9344164729118347, -0.12607434391975403, -0.15829986333847046, -0.6147615909576416, -0.49305281043052673, 0.878302276134491, -1.137190818786621, 0.5613572001457214, -0.5922791361808777, -0.23554646968841553, -1.0838781595230103, 0.2782444953918457, -0.17066490650177002, -1.6192500591278076, 0.1271621286869049, 0.4624786674976349, 0.5093088150024414, 0.7729248404502869, -0.9542648196220398, 0.026161007583141327, 0.048200108110904694, -1.2623883485794067, 0.5977164506912231, 0.23778828978538513, 0.8201407194137573, -0.13175517320632935, -0.7261565923690796, 0.09340149164199829, 0.690705418586731, -0.4240916073322296, 0.1951417475938797, 0.13472215831279755, 0.9397202730178833, -0.1381276696920395, -0.9280350804328918, -1.54865562915802, -1.2089964151382446, -0.4598458409309387, 0.3188491761684418, 0.21384425461292267, -0.05634847283363342, 0.6660847663879395, -0.5928779244422913, -0.5759877562522888, -0.14872217178344727, 0.24683547019958496, -0.10139285027980804, 0.46512383222579956, 0.616305410861969, 0.580012857913971, 0.4802572429180145, 0.8086124062538147, 1.0453965663909912, -0.5170953273773193, -0.9151888489723206, 0.2358241081237793, -1.258273959159851, -1.6197996139526367, -0.5774590969085693, -1.0367511510849, -0.6925053596496582, 0.017651934176683426, 1.01254403591156, 0.23915502429008484, 0.2452196627855301, 0.38916268944740295, -0.7936872839927673, -1.0822697877883911, 0.2505958676338196, -0.2962283790111542, -0.23768918216228485, 0.6548018455505371, -0.29018548130989075, 1.097832441329956, 0.1352475881576538, -0.5022754669189453, -0.004198724869638681, 0.10283442586660385, 0.21304622292518616, 0.49375584721565247, 2.067025899887085, 0.9378758668899536, 0.35217615962028503, 0.8833174109458923, -0.11724498122930527, 1.0658483505249023, -0.42888012528419495, 0.3715406656265259, 0.3305986821651459, 0.11896166205406189, -0.29145264625549316, 0.6801509857177734, -0.6790297031402588, 0.489756315946579, 1.2848201990127563, -1.3738077878952026, 0.14068733155727386, 0.010069123469293118, 0.27228277921676636, -0.9962232112884521, 0.4291060268878937, -1.0300443172454834, 0.4191156029701233, -0.7441697716712952, 1.9864964485168457, -0.5020759701728821, -0.6183463335037231, 1.0370491743087769, 1.643635630607605, 0.9655225872993469, -0.01275547593832016, 1.009940505027771, 0.10553641617298126, 0.0003029045183211565, -0.9624576568603516, -0.4071389436721802, 0.6878470778465271, -0.7995233535766602, 0.1482609659433365, 0.3715831935405731, -0.4927915334701538, 0.8957196474075317, 0.3997783958911896, 0.34671545028686523, -1.1830112934112549, -1.0726938247680664, -1.2800456285476685, 0.6045941114425659, 0.5031935572624207, -1.045599102973938, -0.1144261360168457, -0.3294147253036499, 0.8811593651771545, 0.28747206926345825, 0.7529596090316772, -1.0106168985366821, -2.0422630310058594, -0.17275206744670868, 0.12097246199846268, -0.9127794504165649, 2.1769983768463135, 0.6871419548988342, 0.43627139925956726, 0.7300356030464172, -0.09074992686510086, 0.5184758305549622, 0.41735967993736267, 0.42478811740875244, 0.0563175193965435, 1.4753514528274536, -0.0974690392613411, 0.3861844539642334, -0.5858892202377319, 0.559256374835968, 4.518019676208496, 1.2752972841262817, -0.17706768214702606, -2.1389122009277344, -0.5890082120895386, -1.11163330078125, 0.006656897719949484, 0.052218519151210785, 0.6105841398239136, 0.6689257025718689, 0.2746117115020752, 0.8856199979782104, 0.4916611909866333, 0.5272080898284912, 0.6416463851928711, 0.2364901900291443, -0.7247021198272705, -1.1250584125518799, 0.2993992865085602, 1.1280120611190796, 0.7779918313026428, -0.9566702246665955, -0.39863818883895874, -0.5786546468734741, 1.2871208190917969, 0.2403215914964676, 0.888608992099762, 0.07303057610988617, 1.484687328338623, 0.3115135729312897, 0.2740976810455322, 1.122803807258606, -0.03819382190704346, 0.17292992770671844, 0.5188028812408447, 0.9236264228820801, 0.026997145265340805, -0.12984199821949005, -0.7238481044769287, 0.002882513217628002, 0.36447376012802124, 0.1011975109577179, 0.17462991178035736, 0.2904379069805145, -0.9462707042694092, -0.367178738117218, -0.5848399996757507, -0.2356087565422058, 0.5483604073524475, -0.036843687295913696, 0.3172995448112488, 0.11211640387773514, 0.6247937679290771, -1.4835811853408813, -0.5703555345535278, 0.5246804356575012, 0.33061543107032776, 0.14187687635421753, -0.04610477760434151, -1.1549665927886963, 0.30767861008644104, -0.2060498297214508, 0.4630093276500702, 0.5468096137046814, 0.1051170602440834, -0.35030055046081543, -1.1303898096084595, 1.5680310726165771, -0.1439642310142517, -0.2324965000152588, -0.20140044391155243, -0.07681509107351303, -0.2544988691806793, -0.34739410877227783, 0.15206745266914368, 0.7417468428611755, -0.3582262098789215, 0.14743058383464813, -0.36595743894577026, -0.15043579041957855, -0.876234769821167, 0.37463316321372986, 0.17224332690238953, 0.0346386656165123, -0.053623806685209274, -0.3772219717502594, 0.3302064836025238, 0.6650126576423645, -0.12080906331539154, -0.5376358032226562, -0.18331381678581238, 1.890472412109375, -0.32211509346961975, -0.8460932374000549, 0.5778943300247192, 0.26378291845321655, -0.7335504293441772, -0.0022071583662182093, -0.6707051396369934, 1.3854258060455322, -0.3092584013938904, -0.003808306297287345, 0.0618414543569088, 1.533589243888855, -0.39579689502716064, -0.12397865206003189, 1.0883069038391113, -0.8851431608200073, -0.04601103067398071, 0.10072252154350281, 0.9501884579658508, -0.3541491627693176, -1.1622767448425293, -1.138075828552246, -0.4093502461910248, -0.3293120265007019, -0.52555912733078, -1.0191036462783813, 0.7366272807121277, 0.028661232441663742, 0.5908764004707336, -0.5698550343513489, 0.20015539228916168, 0.09852755814790726, -0.03171225264668465, -0.09835387766361237, -0.9646354913711548, 0.02298145741224289, -0.3229322135448456, 0.04220470413565636, 0.22761492431163788, 0.04866205155849457, -1.1459033489227295, -0.020301993936300278, -0.6348957419395447, -0.9172157049179077, 0.01846185326576233, 0.26717308163642883, -1.088828444480896, 0.12239186465740204, 0.05536477640271187, -0.29000723361968994, 0.0693337619304657, 0.9251003265380859, -0.36394187808036804, 0.49920782446861267, 0.6813545227050781, -0.5445877313613892, 0.8705397248268127, 1.0936558246612549, -1.50373375415802, -0.23104257881641388, 1.1590701341629028, 0.9523841142654419, -0.20210644602775574, 0.024284129962325096, 0.1815672516822815, 0.6867175698280334, 0.11070091277360916, 0.511609673500061, 0.17451025545597076, 0.5041514039039612, 0.20375336706638336, -0.5626753568649292, 0.07870529592037201, 0.6423096656799316, -0.12390720099210739, 0.1307983696460724, -1.0657827854156494, 0.5673237442970276, -0.3549777567386627, -0.008881593123078346, 0.09807424247264862, -0.2296772599220276, -1.2482917308807373, -0.8362388610839844, -0.31112873554229736, -0.29899513721466064, 0.8583592176437378, -0.5116079449653625, 0.3851723074913025, 1.5504738092422485, -1.1715795993804932, -0.44714125990867615, -0.3461484909057617, -0.4624287784099579, -1.4161473512649536, 0.9209334254264832, -0.432382196187973, -0.10605800896883011, -0.2534334063529968, -0.38752633333206177, -0.11287946999073029, 0.27054429054260254, -1.0169646739959717, -1.2869174480438232, 0.49194252490997314, 0.4768986701965332, 0.11673039942979813, -0.14267928898334503, 0.9335165023803711, -0.1514570713043213, -0.5487403273582458, 0.19706863164901733, -0.3325275182723999, -0.20388595759868622, -0.33018767833709717, 1.0625982284545898, -0.07141689956188202, 0.8879033923149109, -0.10246732085943222, 0.1375609189271927, 0.040857069194316864, -0.973977267742157, 0.34308889508247375, 0.5225790739059448, -0.7955883145332336, 0.2883867919445038, 0.5505253672599792, 0.3240070939064026, -0.279181569814682, 0.37932056188583374, -1.7937631607055664, -0.11114948987960815, 0.14899194240570068, -0.3356494605541229, 0.4550817906856537, -0.9730255007743835, -1.0429576635360718, -0.9205267429351807, 1.0336512327194214, 0.08386075496673584, 0.3987745940685272, 0.9061598181724548, 0.5333749055862427, -1.0750348567962646, 0.23845785856246948, 0.3032226264476776, -0.21850533783435822, -0.55643230676651, -1.072878122329712, -0.558358371257782, -0.7801269292831421, 1.108871340751648, 0.09455546736717224, -0.8029733896255493, -0.8378466963768005, 0.7611693143844604, -0.06926099210977554, -0.5026086568832397, -0.7766208648681641, -0.21501922607421875, 0.8753501176834106, -0.8901625275611877, 0.31601110100746155, 1.5270761251449585, 0.5323910117149353, -1.4543699026107788, -1.3417222499847412, -0.8285820484161377, 0.9003514647483826, 1.450292706489563, 0.16225647926330566, -0.04861970990896225, -1.0932334661483765, 0.4515834152698517, -0.22557340562343597, 0.32392609119415283, 0.2455500364303589, 0.2152835726737976, 0.03729034587740898, 0.26206156611442566, 0.3171737492084503, 0.8895156383514404, 0.2419174313545227, 1.6492817401885986, -0.7153322100639343, 0.3255348205566406, -0.6773439049720764, 0.7068780660629272, -0.25530752539634705, 0.09853458404541016, 0.4341307282447815, 1.170634150505066, -0.3989311456680298, 0.5048502683639526, 0.041384775191545486, -0.3376692235469818, -1.9048054218292236, 1.0897241830825806, -0.6106131672859192, 0.9265618920326233, -0.18165989220142365, -0.21074455976486206, 1.923798680305481, -1.0792438983917236, 0.16256117820739746, 0.06975948065519333, -0.41102439165115356, -0.0029306996148079634, 0.9753142595291138, 0.8424809575080872, 1.2762696743011475, 0.0006943568005226552, -0.5227596163749695, -0.7776178121566772, -0.4912874400615692, 0.7669112682342529, 0.49320992827415466, -0.646870493888855, 0.16727852821350098, 0.5836268663406372, 0.8583534359931946, -0.36137688159942627, 1.0670214891433716, 0.029347287490963936, 0.9219371676445007, -0.1994900107383728, 0.7070342898368835, -0.4237009286880493, -1.178368330001831, -1.5978293418884277, 0.0923147201538086, 0.014012333936989307, 1.601717472076416, -0.8021178841590881, -0.0244003776460886, -1.2925220727920532, 0.2466416209936142, -0.6490918397903442, 0.1130966767668724, 0.08052808791399002, 0.1184663251042366, -0.6374061107635498, 0.09929143637418747, -0.7315593361854553, 0.4877755641937256, 1.566024899482727, -1.0917150974273682, 0.24321995675563812, 0.3145420551300049, 0.6993182897567749, -0.2606799304485321, -0.7693243622779846, -1.7451459169387817, -0.5034238696098328, -0.37807339429855347, 0.6938663721084595, -0.011849634349346161, -1.0030776262283325, -1.18701171875, -0.2273349016904831, 0.9925633668899536, -0.10158616304397583, -0.43382278084754944, -0.544044017791748, 0.1053633764386177, 0.09063336253166199, 0.8349702954292297 ] ]
{ "indices": [ 3774983271, 1041011349, 640124220, 1786548735, 3677720983, 691409538, 1063320047, 4186256544, 3021954015, 1753603297, 1463597126, 1296924235, 1491351846, 1590456296, 2067848296, 1551089265, 4075116728, 3176141921, 2132027491, 2484513939, 2266310605, 4094582072, 2598428456, 2707362363 ], "values": [ 0.721437915187976, 0.6332396382760778, 0.721437915187976, 0.6332396382760778, 0.6332396382760778, 0.6332396382760778, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.721437915187976, 0.4633143131816905, 0.4633143131816905, 0.7754399580265844, 0.721437915187976, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.4633143131816905, 0.6332396382760778, 0.4633143131816905, 0.4633143131816905 ] }
{ "context": "What about PC MUX? Not used, right? PC is not changing. And that's only used if we're going to change PC. What about DR and SR1? They're not used, right? So we can ignore those MUXs, too. So those three we need to set, and then the other three we don't care about. So let's just fill in the don't cares. So those three we don't care. What should address 1 be? Does anyone remember or have the encoding? I don't even remember it." }