公報種別
stringclasses
3 values
公報番号
stringlengths
10
12
出願番号
stringlengths
10
10
出願日
stringclasses
146 values
国際出願番号
stringlengths
12
12
国際出願日
stringclasses
100 values
公報発行日
stringclasses
177 values
公開/公表番号
stringlengths
10
10
公開/公表日
stringclasses
142 values
国際公開番号
stringlengths
12
12
国際公開日
stringclasses
68 values
特許/実案登録番号
stringlengths
7
7
登録日
stringclasses
354 values
公告番号
null
公告日
null
審判番号
stringlengths
10
10
発明(考案)名称
stringlengths
1
499
出願人識別番号
stringlengths
9
149
出願人
stringlengths
3
214
代理人識別番号
stringlengths
9
9
代理人
stringlengths
4
44
発明(考案)者
stringlengths
2
347
優先権
stringlengths
17
735
国際特許分類
stringclasses
1 value
IPC
stringlengths
27
2.46k
FI
stringlengths
18
1.71k
請求項の数
stringclasses
108 values
分割前情報
stringlengths
19
19
変更前情報
stringclasses
7 values
指定国
stringclasses
2 values
審査請求の有無
stringclasses
2 values
全頁数
stringclasses
315 values
テーマコード
stringlengths
5
77
Fターム
stringlengths
10
2.29k
要約
stringlengths
25
709
請求の範囲
stringlengths
6
23.3k
独立請求項
stringlengths
6
20k
技術分野
stringlengths
6
153k
背景技術
stringlengths
6
327k
発明の解決しようとする課題
stringlengths
15
75.7k
課題を解決するための手段
stringlengths
20
813k
発明の効果
stringlengths
7
374k
実施例
stringlengths
14
3.05M
A
2012139476
2011010259
20110101
null
null
20120726
2012139476
20120726
null
null
null
null
null
null
null
ニンニクの芯取り器具
511018217
高橋 俊和
null
null
高橋 俊和
null
8
A47J 25/00 (20060101), A23N 15/00 (20060101),//A23L 1/212 (20060101)
A47J 25/00 ,A23N 15/00 Z ,A23L 1/212 C
3
null
null
null
0
3
4B016,4B061
4B016 LE03 ,4B016 LG09 ,4B016 LP13 ,4B016 LT10,4B061 AA02 ,4B061 BA03 ,4B061 CB03
【課題】ニンニクの芯は火にかけると焦げやすく美味しくなく、調理時には取り除くが、細く柔らかくニンニク内部で曲がっていて取り出しにくく、堅く細い棒で押し出すと芯からずれて押し出せなく、ニンニクの輸切り後は薄いためニンニクが割れやすく、全ての枚数分を取り除く作業が煩わしかった。本発明は、ニンニクの芯を取るためのニンニクの芯取り器具を提供する。【解決手段】にぎり部の先端に、複数の凸部付さの可撓性アームを設ける。【選択図】図1
【請求項1】にぎり部の先端に、複数の凸部付きのアームを設けたニンニクの芯取り器具。【請求項2】にぎり部は平たん部を持った円柱状であることを特徴とする請求項1記載のニンニクの芯取り器具。【請求項3】アームは可撓性素材からなり、にぎり部の外周縁上に設けたことを特徴とする請求項1または請求項2記載のニンニクの芯取り器具。
【請求項1】にぎり部の先端に、複数の凸部付きのアームを設けたニンニクの芯取り器具。
【技術分野】【0001】本発明は、ニンニクの芯を取る器具に関するものである。
【背景技術】【0002】従来、ニンニクの芯を取るには、主に芯穴からツマヨウジなどの棒で押し出すか、輪切り後に指で芯を押し出して取り出していた。
【発明が解決しようとする課題】【0003】ニンニクの芯は火にかけると焦げやすく美味しくなく、調理時には取り除くが、細く柔らかくニンニク内部で曲がっていて取り出しにくく、堅く細い棒で押し出すと芯からずれて取り出せなく、ニンニクを輪切り後は薄いためニンニクが割れやすく、全ての枚数分を取り除く作業が煩わしかった。本発明は、以上の問題点を解決するためになされたものである。
【課題を解決するための手段】【0004】にぎり部の先端に、複数の凸部付きのアームを設ける。以上の構成よりなるニンニクの芯取り器具。
【発明の効果】【0005】従来、無かったニンニクの芯取りを、本発明により安全に簡単に取り出すことができる。
【発明を実施するための形態】【0007】以下、本発明の実施の形態を説明する。にぎり部(1)の先端にアーム(2)を設け、アーム部に先端から長さの半分以上有する凸部(3)を設ける。にぎり部の形状は円柱状、又は図1に示す通り円柱状で側面の一部に平坦部(4)を持つ事が好ましい。アーム部は可撓性素材、又はステンレス素材を用い、にぎり部の軸中心を外した位置、外周縁上に設ける。また、にぎり部の側面の一部に平坦部分を持たせた場合は、アームは平坦部分近傍に設ける。アーム先端凸部は挿入しやすいように先端部の凸部のみ小さくし(図4参照)、一定の間隔を設けた物と螺旋状の物とがあり、アーム先端からアーム長さの半分以上有している。本発明は以上のような構造である。【0008】本発明を使用するときは、ニンニクの上部(6)、下部(7)を包丁で切り落し、芯穴が見える状態にして、にぎり部(1)を持ち、凸部(3)がある、アーム(2)を、ニンニクの上部(6)から芯穴に挿入してニンニクの芯(8)を押し出す。このとき、アームがにぎり部の軸中心から外れていることにより、にぎり部を転がすことによって、アームの高さが調整可能となっている。大きさの異なるニンニクにも対応が可能である。
A
2012140217
2011000007
20110101
null
null
20120726
2012140217
20120726
null
null
null
null
null
null
null
ゴミ箱
709007113
小谷 実
null
null
小谷 実
null
8
B65F 1/06 (20060101)
B65F 1/06
3
null
null
null
0
8
3E023
3E023 GA01 ,3E023 GB02 ,3E023 GC05 ,3E023 GD01
【課題】ゴミが溜まり、ゴミ箱からゴミ袋を外す時、ゴミ箱からゴミ袋を容易に取り出せ、かつゴミ袋の容量がいっぱいになるまでゴミを溜めておくことができる機能を有するゴミ箱を提供する。【解決手段】上部に開放口が開放された箱状本体と、その上部に設けられた筒状枠の開放口と投入口が設けられたカラーからなる。カラーはカラー開放口が、本体開放口に対し向き合う方向で、本体に設けられた支持部とカラーに設けられた受け部により立設される。カラーが立設された状態で、本体開放口からカラーの投入口までの幅をL、本体の底から本体開放口までの長さをMとした場合、M/6≦L≦2×Mとする。【選択図】図4
【請求項1】支持部と上部に開放口が設けられた箱状の本体と、その上に立設された開放口と投入口と受け部が設けられた筒状枠のカラーからなり、カラーはカラー開放口が、本体開放口に対し向き合う方向で、本体の支持部の上にカラーの受け部が乗り、支持部がカラーを支えることにより、カラーは本体の上に立設され、カラーが立設された状態で、本体開放口からカラーの投入口までの高さをL、本体の底から本体の開放口までの高さをMとした場合Lは、M/6≦L≦2×Mとなっており、かつ、本体の開放口の面積をS、本体の開放口から投入口までに形成されるカラーの容積をVとした場合Vは、V≧(M×S)/6となることを特徴とするゴミ箱【請求項2】上部に開放口が設けられた箱状本体と、その上部に設置された開放口と投入口が設けられた筒状枠のカラーからなり、カラーはカラー開放口が、本体開放口に対し向き合う方向で、地面に安定した土台に設けられた支柱に取り付けられ、カラーが支柱に取り付けられた状態で、本体の開放口からカラーの投入口までの高さをL、本体の底から本体開放口までの高さをMとした場合Mは、M/6≦L≦2×Mとなっており、かつ、本体開放口の面積をS、本体の開放口から投入口までに形成されるカラーの容積をVとした場合Vは、V≧(M×S)/6となることを特徴とするゴミ箱【請求項3】カラーの開放口における内側端部が、本体開放口端部に対し下にあり、かつ本体開放口における内壁面よりも内側にあることを特徴とする請求項1または請求項2のゴミ箱。
【請求項1】支持部と上部に開放口が設けられた箱状の本体と、その上に立設された開放口と投入口と受け部が設けられた筒状枠のカラーからなり、カラーはカラー開放口が、本体開放口に対し向き合う方向で、本体の支持部の上にカラーの受け部が乗り、支持部がカラーを支えることにより、カラーは本体の上に立設され、カラーが立設された状態で、本体開放口からカラーの投入口までの高さをL、本体の底から本体の開放口までの高さをMとした場合Lは、M/6≦L≦2×Mとなっており、かつ、本体の開放口の面積をS、本体の開放口から投入口までに形成されるカラーの容積をVとした場合Vは、V≧(M×S)/6となることを特徴とするゴミ箱【請求項2】上部に開放口が設けられた箱状本体と、その上部に設置された開放口と投入口が設けられた筒状枠のカラーからなり、カラーはカラー開放口が、本体開放口に対し向き合う方向で、地面に安定した土台に設けられた支柱に取り付けられ、カラーが支柱に取り付けられた状態で、本体の開放口からカラーの投入口までの高さをL、本体の底から本体開放口までの高さをMとした場合Mは、M/6≦L≦2×Mとなっており、かつ、本体開放口の面積をS、本体の開放口から投入口までに形成されるカラーの容積をVとした場合Vは、V≧(M×S)/6となることを特徴とするゴミ箱
【技術分野】【0001】本発明は、家庭用ゴミ箱に関するものである。
【背景技術】【0002】ゴミ箱は通常、ゴミ箱の内側の形状に沿った形でビニール製のゴミ袋を入れ、そのゴミ袋の口をゴミ箱の淵にかけ、固定し使用していた。ゴミはそのゴミ箱の中のゴミ袋に入れ、ゴミ箱に対し容量いっぱいになった時点で、ゴミ袋をゴミ箱から外し処分していた。しかし、ゴミ箱の中の形状はゴミ袋の形を拘束してしまい、ゴミ箱に入れていない自由状態のゴミ袋の形状と一致していないため、ゴミがゴミ箱に対しいっぱいになっても、ゴミ袋を外すとゴミ袋に対してはいっぱいになっていなかった。その結果ゴミ袋が容量いっぱいになるまでゴミ袋をゴミ箱から外した状態で、ゴミ袋にゴミを入れて使用していた。この時ゴミ箱は、何も機能していないという欠点があった。【0003】ゴミ袋が容量いっぱいになるまで使用できるゴミ箱として、何本かの支柱にゴミ袋保持部を備えたものが提案されている(例特許文献1参照)。しかし、この方法ではゴミ袋が側面から見えてしまい、また、ゴミ袋はゴミがたまっていくにしたがい、支柱の間からはみ出してしまい、外観を損ねるという欠点があった。【0004】また、なるべくゴミ袋の容量いっぱいにゴミが入れられるよう、容量の大きいゴミ箱が市販されているが、ゴミ袋の形状に似せているため、ゴミ箱の高さが、ゴミ袋の高さとほぼ同じになってしまい、高さの高い形状となってしまった。このため、ゴミがいっぱいになった時、ゴミ袋とゴミ箱の側面の接触面積が大きくなり、ゴミ袋を取り出す時、その接触面の抵抗力により取り出しにくく、時にはゴミ袋に穴が開いてしまうこともあった。また、ゴミ袋の手で掴める淵の幅も僅かであり、袋の淵が破けてゴミ袋が取り出せなくなってしまうという欠点があった。また、このようなゴミ箱でも完全にゴミ袋の容量いっぱいになるまで、ゴミを溜めておくことはできなかった。
【発明が解決しようとする課題】【0006】解決しようとする問題点は、ゴミ袋の容量いっぱいの分量まで、ゴミ箱にゴミ袋をセットしている時点でゴミを溜めておくことができ、かつ、ゴミ袋を容易にゴミ箱から取り出せまた、ゴミが溜まっている際にゴミの入っているゴミ袋が外観上見えることが無い。という機能を有するゴミ箱を提供することにある。
【課題を解決するための手段】【0007】支持部と上部に開放口が設けられた箱状の本体と、その上に立設された開放口と投入口と受け部が設けられた筒状枠のカラーからなる。カラーはカラー開放口が、本体開放口に対し向き合う方向で、本体の支持部の上に、カラーの受け部が乗り、支持部がカラーを支える事により、カラーは本体の上に立設される。カラーが立設された状態で、本体開放口からカラーの投入口までの高さをL、本体の底から本体開放口までの高さをMとした場合Lは、M/6≦L≦2×Mとなっており、かつ、本体の開放口の面積をS、本体の開放口から投入口までに形成されるカラーの容積をVとした場合Vは、V≧(M×S)/6となることを特徴とする。【0008】または、上部に開放口が設けられた箱状本体と、その上部に設けられた開放口と投入口が設けられた筒状枠のカラーからなる。カラーはカラー開放口が、本体開放口に対し向き合う方向で、地面に安定に設置された土台に設けられた支柱に取り付けられる。カラーが支柱に取り付けられた状態で、本体開放口からカラーの投入口までの高さをL、本体の底から本体の開放口までの高さをMとした場合Lは、M/6≦L≦2×Mとなっており、かつ、本体の開放口の面積をS、本体の開放口から投入口までに形成されるカラーの容積をVとした場合Vは、V≧(M×S)/6となることを特徴とする。【0009】また、カラーの開放口における内側端部が、本体開放口端部に対し下にあり、かつ本体開放口における内壁面よりも内側に成るものである。
【発明の効果】【0010】本発明のゴミ箱はカラーの高さ分、ゴミ箱本体以上のゴミを入れておく事ができるため、ゴミ袋容量いっぱいの分量まで、ゴミ箱にゴミを入れておくことができる。という利点がある。また、カラーの高さを高くすることにより、本体の高さを低くすることができるため、ゴミ袋とゴミ箱との接触面積が少なくなり、また、本体の高さが低いことから、ゴミ袋の手で掴める幅も十分確保されるため、ゴミがいっぱいになった時、容易にゴミ袋を外すことが可能となった。また、カラーの開放口は本体の内側面よりもさらに内側にあるため、生ゴミなど水分を含んだゴミをカラーまで溜まったゴミの上に入れても、その水分がカラーの壁面を辿り落ち、カラーと本体の隙間から外へ洩れ出すことがない。という利点がある。
【発明を実施するための形態】【0012】本発明は上部に開放口(1)が開放された箱状のゴミ箱本体(2)と、本体(2)に乗せられたカラー(3)から構成される。カラー(3)は下向きのカラー開放口(4)、投入口(5)が設けられ、上向きの本体開放口(1)とカラー開放口(4)と向き合わせる。カラー開放口(4)の淵に断面が略U字状の溝(6)を設け、溝(6)の底部を受け部(14)とする。本体開放口(1)の淵(9)を支持部(13)とし、溝(6)に嵌め、支持部(13)と受け部(14)により、カラーを支える。溝(6)一周の形状と本体開放口の淵(9)を同形状にしておき、淵一周に亘って嵌められるようにしておく。カラー開放口(4)における内側端部(18)は本体開放口(1)の端部に対し下にあり、かつ本体開放口(1)における内壁面よりも内側にある。つまり、カラー開放口の淵の内側の面(10)は本体(2)の同一の高さにある開放口(1)の内側の面(11)に対し、さらにその内側に位置することになる。(図2)【0013】カラーが本体に立設された状態で本体の開放口からカラーの投入口までの高さ、つまり図3では支持部(13)から投入口(5)までの高さをLとする。本体の底から本体開放口までの高さをMとした場合、M/6≦L≦2×MとなるようLを設定する。(図3)【0014】M/6≦Lの条件は横150mm×縦250mm×高さ450mmで20リットル用の一般的なゴミ箱、ほとんど収縮しない生ゴミ、20リットル用のゴミ袋を使用し、ゴミ袋の淵を50mm縛り代とし残し、ゴミ袋が容量いっぱいになるためのカラーの必要な高さを試験した結果より得られた。もし、ゴミ袋の容量が大きい場合でも、それと共に使用するゴミ箱も大きくなるため、それら寸法の比率は変わらず、ゴミ箱の大きさに依らず本式は適用される。【0015】カラーの容積は、本体の開放口そのままの形状を上方へ延長しM/6の高さ分の容積を確保しなければならず、本体開放口の面積をS、本体開放口、つまり支持部(13)から投入口までにカラーにより形成される容積をVとした場合、Vは、V≧M×S/6としなければならない。(図4)【0016】L≦2Mの条件は、ゴミ袋の高さと本体の高さMがほぼ同じゴミ箱に、カラーを立設させ、シュレッダーにより発生する紙ゴミを入れ、紙ゴミを圧縮した時、ゴミ袋がいっぱいになるためにはカラーの高さLは最高どれだけ必要になるのか、試験した結果得られた。シュレッダーのゴミは一般的なゴミの中で最も収縮性があるため、この結果により得られた2M以上の高さはカラーに必要無いと考える。【0017】使用時には、ゴミ箱本体(2)の内側にゴミ袋(7)を広げて入れる。ゴミ袋の淵の余った部分は、本体開放口(1)の淵に掛けておく。その上にカラー(3)を支持部(13)と溝(6)の受け部(14)を合わせ本体(2)に乗せる。(図3)。この状態で使用し、ゴミ(8)を投入する。ゴミは本体開放口(1)の高さ以上、投入口(5)以下の高さまで投入する。(図5)。ゴミ箱からゴミ袋(7)を取り出すときは、カラー(3)と共に、本体(2)から取り出す。(図6)。ゴミ袋(7)が本体(2)から出される事により、ゴミ袋(7)が横に広がり、容積が開放され増える。カラーにあったゴミ(8)がゴミ袋(7)へ落ちる。(図7)。ゴミ袋からカラーを外し、ゴミ袋(7)の口を縛り処分する。(図8)。【0018】上部に開放口(1)が設けられた箱状のゴミ箱本体(2)とその上部に設置されたカラー(3)と地面に安定して設置された土台(15)に設けられた支柱(12)から構成される。カラーは開放口(4)と投入口(5)が設けられ、上向きの本体開放口(1)とカラー開放口(4)と向き合わせ、支柱(12)に取り付ける。としてもよい(図9)【0019】外側にはみ出たゴミ袋(7)を外観上隠せるよう、カラーの略U字状溝の外側の板(16)を下方へ長くしてもよい。さらに、その場合、略U字状の内側の板(17)は外側の板(16)よりも下方へ十分に長くすることが好ましい。何故ならば、外側の板(16)を下方へ長くした場合、カラーを少し持ち上げなければゴミ袋(7)を側方から見ることができない。よってゴミがたまり、処分する際にはゴミ袋(7)とカラー(3)は最初から共に持ち上げることはできず、まずはカラー(3)のみを持ち上げ、ゴミ袋(7)が見えた後、共に持ち上げる事となる。仮に内側の板(17)が短かければ、カラー(3)のみを持ち上げた時、カラー(3)内のゴミが、本体(2)とカラー(3)の間から、外へこぼれ落ちてしまうことが考えられる。そこで内側の板(17)を外側の板(16)に対し、十分長くすることによって、カラーを若干持ち上げても、内側の板(17)でゴミが外にこぼれ落ちる事を防ぐのである。(図10)【0020】ゴミ袋に入るゴミの量の目安として、カラーの内側側面に目盛りを付けられることが自由であることはいうまでもない。【0021】カラーとゴミ袋が同時に取れ易くなるよう、カラーの外側側面にゴミ袋と同時に掴める凹凸いずれかの取っ手が設けられることが自由であることはいうまでもない。【0022】また、カラーに開閉可能な蓋が追加されることが、自由であることは、いうまでもない。また、その蓋はゴミ箱に設けられたペダルと、そのペダルの動きを伝える突き上げレバーと繋がっていて、突き上げレバーは、カラーと本体の間で切り離し可能とし、ペダルを踏み、放すことによって、蓋が開閉する機能を有するというものでもよい。また、開閉可能な蓋は地面に対し水平な軸を中心に、板状の蓋が内側に向い回転することにより開く蓋の場合、蓋が可動する範囲内は、ゴミ箱の容積として機能しないため、その投入口の高さは蓋が可動する範囲内で最下点の位置とする。【0023】本体(2)とカラー(3)を確実に連結させるため、本体(2)もしくはカラー(3)にバックル等結合部を設けることが自由であることはいうまでもない。【0024】カラーの投入口は上方向以外に、横方向、もしくは斜め上方向など自由であることはいうまでもない。(図11)【0025】デザイン性の向上のため、本体の支持部(13)と受け部(14)はL字状としてもよい。(図12)【0026】デザイン性の向上のため、カラー(3)の外側の側面を上に広がるテーパー状にし、そのテーパー面を受け部(14)とし、本体(2)の支持部(13)の上に乗せ、カラーを支持してもよい(図13)【0027】図では、本発明は上方から見た形状が方形であるが、円、三角形など、その形状が自由であることは、いうまでもない。
A
2012141940
2011010257
20110101
null
null
20120726
2012141940
20120726
null
null
null
null
null
null
null
論理式変換プログラム、SAT解法プログラム、及びSAT難易度評価プログラム
598108076
小林 弘二
null
null
小林 弘二
null
8
G06F 17/10 (20060101)
G06F 17/10 Z
9
null
null
null
0
12
5B056
5B056 BB65 ,5B056 BB95
【課題】充足可能性問題は、その対象となる式の構造により難易度が変わるが、SATの式を正規化して効率的に構造を抽出する汎用性の高いSAT解法が存在しなかった。また、CNFをHornCNFに効率的に変換するプログラムが存在しなかった。【解決手段】CNFをその構造に従って解析する。CNFの共通の変数を持つ項同士の関係を正規化し、順序対やHornCNF、有向グラフに変換することとした。また、その順序対やHornCNF、有向グラフに変換したCNFを使用することで効率的にSATを解くこととした。また、CNFの計算困難性の重要な点である相補部を持つ項同士の関係からCNFSATの計算困難性を見積もることとした。【選択図】図1
【請求項1】コンピュータを、入力としてCNFを受け取り、出力として下記の集合の集合を出力するプログラム。CNFの項を第一成分とし、空集合を第二成分として持つ順序対。順序対の第一成分として出現する項のうちお互いに共通部を持つ項同士について、それぞれの2つの項の組について、片方の項を第一成分として持ち、もう片方の項及びその項の差分部の肯否を入れ替えた項全ての集合を第二成分として持つ順序対。そして共通部を持つ項全ての項についても同様に作成した順序対。順序対の第一成分として出現する項のうちお互いに相補部を持つ項同士について、その項の浸出項を第一成分として持ち、対象の項及び対象の項の差分部の肯否を入れ替えた項(ただしお互い相補部(共通部)の肯否が一致するものは含まない)全ての集合を第二成分として持つ順序対。そして異なる浸出部となる項同士の全ての組合せについても同様に作成した順序対。【請求項2】コンピュータを、入力としてCNFを受け取り、出力として下記の集合の集合を出力するプログラム。CNFの項を第一成分とし、空集合を第二成分として持つ順序対。順序対の第一成分として出現する項のうちお互いに共通部を持つ項同士について、共通項を第一成分として持ち、共通部を持つ項のいずれか一つの項及びその項の差分部の肯否を入れ替えた項全ての集合を第二成分として持つ順序対。そして共通部を持つ項全ての項についても同様に作成した順序対。順序対の第一成分として出現する項のうちお互いに相補部を持つ項同士について、その項の浸出項を第一成分として持ち、対象の項及び相補項の肯否を入れ替えた項(ただし対象の項の相補部と肯否が一致するものは含まない)全ての集合を第二成分として持つ順序対。そして異なる浸出部となる項同士の全ての組合せについても同様に作成した順序対。【請求項3】請求項1、2において、CNFの項を第一成分とし空集合を第二成分として持つ順序対を計算する代わりに、CNFの項をそのまま順序対の代用として使用して計算を行うプログラム。【請求項4】請求項1、2、3において、空集合を第一成分とし、要素として現れた項それぞれについて、その項及びその項の肯否を入れ替えた項の集合を第二成分として持つ順序対を計算するプログラム。【請求項5】請求項1、2、3、4において、集合の集合を出力する代わりに、下記の項を持つHornCNFを出力するプログラム。順序対に含まれる項に代えてHornCNFの変数とする。順序対に代えて、順序対の第一成分をHornCNFの変数の肯定リテラルとし、順序対の第二成分の要素をHornCNFの変数の否定リテラルとして持つHornCNFの項とする。【請求項6】請求項1、2、3、4において、集合の集合を出力する代わりに、下記の有向グラフを出力するプログラム。順序対の要素を構成するのに代えて、有向グラフの頂点を構成する。順序対を構成するのに代えて、第二成分の集合に含まれる項に対応する頂点から第一成分の項に対応する頂点への有向辺を構成する。【請求項7】請求項1、2、3、4において、集合の集合を出力する代わりに、下記の値を出力するプログラム。順序対の数。順序対に含まれる要素の合計。浸出項の変数の最大値。浸出項の数。空集合から空集合に到達する経路の最短距離、空集合から空集合に到達する経路の最長距離。空集合から空集合に到達する経路の本数。前述をランダムに選択した標本から算出した値。【請求項8】コンピュータを、入力としてCNFを受け取り、出力として下記の値を出力するプログラム。CNFの項のうち、相補部を持つ項同士の組合せの数。【請求項9】請求項1、2、3、4、5、6において、データを出力する代わりに、CNFSATの計算結果を出力するプログラム。
【請求項1】コンピュータを、入力としてCNFを受け取り、出力として下記の集合の集合を出力するプログラム。CNFの項を第一成分とし、空集合を第二成分として持つ順序対。順序対の第一成分として出現する項のうちお互いに共通部を持つ項同士について、それぞれの2つの項の組について、片方の項を第一成分として持ち、もう片方の項及びその項の差分部の肯否を入れ替えた項全ての集合を第二成分として持つ順序対。そして共通部を持つ項全ての項についても同様に作成した順序対。順序対の第一成分として出現する項のうちお互いに相補部を持つ項同士について、その項の浸出項を第一成分として持ち、対象の項及び対象の項の差分部の肯否を入れ替えた項(ただしお互い相補部(共通部)の肯否が一致するものは含まない)全ての集合を第二成分として持つ順序対。そして異なる浸出部となる項同士の全ての組合せについても同様に作成した順序対。【請求項2】コンピュータを、入力としてCNFを受け取り、出力として下記の集合の集合を出力するプログラム。CNFの項を第一成分とし、空集合を第二成分として持つ順序対。順序対の第一成分として出現する項のうちお互いに共通部を持つ項同士について、共通項を第一成分として持ち、共通部を持つ項のいずれか一つの項及びその項の差分部の肯否を入れ替えた項全ての集合を第二成分として持つ順序対。そして共通部を持つ項全ての項についても同様に作成した順序対。順序対の第一成分として出現する項のうちお互いに相補部を持つ項同士について、その項の浸出項を第一成分として持ち、対象の項及び相補項の肯否を入れ替えた項(ただし対象の項の相補部と肯否が一致するものは含まない)全ての集合を第二成分として持つ順序対。そして異なる浸出部となる項同士の全ての組合せについても同様に作成した順序対。【請求項8】コンピュータを、入力としてCNFを受け取り、出力として下記の値を出力するプログラム。CNFの項のうち、相補部を持つ項同士の組合せの数。
【技術分野】【0001】本発明は、コンピュータにて論理式を変換するプログラム、及び充足可能性問題を扱うプログラム、及び充足可能性問題の難易度を評価するプログラムに関する
【背景技術】【0002】従来、コンピュータでは様々な問題が扱われており、また論理式や充足可能性問題、恒真式問題など論理に関する問題も様々なものが扱われている。【0003】特にSAT(充足可能性問題)は、NP完全問題として非決定性チューリングマシンと等価であることが判明しており、またその応用範囲も広い。そのため、コンピュータで自動的に解決する様々なSAT解法(SATソルバ)が研究・実用化されている。【0004】SATは条件により様々な種類が存在する。特にCNF(乗法標準形)で構成されたCNFSATと、3CNF(項のリテラルが3つまでに限定されたCNF)で構成された3SATが重要である。SATはCNFSATや3SATに変換可能であり(例えば非特許文献3参照)、また変換後のCNFや3CNFは取り扱いが容易なため、SAT解法でも始めにSATをCNFSATや3SATに変換する手法が用いられている。【0005】また、特別なCNFの一つとしてHornCNFと2CNFがある。HornCNFは各項に0または1の肯定リテラルが存在するCNFである。本出願では1つの肯定リテラルのみからなる項を事実項、肯定リテラルが1つのみで残りが否定リテラルとなる項を規則項、否定リテラルのみからなる項を目的項と呼ぶこととする。また、2CNFは項の変数が2つまでに限定されたCNFである。本出願ではHornCNFと同様に規則項、目的項を定める。また、HornCNFには存在しない肯定リテラルを2つ含む項を関連項と呼ぶこととする。なお、式に含まれる全ての変数の肯否を入れ替えることによってHornCNFにすることのできる論理式も存在する。本質的にはHornCNFと同じとなるため、以降ではそのような論理式もHornCNFとして扱う。【0006】HornCNFや2CNFはコンピュータで効率的に充足可能性の判定を行うことが可能(例えばDPLLアルゴリズム)だが、CNFからHornCNFや2CNFに効率的に変換する方法は存在しない。【0007】またSATは本質的にコンピュータで計算するのが困難な問題であり、判定するのに問題の規模の指数倍の時間が必要になることがある。また、確率的な乱択アルゴリズムで充足可能なことを求める確率的SAT解法もあるが、このようなSAT解法でも充足不可を判定するのは困難である。そのため、全ての可能性を判定し充足不可を明確化する完全SAT解法の効率化が重要となる(例えば特許文献1参照)。またSATは問題毎に難易度が大幅に異なるため、難易度を評価することも重要となる。【0008】しかし、従来の完全SAT解法や確率的SAT解法は、充足可能である条件から式を簡約するDPLLアルゴリズム(例えば非特許文献1参照)や、可能性のある真理値割当を実際に式に適用する手法などが主であり、問題の構造を活用して効率化する手法は少なかった。また、式の構造そのものに着目してSAT解法を効率化する手法はあったが(例えば非特許文献2参照)、特定の条件を満たす必要のあるものがほとんどであり応用範囲が狭かった。また、充足解の計算時間を調整することで、充足解を計算する時の負担を軽減する技術(例えば特許文献2参照)があるが、実際に計算を行う必要があるため、必ずしも効率化になるとは限らなかった。そして、SATの難易度を効率的に評価する方法も無かったため、計算時間などを効率的に評価する方法も存在しなかった。【0009】なお、SATを効率良く扱うために、SATで与えられた式をSAT解法のために効率の良い形に変更することも重要となるが、そのようなプログラムにおいて、論理式をHornCNFに効率良く変換するプログラムは存在しなかった。
【発明が解決しようとする課題】【0012】上記の通り、SATの構造を活用して効率的に問題を判定する汎用性の高いSAT解法が存在しなかった。論理式の充足可能性を効率的に求めることのできるHornCNFの形式に変換するプログラムが存在しなかった。SATの難易度を効率的に評価するプログラムが存在しなかった。
【課題を解決するための手段】【0013】上記問題を解決するため、本発明では、コンピュータを、入力としてCNFを受け取り、出力として下記の集合の集合を出力するプログラムとした。CNFの項を第一成分とし、空集合を第二成分として持つ順序対。順序対の第一成分として出現する項それぞれの、その項のお互いに共通の変数が存在しかつ共通の変数の肯否が全て一致する(本特許ではこの部分を共通部と呼ぶ。また共通部にあるリテラルからなる項を共通項と呼ぶ)項同士について、それぞれの2つの項の組について、片方の項を第一成分として持ち、もう片方の項及びその項の共通部に含まれない部分(本特許では差分部と呼ぶ。また差分部にあるリテラルからなる項を差分項と呼ぶ)の肯否を入れ替えた項の集合を第二成分として持つ順序対。順序対の第一成分として出現する項それぞれの、その項のお互いに共通の変数が存在するがその共通の変数のいずれかの肯否が異なる(本特許ではこの部分を相補部と呼ぶ。また相補部にあるリテラルからなる項を相補項と呼ぶ)項同士について、対象の項の相補部に入らない部分を全て合わせた項(本特許ではこの全ての差分部を集めた部分を浸出部と呼ぶ。また浸出部にあるリテラルからなる項を浸出項と呼ぶ)を第一成分として持ち、対象の項及び対象の項の差分部の肯否を入れ替えた項(ただしお互い共通部の肯否が一致するものは含まない)の集合を第二成分として持つ順序対。空集合を第一成分として持ち、要素として現れた項それぞれについて、その項及びその項の肯否を入れ替えた項の集合を第二成分として持つ順序対。【0014】上記問題を解決するため、本発明では、コンピュータを、入力としてCNFを受け取り、出力として下記の集合の集合を出力するプログラムとした。CNFの項を第一成分とし、空集合を第二成分として持つ順序対。順序対の第一成分として出現する項のうちお互いに共通部を持つ項同士について、共通項を第一成分として持ち、共通部を持つ項のいずれか一つの項及びその項の差分部の肯否を入れ替えた項全ての集合を第二成分として持つ順序対。そして共通部を持つ項全ての項についても同様に作成した順序対。順序対の第一成分として出現する項のうちお互いに相補部を持つ項同士について、その項の浸出項を第一成分として持ち、対象の項及び相補項の肯否を入れ替えた項(ただし対象の項の相補部と肯否が一致するものは含まない)全ての集合を第二成分として持つ順序対。そして異なる浸出部となる項同士の全ての組合せについても同様に作成した順序対。空集合を第一成分として持ち、要素として現れた項それぞれについて、その項及びその項の肯否を入れ替えた項の集合を第二成分として持つ順序対。【0015】また上記プログラムにおいて、CNFの項を第一成分とし空集合を第二成分として持つ順序対は入力のCNFから容易に構成可能なため、入力をそのまま使用する場合や、出力に含まない場合がある。【0016】また上記プログラムにおいて、空集合を第一成分として持ち要素として現れた項それぞれについてその項及びその項の肯否を入れ替えた項の集合を第二成分として持つ順序対は他の順序対から容易に構成可能なため、計算を行わずに出力に含めない場合がある。【0017】また上記プログラムにおいて、共通部や相補部に対応する順序対において、共通項や相補項に対応する項を持つ場合と持たない場合が混在する場合がある。【0018】なお集合や順序対の構成は通常プログラムで行われる方法を用いる。項そのものを配列やテーブルなどのレコードで構築する場合もあり、項のデータを別に用意してそのデータへの参照を配列やテーブルなどのレコードで構築する場合もあるが、これらに限らない。【0019】また上記プログラムにおいて、集合の集合を出力する代わりに、下記の項を持つHornCNFを出力するプログラムとすることがある。順序対に含まれる項に代えてHornCNFの変数とする。順序対に代えて、順序対の第一成分をHornCNFの変数の肯定リテラルとし、順序対の第二成分の要素をHornCNFの変数の否定リテラルとして持つHornCNFの項とする。この場合、第一成分が空集合の順序対には目的項が対応し、第二成分が空集合の順序対には事実項が対応する。第一成分も第二成分も空集合にならない順序対は規則項に対応する。【0020】このような順序対を構成することで、CNFの持つ構造を扱いやすい形に再構成するため、CNFSATを計算やその難易度の評価を簡単にすることができる。【0021】なお、HornCNFは、変数が順序対の項に、項が順序対に対応するため、下記でも暗黙的にその対応関係を用いる場合がある。【0022】また上記プログラムにおいて、後の計算の容易化のため、同じ変数から構成される項を要素として持つ順序対の集合を用意する場合がある。同様に、同じリテラルから構成される順序対の集合を用意する場合がある。このようにすることで、同じ変数からなる項や同じリテラルからなる項がどの順序対に存在するかを見つけやすくし、計算を容易化する。【0023】また上記プログラムにおいて、集合の集合を出力する代わりに、下記の有向グラフを出力する場合がある。順序対の要素を構成するのに代えて、有向グラフの頂点を構成する。順序対の第一成分と第二成分を構成するのに代えて、第二成分の集合に含まれる項に対応する頂点から第一成分の項に対応する頂点への有向辺を構成する。この場合、空集合も頂点として存在する。【0024】なお、有向グラフは、頂点が順序対の項に、辺が順序対に対応するため、下記でも暗黙的にその対応関係を用いる場合がある。【0025】このグラフは充足性について半順序を持つため、空集合に繋がる有向辺の起点となる頂点(目的項に対応する)を考えた時に、その頂点を終点とする経路(事実項と、それによって確定される規則項に対応する)が全て空集合起点となる場合は充足不可となるため、比較的容易に充足可否を判定することができる。【0026】また上記プログラムにおいて、集合の集合を出力する代わりに、下記の値を出力する場合がある。順序対の数。順序対に含まれる要素の合計。浸出項の変数の最大値。浸出項の数。空集合から空集合に到達する経路の最短距離、空集合から空集合に到達する経路の最長距離。空集合から空集合に到達する経路の本数。前述をランダムに選択した標本から算出した値。【0027】また上記問題を解決するため、コンピュータを、CNFの項のうち、相補部を持つ項同士の組合せの数を出力するとした。【0028】この結果、CNFSATを実際に計算せずに難易度を評価することができる。【0029】また上記プログラムにおいて、データを出力する代わりに、CNFSATを計算してその充足可否や充足条件の計算結果をデータとして出力することもある。【0030】また、上記プログラムにおいて、論理式を受け取った時はCNFまたは3CNFに変換することとしたプログラムとすることもある。
【発明の効果】【0031】本発明により、CNFの持つ構造を活用して、コンピュータで取り扱いやすいデータ形式、特にHornCNFや半順序を持つ有向グラフの形に変形することが可能となった。またその結果を用いて効率的にSATをコンピュータで処理することが可能となった。さらに、SATの難易度を効率的に評価することが可能となった。
【発明を実施するための形態】【0033】以下に本発明の実施形態の一つについて、図面に基づき説明する。図1は本発明のプログラムの構成図である。【0034】本プログラムは以下の構成となる。論理式を受け取りCNFに変換する入力部111。入力部111から受け取ったCNFを元に、各項の関係を表すデータに変換する変換部112。変換したデータを出力する出力部113。また、変換部が作成したデータを元に充足可否を判定するSAT判定部121、及びSAT判定部121の結果を出力する判定結果出力部122が存在することもある。また、変換部が作成したデータを元にSATの難易度を評価するSAT評価部131、及びSAT評価部131の結果を出力する評価結果出力部132が存在することもある。【0035】入力部111の詳細を説明する。入力部111はメモリ、ストレージ、IO、他のプログラムなど、通常のコンピュータで使用される入出力部からの入力を受け取る。【0036】受け取った入力が論理式の条件を満たしている場合は入力をCNFに変換して変換部112に出力する。プログラムによっては正規化を一段進めて3CNFに変換する場合もある。論理式からCNFや3CNFへの変換は通常用いられる方法を用いる(例えば非特許文献3参照)。また、変換部112に出力する際に、CNFや3CNFを作成するときに付加した変数を識別可能なように渡すことがある。例えば変数にCNFに変換するときに付加した変数、あるいは3CNFに変換するときに付加した変数であることがわかるように、変数に識別子を付けたり、変数の一覧を別途データとして渡したりすることがある。CNFを作成するときに付加する変数は肯定リテラルと否定リテラルの数が同数になり、また3CNFを作成する時に付加する変数は肯定リテラルと否定リテラルがそれぞれ一つの項にしか出てこない。よって、このような特徴を、充足可能性を判定するときに活用することで判定を効率化することができる。【0037】入力は論理式及び論理式の変数の満たす条件で構成される。論理式や論理式を表すバイナリ列など、通常用いられる論理式の省略形を表現したデータを受け取ることも可能とするが、この内容に制限されない。【0038】また、入力が論理式の条件を満たしていない場合は入力を拒否する。拒否の方法は通常のプログラムで計算失敗として行われている動作を用いる。例えば判定結果出力部122がある場合は充足不可として判定結果出力部122に出力する場合や、単に入力を無視する場合などである。また通常のプログラムで行われている動作(特殊な値の出力、例外の発行)なども行うことがあるが、この内容に制限されない。【0039】変換部112の詳細を説明する。変換部112は、入力部111からの出力としてCNFを受け取ると、CNFの項を共通の変数を持つ集合に分類する。そして共通の変数を持つ集合のうち、同じ肯否を持つ組合せと異なる肯否を持つ組合せを作成し、それぞれについて順序対を作成する。【0040】ここで、順序対を作成する代わりに有向グラフを作成する場合がある。有向グラフは順序対の作成と同様の手順にて作成することができる。【0041】順序対(あるいは有向グラフ)の作成は、下記の手順にて行う。【0042】まずはCNFから、CNFの項を第一成分とし、空集合を第二成分として持つ順序対を作成する。この項はHornCNFの事実項に対応する項でもあり、空集合を条件として持つ(無条件に真となる必要のある)条件を意味する。【0043】ただし、この事実項に対応する順序対はCNFの各項から容易に作成することができるため、CNFの各項を順序対の第一成分に読み替えて使用する場合もある。また、出力にこの順序対を含まずCNFを含む場合、あるいはまったく含まない場合がある。【0044】次に、これまでに作成した順序対の第一成分に含まれる項(入力のCNFの項も含む)について、お互いに共通の変数を含む組合せを計算し、その組合せに関係する順序対を計算する。この順序対はHornCNFの規則項に相当する。【0045】まだ計算を行っていない組合せがある場合、共通の変数の全ての肯否が同じ場合と、共通の変数のいずれかの肯否が異なる場合の、全ての組合せについて順序対の計算を行う。【0046】まず、共通の変数の全ての肯否が同じ場合の詳細を述べる。この場合、共通項は必ず真偽が同じになるため、ある項で共通部が真となる場合は別の項でも真となり、ある項で共通部が偽となる場合は別の項でも偽となる。この条件をHornCNFの規則項を意識して順序対で構成すると次のようになる。共通部を持つ項同士のうちある項を第一成分とし、別の項の差分部の肯否全ての場合を集めた集合を第二成分とする。【0047】例えば、順序対の第一成分に(X0V¬X2VX4)と(X0V¬X2VX6)があった場合、ある項を(X0V¬X2VX4)、別の項を(X0V¬X2VX6)とすると、この時の順序対は((X0V¬X2VX4),(X0V¬X2VX6),(X0V¬X2V¬X6))となる。当然、ある項を(X0V¬X2VX6)、別の項を(X0V¬X2VX4)とした場合も考慮する必要があり、この時の順序対は((X0V¬X2VX6),(X0V¬X2VX4),(X0V¬X2V¬X4))となる。【0048】次に、共通の変数のいずれかの肯否が異なる場合の詳細を述べる。この場合、相補項が同時に真となることは無いため、対象の項が全て真になる場合は浸出部のいずれかが真となる。この条件をHornCNFの規則項を意識して順序対で構成すると次のようになる。浸出項を第一成分とし、対象の項及び対象の項の差分部の肯否を入れ替えた項(ただしお互い共通部の肯否が一致するものは含まない)の集合を第二成分とする。この順序対の計算は、お互いに相補部を持つ項の組合せ全ての場合について行う必要がある。【0049】例えば、順序対の第一成分に(X0V¬X2VX4)と(X0VX2VX6)があった場合、この順序対(の一つの例)は((X4VX6),(X0V¬X2VX4),(¬X0VX2VX4),(¬X0V¬X2VX6),(X0VX2VX6))となる。当然、上記順序対の(¬X0VX2VX4)及び(¬X0V¬X2VX6)は恣意的に選択した項であり、この項である必要はない。例えば((X4VX6),(X0V¬X2VX4),(¬X0VX2VX6),(¬X0V¬X2VX4),(X0VX2VX6))((X4VX6),(X0V¬X2VX4),(¬X0VX2VX6),(¬X0V¬X2VX6),(X0VX2VX6))などとすることもできる。【0050】また、3つ以上の項の関係も2つの項の関係と同様に計算する必要がある。例えば、(X0V¬X2VX4)(X0VX2VX6)(¬X0VX2V¬X8)の場合、この順序対(の一つの例)は((X4VX6V¬X8),(X0V¬X2VX4),(X0VX2VX6),(¬X0VX2VX8),(¬X0V¬X2VX8))となる。当然、この場合でも2つの項の関係をそれぞれ別に計算する必要がある。【0051】また、上記において効率化のために共通項・相補項を用意してその順序対とすることもできる。例えば上記の共通部については((X0V¬X2),(X0V¬X2VX6),(X0V¬X2V¬X6))((X0V¬X2),(X0V¬X2VX4),(X0V¬X2V¬X4))とすることができ、上記の相補部については((X4VX6),(X0V¬X2VX4),(X0VX2VX6),(¬X0VX2),(¬X0V¬X2))3つの項の場合は((X4VX6V¬X8),(X0V¬X2VX4),(X0VX2VX6),(¬X0VX2VX8),(¬X0V¬X2V))とすることができる。【0052】全ての第一成分の項の組合せについて順序対の計算を行った後に、次の手順で順序対を計算する。この順序対はHornCNFの目的項に相当する【0053】これまでに作成した順序対に含まれる項全てについて、その項に含まれるリテラルの肯否全ての組合せの項全てを集めた集合を第二成分とし、空集合を第一成分とする順序対とする。【0054】例えば、(¬X0V¬X2V)という項が含まれる場合、この順序対は(φ,(X0VX2V),(¬X0VX2V),(X0V¬X2V),(¬X0V¬X2V))となる。【0055】ただし、この目的項に相当する順序対は規則項から容易に作成することができるため、計算しない場合がある。また、出力としてこの順序対を含まない場合がある。前述の事実項に対応する順序対も含まない場合は、規則項に対応する順序対しか出力しないことになる。【0066】なお、順序対は、順序対を構成する項をそれぞれの変数に、第一成分の項をその対応する変数の肯定リテラルとし、第二成分の集合に含まれる項をそれぞれ対応する変数の否定リテラルとする項に変換することで、容易にHornCNFの項に変換することができる。よって、変換部112は順序対を出力する代わりにHornCNFを計算して出力することがある。【0057】なお、順序対の計算と同様の手順にて有向グラフを作成することができるため、変換部112は順序対を計算する代わりに有向グラフを計算して出力することがある。【0058】また、変換部112は後の計算が容易になるよう、順序対(あるいは有向グラフ)に付加的な情報を追加することがある。例えば、同じ変数で構成される項の関係は重要となるため、その項を含む順序対(あるいは頂点)の集合を別途出力することがある。同様に、同じリテラルで構成される項の関係は重要となるため、その項を含む順序対の集合を別途出力することがある(これは有向グラフの頂点と一致する)。【0059】変換部112は、このようにして構成したデータを出力部113、SAT判定部121、SAT評価部131に出力する。【0060】出力部113の詳細を説明する。出力部113は、変換部112からの入力を変換したデータを受け取り、他のプログラムなど通常のコンピュータで使用される入出力部への出力を行う。他のプログラムには通常の表示プログラムや演算プログラムなど、様々なプログラムが存在する。変換したデータには、変換結果だけではなく、変換で使用した変数やリテラルの対応関係、データ作成の途中で生成したデータなどを含むことがある。他のプログラムはこのデータを活用してSAT計算やデータ解析などの処理を行う。また、出力部113の出力したデータには、SATの潜在的な構造が顕在化された形で表現されているため、このデータを用いることでSATの構造の解析が容易となっている。そのため、SATの難易度の評価を、実際に計算を始める前に見積もることができる。【0061】SAT判定部121の詳細を説明する。【0062】SAT判定部121は、変換部112からデータを受け取ると、データを活用してHornCNFに読み替えて充足可能性を判定する。変換部112のデータは、それぞれの部分を読み替えることでHornCNFとして捉えることができる。【0063】また、変換部112から有向グラフを受け取った場合は、空集合に繋がる有向辺の起点となる頂点(目的項に対応する)を考えた時に、その頂点を終点とする経路(事実項と、それによって確定される規則項に対応する)が全て空集合起点となる場合は充足不可となるため、このことを確認することで充足可否を判定することもできる。【0064】なお、ここで作成した順序対(HornCNF、有向グラフ)は、順序対の第一成分の増殖性からもわかるように、CNFの構造によっては最悪指数規模の規模となり、その規模を小さいものに変換することが本質的にできない。そのため、計算によってはある程度の計算難易度の見積りを行い、必要に応じて計算を打ち切る、あるいはデータ処理の優先順位を付けることが重要となる。【0065】例えば、後述のSAT評価部131によるSAT難易度によって、本特許による順序対の構築を行わず、乱択アルゴリズムによる解法に切り替えるなどである。【0066】SAT判定部121は、判定結果を判定結果出力部122に出力する。【0067】判定結果出力部122の詳細を説明する。判定結果出力部122は、SAT判定部121から判定結果を受け取り、他のプログラムなど通常のコンピュータで使用される入出力部への出力を行う。他のプログラムには通常の表示プログラムや、他の演算プログラムなど、様々なプログラムが存在する。変換したデータには、変換結果だけではなく、変換で使用した変数やリテラルの対応関係、充足可能な真理値割当などの情報を含むことがある。また、そのために入力部111や変換部112、SAT判定部121から計算過程・結果のデータを受け取ることがある。【0068】SAT評価部131の詳細を説明する。【0069】SAT評価部131は、変換部112からデータを受け取ると、データの構造から充足可能性の判定難易度を評価する。評価を行った後、その結果を評価結果出力部132に出力する。【0070】前述の通り、CNFをHornCNFに変換する時のHornCNFの規模は浸出項の構造によって大きく変化する。そこで、SAT評価部131は変換部112から受け取ったデータの浸出項の構造を分析し、CNFの難易度を評価する。【0071】この難易度の評価にはいくつか方法がある。具体的には次の通りである。項の数を難易度とする。順序対の数を難易度とする。浸出項の数を難易度とする。浸出項を第一成分とする順序対の数を難易度とする。浸出項の第一成分とする順序対の数を難易度とする。などである。あるいは浸出項の変数の数の最大値を難易度とする、などのこともある。あるいは空集合から空集合に到達する経路の最短距離、空集合から空集合に到達する経路の最長距離。空集合から空集合に到達する経路の本数。などのこともある。またはこれらの評価を重み付きで組合せることで全体の評価を行うこともある。【0072】また、前述の評価を行う際に、全ての場合について計算を行うのではなく、ランダムに選択した標本から計算することもある。この場合、乱択アルゴリズムなどを活用することがある。【0073】評価結果出力部132の詳細を説明する。評価結果出力部132は、SAT評価部131から評価結果を受け取り、他のプログラムなど通常のコンピュータで使用される入出力部への出力を行う。他のプログラムには通常の表示プログラムや、他の演算プログラムなど、様々なプログラムが存在する。変換したデータには、変換結果だけではなく、変換で使用した変数やリテラルの対応関係、充足可能な真理値割当などの情報を含むことがある。また、そのために入力部111や変換部112、SAT評価部131から計算過程・結果のデータを受け取ることがある。【0074】以下に本発明の別の実施形態について、図面に基づき説明する。図2は本発明のプログラムの構成図である。【0075】本プログラムは以下の構成となる。論理式を受け取りCNFに変換する入力部211。入力部211から受け取ったCNFを元に、CNFSATの難易度を計算する評価部212。評価した結果を出力する出力部213。【0076】入力部211は、入力部111と同様の機能となる。入力を受け取り、評価部212にCNFを出力する。【0077】出力部213は、出力部111と同様の機能となる。評価部212からデータを受け取り、出力を行う。【0078】評価部212の詳細を説明する。評価部212はCNFを受け取ると、CNFに含まれる項全てについて、それぞれ相補部を含む項同士の組合せの数を求める。その組合せの数を出力部213に送る。【0079】このような構成とすることで、簡易的にCNFSATの難易度を評価することができる。前述の例よりも難易度判定の精度が落ちるが、前述の例よりもはるかに短い時間で難易度の判定を行うことができる。
A
2012142460
2011000002
20110101
null
null
20120726
2012142460
20120726
null
null
5220136
20130315
null
null
null
照明光学系、露光装置およびデバイス製造方法
000001007
キヤノン株式会社
100076428
大塚 康徳
辻 俊彦
null
8
H01L 21/027 (20060101), G03F 7/20 (20060101), G02B 19/00 (20060101), G02B 17/00 (20060101)
H01L 21/30 518 ,G03F 7/20 521 ,H01L 21/30 531A ,G02B 19/00 ,G02B 17/00 Z
10
null
null
null
1
12
2H052,2H087,5F046,5F146
2H052 BA03 ,2H052 BA09 ,2H052 BA12,2H087 KA21 ,2H087 NA02 ,2H087 NA04 ,2H087 TA02,5F046 CB03 ,5F046 CB13 ,5F046 CB23 ,5F046 GB01,5F146 CB03 ,5F146 CB13 ,5F146 CB43 ,5F146 GB11
【課題】照明光学系において、光源からの光の角度分布の不均一性を解消しつつ、均一な光強度分布を高い効率で形成するために有利な技術を提供する。【解決手段】光源からの光を用いて被照明面を照明する照明光学系は、前記光源からの光を分割して複数の光束を生成する分割部と、前記分割部によって生成された前記複数の光束の光強度分布のそれぞれを均一化する第1反射型インテグレータと、前記第1反射型インテグレータからの光を集光する集光部と、前記集光部からの光を受けて前記被照明面を照明する第2反射型インテグレータと、前記第2反射型インテグレータと前記被照明面との間に配置される開口絞りとを備え、前記分割部は、前記開口絞りが配置される面に対して、前記光源から前記分割部に提供される光の断面形状とは異なる断面形状を有する光が入射するように、前記複数の光束を生成する。【選択図】図1
【請求項1】光源からの光を用いて被照明面を照明する照明光学系であって、前記光源からの光を分割して複数の光束を生成する分割部と、前記分割部によって生成された前記複数の光束の光強度分布のそれぞれを均一化する第1反射型インテグレータと、前記第1反射型インテグレータからの光を集光する集光部と、前記集光部からの光を受けて前記被照明面を照明する第2反射型インテグレータと、前記第2反射型インテグレータと前記被照明面との間に配置される開口絞りとを備え、前記分割部は、前記開口絞りが配置される面に対して、前記光源から前記分割部に提供される光の断面形状とは異なる断面形状を有する光が入射するように、前記複数の光束を生成する、ことを特徴とする照明光学系。【請求項2】前記第1反射型インテグレータは、前記分割部によって生成された前記複数の光束のそれぞれの光強度分布を均一化する複数の反射型インテグレータを含む、ことを特徴とする請求項1に記載の照明光学系。【請求項3】前記集光部は、前記複数の反射型インテグレータにそれぞれ対応する複数の集光ミラーを含み、各集光ミラーが前記複数の反射型インテグレータのうち対応する反射型インテグレータからの光を集光する、ことを特徴とする請求項2に記載の照明光学系。【請求項4】前記開口絞りが配置される面に形成される光強度分布が変更されるように前記集光部を駆動する駆動機構を更に備えることを特徴とする請求項1乃至3のいずれか1項に記載の照明光学系。【請求項5】前記分割部と前記第1反射型インテグレータとの間に配置され、前記分割部によって生成された前記複数の光束を平行光束に変換する変換部を更に備えることを特徴とする請求項1乃至4のいずれか1項に記載の照明光学系。【請求項6】前記変換部は、前記分割部によって生成された前記複数の光束をそれぞれ平行光束に変換する複数の凹面ミラーを含む、ことを特徴とする請求項5に記載の照明光学系。【請求項7】前記分割部によって生成される前記複数の光束の進行方向が変更されるように前記分割部を駆動する駆動機構を更に備えることを特徴とする請求項1乃至6のいずれか1項に記載の照明光学系。【請求項8】前記分割部は、互いに異なる機能を有する複数の光学部材を含み、各光学部材は、前記光源からの光を分割して前記複数の光束を生成するように構成され、前記複数の光学部材から選択される1つの光学部材が前記光源からの光の光路に挿入される、ことを特徴とする請求項1乃至7のいずれか1項に記載の照明光学系。【請求項9】基板を露光する露光装置であって、原版を照明するように構成された請求項1乃至8のいずれか1項に記載の照明光学系と、前記原版のパターンを前記基板に投影する投影光学系と、を備えることを特徴とする露光装置。【請求項10】請求項9に記載の露光装置を用いて基板を露光する工程と、該基板を現像する工程と、を含むことを特徴とするデバイス製造方法。
【請求項1】光源からの光を用いて被照明面を照明する照明光学系であって、前記光源からの光を分割して複数の光束を生成する分割部と、前記分割部によって生成された前記複数の光束の光強度分布のそれぞれを均一化する第1反射型インテグレータと、前記第1反射型インテグレータからの光を集光する集光部と、前記集光部からの光を受けて前記被照明面を照明する第2反射型インテグレータと、前記第2反射型インテグレータと前記被照明面との間に配置される開口絞りとを備え、前記分割部は、前記開口絞りが配置される面に対して、前記光源から前記分割部に提供される光の断面形状とは異なる断面形状を有する光が入射するように、前記複数の光束を生成する、ことを特徴とする照明光学系。
【技術分野】【0001】本発明は、照明光学系、露光装置およびデバイス製造方法に関する。
【背景技術】【0002】露光装置の解像力を向上させるために、輪帯照明、四重極照明といった変形照明法あるいはRET(ResolutionEnhancementTechnology)が用いられる。特にEUV(ExtremeUltraViolet)照明光学系においては、ミラーの枚数の増加によって基板に到達する光の強度が低下するので、変形照明のためのミラーユニットを設けずに開口絞りによる切り出しが行なわれている。そのために、開口絞りにより遮光される光束が多くなり、結果的に効率が低い照明となっている。例えば、特許文献1の図18には、光源からのEUV光束をミラー15により平行光束として均一化を施さずにハエの目ミラー20a、20bに入射させる実施例が記載されている。この実施例では、ハエの目ミラー20bの直前に所望の変形照明に対応する開口絞り50a~50fを挿入することによって光束を部分的に遮光することで変形照明を実現している。このような構成では、光源からの光束の角度分布の不均一性がそのまま輪帯の強度分布に反映されてしまうので、変形照明における有効光源分布の均一性が悪くなり、これが解像性能に悪影響を与える。
【発明が解決しようとする課題】【0004】本発明は、上記の課題認識を契機としてなされたものであり、照明光学系において、光源からの光の角度分布の不均一性を解消しつつ、均一な光強度分布を高い効率で形成するために有利な技術を提供することを目的とする。
【課題を解決するための手段】【0005】本発明の1つの側面は、光源からの光を用いて被照明面を照明する照明光学系に係り、前記照明光学系は、前記光源からの光を分割して複数の光束を生成する分割部と、前記分割部によって生成された前記複数の光束の光強度分布のそれぞれを均一化する第1反射型インテグレータと、前記第1反射型インテグレータからの光を集光する集光部と、前記集光部からの光を受けて前記被照明面を照明する第2反射型インテグレータと、前記第2反射型インテグレータと前記被照明面との間に配置される開口絞りとを備え、前記分割部は、前記開口絞りが配置される面に対して、前記光源から前記分割部に提供される光の断面形状とは異なる断面形状を有する光が入射するように、前記複数の光束を生成する。
【発明の効果】【0006】本発明によれば、照明光学系において、光源からの光の角度分布の不均一性を解消しつつ、均一な光強度分布を高い効率で形成するために有利な技術が提供される。
【発明を実施するための形態】【0008】図1を参照しながら本発明の実施形態の露光装置EXおよび照明光学系ILを説明する。本発明の実施形態の露光装置EXは、光源LSと、照明光学系ILと、投影光学系POと、原版駆動機構26と、基板駆動機構29とを備えている。光源LSは真空容器8に収容されている。照明光学系IL、投影光学系PO、原版駆動機構26および基板駆動機構29は、露光装置EXの本体を構成し、真空容器30に収容されている。露光装置EXは、例えば、露光光としてEUV光を使用するEUV露光装置として構成されうるが、他の光(例えば、レーザー光)を使用する露光装置として構成されてもよい。ただし、以下では、具体例を提供するために、露光装置EXがEUV露光装置として構成されている例を説明する。【0009】光源LSでは、例えば、パルスパワー電源によって駆動されるCO2レーザ等の高出力パルスレーザが発生した光を真空容器8内のプラズマ媒質に照射することによって、プラズマ媒質から高エネルギー密度のプラズマ2を発生させる。プラズマ媒質としては、例えばSn液滴が使用されうる。プラズマ2からの熱輻射によって波長13.5nm近辺のEUV光が発生する。このようなレーザ光を用いたプラズマ生成方式による光源は、レーザ励起型プラズマEUV光源と呼ばれる。【0010】別の方式の光源LSとしては、電流供給源であるパルスパワー電源により励起されたパルス電流を放電ヘッダに印加して放電させ、このエネルギーにより電極間のプラズマ媒質から高エネルギー密度のプラズマ2を生成するものがある。このような光源は、放電励起プラズマ型EUV光源と呼ばれる。放電励起プラズマ型EUV光源の放電励起の方式には、Zピンチ、プラズマ・フォーカス、キャピラリー・ディスチャージ等の種々の方式がある。放電励起プラズマ型EUV光源におけるプラズマ媒質としては、例えばXeガスを挙げることができる。他のプラズマ媒質としては、Snの蒸気を挙げることができ、この場合、波長13.5nm近傍の光パワーを高めることができる。【0011】プラズマ2から放射されたEUV光5は、回転楕円ミラーなどの集光ミラー4により、真空容器8と真空容器30との境界面に設けられたピンホール状のアパーチャ7に集光される。集光ミラー4とアパーチャ7との間には、プラズマ及びその周辺から直接前方へ飛ぶ飛散粒子(デブリ)を除去するフィルタ6aが配置されるほか、必要に応じて、EUV露光に不要な波長成分を除去するフィルタ6bが設けられる。光源LSの真空容器8と露光装置本体の真空容器30とは接続部9で接続されており、必要に応じて差動排気が行なわれる。【0012】ここで、集光ミラー4は、EUV光を効率良く反射するために反射多層膜が基材の上に成膜されて構成されうる。集光ミラー4は、高温のプラズマ2からの放射エネルギーを吸収するので、基板28の露光中に高温になる。そこで、集光ミラー4の基材は、熱伝導性の高い金属等の材料で構成され、水冷機構などの冷却機構によって冷却される。同様に、後述の照明光学系ILおよび投影光学系POを構成するミラーについても、EUV光を効率良く反射するために反射防止膜が設けられ、基材は熱伝導性の高い金属等の材料で構成され、冷却機構によって冷却されうる。【0013】照明光学系ILは、アパーチャ7を通過したEUV光を使って被照明面あるいは原版26を照明する。以下、照明光学系ILについて例示的に説明する。アパーチャ7を通して光源LSから提供されるEUV光5は、分割部DIVに入射する。分割部DIVは、光源LSからのEUV光5を分割して複数の光束を生成する。ここで、分割部DIVは、互いに異なる機能を有する複数の光学部材101、102、103(光学部材103については図4参照)を含み、複数の光学部材101、102、103から選択される1つの光学部材が光源LSからのEUV光の光路に挿入される。図1に示す例では、光学部材101が光路に挿入されている。照明光学系ILは、光学部材101、102、103を交換するための操作機構を含みうる。光学部材101、102、103のうち光路に挿入された光学部材101は、駆動機構11に備えられた保持機構によって保持され、駆動機構11によって駆動される。駆動機構11は、分割部DIVによって生成される複数の光束の進行方向が変更されるように、より具体的には、後述の開口絞り22が配置された面に形成される光強度分布が変更されるように、分割部DIV(光学部材101、102、103)を駆動する。【0014】分割部DIVによって生成された複数の光束は、任意的な構成要素である変換部12によってそれぞれ平行光束に変換されうる。変換部12は、図2~図4に例示されるように、分割部DIVによって生成された複数の光束をそれぞれ平行光束に変換する複数の凹面ミラー12a~12hを含みうる。分割部DIVによって生成され変換部12によって平行光束に変換された複数の光束は、第1反射型インテグレータ13に入射する。第1反射型インテグレータ13は、それに入射した複数の光束の光強度分布のそれぞれを均一化する。第1反射型インテグレータ13は、分割部DIVによって生成され変換部12によって平行光束に変換された複数の光束のそれぞれの光強度分布を均一化する複数の反射型インテグレータ13a~13hを含みうる。なお、図1においては、複数の反射型インテグレータ13a~13hのうち13a、13eのみが示されている。複数の反射型インテグレータ13a~13hは、複数の凹面ミラー12a~12hにそれぞれ対応するように配置されうる。【0015】集光部14は、第1反射型インテグレータ13からの光を集光する。集光部14は、例えば、複数の反射型インテグレータ13a~13hにそれぞれ対応する複数の集光ミラー14a~14hを含み、各集光ミラーが複数の反射型インテグレータ13a~13hのうち対応する反射型インテグレータからの光を集光する。なお、図1においては、集光ミラー14a~14hのうち14a、14eのみが示されている。照明光学系ILは、開口絞り22が配置される面に形成される光強度分布が変更されるように集光部14を駆動する駆動機構15を含みうる。駆動機構15は、複数の集光ミラー14a~14hをそれぞれ駆動する複数のアクチュエータ15a~15hを含みうる。なお、図1においては、アクチュエータ15a~15hのうち15a、15eのみが示されている。【0016】集光部15からの光は、平面ミラー16を介して第2反射型インテグレータ20に入射する。なお、平面ミラー16は任意的な構成要素である。第2反射型インテグレータ20は、図7に例示されるように、複数の円筒ミラーを含みうる。複数の円筒ミラーを有する第2反射型インテグレータ20にほぼ平行なEUV光が入射すると、第2反射型インテグレータ20の表面近傍に複数の線状の2次光源が形成される。該複数の線状の2次光源から放射されるEUV光の角度分布は円筒面状となる。第2反射型インテグレータ20に入射したEUV光は、第2反射型インテグレータ20の複数の円筒ミラーにより分割されて発散し、開口絞り22を通過する。ここで、第2反射型インテグレータ20の複数の円筒ミラーからのEUV光の一部は、補助ミラー21a、21bによって反射されて開口絞り22に入射しうる。【0017】開口絞り22を通過したEUV光は、反射多層膜が成膜された球面又は非球面の凸面ミラー231、凹面ミラー232で構成される円弧変換光学系により円弧状に成形される。円弧状に成形されたEUV光は、スリット板251に形成された円弧スリットを含む領域に均一な照度分布をもつ円弧照明領域を形成する。この円弧照明領域において第2反射型インテグレータ20の複数の円筒ミラーのそれぞれからの光が重畳されることで、高い効率を得ながら照度の均一性を向上させることができる。即ち、高い効率で均一な円弧照明がなされる。原版駆動機構26によって保持された反射型原版25は、スリット板251に形成された円弧スリットを通過した円弧状の断面形状を有するEUV光によって照明される。【0018】開口絞り22として、後述する様な種々の開口絞りを用意しておき、不図示のターレット等の開口絞り切替機構によって開口絞りを切り替えることができる。補助ミラー21a、21bは、第2反射型インテグレータ20における複数の円筒ミラーの配列面に対して垂直に配置された対向する一対の平面ミラーでありうる。開口絞り22は、第2反射型インテグレータ20の前記配列面に対して垂直に配置された板部材でありうる。凹面ミラー232とスリット板251との間には、凸面ミラー231、凹面ミラー232で構成される円弧変換光学系の像側光束である照明光束241を反射型原版25に向けて折り曲げる平面ミラー24が配置されうる。平面ミラー24のミラー面の位置と角度を不図示の駆動機構により微調整することによって、反射型原版25に対する照明光束241の入射角を調整することができる。照明光束241を平面ミラー24によって折り曲げることによって、照明光束241による円弧照明領域の円弧の向きが反転する。円弧照明領域の円弧の中心は、投影光学系POの光軸AX1に一致する。円弧変換光学系の像側主光線と投影光学系POの物体側主光線とは、反射型原版25を反射面として互いに一致する。平面ミラー24は、円弧変換光学系の配置の自由度を高めるために有用である。【0019】図1に例示される照明光学系ILでは、分割部DIVから第2反射型インテグレータ20までの光学素子の全てが全反射ミラーで構成されうる。EUV光を扱う場合、低入射角の場合は多層膜ミラーを用いる必要があるが、高入射角の場合は、単層膜による全反射ミラーを用いることができる。全反射ミラーの方が多層膜ミラーよりも反射率が高いことから、高入射角のミラーやインテグレータを用いることで、より高効率な照明光学系を構成することができる。【0020】円弧状の断面形状を有するEUV光で照明された反射型原版25の回路パターンは、投影光学系POによって、基板駆動機構29によって保持された基板28に投影され、これにより基板28が露光される。基板駆動機構29は、基板28を保持する基板チャックが搭載された基板ステージおよび該基板ステージを駆動する機構を有し、基板28を6軸(X、Y、Z軸およびそれらの軸周り)に関して駆動することができるように構成されている。基板ステージの位置は、レーザ干渉計等の測長器によって計測されうる。投影光学系POの投影倍率をMとすると、例えば、反射型原版25を矢印Aの方向に速度vで走査するとともに基板28を矢印Bの方向に速度v/Mで同期走査しながら基板28のショット領域が走査露光される。【0021】投影光学系POは、複数の多層膜反射鏡によって構成され、光軸AX1に対して軸外の細い円弧状の領域が良好な結像性能をもつように設計されている。投影光学系POは、反射型原版25のパターンを基板28に縮小投影するように構成され、像側(基板側)テレセントリック系となっている。投影光学系POの物体側(反射型原版側)は、反射型原版25に入射する照明光束241との物理的干渉を避けるために、通常、非テレセントリックな構成となっており、例えば、物体側主光線は、反射型原版25の法線方向に対して6度ほど傾いている。【0022】以下、図2~図4を参照しながら分割部DIVによる光束の分割方法を例示的に説明する。図2(a)は、光源LSの側から見た光学部材101(分割部DIV)および凹面ミラー12a~12h(変換部12)を模式的に示している。分割部DIVとしての光学部材101は、光源LSからの光を2分割するために楔形に配置された2つの反射面を有する。該2つの反射面は、全反射ミラーである。変換部12は、8つの凹面ミラー12a~12hを含む。8つの凹面ミラー12a~12hは、光軸に直交する断面において8角形を構成するように保持機構111によって保持されている。保持機構111は、分割された光束を遮光しないように配置されている。光源LSからの光を楔形に配置された2つの反射面を有する光学部材101によって2分割する場合は、分割によって生成された2つの光束は、8つの凹面ミラー12a~12hのうち2つの凹面ミラーに入射する。【0023】図2(a)に示す例では、該2つの光束は、凹面ミラー12a、12eに入射する。そして、凹面ミラー12a、12eによって反射された2つの光束は、第1反射型インテグレータ13を構成する複数の反射型インテグレータ13a~13hのうち凹面ミラー12a、12eに対応する反射型インテグレータ13a、13eに入射する。反射型インテグレータ13a、13eにそれぞれ入射した2つの光束は、反射型インテグレータ13a、13eによってそれぞれ光強度分布が均一化される。反射型インテグレータ13a、13eからの光は、集光部14を構成する複数の集光ミラー14a~14hのうち反射型インテグレータ13a、13eに対応する集光ミラー14a、14eによって集光される。集光ミラー14a、14eからの光は、平面ミラー16を介して第2反射型インテグレータ20に入射する。反射型インテグレータ20は、凸面ミラー231、凹面ミラー232および平面ミラー24を介して反射型原版25を照明する。【0024】集光ミラー14a、14eからの光が入射する反射型インテグレータ20からの光は、図2(b)に例示されるように、開口絞り22が配置された面に対して、Yダイポール照明に相当する光強度分布を形成する。即ち、開口絞り22が配置された面には、光源LSから分割部DIVに提供される光の断面形状(典型的には、円形またはリング形)とは異なる断面形状の光強度分布が形成される。これは、光源LSから提供される光を分割部DIVによって分割することによって実現されている。【0025】図2(c)は、駆動機構11によって光学部材101を図2(a)に示す状態から90度回転させた状態を模式的に示している。この場合には、光学部材101によって生成された2つの光束は、8つの凹面ミラー12a~12hのうち2つの凹面ミラー12c、12gに入射する。そして、凹面ミラー12c、12gによって反射された2つの光束は、第1反射型インテグレータ13を構成する複数の反射型インテグレータ13a~13hのうち凹面ミラー12c、12gに対応する反射型インテグレータ13c、13gに入射する。反射型インテグレータ13c、13gにそれぞれ入射した2つの光束は、反射型インテグレータ13c、13gによってそれぞれ光強度分布が均一化される。反射型インテグレータ13c、13gからの光は、集光部14を構成する複数の集光ミラー14a~14hのうち反射型インテグレータ13c、13gに対応する集光ミラー14c、14gによって集光される。集光ミラー14c、14gからの光は、平面ミラー16を介して第2反射型インテグレータ20に入射する。以上のようにして、集光ミラー14c、14gからの光が入射する反射型インテグレータ20からの光は、図2(d)に例示されるように、開口絞り22が配置された面に対して、Xダイポール照明に相当する光強度分布を形成する。【0026】図3(a)は、光源LSの側から見た光学部材102(分割部DIV)および凹面ミラー12a~12h(変換部12)を模式的に示している。分割部DIVとしての光学部材102は、光源LSからの光を4分割するために四角錐型に配置された4つの反射面を有する。該4つの反射面は、全反射ミラーである。光学部材102によって生成された4つの光束は、図3(b)に例示されるように、開口絞り22が配置された面に対して、4重極照明に相当する光強度分布を形成する。即ち、開口絞り22が配置された面には、光源LSから分割部DIVに提供される光の断面形状(典型的には、円形またはリング形)とは異なる断面形状の光強度分布が形成される。これは、光源LSから提供される光を分割部DIVによって分割することによって実現されている。図3(c)は、駆動機構11によって光学部材102を図3(a)に示す状態から45度回転させた状態を模式的に示している。この場合には、図3(d)に例示されるような光強度分布が開口絞り22が配置された面に形成される。【0027】図4(a)は、光源LSの側から見た光学部材103(分割部DIV)および凹面ミラー12a~12h(変換部12)を模式的に示している。分割部DIVとしての光学部材103は、光源LSからの光を8分割するために八角錐型に配置された8つの反射面を有する。該8つの反射面は、全反射ミラーである。この場合には、変換部12の8つの凹面ミラー12a~12h、第1反射型インテグレータ13の8つの反射型インテグレータ13a~13h、集光部14の8つの集光ミラー14a~14hが使用される。光学部材103によって生成された8つの光束は、図4(b)に例示されるように、開口絞り22が配置された面に対して、輪帯照明に相当する光強度分布を形成する。この実施形態では、分割部DIVによる分割数を最大で8分割とした例であるが、これよりも大きいか小さい分割数を採用してもよい。【0028】図8は、第1反射型インテグレータ13を構成する各反射型インテグレータ13a~13hの構成例を模式的に示す斜視図である。各反射型インテグレータ13a~13hは、複数の凹面ミラーの配列を有し、各凹面ミラーは全反射ミラーであって、その形状は、例えば、回転放物面やトロイダル面でありうる。【0029】次に、上記の各種の照明モードにおいて、コヒーレントファクタ(σ)を所望の値に設定する方法を図5及び図6を参照しながら説明する。コヒーレンスファクタ(σ)とは、投影光学系の物体側NAと照明光束のNAとの比であり、一般的に小σではコントラストの高い像が得られ、大σではマスクパターンに忠実な像が得られる。図5(a)は、図2(a)を参照して説明したダイポール照明において、σの値を大きくする方法を模式的に示している。図5(a)において、反射型インテグレータ13a、13eからの光は、集光部14の凹面ミラー14a、14eに入射する。駆動機構15のアクチュエータ15a、15eによって凹面ミラー14a、14eの間隔が広くなる方向に凹面ミラー14a、14eを駆動する。これにより、図5(b)に例示されるように、開口絞り22が配置された面における2つの極(ダイポール)の間隔502を大きくすることができる。これは、σの値が大きくなることを意味する。これは、四重極照明や輪帯照明においても、同様の方法でσの値を大きくすることができる。【0030】図6(a)は、図2(a)を参照して説明したダイポール照明において、σの値を小さくする方法を模式的に示している。図6(a)において、反射型インテグレータ13a、13eからの光は、集光部14の凹面ミラー14a、14eに入射する。駆動機構15のアクチュエータ15a、15eによって凹面ミラー14a、14eの間隔が狭くなる方向に凹面ミラー14a、14eを駆動する。これにより、図6(b)に例示されるように、開口絞り22が配置された面における2つの極(ダイポール)の間隔602を小さくすることができる。これは、σの値が小さくなることを意味する。これは、四重極照明や輪帯照明においても、同様の方法でσの値を小さくすることができる。【0031】以上のように、この実施形態によれば、基板に形成すべきパターンに応じて最適な照明モードおよびσ値を基板の面における照度を低下させることなく設定することが可能となる。【0032】上記の実施形態では、インテグレータ20として複数の円筒ミラーをもったインテグレータを採用した例を説明したが、低入射角タイプのハエの目ミラーを2枚対向配置させた構成を採用してもよい。しかしながら、高効率化を目的とする場合、上記のように、インテグレータ20は、全反射の1枚のインテグレータで構成することが優位である。【0033】次に、図7を参照しながら、第2反射型インテグレータ20、2枚の補助ミラー21および開口絞り22の配置を例示的に説明する。図7において、801はインテグレータ20に入射するEUV光の中心主光線の方向を示しており、第2反射型インテグレータ20の中心付近をほぼyz断面内で通過する。位置802は、凸面ミラー231および凹面ミラー232で構成される円弧変換光学系の瞳面のほぼ中心である。図7には、位置802を原点としてxyz座標が記載されている。z軸は、前記円弧変換光学系の共軸AX2とほぼ一致している。【0034】補助ミラー21a、21bは、インテグレータ20の構成要素である円筒ミラーの母線方向に沿うように、また、インテグレータ20の複数の円筒ミラーの配列面に対して垂直となるように配置されている。図7に示す例では、2枚の補助ミラー21a、21bが開口絞り22の開口部を挟むように対向して配置されている。2枚の補助ミラー21a、21bの間隔を調整する駆動機構を設けてもよい。開口絞り22を構成する板部材の面は、インテグレータ20の複数の円筒ミラーの配列面に対してほぼ垂直に、射出側に配置される。【0035】有効光源分布の微調整のために、インテグレータ20の複数の円筒ミラーの配列面に対して厳密に垂直な面から若干(1~2°程度)傾けて開口絞り22を配置してもよい。このように配列面に対して厳密に垂直な面から若干の傾きを有する場合にも、配列面に対して垂直である範疇に含まれうる。有効光源分布の調整やテレセン度の調整等を可能とするため、インテグレータ20の複数の円筒ミラーの配列面に対する開口絞り22の角度を調整する駆動機構を設けてもよい。【0036】補助ミラー21a、21bを配置したことによって、インテグレータ20により反射された光の一部を開口絞り22を通過させ、照明に寄与させることができる。これは、効率よく円弧領域を照明するには有利である。補助ミラーを設置することにより照明系の効率を向上させる方法に関しては、特開2009-032938号公報に詳しく述べられている。【0037】本発明の好適な実施形態のデバイス製造方法は、例えば、半導体デバイス、液晶デバイス等のデバイスの製造に好適である。前記方法は、感光剤が塗布された基板を、上記の露光装置EXを用いて露光する工程と、前記露光された基板を現像する工程とを含みうる。さらに、前記デバイス製造方法は、他の周知の工程(酸化、成膜、蒸着、ドーピング、平坦化、エッチング、レジスト剥離、ダイシング、ボンディング、パッケージング等)を含みうる。
A
2012142461
2011000003
20110101
null
null
20120726
2012142461
20120726
null
null
5241862
20130412
null
null
null
露光装置及びデバイスの製造方法
000001007
キヤノン株式会社
100076428
大塚 康徳
酒井 啓太,林 達也,長谷川 敬恭
null
8
H01L 21/027 (20060101)
H01L 21/30 515D
8
null
null
null
1
14
5F046,5F146
5F046 BA03 ,5F046 CB01 ,5F046 CB24 ,5F046 CB25,5F146 BA03 ,5F146 CB01 ,5F146 CB44 ,5F146 CB45
【課題】回収口での液体の回収に有利な技術を提供する。【解決手段】投影光学系を有し、前記投影光学系及び液体を介して基板を露光する露光装置であって、前記投影光学系と前記基板との間に供給された前記液体を回収する複数の回収口と、前記複数の回収口に接続されたチャンバと、前記複数の回収口及び前記チャンバを介して前記液体を吸引するポンプと、を有し、前記複数の回収口は、多角形の各辺の頂点間及び各頂点に離散的に配列され、前記複数の回収口のうち前記各頂点に位置する回収口と前記ポンプとの間の圧力差は、前記複数の回収口のうち前記各辺の頂点間に位置する回収口と前記ポンプとの間の圧力差より小さい、ことを特徴とする露光装置を提供する。【選択図】図2
【請求項1】投影光学系を有し、前記投影光学系及び液体を介して基板を露光する露光装置であって、前記投影光学系と前記基板との間に供給された前記液体を回収する複数の回収口と、前記複数の回収口に接続されたチャンバと、前記複数の回収口及び前記チャンバを介して前記液体を吸引するポンプと、を有し、前記複数の回収口は、多角形の各辺の頂点間及び各頂点に離散的に配列され、前記複数の回収口のうち前記各頂点に位置する回収口と前記ポンプとの間の圧力差は、前記複数の回収口のうち前記各辺の頂点間に位置する回収口と前記ポンプとの間の圧力差より小さい、ことを特徴とする露光装置。【請求項2】前記チャンバと前記ポンプとを接続する回収管を有し、前記チャンバは、前記多角形の各辺に沿って延びた形状を有し、前記回収管は、前記多角形の各頂点の位置に対応する前記チャンバの位置において前記チャンバと接続されている、ことを特徴とする請求項1に記載の露光装置。【請求項3】前記チャンバの断面の寸法は、前記多角形の辺に沿って頂点から離れるにつれて小さくなる、ことを特徴とする請求項2に記載の露光装置。【請求項4】前記複数の回収口のそれぞれと前記チャンバとを接続する流路を有し、前記複数の回収口のうち前記各頂点に位置する回収口と前記チャンバとを接続する流路の長さは、前記複数の回収口のうち前記各辺の頂点間に位置する回収口と前記チャンバとを接続する流路の長さより短い、ことを特徴とする請求項2に記載の露光装置。【請求項5】前記複数の回収口のそれぞれと前記チャンバとを接続する流路を有し、前記複数の回収口のうち前記各頂点に位置する回収口と前記チャンバとを接続する流路の断面の寸法は、前記複数の回収口のうち前記各辺の頂点間に位置する回収口と前記チャンバとを接続する流路の断面の寸法より大きい、ことを特徴とする請求項2に記載の露光装置。【請求項6】前記チャンバは、それぞれが前記ポンプに接続された複数のチャンバ部を含み、前記複数のチャンバ部のぞれぞれは、前記多角形の各頂点の位置に対応して配置されている、ことを特徴とする請求項2に記載の露光装置。【請求項7】前記露光装置は、走査露光装置であり、前記多角形の対角線のうち少なくとも1つの対角線が走査方向又は前記走査方向に直交する方向に沿っている、ことを特徴とする請求項1乃至6のうちいずれか1項に記載の露光装置。【請求項8】請求項1乃至7のうちいずれか1項に記載の露光装置を用いて基板を露光するステップと、前記ステップで露光された基板を現像するステップと、を有することを特徴とするデバイスの製造方法。
【請求項1】投影光学系を有し、前記投影光学系及び液体を介して基板を露光する露光装置であって、前記投影光学系と前記基板との間に供給された前記液体を回収する複数の回収口と、前記複数の回収口に接続されたチャンバと、前記複数の回収口及び前記チャンバを介して前記液体を吸引するポンプと、を有し、前記複数の回収口は、多角形の各辺の頂点間及び各頂点に離散的に配列され、前記複数の回収口のうち前記各頂点に位置する回収口と前記ポンプとの間の圧力差は、前記複数の回収口のうち前記各辺の頂点間に位置する回収口と前記ポンプとの間の圧力差より小さい、ことを特徴とする露光装置。
【技術分野】【0001】本発明は、露光装置及びデバイスの製造方法に関する。
【背景技術】【0002】半導体デバイスなどの製造工程において、投影光学系の最終レンズ(最終面)とウエハ(基板)との間を液体で満たした状態でウエハを露光する露光装置(液浸露光装置)が使用されている。液浸露光装置は、液体を局所的に保持するために、液体を供給及び回収するための液浸ノズルを投影光学系の周囲に有している。【0003】液浸ノズルは、ウエハを保持するステージを高速で移動させた場合でも、ウエハ上に液体が残留しないように設計される。例えば、液浸ノズルの下面(ウエハに対向する面)において、多角形の頂点に位置する回収口と、各頂点を結ぶ辺の上に位置する回収口とを含む複数の回収口を配置することで、ウエハ上の液体の残留を抑制する技術が提案されている(特許文献1参照)。ここで、基板の移動方向に対して2つの回収口を結ぶ線が形成する角度が直角である場合、2つの回収口間にあるメニスカス(液体の縁部)は、基板の移動によって最大の力を受ける。これに対し、当該角度を直角より小さくすれば、2つの回収口間のメニスカスにかかる力を緩和できる。このような原理を特許文献1は利用している。
【発明が解決しようとする課題】【0005】しかしながら、特許文献1に開示された技術では、多角形の頂点の近傍ではメニスカスに作用する力を緩和できないため、かかる回収口で液体を完全に回収することができず、ウエハ上に液体が漏れ出てしまうことがある。【0006】本発明は、このような従来技術の課題に鑑みてなされたものであり、回収口での液体の回収に有利な技術を提供することを例示的目的とする。
【課題を解決するための手段】【0007】上記目的を達成するために、本発明の一側面としての露光装置は、投影光学系を有し、前記投影光学系及び液体を介して基板を露光する露光装置であって、前記投影光学系と前記基板との間に供給された前記液体を回収する複数の回収口と、前記複数の回収口に接続されたチャンバと、前記複数の回収口及び前記チャンバを介して前記液体を吸引するポンプと、を有し、前記複数の回収口は、多角形の各辺の頂点間及び各頂点に離散的に配列され、前記複数の回収口のうち前記各頂点に位置する回収口と前記ポンプとの間の圧力差は、前記複数の回収口のうち前記各辺の頂点間に位置する回収口と前記ポンプとの間の圧力差より小さい、ことを特徴とする。【0008】本発明の更なる目的又はその他の側面は、以下、添付図面を参照して説明される好ましい実施形態によって明らかにされるであろう。
【発明の効果】【0009】本発明によれば、例えば、回収口での液体の回収に有利な技術を提供することができる。
【発明を実施するための形態】【0011】以下、添付図面を参照して、本発明の好適な実施の形態について説明する。なお、各図において、同一の部材については同一の参照番号を付し、重複する説明は省略する。【0012】図1は、本発明の一側面としての露光装置1の構成を示す概略図である。露光装置1は、投影光学系とウエハなどの基板との間に供給された液体を介して、ステップ・アンド・スキャン方式(走査露光)で基板を露光する液浸型の露光装置(液浸露光装置)である。但し、露光装置1は、ステップ・アンド・リピート方式やその他の露光方式も適用することができる。【0013】露光装置1は、照明装置10と、レチクル20を載置するレチクルステージ25と、投影光学系30と、ウエハ40を載置するウエハステージ45とを有する。また、露光装置1は、測距装置50と、ステージ制御部60と、液体供給部70と、液体回収部80と、液浸制御部90と、液浸ノズル100とを有する。【0014】照明装置10は、光源部12と、照明光学系14とを含み、転写用のパターンが形成されたレチクル20を照明する。光源部12は、本実施形態では、光源として、波長約193nmのArFエキシマレーザーを使用する。但し、光源部12は、ArFエキシマレーザーに限定されず、例えば、波長約248nmのKrFエキシマレーザー、波長約157nmのF2レーザー、水銀ランプやキセノンランプなどのランプを光源として使用してもよい。照明光学系14は、レチクル20を照明する光学系であって、例えば、レンズ、ミラー、オプティカルインテグレーター、絞りなどを含む。【0015】レチクル20は、レチクル搬送系(不図示)によって露光装置1の外部から搬送され、可動のレチクルステージ25に支持される。レチクル20(転写用のパターン)から発せられた回折光は、投影光学系30を介して、ウエハ40に投影される。レチクル20とウエハ40とは、光学的に共役の関係に配置される。露光装置1は、本実施形態では、走査型の露光装置であるため、レチクル20とウエハ40とを縮小倍率比の速度比で走査することにより、レチクル20のパターンをウエハ40に転写する。なお、露光装置1がステップ・アンド・リピート方式の露光装置である場合には、レチクル20とウエハ40とを静止させた状態で露光が行われる。【0016】レチクルステージ25は、定盤27に支持される。レチクルステージ25は、レチクルチャック(不図示)を介してレチクル20を保持し、ステージ制御部60によって制御される。レチクルステージ25は、リニアモーターなどにより、走査方向(例えば、X軸方向)に駆動(走査)される。【0017】投影光学系30は、レチクル20のパターンをウエハ40に投影する光学系である。投影光学系30は、屈折系、反射屈折系、或いは、反射系を使用することができる。【0018】ウエハ40は、ウエハ搬送系(不図示)によって露光装置1の外部から搬送され、可動のウエハステージ45に保持される。ウエハ40は、レチクル20のパターンが転写される基板であって、液晶基板やその他の基板を広く含む。ウエハ40には、フォトレジストが塗布されている。【0019】液体保持部44は、ウエハステージ45に支持されたウエハ40の表面とウエハ40の外側の領域(ウエハステージ45)とをほぼ同一面にし、液体LWを保持するための板部材である。液体保持部44は、ウエハ40の外周付近のショットを露光する際に、ウエハ40の外側の領域において液体LWを保持する(液膜を形成する)ことを可能にする。【0020】液体保持部44の液体LWと接触する面には、ポリテトラフルオロエチレン(PTFE)のコートを施すとよい。また、液体保持部44の液体LWと接触する面には、ポリパーフルオロアルコキシエチレンのコートを施してもよい。また、PTFEとポリパーフルオロアルコキシエチレンとの共重合体(PFA)又はその誘導体であるフッ素系樹脂の改質層を施してもよい。PFA材料は、一般的に、100度程度の接触角を有するが、重合比の調整や誘導体又は官能基の導入などによって接触角を改質する(向上させる)ことができる。また、液体保持部44の液体LWと接触する面には、パーフルオロアルキル基含有シラン(ヘプタデカフルオロデシルシラン)などのシランカップリング剤で表面処理を施してもよい。【0021】ウエハステージ45は、定盤47に支持される。ウエハステージ45は、ウエハチャック(不図示)を介してウエハ40を支持する。ウエハステージ45は、リニアモーターなどにより、ウエハ40を6つの自由度(x、y、z、ωx、ωy、ωz)において位置決めする機能を有する。ウエハステージ45は、露光時において、投影光学系30の焦点面にウエハ40の表面が常に高精度に合致するように、ステージ制御部60によって制御される。【0022】測距装置50は、レチクルステージ25の位置及びウエハステージ45の2次元的な位置を、参照ミラー52及び54と、レーザー干渉計56及び58とを用いてリアルタイムに計測する。測距装置50による測距結果は、ステージ制御部60に伝達される。【0023】ステージ制御部60は、レチクルステージ25及びウエハステージ45の駆動を制御する。例えば、ステージ制御部60は、測距装置50による測距結果に基づいて、位置決めや同期制御のために、レチクルステージ25及びウエハステージ45を駆動する。【0024】液体供給部70は、投影光学系30とウエハ40との間の空間(又は間隙)に液体LWを供給する機能を有する。なお、投影光学系30とウエハ40との間の空間は、液体LWを安定して保持し、且つ、除去できる程度、例えば、1mmに設定される。また、液体LWは、露光光の吸収が少なく、石英や蛍石などの屈折系の光学素子と同程度の屈折率を有する。具体的には、液体LWとして、純水、機能水、フッ化液(例えば、フルオロカーボン)、炭化水素化合物などが使用される。【0025】液体供給部70は、本実施形態では、精製装置と、脱気装置と、温度制御装置と、供給管72とを含む。精製装置は、原料液供給源から供給される原料液に含まれる金属イオン、微粒子及び有機物などの不純物を低減し、液体LWを精製する。精製装置で精製された液体LWは、脱気装置に供給される。脱気装置は、例えば、膜モジュールと真空ポンプとで構成され、液体LWに脱気処理を施して液体LWの溶存ガス(酸素や窒素)を低減する。例えば、液体LWに溶存可能なガス量の80%を除去することで、気泡の発生を抑制し、また、気泡が発生しても即座に液体中に吸収することができる。温度制御装置は、脱気処理が施された液体LWを所定の温度に制御する。【0026】供給管72は、液浸ノズル100、詳細には、液浸ノズル100に形成された供給口101に接続される。液体LWは、供給管72及び供給口101を介して、投影光学系30とウエハ40との間の空間に供給される。供給管72は、液体LWの汚染を防止するために、溶出物質が少ない材料、例えば、ポリテトラフルオロエチレン(PTFE)樹脂、ポリエチレン樹脂、ポリプロピレン樹脂などで構成される。なお、液体LWとして純水以外の液体を使用する場合には、液体LWに対して耐性を有し、且つ、溶出物質が少ない材料で供給管72を構成すればよい。【0027】液体回収部80は、投影光学系30とウエハ40との間の空間に供給された液体LWを回収する機能を有する。液体回収部80は、本実施形態では、回収管82と、ポンプ84と、回収した液体LWを一時的に貯めるタンクとを含む。回収管82は、液浸ノズル100、詳細には、液浸ノズル100に形成されたチャンバ104を介して、液浸ノズル100に形成された回収口103に接続される。ポンプ84は、チャンバ104の内部を減圧する減圧源である。ポンプ84を駆動することによって回収口103とポンプ84との間に圧力差が形成されるため、投影光学系30とウエハ40との間の空間に供給された液体LWが回収口103及び回収管82を通して吸引(回収)される。なお、回収管82は、液体LWの汚染を防止するために、供給管72を構成する材料と同様な材料で構成される。【0028】液浸制御部90は、ウエハステージ45の現在位置、速度、加速度、目標位置及び駆動方向などの情報をステージ制御部60から取得し、かかる情報に基づいて、液浸露光に関する制御を行う。例えば、液浸制御部90は、供給及び回収する液体LWの流量などを指示する制御指令を、液体供給部70や液体回収部80に与える。【0029】液浸ノズル100は、投影光学系30の基板側の周囲に配置される。液浸ノズル100の下面、即ち、ウエハ40に対向する面には、図2に示すように、複数の供給口101及び複数の回収口103が形成されている。なお、図2(a)は、液浸ノズル100の上面図、図2(b)は、図2(a)に示す液浸ノズル100のA-A断面図、図2(c)は、図2(a)に示す液浸ノズル100のB-B断面図である。【0030】供給口101は、上述したように、供給管72に接続され、液体LWを供給するための開口である。供給口101は、本実施形態では、投影光学系30(の光軸)を中心として円環状に配置されているが、四角形や八角形などの多角形状に配置してもよい。また、供給口101は、本実施形態では、ピンホール形状やスリット形状を有する開口で構成されるが、かかる開口に多孔質部材を嵌め込んでもよい。多孔質部材は、繊維状や粒状(粉状)の金属材料又は無機材料を焼結した部材である。多孔質部材を構成する材料(少なくとも表面を構成する材料)としては、ステンレス、チタン、SiO2、SiC、熱処理によって表面のみにSiO2を有するSiCなどがある。【0031】回収口103は、上述したように、チャンバ104を介して回収管82に接続され、液体LWを回収するための開口である。なお、回収口103は、液体LWと共に液体LWの周囲の気体も回収することによって、漏れ出ようとする液体LWを気体で抑えることができる。回収口103は、投影光学系30の光軸を基準として供給口101よりも外側に、且つ、供給口101を囲むように形成される。回収口103を供給口101よりも外側に形成することで、液体LWが周辺部に漏れ出しにくくなる。また、回収口103は、本実施形態では、ピンホール形状を有する開口で構成される。【0032】回収口103は、液浸ノズル100の下面に規定される多角形の各頂点の上に位置する回収口103aと、多角形の各頂点を結ぶ各辺の上(頂点間)に位置する回収口103bとを含み、液浸ノズル100の下面において離散的に配列される。図2(a)に示すように、液浸ノズル100の下面に規定される多角形は、本実施形態では、四角形である(即ち、回収口103は四角形状に配置される)が、八角形など他の多角形であってもよい。【0033】チャンバ104は、液浸ノズル100に形成され、液浸ノズル100の下面に規定される多角形(回収口103aの配置形状)に応じた形状、即ち、多角形の各辺に沿って延びた形状を有する。本実施形態では、液浸ノズル100の下面に規定される多角形が四角形であるため、チャンバ104は四角環状の形状を有する。【0034】液浸ノズル100において、図2(a)に示すように、ウエハステージ45の駆動方向に対して回収口103を斜めに配置することで、気液界面に働く力が緩和され、液体LWが周辺部に漏れ出しにくくなる。ここで、ウエハステージ45の駆動方向に対して回収口103を斜めに配置することとは、液浸ノズル100の下面に規定される多角形の対角線のうち少なくとも1つの対角線がウエハステージ45の駆動方向に沿っていることを意味する。また、ウエハステージ45の駆動方向は、走査方向や走査方向に直交する方向などのウエハステージ45が主に駆動する方向を含む。【0035】ここで、ウエハステージ45の駆動方向に対して回収口103を斜めに配置し、例えば、図2(a)に示すように、X軸プラス方向にウエハステージ45を駆動する場合を考える。この場合、多角形の頂点に位置する回収口のうち回収口103aでは、液体LWを完全に回収することができず、液体LWが漏れ出てしまうことがある。同様に、X軸マイナス方向にウエハステージ45を駆動する場合には、多角形の頂点に位置する回収口のうち回収口103cでは、液体LWが漏れ出てしまうことがある。また、Y軸マイナス方向にウエハステージ45を駆動する場合には、多角形の頂点に位置する回収口のうち回収口103dでは、液体LWが漏れ出てしまうことがある。同様に、Y軸プラス方向にウエハステージ45を駆動する場合には、多角形の頂点に位置する回収口のうち回収口103eでは、液体LWが漏れ出てしまうことがある。そこで、以下の各実施形態で説明するように、多角形の各頂点の上に位置する回収口による液体LWの回収能力が多角形の頂点を結ぶ辺の上に位置する回収口による液体LWの回収能力よりも高くなるように、液浸ノズル100を構成している。換言すれば、多角形の各頂点の上に位置する回収口とポンプ84との間の圧力差が多角形の各頂点を結ぶ辺の上に位置する回収口とポンプ84との間の圧力差より小さくなるように、液浸ノズル100を構成している。以下では、多角形の頂点に位置する回収口のうち回収口103aに関して説明するが、回収口103c乃至103eのそれぞれに関しても同様であることは言うまでもない。【0036】回収口103は、空気のみを回収することを考えた場合に、少なくとも50L/分で空気を回収できるようにする必要がある。また、回収口103aによる空気の回収量が、回収口103bによる空気の回収量(詳細には、最も低い回収量)の1.5倍から2.5倍になるようにする。回収口103aによる空気の回収量が回収口103bによる空気の回収量の1.5倍よりも低い場合には、回収口103aによる液体LWの回収能力が不十分となるため、多角形の頂点の位置から液体LWが漏れ出しやすくなる。また、回収口103aによる空気の回収量が回収口103bによる空気の回収量の2.5倍よりも高い場合には、回収口103bによる液体LWの回収能力が低くなりすぎるため、多角形の頂点から離れた位置から液体LWが漏れ出しやすくなる。【0037】以下、各実施形態において、液浸ノズル100の構成を具体的に説明する。<第1の実施形態>第1の実施形態では、回収口103は、図2(a)に示すように、液浸ノズル100の下面において四角形状に配置され、四角形の2つの対角線がウエハステージ45の主な駆動方向であるX軸方向及びY軸方向に沿っている。また、回収口103a及び103bのそれぞれの直径da及びdbは、1mmである(図2(b)及び図2(c)参照)。回収口103aとチャンバ104との距離la及び回収口103bとチャンバ104との距離lbは、1.5mmである。チャンバ104は、四角形の各辺に沿って延びた形状を有し、その断面の寸法は、幅wが4mm、高さhが3mmである。【0038】第1の実施形態では、図2(b)に示すように、回収管82は、液浸ノズル100の下面に規定される四角形の各頂点の位置に対応するチャンバ104の位置においてチャンバ104と接続している。また、図2(c)に示すように、回収管82は、液浸ノズル100の下面に規定される四角形の各辺の位置(即ち、各頂点以外の位置)に対応するチャンバ104の位置においてはチャンバ104と接続していない。このように、チャンバ104は、液浸ノズル100の下面に規定される多角形の各頂点の位置に対応する位置のみにおいて回収管82に接続する。これにより、回収口103aとポンプ84との間の圧力損失が回収口103bとポンプ84との間の圧力損失より少なくなるため、回収口103aとポンプ84との間の圧力差が回収口103bとポンプ84との間の圧力差より小さくなる。従って、回収口103aによる液体LWの回収能力が回収口103bによる液体LWの回収能力よりも高くなり、液浸ノズル100の下面に規定される多角形の頂点の位置から液体LWが漏れ出すことを抑制することができる。【0039】第1の実施形態の液浸ノズル100によれば、回収口103が多角形状に配置されていても、液浸ノズル100の下面に規定される多角形の頂点の位置から液体LWが漏れ出すことなく、ウエハステージ45を高速で駆動することができる。例えば、ウエハステージ45を600mm/秒の速度で駆動しても、液体LWが漏れ出すことはなく、生産性の高い露光装置を実現することができる。【0040】なお、特許文献1には、液浸ノズルの下面に規定される多角形の各辺の位置に対応するチャンバの位置(即ち、図2(c)に示すB-B断面に相当する位置)において回収管を接続した液浸ノズルが開示されている。この場合には、多角形の各頂点の上に位置する回収口と回収管との距離が長くなり、かかる回収口による液体の回収能力が低下するため、上述したように、液体が漏れ出しやすくなってしまう。【0041】また、特許文献1には、多角形の各頂点の上に位置する回収口による液体の回収能力を向上させるために、多角形の各頂点の近傍の位置に補助的な回収口を配置することも開示している。但し、多角形の各頂点の上に位置する回収口と回収管との距離が長い場合には、補助的な回収口を配置しても、多角形の各頂点の上に位置する回収口による液体の回収能力を十分に向上させることができない。【0042】更に、特許文献1には、複数の回収口のそれぞれに個別の低圧源(ポンプ)を接続することも開示している。この場合、多角形の各頂点の上に位置する回収口に接続された低圧源の圧力を、多角形の各辺の位置の上に位置する回収口に接続された低圧源の圧力よりも低くすることで、多角形の頂点の位置から液体が漏れ出すことを抑制することが可能である。但し、実際には、複数の回収口と複数の低圧源とをそれぞれ回収管で接続することは、露光装置への実装やコスト面の観点から実現することが難しい。また、回収管ごとに液体と気体との流量比が異なってしまうため、気化熱による液浸ノズルの温度低下が複雑化し、液浸ノズルや液浸ノズルの周辺の投影光学系の温度制御が難しくなる。一方、本実施形態の液浸ノズルは、上述したように、比較的な簡単な構造であり、実装、コスト、気化熱の問題を回避しながら、ウエハ上に液体が漏れ出てしまうことを低減(抑制)することができる。<第2の実施形態>図3は、第2の実施形態の液浸ノズル100の構成を示す概略図であって、図3(a)は、図2(a)に示す液浸ノズル100のA-A断面図、図3(b)は、図2(a)に示す液浸ノズル100のB-B断面図である。【0043】第2の実施形態では、回収口103は、第1の実施形態と同様に、液浸ノズル100の下面において四角形状に配置され、四角形の2つの対角線がウエハステージ45の主な駆動方向であるX軸方向及びY軸方向に沿っている。また、回収口103a及び103bのそれぞれの直径da及びdbは、1mmである(図3(a)及び図3(b)参照)。回収口103aとチャンバ104との距離la及び回収口103bとチャンバ104との距離lbは、1.5mmである。【0044】チャンバ104は、四角形の各辺に沿って延びた形状を有し、その断面の寸法は、液浸ノズル100の下面に規定される多角形の辺に沿って頂点から離れるにつれて小さくなる。具体的には、液浸ノズル100の下面に規定される多角形の各頂点の位置に対応する位置では、チャンバ104の断面の寸法は、幅waが4mm、高さhaが3mmである(図3(a)参照)。また、液浸ノズル100の下面に規定される多角形の各辺の位置に対応する位置では、チャンバ104の断面の寸法は、幅wbが2mm、高さhbが1.5mmである(図3(b)参照)。これにより、回収口103aとポンプ84との間の圧力損失が回収口103bとポンプ84との間の圧力損失より少なくなるため、回収口103aとポンプ84との間の圧力差が回収口103bとポンプ84との間の圧力差より小さくなる。従って、回収口103aによる液体LWの回収能力が回収口103bによる液体LWの回収能力よりも高くなり、液浸ノズル100の下面に規定される多角形の頂点の位置から液体LWが漏れ出すことを抑制することができる。【0045】第2の実施形態では、図3(a)に示すように、回収管82は、液浸ノズル100の下面に規定される四角形の各頂点の位置に対応するチャンバ104の位置においてチャンバ104と接続している。また、図3(b)に示すように、回収管82は、液浸ノズル100の下面に規定される四角形の各辺の位置(即ち、各頂点以外の位置)に対応するチャンバ104の位置においてはチャンバ104と接続していない。但し、チャンバ104の断面の寸法を変化させることで、回収口103aとポンプ84との間の圧力差を回収口103bとポンプ84との間の圧力差より十分に大きくすることができる場合には、回収管82の接続位置は限定されない。【0046】第2の実施形態の液浸ノズル100によれば、回収口103が多角形状に配置されていても、液浸ノズル100の下面に規定される多角形の頂点の位置から液体LWが漏れ出すことなく、ウエハステージ45を高速で駆動することができる。例えば、ウエハステージ45を600mm/秒の速度で駆動しても、液体LWが漏れ出すことはなく、生産性の高い露光装置を実現することができる。<第3の実施形態>図4は、第3の実施形態の液浸ノズル100の構成を示す概略図であって、図4(a)は、図2(a)に示す液浸ノズル100のA-A断面図、図4(b)は、図2(a)に示す液浸ノズル100のB-B断面図である。【0047】第3の実施形態では、回収口103は、第1の実施形態と同様に、液浸ノズル100の下面において四角形状に配置され、四角形の2つの対角線がウエハステージ45の主な駆動方向であるX軸方向及びY軸方向に沿っている。チャンバ104は、四角形の各辺に沿って延びた形状を有し、その断面の寸法は、幅wが4mm、高さhが3mmである。【0048】また、回収口103a及び103bのそれぞれの直径da及びdbは、1mmである(図4(a)及び図4(b)参照)。回収口103aとチャンバ104との距離laは、回収口103bとチャンバ104との距離lbより短くなっている。換言すれば、回収口103aとチャンバ104とを接続する流路の長さが回収口103bとチャンバ104とを接続する流路の長さよりも短くなっている。具体的には、回収口103aとチャンバ104との距離laは1mmであり、回収口103bとチャンバ104との距離lbは4mmである。【0049】このように、本実施形態では、液浸ノズル100の下面に規定される多角形の辺に沿って頂点から離れるにつれて回収口103とチャンバ104とを接続する流路の長さを長くしている。図4(a)に示すように、回収口103aとチャンバ104との距離が短い場合には、回収口103aとチャンバ104との間の圧力損失が少なくなる。一方、図4(b)に示すように、回収口103bとチャンバ104との距離が長い場合には、回収口103bとチャンバ104との間の圧力損失が多くなる。これにより、回収口103aとポンプ84との間の圧力損失が回収口103bとポンプ84との間の圧力損失より少なくなるため、回収口103aとポンプ84との間の圧力差が回収口103bとポンプ84との間の圧力差より小さくなる。従って、回収口103aによる液体LWの回収能力が回収口103bによる液体LWの回収能力よりも高くなり、液浸ノズル100の下面に規定される多角形の頂点の位置から液体LWが漏れ出すことを抑制することができる。【0050】第3の実施形態では、図4(a)に示すように、回収管82は、液浸ノズル100の下面に規定される四角形の各頂点の位置に対応するチャンバ104の位置においてチャンバ104と接続している。また、図4(b)に示すように、回収管82は、液浸ノズル100の下面に規定される四角形の各辺の位置(即ち、各頂点以外の位置)に対応するチャンバ104の位置においてはチャンバ104と接続していない。但し、回収口103とチャンバ104との距離を変化させることで、回収口103aとポンプ84との間の圧力差を回収口103bとポンプ84との間の圧力差より十分に大きくすることができる場合には、回収管82の接続位置は限定されない。【0051】第3の実施形態の液浸ノズル100によれば、回収口103が多角形状に配置されていても、液浸ノズル100の下面に規定される多角形の頂点の位置から液体LWが漏れ出すことなく、ウエハステージ45を高速で駆動することができる。例えば、ウエハステージ45を600mm/秒の速度で駆動しても、液体LWが漏れ出すことはなく、生産性の高い露光装置を実現することができる。<第4の実施形態>図5は、第4の実施形態の液浸ノズル100の構成を示す概略図であって、図5(a)は、図2(a)に示す液浸ノズル100のA-A断面図、図5(b)は、図2(a)に示す液浸ノズル100のB-B断面図である。【0052】第4の実施形態では、回収口103は、第1の実施形態と同様に、液浸ノズル100の下面において四角形状に配置され、四角形の2つの対角線がウエハステージ45の主な駆動方向であるX軸方向及びY軸方向に沿っている。チャンバ104は、四角形の各辺に沿って延びた形状を有し、その断面の寸法は、幅wが4mm、高さhが3mmである。【0053】また、回収口103aとチャンバ104との距離la及び回収口103bとチャンバ104との距離lbは、1.5mmである。回収口103aの直径daは、回収口103bの直径dbより大きくなっている。具体的には、回収口103aの直径daは2mm、回収口103bの直径dbは1mmである(図5(a)及び図5(b)参照)。換言すれば、回収口103aとチャンバ104とを接続する流路の断面の寸法が回収口103bとチャンバ104とを接続する流路の断面の寸法より大きくなっている。【0054】このように、本実施形態では、液浸ノズル100の下面に規定される多角形の辺に沿って頂点から離れるにつれて回収口103とチャンバ104とを接続する流路の断面の寸法を小さくしている。図5(a)に示すように、回収口103aとチャンバ104とを接続する流路の断面の寸法が大きい場合には、回収口103aとチャンバ104との間の圧力損失が少なくなる。一方、図5(b)に示すように、回収口103bとチャンバ104とを接続する流路の断面の寸法が小さい場合には、回収口103bとチャンバ104との間の圧力損失が多くなる。これにより、回収口103aとポンプ84との間の圧力損失が回収口103bとポンプ84との間の圧力損失より少なくなるため、回収口103aとポンプ84との間の圧力差が回収口103bとポンプ84との間の圧力差より小さくなる。従って、回収口103aによる液体LWの回収能力が回収口103bによる液体LWの回収能力よりも高くなり、液浸ノズル100の下面に規定される多角形の頂点の位置から液体LWが漏れ出すことを抑制することができる。【0055】第4の実施形態では、図5(a)に示すように、回収管82は、液浸ノズル100の下面に規定される四角形の各頂点の位置に対応するチャンバ104の位置においてチャンバ104と接続している。また、図5(b)に示すように、回収管82は、液浸ノズル100の下面に規定される四角形の各辺の位置(即ち、各頂点以外の位置)に対応するチャンバ104の位置においてはチャンバ104と接続していない。但し、回収口103とチャンバ104とを接続する流路の断面の寸法を変化させることで、回収口103aとポンプ84との間の圧力差を回収口103bとポンプ84との間の圧力差より十分に大きくすることができる場合、回収管82の接続位置は限定されない。【0056】第4の実施形態の液浸ノズル100によれば、回収口103が多角形状に配置されていても、液浸ノズル100の下面に規定される多角形の頂点の位置から液体LWが漏れ出すことなく、ウエハステージ45を高速で駆動することができる。例えば、ウエハステージ45を600mm/秒の速度で駆動しても、液体LWが漏れ出すことはなく、生産性の高い露光装置を実現することができる。<第5の実施形態>図6は、第5の実施形態の液浸ノズル100の構成を示す概略図である。図6(a)は、液浸ノズル100の上面図、図6(b)は、図6(a)に示す液浸ノズル100のA-A断面図、図6(c)は、図6(a)に示す液浸ノズル100のB-B断面図、図6(d)は、図6(a)に示す液浸ノズル100のC-C断面図である。【0057】第5の実施形態において、回収口103の直径や配置、及び、チャンバ104の断面の寸法は、第4の実施形態と同じである。第4の実施形態の液浸ノズル100では、チャンバ104が連通して形成されているが、第5の実施形態の液浸ノズル100では、図6(c)に示すB-B断面に相当する位置でチャンバ104が分割されている。換言すれば、チャンバ104は、それぞれがポンプ84に接続された複数のチャンバ(チャンバ部)を含み、複数のチャンバのそれぞれは、液浸ノズル100の下面に規定される多角形の各頂点の位置に対応して配置される。本実施形態では、チャンバ104は、図6(a)に示すように、第1のチャンバ104a、第2のチャンバ104b、第3のチャンバ104c及び第4のチャンバ104dを含む。【0058】液浸ノズル100が隙間や段差を横切る場合、チャンバ104の圧力が一時的に高くなり、液体LWの回収能力が低下する可能性がある。このような場合には、本実施形態のように、チャンバ104を分割することで、液体LWの回収能力の低下をチャンバ104の分割単位内に限定することが可能となる。【0059】なお、第5の実施形態では、図6(b)に示すように、回収管82は、液浸ノズル100の下面に規定される四角形の各頂点の位置に対応するチャンバ104a乃至104dの位置においてチャンバ104と接続している。また、図6(c)及び図6(d)に示すように、回収管82は、液浸ノズル100の下面に規定される四角形の各辺の位置(即ち、各頂点以外の位置)に対応するチャンバ104a乃至104dの位置においてはチャンバ104と接続していない。これにより、回収口103aとポンプ84との間の圧力損失が回収口103bとポンプ84との間の圧力損失より少なくなるため、回収口103aとポンプ84との間の圧力差が回収口103bとポンプ84との間の圧力差より小さくなる。従って、回収口103aによる液体LWの回収能力が回収口103bによる液体LWの回収能力よりも高くなり、液浸ノズル100の下面に規定される多角形の頂点の位置から液体LWが漏れ出すことを抑制することができる。【0060】第5の実施形態の液浸ノズル100によれば、回収口103が多角形状に配置されていても、液浸ノズル100の下面に規定される多角形の頂点の位置から液体LWが漏れ出すことなく、ウエハステージ45を高速で駆動することができる。例えば、ウエハステージ45を600mm/秒の速度で駆動しても、液体LWが漏れ出すことはなく、生産性の高い露光装置を実現することができる。【0061】露光において、光源部12から発せられた光は、照明光学系14によってレチクル20を照明する。レチクル20のパターンを反映する光は、投影光学系30によってウエハ40に結像する。この際、液浸ノズル100を介して、投影光学系30とウエハ40との間に液体LWが供給されると共に、投影光学系30とウエハ40との間に供給された液体LWが回収される。液浸ノズル100は、上述したように、ウエハステージ45を高速度で駆動したとしても、液浸ノズル100の下面に規定される多角形の頂点の位置から液体LWが漏れ出すことを抑制することができる。従って、露光装置1は、高いスループットで経済性よく高品位なデバイス(半導体集積回路素子、液晶表示素子等)を提供することができる。なお、デバイスは、露光装置1を用いてフォトレジスト(感光剤)が塗布された基板(ウエハ、ガラスプレート等)を露光する工程と、露光された基板を現像する工程と、その他の周知の工程と、を経ることにより製造される。【0062】以上、本発明の好ましい実施形態について説明したが、本発明はこれらの実施形態に限定されないことはいうまでもなく、その要旨の範囲内で種々の変形及び変更が可能である。
A
2012142462
2011000004
20110101
null
null
20120726
2012142462
20120726
null
null
5340321
20130816
null
null
null
ミラーおよびその製造方法、露光装置、ならびに、デバイス製造方法
000001007
キヤノン株式会社
100076428
大塚 康徳
正木 文太郎,三宅 明
null
8
H01L 21/027 (20060101), G03F 7/20 (20060101), G02B 5/08 (20060101)
H01L 21/30 516A ,H01L 21/30 531A ,G03F 7/20 521 ,G02B 5/08 A ,G02B 5/08 C
11
null
null
null
1
14
2H042,5F046,5F146
2H042 DA08 ,2H042 DA12 ,2H042 DA15 ,2H042 DB02 ,2H042 DC02 ,2H042 DE07,5F046 CB02 ,5F046 CB25 ,5F046 DA13 ,5F046 DA26 ,5F046 GB01,5F146 CB02 ,5F146 CB45 ,5F146 DA13 ,5F146 DA26 ,5F146 GB11
【課題】簡単な構成で優れた形状精度を持つミラーを得るために有利な技術を提供する。【解決手段】ミラーの製造方法は、熱により膜厚が変化する形状修正層12を基板11の上に配置する第1工程と、第1層、第2層、および、前記第1層と前記第2層との間に配置されて前記第1層を構成する材料と前記第2層を構成する材料との反応を防止するバリア層16を含む反射層13を前記形状修正層12の上に配置する第2工程と、前記第2工程の後に、前記形状修正層12の膜厚分布を変化させることによって前記反射層13の形状を目標形状に近づける第3工程とを含み、前記第3工程は、前記形状修正層12を部分的にアニールする処理を含む。【選択図】図1
【請求項1】熱により膜厚が変化する形状修正層を基板の上に配置する第1工程と、第1層、第2層、および、前記第1層と前記第2層との間に配置されて前記第1層を構成する材料と前記第2層を構成する材料との反応を防止するバリア層を含む反射層を前記形状修正層の上に配置する第2工程と、前記第2工程の後に、前記形状修正層の膜厚分布を変化させることによって前記反射層の形状を目標形状に近づける第3工程と、を含み、前記第3工程は、前記形状修正層を部分的にアニールする処理を含む、ことを特徴とするミラーの製造方法。【請求項2】前記第3工程では、前記反射層の形状を計測する計測工程と、前記計測工程による計測の結果に基づいて前記形状修正層を部分的にアニールするアニール工程とを繰り返す、ことを特徴とする請求項1に記載のミラーの製造方法。【請求項3】前記第3工程において前記形状修正層を部分的にアニールする際の温度および時間が、前記反射層の形状を前記目標形状に近づけるために前記形状修正層の膜厚を変化させるべき量に基づいて決定される、ことを特徴とする請求項1又は2に記載のミラーの製造方法。【請求項4】前記形状修正層の部分的なアニールによって前記形状修正層の膜厚とともに前記反射層の膜厚も変化し、前記第3工程では、前記形状修正層の膜厚の変化および前記反射層の膜厚の変化によって前記反射層の形状が前記目標形状に近づくようにアニールを実施する、ことを特徴とする請求項1乃至3のいずれか1項に記載のミラーの製造方法。【請求項5】前記処理は、レーザ光の照射によって前記形状修正層に熱を与える処理を含む、ことを特徴とする請求項1乃至4のいずれか1項に記載のミラーの製造方法。【請求項6】前記形状修正層は、多層膜である、ことを特徴とする請求項1乃至5のいずれか1項に記載のミラーの製造方法。【請求項7】前記形状修正層は、単層膜である、ことを特徴とする請求項1乃至5のいずれか1項に記載のミラーの製造方法。【請求項8】基板と、前記基板の上に配置され形状修正層と、前記形状修正層の上に配置された反射層と、を含み、前記形状修正層は、熱によって膜厚が変化するように構成され、前記反射層は、第1層、第2層、および、前記第1層と前記第2層との間に配置されて前記第1層を構成する材料と前記第2層を構成する材料との反応を防止するバリア層を含む、ことを特徴とするミラー。【請求項9】前記形状修正層は、Be、B、C、Si、P、S、K、Ca、Sc、Br、Rb、Sr、Y、Zr、Nb、Mo、Ba、La、Ce、Pr、Pa、Uからなるグループから選択される1つの材料である第1材料で構成された第1材料層と、前記グループから選択される1つの材料であって前記第1材料とは異なる第2材料で構成された第2材料層とを含み、前記形状修正層に部分的に与えられる熱によって前記第1材料と前記第2材料とが反応することによって前記形状修正層の厚さ分布が変化する、ことを特徴とする請求項8に記載のミラー。【請求項10】請求項8又は9に記載のミラーを含む光学系を備え、前記光学系を通して基板を露光するように構成された露光装置。【請求項11】請求項10に記載の露光装置によって基板を露光する工程と、該基板を現像する工程と、を含むことを特徴とするデバイス製造方法。
【請求項1】熱により膜厚が変化する形状修正層を基板の上に配置する第1工程と、第1層、第2層、および、前記第1層と前記第2層との間に配置されて前記第1層を構成する材料と前記第2層を構成する材料との反応を防止するバリア層を含む反射層を前記形状修正層の上に配置する第2工程と、前記第2工程の後に、前記形状修正層の膜厚分布を変化させることによって前記反射層の形状を目標形状に近づける第3工程と、を含み、前記第3工程は、前記形状修正層を部分的にアニールする処理を含む、ことを特徴とするミラーの製造方法。【請求項8】基板と、前記基板の上に配置され形状修正層と、前記形状修正層の上に配置された反射層と、を含み、前記形状修正層は、熱によって膜厚が変化するように構成され、前記反射層は、第1層、第2層、および、前記第1層と前記第2層との間に配置されて前記第1層を構成する材料と前記第2層を構成する材料との反応を防止するバリア層を含む、ことを特徴とするミラー。
【技術分野】【0001】本発明は、ミラーおよびその製造方法、露光装置、ならびに、デバイス製造方法に関する。
【背景技術】【0002】EUV(ExtremeUltraViolet)露光装置などの露光装置において、多層膜ミラーおよび斜入射全反射ミラーなどのミラーが使用される。EUV領域では屈折率の実部が1より僅かに小さいので、反射面にすれすれにEUV光を入射させる斜入射においては全反射が起きる。通常、反射面から測って数度以内の斜入射では数十%以上の高い反射率が得られるが、このような斜入射では光学設計上の自由度が小さい。そこで、光学設計上の自由度が高いEUV光用のミラーとして、光学定数(屈折率)の異なる2種類の物質を交互に積層した多層膜ミラーが有用である。多層膜ミラーであれば、垂直入射に近い入射角で所望の反射率が得られる。【0003】EUV光用の多層膜ミラーは、例えば、精密な面形状に研磨されたガラス基板の表面にモリブデンとシリコンとを交互に積層することで形成される。例えば、モリブデン層の厚さは2nm、シリコン層の厚さは5nm、これらの複合膜の積層回数は60回程度である。2種類の物質の層の厚さを加えたものを膜周期とよぶ。上記の例では、膜周期は2nm+5nm=7nmである。【0004】このようなモリブデンとシリコンからなるモリブデン/シリコン多層膜ミラーにEUV光を入射させると、特定の波長のEUV光が反射される。入射角をθ、EUV光の波長をλ、膜周期をdとすると、近似的にはブラッグの式2×d×cosθ=λ・・・(1)の関係を満足するような、λを中心とした狭いバンド幅のEUV光だけが効率よく反射される。このときのバンド幅は0.6~1nm程度である。反射率は最大で70%程度である。図4には、入射角15度でEUV光を膜周期7.2nmの多層膜ミラーに入射させたときの反射率の波長依存性が示されている。【0005】多層膜を構成するモリブデンとシリコンとの界面では、モリブデンとシリコンとが反応しうる。これにより、ピーク反射率を示す波長が変化したり、反射率が低下したりしうる。特許文献1には、モリブデンとシリコンとの間に中間層としてB4CまたはSiO2を配置することが開示されている。【0006】投影光学系を構成するミラーの枚数をn、EUV光の波長をλとすると許容される形状誤差σ(rms値)はマレシャルの式σ=λ/(28×√n)・・・(2)で与えられる。例えば、6枚ミラーでは、波長が13.5nmであるとすると、許容される形状誤差σは0.2nmである。形状誤差は、基板形状の誤差と膜形状の誤差を含みうる。基板形状および膜形状をともに許容される誤差内に収めることは困難である。特許文献2には、ミラーに取り付けたヒーターで熱膨張によりミラーの形状を補正する技術が提案されている。
【発明が解決しようとする課題】【0008】特許文献2に記載された技術では、ミラーを常に温度制御する必要があり、また、温度制御機構が不可欠であるためにミラーユニットの構造が複雑になる。【0009】本発明は、簡単な構成で優れた形状精度を持つミラーを得るために有利な技術を提供することを目的とする。
【課題を解決するための手段】【0010】本発明の1つの側面は、ミラーの製造方法に係り、該製造方法は、熱により膜厚が変化する形状修正層を基板の上に配置する第1工程と、第1層、第2層、および、前記第1層と前記第2層との間に配置されて前記第1層を構成する材料と前記第2層を構成する材料との反応を防止するバリア層を含む反射層を前記形状修正層の上に配置する第2工程と、前記第2工程の後に、前記形状修正層の膜厚分布を変化させることによって前記反射層の形状を目標形状に近づける第3工程とを含み、前記第3工程は、前記形状修正層を部分的にアニールする処理を含む。
【発明の効果】【0011】本発明によれば、簡単な構成で優れた形状精度を持つミラーを得るために有利な技術が提供される。
【発明を実施するための形態】【0013】以下、添付図面を参照しながら本発明の実施形態を説明する。図2を参照しながら本発明の一実施形態の露光装置EXの構成を説明する。ここでは具体例を提供するために露光装置EXがEUV露光装置として構成された例を説明するが、本発明の露光装置はEUV露光装置に限定されるものではなく、光学系がミラーを含むあらゆる露光装置に適用可能である。また、本発明のミラーは、EUV露光装置などの露光装置の構成部品としてのミラーのみならず、他のあらゆる装置の構成部品として使用されうる。【0014】露光装置EXは、光源LS、照明光学系IL、投影光学系PO、原版ステージ機構412、基板ステージ機構415を備える。光源LSは、この実施形態ではEUV光源である。光源LSは、例えば、ターゲット供給装置401から真空容器中に供給されたターゲット材に対してパルスレーザー光源402からレーザ光を照射する。これによって高温のプラズマが発生し、該プラズマから放射されるEUV光(例えば、波長=13.5nm)が取り出される。ターゲット材としては、例えば、金属薄膜、不活性ガス、液滴などを使用することができ、ガスジェット等の方法で真空容器内に供給されうる。生成されるEUV光の平均強度を高くするためには、パルスレーザー光源402の繰り返し周波数が高い方が良く、通常は数kHzの繰り返し周波数で運転される。【0015】照明光学系ILは、複数の多層膜ミラー403、405、407とオプティカルインテグレータ404とを含みうる。初段の多層膜ミラー403は、プラズマからほぼ等方的に放射されるEUV光を集める。オプティカルインテグレータ404は、原版414を均一に所定の開口数で照明する。原版414と共役な位置には、原版414の照明領域を円弧状に限定するためのアパーチャ406が設けられうる。投影光学系POは、複数の多層膜ミラー408、409、410、411を含みうる。ミラー枚数は少ない方がEUV光の利用効率が高いが、収差補正が難しくなる。図2に示される例では、4枚のミラーで投影光学系POが構成されているが、例えば、6枚または8枚のミラーで投影光学系POが構成してもよい。各ミラーの反射面の形状は、凸面または凹面の球面または非球面である。投影光学系POの開口数NAは、0.2~0.3程度でありうる。【0016】原版ステージ機構412および基板ステージ機構415とは、縮小倍率に比例した速度比で同期して原版414および417を走査する。ここで、原版414又は基板417の面に沿った面内における走査方向をX軸方向、それに垂直な方向をY軸方向、原版414および基板417の面に垂直な方向をZ軸方向とする。原版414は、原版ステージ機構412に搭載された原版チャック413によって保持される。原版ステージ機構412は、原版414をX軸方向に高速移動させる機構を有する。原版ステージ機構412はまた、原版414をX軸方向、Y軸方向、Z軸方向および各軸の周りの回転方向に関して微動させる位置決め機構を有する。原版414の位置と姿勢は、レーザ干渉計418によって計測され、その結果に基づいて原版414の位置と姿勢が制御される。【0017】基板417は、基板ステージ機構415に搭載された基板チャック416によって保持される。基板ステージ機構415は、原版ステージ機構412と同様に、基板417をX軸方向に高速移動させる機構を有する。基板ステージ機構415はまた、X軸方向、Y軸方向、Z軸方向および各軸の周りの回転方向に関して微動させる位置決め機構を有する。基板417の位置と姿勢は、レーザ干渉計419によって計測され、その結果に基づいて、基板417の位置と姿勢が制御される。基板417の1つのショット領域の走査露光が終わると、基板ステージ機構415は、基板417をX軸および/またはY軸方向にステップ移動させて次のショット領域を走査露光する。このようにして基板417の全てのショット領域が走査露光される。【0018】次に、図1を参照しながら本発明の第1実施形態のミラーM1の構成を説明する。図1に示されるミラーM1は、例えば上記の露光装置EXの照明光学系ILおよび/または投影光学系POのような光学系の構成部品として有用であるが、他の露光装置、または、露光装置以外の他の装置の構成部品としても使用されうる。ミラーM1は、基板(基材)11と、基板11の上に配置された形状修正層12と、形状修正層12の上に配置された反射層13とを含む。【0019】基板11は、例えば、低膨張率ガラスなどのガラス材料、または、シリコンカーバイド等の剛性及び硬度が高く熱膨張率が小さい材料で構成されうる。形状修正層12は、熱によって膜厚が変化するように構成される。形状修正層12は、第1材料層15’と第2材料層14’とを含み、形状修正層12に部分的に与えられる熱によって第1材料と第2材料とが反応することによって形状修正層12の膜厚分布が変化する。第1材料層15’を構成する第1材料は、例えば、Be、B、C、Si、P、S、K、Ca、Sc、Br、Rb、Sr、Y、Zr、Nb、Mo、Ba、La、Ce、Pr、Pa、Uからなるグループから選択される1つの材料でありうる。第2材料層14’を構成する第2材料は、前記グループから選択される1つの材料であって前記第1材料とは異なる材料でありうる。【0020】反射層13は、第1層15、第2層14、および、第1層15と第2層14との間に配置されて、第1層15を構成する材料と第2層14を構成する材料との反応を防止するバリア層16を含む。ここで、第1材料層15’を構成する材料と第1層15を構成する材料とは同一材料であり、かつ、第2材料層14’を構成する材料と第2層14を構成する材料とは同一材料でありうる。この場合、形状修正層12と反射層13とを1つの成膜装置のチャンバ内で基板11の上に連続的に形成することが容易である。第1材料層15’を構成する材料と第1層15を構成する材料とは、典型的にはモリブデン(Mo)であり、第2材料層14’を構成する材料と第2層14を構成する材料とは、典型的にはシリコン(Si)である。バリア層16は、例えば、B4C層またはSiO2層でありうる。【0021】図3を参照しながらミラーM1の製造方法を例示的に説明する。まず、ステップ1では、例えば、低膨張率ガラスなどのガラス材料、または、シリコンカーバイド等の剛性及び硬度が高く熱膨張率が小さい材料で形成された材料基板を準備し、その反射面を研磨等の加工を施して基板11を得る。【0022】ステップ2(第1工程)では、基板11の上に形状修正層12として形状修正層12を形成する。形状修正層12は、例えば、第1材料層15’としてのモリブデン層と第2材料層14’としてのシリコン層とを交互に積層して形成することができる。ここで、第1材料層15’としてのモリブデン層の膜厚をM1[nm]、第2材料層14’としてシリコン層の膜厚をS1[nm]とすると、M1=2nm、S1=5nm程度でありうる。第1材料層15’と第2材料層14’からなる複合膜は、例えば40回程度積層されうる。形状修正層12の膜厚は、目標とする修正量の上限で決まる。M1=2nm、S1=5nmとして、第1材料層15’および第2材料層14’の複合膜を40回ほど積層することによって、最大で0.7nm程度の形状の修正をすることができる。目標とする修正量の上限を大きくするためには、積層数を増加させればよい。【0023】図6には、M1=2nm、S1=5nmとして、第1材料層15’および第2材料層14’の複合膜を40回ほど積層して構成された形状修正層12をアニールしたときの最大膜厚変化量の温度依存性が例示されている。アニールによって第1材料層15’を構成する材料と第2材料層14’を構成する材料とが反応し、これによって形状修正層12の膜厚が減少する。レーザ光の照射などによって形状修正層12を部分的にアニールすることによって形状修正層12の膜厚を部分的に変化(減少)させることができる。つまり、レーザ光の照射などによって形状修正層12を部分的にアニールすることによって形状修正層12の膜厚分布を調整することができる。アニールによる形状修正層12の最大膜厚変化量(減少量)は、アニール温度が440℃以下であるときは、アニール温度が高いほど大きい。アニールによる形状修正層12の膜厚変化量(減少量)は、アニール時間とともに増加するが、ある時間(例えば60分)を超えると飽和する傾向が認められる。【0024】ステップ3(第2工程)では、形状修正層12の上に反射層13を形成する。これにより、形状が未調整のミラーM1が形成される。反射層13は、例えば、第1層15としてのモリブデン層と、第2層14としてのシリコン層と、第1層15と第2層14との間に配置されるバリア層16としてのB4C層またはSiO2層とを積層することで形成されうる。ここで、形状修正層12の最上層に接する層は、バリア層16とされうる。第1層15としてのモリブデン層の膜厚をM2[nm]、第2層14としてのシリコン層の膜厚をS2[nm]、バリア層16としてのB4C層の膜厚をB2[nm]とすると、M2=2nm、S2=4nm、B2=0.5nm程度でありうる。第1層15、第2層14およびバリア層16の複合膜が60回ほど積層されうる。【0025】一般的に、EUV領域で使用するモリブデン/シリコン多層膜ミラーであれば、複合膜を60回ほど積層することによって、反射層13よりも下から反射するEUV光はほとんど無視できる量である。このように、反射層13を構成する複合層の積層回数は、その下の層である形状修正層12に変化があっても、反射層13の反射率に影響を受けない積層回数にされうる。【0026】ステップ3の後のステップ4~9(第3工程)では、形状修正層12の膜厚分布を変化させることによって反射層13の形状を目標形状に近づける。この一連の処理は、形状修正層12を部分的にアニールする処理を含む。具体的には、ステップ4では、ミラーM1の反射層13の形状を計測する。この計測では、例えば、反射層13の最表面の形状、または反射層13の膜厚分布が光干渉を用いて計測されうる。ステップ5では、ステップ4で計測した計測値が目標形状を示す目標値の許容値に収まっているかどうかを評価し、計測値が許容値に収まっていればミラーM1が完成したものと判断し、そうでなければ、ステップ6~9を実行する。ここで、許容値は、例えば、目標形状を示す目標値の±0.2nm以内とされうる。【0027】ステップ6では、反射層13の形状を目標形状に近づけるために形状修正層12を修正すべき位置(修正位置)と、修正すべき量(修正量)とを算出する。図8は、形状修正層12の膜厚変化量の温度および時間依存性の傾向を示す図である。図8に示されるように、アニールの温度を高くすると、アニールによる形状修正層12の膜厚変化量(減少量)が増大する。ただし、アニールの温度がある温度を超えると、アニールの温度を高くすると、アニールによる形状修正層12の膜厚変化量が飽和する。また、アニールの時間を長くすると、アニールによる形状修正層12の膜厚変化量が増大する。ただし、アニールによる形状修正層12の膜厚変化量は、ある時間で飽和する。形状修正層12の修正量は、図8に例示されるような形状修正層12の温度および時間依存性に基づいて決定されうる。形状修正層12の温度および時間依存性は、テーブルまたは関数で与えられうる。ここで、1回あたりのアニールによる形状修正層12の膜厚減少量を目標修正量よりも十分に小さくすれば、形状修正層12の温度および時間依存性の正確なテーブルまたは関数は不要である。形状修正層12の修正位置は、ステップ4または8において計測された計測値が許容値に収まっていない位置である。【0028】ステップ7では、ステップ6で決定された修正位置および修正量に基づいて、ミラーM1の当該修正位置に対して当該修正量に応じてレーザ光を照射する。レーザ光の照射によって形状修正層12の当該修正位置に熱が与えられて、陽該修正位置の膜厚が変化する。形状修正層12の膜厚の変化は不可逆的であり、レーザ光の照射を停止した後も元には戻らない。【0029】ここで、反射層13については、バリア層16が存在するので、第1層15の構成材料と第2層14の構成材料とが反応することによる膜厚の変化は起こりにくい。しかしながら、バリア層16の厚さや温度によっては、アニールによって反射層13の膜厚が変化する可能性がある。米国特許第6396900号には、300℃以上の温度にする場合、熱的安定性を保つにはモリブデンとシリコンとの間のB4C層の膜厚を0.3nm以上にするべきであることが記載されている。一方、特開2006-308483号公報には、B4C層の膜厚を増すとモリブデン層が薄くなることで結晶化しにくくなり、反射率が低下することが報告されている。反射率の低下を避けるためにバリア層としてのB4C層の膜厚を0.3nm以下にする場合には、特に反射層13の膜厚の変化を考慮することが好ましい。そこで、ステップ6における修正量の算出の際は、形状修正層12の修正量と反射層13の修正量との合計の修正量を決定することが好ましい。これにより、形状修正層12の厚さの変化および反射層13の厚さの変化の双方によって反射層13の形状が目標形状に近づくように部分的にアニールを実施することができる。【0030】ステップ8では、ステップ4と同様にして、ミラーM1の反射層13の形状を計測する。ステップ9では、ステップ8で計測した計測値が目標形状を示す目標値の許容値に収まっているかどうかを評価し、計測値が許容値に収まっていればミラーM1が完成したものと判断し、そうでなければ、ステップ6~9を再実行する。【0031】図5を参照しながら本発明の第2実施形態のミラーM2の構成を説明する。第2実施形態のミラーM2は、第1実施形態のミラーM1の代わりに用いられうる。ここで言及しない事項、例えば、露光装置EXの構成やミラーの製造方法などは、第1実施形態に従いうる。第2実施形態は、第1実施形態で列挙された材料の特定の組み合わせを規定する実施形態として理解することができる。形状修正層12は、第1材料層17と第2材料層14’とを含み、形状修正層12に部分的に与えられる熱によって第1材料と第2材料とが反応することによって形状修正層12の厚さ分布が変化する。第2実施形態では、第1材料層17を構成する材料は、炭素(C)であり、第2材料層14’を構成する材料は、シリコン(Si)である。形状修正層12をアニールすることにより、シリコンと炭素との層の界面でシリコンと炭素とが反応してシリコンカーバイドが形成され、形状修正層12の膜厚が変化する。【0032】図7を参照しながら本発明の第3実施形態のミラーM3の構成を説明する。3実施形態のミラーM3は、第1実施形態のミラーM1の代わりに用いられうる。ここで言及しない事項、例えば、露光装置EXの構成やミラーの製造方法などは、第1実施形態に従いうる。形状修正層12は、第1実施形態や第2実施形態のように多層膜で構成されてもよいが、単層膜で形成されてもよい。第3実施形態では、形状修正層12は、単層のアモルファスシリコン層で構成される。アモルファスシリコンは、1000K程度の温度で結晶化し、密度が変化する。この密度変化を用いて形状修正層12の膜厚を変化させることができる。【0033】本発明の好適な実施形態のデバイス製造方法は、例えば、半導体デバイス、液晶デバイス等のデバイスの製造に好適である。前記方法は、感光剤が塗布された基板を、上記の露光装置EXを用いて露光する工程と、前記露光された基板を現像する工程とを含みうる。さらに、前記デバイス製造方法は、他の周知の工程(酸化、成膜、蒸着、ドーピング、平坦化、エッチング、レジスト剥離、ダイシング、ボンディング、パッケージング等)を含みうる。
A
2012142463
2011000005
20110101
null
null
20120726
2012142463
20120726
null
null
5406861
20131108
null
null
null
露光装置及びデバイス製造方法
000001007
キヤノン株式会社
100076428
大塚 康徳
江本 圭司,原山 智大
null
8
H01L 21/027 (20060101), G05D 3/12 (20060101)
H01L 21/30 515G ,G05D 3/12 305S
9
null
null
null
1
13
5F046,5F146,5H303
5F046 CC01 ,5F046 CC03 ,5F046 CC13,5F146 CC01 ,5F146 CC03 ,5F146 CC13,5H303 AA06 ,5H303 BB02 ,5H303 BB07 ,5H303 CC01 ,5H303 DD01 ,5H303 EE03 ,5H303 FF03 ,5H303 HH01 ,5H303 KK14 ,5H303 MM05
【課題】パターンの重ね合わせ精度の低下及び露光量の均一性の低下を抑制した走査露光装置を提供する。【解決手段】原版9と基板8とを走査しながら前記基板の複数のショット領域を露光する走査露光装置は、前記基板を保持して移動するステージ10と、前記ステージの移動を規定する駆動プロファイルに基づいて前記ステージの移動を制御する制御部15と、を備える。前記駆動プロファイルのうち少なくとも1つのショット領域の露光中の前記ステージの移動を規定する部分は、前記ステージの制御系における共振周波数よりも低い周波数の正弦波から構成されている。【選択図】図1
【請求項1】原版と基板とを走査しながら前記基板の複数のショット領域を露光する走査露光装置であって、前記基板を保持して移動するステージと、前記ステージの移動を規定する駆動プロファイルに基づいて前記ステージの移動を制御する制御部と、を備え、前記駆動プロファイルのうち少なくとも1つのショット領域の露光中の前記ステージの移動を規定する部分は、前記ステージの制御系における共振周波数よりも低い周波数の正弦波から構成されている、ことを特徴とする走査露光装置。【請求項2】前記基板を露光するための光を射出する光源をさらに備え、前記制御部は、各ショット領域を露光する間、前記ステージの速度に応じた光量で前記基板に光を照射するように前記駆動プロファイルに基づいて前記光源を制御する、ことを特徴とする請求項1に記載の走査露光装置。【請求項3】前記制御部は、前記共振周波数よりも低い周波数の正弦波から構成されている前記ステージの加速度プロファイルを取得し、該取得された加速度プロファイルから前記ステージの速度プロファイル及び位置プロファイルを生成し、前記生成された位置プロファイルに基づいて前記ステージの移動を制御し、前記生成された速度プロファイルに基づいて前記光源を制御する、ことを特徴とする請求項2に記載の走査露光装置。【請求項4】前記駆動プロファイルは、前記共振周波数の1/2よりも低い周波数の正弦波から構成されている、ことを特徴とする請求項1乃至請求項3のいずれか1項に記載の走査露光装置。【請求項5】前記駆動プロファイルは、第1の周波数の正弦波と、該第1の周波数の3倍の周波数と3分の1の振幅を有する正弦波とを合成して構成されている、ことを特徴とする請求項1乃至請求項4のいずれか1項に記載の走査露光装置。【請求項6】前記ステージの少なくとも1回の往復スキャン動作において、前記制御部は、前記駆動プロファイルに基づいて前記ステージの移動を制御する、ことを特徴とする請求項1乃至請求項5のいずれか1項に記載の走査露光装置。【請求項7】原版と基板とを走査しながら前記基板の複数のショット領域を露光する走査露光装置であって、前記基板を保持して移動するステージと、前記ステージの移動を規定する駆動プロファイルに基づいて前記ステージの移動を制御する制御部と、を備え、前記駆動プロファイルのうち少なくとも1つのショット領域の露光中の前記ステージの移動を規定する部分は、前記ステージの制御系における共振周波数よりも低い周波数の3つ以下の正弦波を合成してから構成されている、ことを特徴とする走査露光装置。【請求項8】前記走査露光装置は、液浸露光装置である、ことを特徴とする請求項1乃至請求項7のいずれか1項に記載の走査露光装置。【請求項9】請求項1乃至請求項8のいずれか1項に記載の走査露光装置を用いて基板を露光する工程と、前記工程で露光された基板を現像する工程と、を含むデバイス製造方法。
【請求項1】原版と基板とを走査しながら前記基板の複数のショット領域を露光する走査露光装置であって、前記基板を保持して移動するステージと、前記ステージの移動を規定する駆動プロファイルに基づいて前記ステージの移動を制御する制御部と、を備え、前記駆動プロファイルのうち少なくとも1つのショット領域の露光中の前記ステージの移動を規定する部分は、前記ステージの制御系における共振周波数よりも低い周波数の正弦波から構成されている、ことを特徴とする走査露光装置。【請求項7】原版と基板とを走査しながら前記基板の複数のショット領域を露光する走査露光装置であって、前記基板を保持して移動するステージと、前記ステージの移動を規定する駆動プロファイルに基づいて前記ステージの移動を制御する制御部と、を備え、前記駆動プロファイルのうち少なくとも1つのショット領域の露光中の前記ステージの移動を規定する部分は、前記ステージの制御系における共振周波数よりも低い周波数の3つ以下の正弦波を合成してから構成されている、ことを特徴とする走査露光装置。
【技術分野】【0001】本発明は、露光装置及びそれを用いたデバイス製造方法に関する。
【背景技術】【0002】現在、半導体デバイスの製造装置である露光装置では、光学系に対してマスクとウエハとを走査しながら露光する走査露光装置が主流となっている。走査露光装置では、露光解像度を高くするために、走査中におけるウエハに照射される単位面積あたりの露光量(以下DOSE量と呼ぶ)を一定に維持する必要がある。そのために、パルス光源からの発光量や発光間隔などをウエハの移動速度に応じて適切に設定することが重要である。【0003】従来の露光装置では、ウエハステージは加速区間、整定区間、等速区間、減速区間の組み合わせで駆動プロファイルが形成されている。ちなみに整定区間とは、ステージの加速が完了してから等速区間に移行するまでの間であり、ステージの位置決め制御偏差が十分に小さくなるまでの過渡区間である。一般な走査露光装置の場合、ウエハ上の露光量を一定に制御しやすい等速区間で露光を行うのが一般的である。等速区間であれば、光源からの光量を一定にするだけで、DOSE量を一定にすることが可能であるためDOSE量制御が高精度に保ちやすいからである。【0004】露光装置は、露光精度や重ね合わせ精度と同時に生産性の高いことが求められている。この要望に応えるため、これまではステージ駆動部の高出力化を行って、ステージをより高加速、高速に駆動可能にすることで対応してきた。一方で、特許文献1のように、DOSE量を一定にするために光源からの露光量をステージ速度に比例するように変化させながら、加速区間や整定区間、減速区間においても露光を行う露光システムも提案されている。
【発明が解決しようとする課題】【0006】従来技術における加減速中の露光は、以下のような課題を生じる。つまり、等速区間以外の区間では、ステージ位置に関する制御偏差も大きく、光学系とステージ間の位置決め精度が低いため、重ね合わせ精度が低下する。また、等速区間以外の区間ではステージ速度に関する制御偏差が大きいため、より高精度に露光量を調整するためには、ステージの速度偏差分を加味して制御する必要が生じ、露光量の制御系が複雑になる上に露光量の制御性低下要因が増える。さらに、高精度にDOSE量制御を行うためにステージの速度変化に追従させて光源からの露光量を変化させる必要があるが、ステージの加速区間や減速区間は速度変化率が大きいため、露光量の制御性が低下する。【0007】本発明は、パターンの重ね合わせ精度の低下及び露光量の均一性の低下を抑制した走査露光装置を提供することを目的とする。
【課題を解決するための手段】【0008】本発明は、原版と基板とを走査しながら前記基板の複数のショット領域を露光する走査露光装置であって、前記基板を保持して移動するステージと、前記ステージの移動を規定する駆動プロファイルに基づいて前記ステージの移動を制御する制御部と、を備え、前記駆動プロファイルのうち少なくとも1つのショット領域の露光中の前記ステージの移動を規定する部分は、前記ステージの制御系における共振周波数よりも低い周波数の正弦波から構成されている、ことを特徴とする。
【発明の効果】【0009】本発明によれば、パターンの重ね合わせ精度の低下及び露光量の均一性の低下を抑制した走査露光装置を提供することができる。
【発明を実施するための形態】【0011】[実施例1]図2は、原版と基板とを走査しながら基板の複数のショット領域を露光する走査露光装置の概略構成を示す図である。走査露光装置EX1は、照明系ILと原版ステージ駆動部11と投影光学系7と基板ステージ駆動部12と測定部13,14と制御部15とを備えている。照明系ILは、例えば、基板8を露光するための光を射出する光源1と前段照明光学系3と開口絞り4と後段照明光学系5とを含む。原版ステージ駆動部11は、原版6を保持する原版ステージ9を駆動する。投影光学系7は、原版6のパターンの像を基板ステージ10によって保持された基板8に投影する。基板ステージ駆動部12は、基板を保持する基板ステージ10を駆動する。測定部13は、原版ステージ9の位置、回転及び傾きを測定する。測定部14は、基板ステージ10の位置、回転及び傾きを測定する。測定部13,14は、例えばレーザ干渉計である。制御部15は、光源1、測定部13、原版ステージ駆動部11、基板ステージ駆動部12及び測定部14を制御する。【0012】光源1から射出された光束2は、前段照明光学系3、開口絞り4及び後段照明光学系5を介して原版6に入射し原版6を照明する。原版6のパターンは、投影光学系7によって基板8に投影される。基板8には、レジスト(感光材料)が塗布されていて、基板8が露光されることによってレジストに潜像が形成される。この潜像は、現像工程を経て物理的なパターン即ちレジストパターンとなる。【0013】基板8を露光するとき、投影光学系7に対して原版6及び基板8が同期しながら走査される。原版6の走査は、制御部15による制御の下で、測定部13によって原版ステージ9の位置を測定しながらその測定結果に基づいて原版ステージ駆動部11によって原版ステージ9を駆動することによってなされる。基板8の走査は、制御部15による制御の下で、測定部14によって基板ステージ10の位置を測定しながらその測定結果に基づいて基板ステージ駆動部12によって基板ステージ10を駆動することによってなされる。制御部15は、基板ステージ10及び原版ステージ9(ステージ)の移動を規定する駆動プロファイルに基づいて基板ステージ10及び原版ステージ9の移動を制御する。【0014】図8は、基板ステージ10の移動の軌跡を基板8に対する投影光学系7の相対的な移動として示したものである。基板8には64個のショット領域が図示されており、ショット領域内の数字はショット領域の番号を示している。またショット領域内の矢印は、露光時の基板ステージ10の走査移動方向を示しており、点線はショット領域間の基板ステージ10の移動方向を概略的に示している。例えばショット領域1からショット領域2への移動は、走査方向に関して往復スキャン動作が行われ、走査方向に直交する方向に関して、ショット領域1の露光が完了してから、ショット領域2の露光が開始する直前までの間で図の左側にステップ動作が行われる。【0015】ここで、従来技術における基板ステージ10の走査方向の駆動プロファイルと、その駆動プロファイルを適用して加減速中に露光を行った場合の露光タイミングの一例を図7に示す。露光装置は等速区間で基板を露光するため、図7Aに示すように基板ステージ10の加減速中の加速度プロファイルは台形形状に近いプロファイルになっている。このような基板ステージ10の駆動プロファイルでは、図7Dに示すように加減速中に大きな基板ステージ10の位置決め誤差を生じてしまう。台形形状の加速度プロファイルは、低周波から高周波までの複数の正弦波を組み合わせて形成される波形であり、一般的な露光装置で用いられるステージの制御系における共振周波数よりも高い周波数の正弦波成分を含んでいる。そのため、基板ステージ10の制御性能の観点から駆動プロファイルへの追従性の低下や制御共振による制御偏差の増加により、加減速中の基板ステージ10の位置決め誤差が大きくなる。【0016】露光装置に用いられる一般的なステージの位置決め制御の共振周波数は、100~500Hz程度である。従来の露光装置で用いられるように、加速度プロファイルを図7Aのような台形波形で形成すると、1kHz以上の周波数成分を多数含むことになる。また、加速度プロファイルに含まれる高周波成分を低減させるために、加速度プロファイル中の直線間の折れ曲がり部分を滑らかな曲線に変更する技術も一般的に知られている。加速度プロファイル中の直線間の折れ曲がり部分を曲線化することで高周波成分が多少低減する。しかし、加速度プロファイルが直線部分を含む台形形状に近い波形である限り、低周波から基板ステージ10の共振周波数を超える高周波までの多数の正弦波を含むことには変わりない。【0017】一般的な露光装置では等速区間中に露光を行うため、加減速中の基板ステージ10の位置決め誤差やDOSE量制御で、大きな問題は生じない。しかし、基板ステージ10を加速又は減速しながら露光を行う場合、以下のような3つの課題が生じてしまう。【0018】第1に、図7のように加減速中のステージの位置決め誤差が大きい従来の駆動プロファイルで加減速中に露光を行うと、パターンの重ね合わせ誤差が大きくなってしまう。そのため、重ね合わせ誤差の低下を抑制できる加減速中露光システムが望まれる。【0019】第2に、図7のような従来技術におけるステージ駆動プロファイルでは、前述のように加減速中かつ露光中に基板ステージ10の位置決め誤差が生じてしまう。つまり、基板ステージ10の加減速中はステージ速度も想定された速度プロファイルからずれている(以下、このずれ量を速度偏差と呼ぶ)ことを意味する。このことから、基板ステージ10に対して想定している速度プロファイルに基づいて、露光量制御を行っただけでは不十分であり、基板ステージ10の速度プロファイルからの実際の速度ずれ分も加味して露光量制御を行う必要が生じ、露光量制御系が複雑になる。さらに、制御偏差の増加要因が増えるため、露光量制御が低下する要因となりうる。そのため、少なくとも露光中における加減速中のステージ速度偏差がより小さい加減速中露光システムが望まれている。【0020】第3に、原版6のパターンを基板上により精度良く形成するためには、DOSE量を均一にする必要があるが、加減速中に露光を行うシステムでは、露光中のステージ速度が変化するため、ステージ速度に応じて露光量を変化させる制御が必要になる。一方で、露光量制御の制御偏差が生じれば、その分パターン形成の精度に影響を与えることになる。特許文献1で開示されているような従来のステージ駆動プロファイルを使って加減速中に露光を行うシステムでは、ステージ加減速中のステージ速度変化率(ステージ加速度に相当)が大きいため露光量制御の制御偏差が生じやすい。そのため、よりパターン形成の精度を向上させるために、露光量の制御追従性がより高い加減速中露光システムが望まれる。【0021】以上の課題を解決するために、実施例1では図1のようなステージ駆動プロファイルを用いることで加減速中露光システムを構築する。以下に詳細に説明する。図1は、露光動作における走査露光方向のステージ駆動プロファイルと露光タイミングを示している。実施例1では、駆動プロファイルは単一の正弦波のみで構成されている。したがって、実施例1では、ステージの駆動プロファイルの作成負担が少ない。例えば同じ行のショット領域内で毎秒6ショットの速度で処理をしたければ、3Hzの正弦波のみで構成した加速度駆動プロファイルに基づいて、ステージ駆動をすれば良い。【0022】ステージの速度および位置に関する駆動プロファイルも3Hzの正弦波で表現できる。露光装置におけるステージ制御の共振周波数は100~500Hz程度であり、3Hzの位置駆動プロファイルに対して十分周波数が高いため、加減速中も位置決め誤差をほぼ0に維持しながらステージの位置決め制御が可能である。図1Dの位置決め誤差は0とほぼ重なっている様子を表現している。図7A及び図7Bで示すように露光するタイミングは、加速度が0となる付近、速度の絶対値が最大となる付近に設定されている。【0023】従来の露光装置に比べて、露光中の加速度が0となっていないが、本発明の構成によりステージの位置決め誤差がほぼ0となっている。これにより、露光装置としての重ね合わせ精度を維持したまま加減速中の露光を可能にしている。またステージの位置決め誤差がほぼ0であることから、ステージの速度偏差もほぼ0となっている。そのため、DOSE量を一定にするための露光量制御に関して、ステージの速度偏差分を考慮した制御をする必要がない。この関係を示したのが図6である。まず、制御部15のステージ駆動プロファイル生成部でステージの駆動プロファイルを生成する。従来であれば台形形状の加減速プロファイルであり、本実施例であればステージ制御の共振周波数より低い周波数で構成された正弦波波形である。この駆動プロファイルに基づいて、制御部15のステージ制御部にステージ位置プロファイル情報が、光源制御部にステージ速度プロファイル情報が送られる。【0024】従来の駆動プロファイルで加減速中の露光を行った場合は、加減速中にステージ位置プロファイルと実際のステージ位置との間で差が生じてしまうため、その差から計算されるステージの速度偏差情報を光源制御部へ渡す必要がある(図中の点線矢印部)。そして制御部は、ステージ速度プロファイルとステージの速度偏差情報から、実際のステージの速度を算出して基板に照射するDOSE量を一定にするための光量に制御する。【0025】一方、本発明におけるステージ駆動プロファイルで加減速中の露光を行った場合、加減速中もステージの位置決め偏差および速度偏差がほぼ0となるため、ステージ速度偏差情報を光源制御部に渡す必要がない。つまり、光源制御部は、ステージ駆動プロファイル生成部から渡されるステージ速度プロファイルのみで光量制御を行えばよい。このように、本実施例のステージ駆動プロファイルを用いることで、露光量制御の簡素化が図れるだけでなく、光量制御の偏差発生要因を少なくすることで、光量制御の精度が向上する。これにより、DOSE量の制御精度が向上し、露光装置におけるパターンの転写精度が向上する。【0026】また、光源制御部は、光量の調整を行っているが、当然ながらステージの速度プロファイルの速度変化率が小さい方が制御性は良くなる。言い換えるとステージの駆動プロファイルを構成する最大周波数が低いほど制御性は良くなると言える。本発明ではステージの駆動プロファイルに含まれる最大周波数を制限することで、従来よりも低い周波数のみを含んだ露光量プロファイルになっているため、光量制御そのものの制御性を高くすることが出来る。以上のように、本実施例のステージ駆動プロファイルを用いた加減速中露光システムを用いれば、前述の3つの課題について改善が出来る。【0027】実施例1において、制御部15は、ステージ駆動プロファイル生成部でステージの加速度プロファイルを生成した。しかし、制御部15は、加速度プロファイルを自ら生成するのではなく、例えば制御部15外で生成され記憶部に予め格納された加速度プロファイルを取得の上、それを利用することもできる。また、実施例1において、制御部15は、ステージの位置プロファイルに基づいて基板ステージ10の位置を制御し、速度プロファイルに基づいて光源1を制御する。しかし、制御部15は、ステージの位置プロファイル、速度プロファイル及び加速度プロファイルのいずれかに基づいて基板ステージ10の位置、光源1を制御するように構成してもよい。【0028】ここで、ステージ制御における共振周波数の定義について明確にしておく。制御分野ではフィードバック制御系に関する閉ループ伝達関数のゲイン特性において、共振周波数が定義されている。図5はステージの位置決め制御に関する閉ループ伝達関数のゲイン特性の概略であり、横軸に周波数(Hz)で縦軸に閉ループゲイン(dB)で表している。閉ループゲインの最大値をとる周波数は共振周波数ωR、また共振周波数ωRより高い周波数で閉ループゲインが0dBとなる周波数はゲイン交差周波数ωpと呼ばれている。【0029】共振周波数ωR近傍の周波数を含む制御指令波形が入ると、制御指令波形に対して振幅が増幅されてステージの位置決めがされてしまうため、制御偏差が大きくなる。また、共振周波数を超えてゲイン交差周波数より高い周波数の制御指令波形が入ると、ステージの位置決めが追従出来なくなってくるために制御偏差が大きくなる方向である。そこで、加減速中の制御偏差量を抑制するために、少なくともステージ制御の共振周波数ωRよりも低い周波数の制御指令波形を用いる。また、制御偏差抑制の観点で最も好ましいのは、図5のように共振周波数より低い周波数でかつ、閉ループゲインが0dBに近い領域の周波数ωaよりも低い周波数のみを含む駆動プロファイルを用いることである。これにより、共振周波数ωRの影響がより小さくなり制御偏差、つまりステージの位置決め誤差がより小さくなる。このような周波数ωaは露光装置のステージの位置決め制御系であれば共振周波数ωRの1/2よりも低い周波数に相当することが経験的に分かっている。【0030】以上より、加減速中に露光するシステムにおいては、少なくとも露光中のステージ駆動プロファイルはステージ制御系の共振周波数より低い周波数の正弦波で構成されるのが好ましい。さらに、ステージ駆動プロファイルをステージ制御系の共振周波数ωRの半分より低い周波数の正弦波で構成すれば、さらに良好な効果を得ることが可能である。【0031】従来技術では、等速区間で露光を行うことを前提にしたシステムであったため、加減速中の位置決め誤差よりも、如何に早く一定の速度まで到達出来るかを優先したステージ駆動プロファイルが用いられてきた。一方、本実施例では、より露光性能を維持しつつ加減速中の露光動作を可能にするために、加減速中の位置決め誤差の抑制を最優先にしたシステムに変更した。その結果として、ステージ制御における共振周波数以下の正弦波で構成した加減速中のステージ駆動プロファイルでステージ駆動させるシステムにしたことが本実施例の最大の特徴である。【0032】本実施例では図8で示すショットレイアウトにおいて、主に同じ行のショット領域(例えばショット領域1からショット領域5)に対する走査方向に適した駆動プロファイルを説明してきた。同じ行のショット領域に対する走査方向のステージ移動は往復スキャン動作であるため、本実施例の正弦波の加速度プロファイルと対応しやすい。逆に、ショット行を変更する際のステージ移動(例えば図8のショット領域5からショット領域6へのステージ移動)に関しては、本実施例におけるステージ駆動プロファイルをそのまま適用できない。その場合は、なるべく高周波成分を含まないように各ショット行における駆動プロファイル同士を連続的に接続すべきである。【0033】このように、露光動作におけるすべての区間のステージ駆動プロファイルをステージ制御における共振周波数ωR以下の正弦波で構成することを本発明は意図していない。駆動プロファイルのうち、少なくとも1つのショット領域の露光中のステージの移動を規定する部分は、ステージの制御系における共振周波数ωRよりも低い3つ以下の正弦波から(例えば、これらを合成して)構成されている。ステージの制御系における共振周波数ωRよりも低い3つ以下の正弦波から構成されている部分は、少なくとも1回の往復スキャン動作におけるステージの移動を規定する部分とすることもできる。【0034】[実施例2]実施例1では、単一の正弦波で構成したステージ駆動プロファイルの例を示した。しかし、複数の正弦波を合成して駆動プロファイルを構成してもよい。駆動プロファイルの作成負担を軽減するために、駆動プロファイルを構成するために合成する正弦波の数は3つ以下とする。例えば、3つの正弦波から構成した加速度プロファイルは、式1で表現される。加速度プロファイルを1つの正弦波で構成する場合は、式1中の右辺の第2項、第3項が存在せず、第1項(第1の周波数)のみから構成される。加速度プロファイルを2つの正弦波で構成する場合は、式1中の右辺の第3項が存在しない。【0035】加速度:A×cos(2×π×f)+(1/k1)×A×cos(2×π×k1×f)+(1/k2)×A×cos(2×π×k2×f)・・・(1)ここで、Aはステージの加速度の振幅、πは円周率、fはステージの走査動作の基本周波数(Hz)、k1、k2は互いに異なる3以上の奇数である。【0036】実施例2では、実施例1と同様に同じ行のショット領域内で毎秒6ショットの速度で処理することを想定して、f=3Hzで設定している。また、実施例2では、2つの余弦波で駆動プロファイルを構成し、式1右辺の第2項をk1=3で設定し、式1右辺の第3項は存在しない。式1では、図3Aと対応させるために余弦波で表現しているが、当然ながら正弦波で表現が可能である。実施例2におけるステージの駆動プロファイルを図3に示す。速度プロファイルおよび、位置プロファイルに関しては、加速度プロファイルから適当な初期値を設定することで算出可能である。図3B及び図3Cは、速度および位置プロファイルの初期値0で設定したものが示されている。【0037】式1の加速度プロファイルに関する特徴について述べる。式1の第1項は、実施例1で示した加速度プロファイルそのものである。式1の第2項が、本実施例の特徴であり、第1項の周波数の3倍の周波数と3分の1の振幅を有する正弦波(もしくは余弦波)であり、第1項と合成されている。これにより、9Hzの正弦波が足されることになるが、ステージの共振周波数(100Hz以上)に対して、十分低い周波数である。第2項の正弦波が足されることで、露光中の速度プロファイルが図1Bに比べて図3Bにおける露光中の速度変化幅が小さくなっている。つまり、露光中にステージ速度に応じて、露光量を変化させる必要があるが、露光量を可変すべき幅を小さくすることが可能になる。これにより、光源制御部の規模、光源の装置規模を小さくすることが可能に出来るため、コストや装置の大きさなどの面で有利である。このように、複数の正弦波を組み合わせた駆動プロファイルで駆動することは、露光中の速度変化幅を抑制できるという利点がある。【0038】本実施例では、2つの正弦波の組み合わせで駆動プロファイルを作成する例を示したが、露光量制御装置や光源の簡素化のために、ステージ制御の共振周波数以下の範囲で、3つの正弦波を組み合わせることも可能である。その場合、式1中右辺のk2=5の第3項の正弦波(もしくは余弦波)を存在させればよい。【0039】[実施例3]一般に知られるフーリエ級数の式から、式1のように複数の正弦波を合成することで、露光区間の速度に相当する領域の波形変化幅が小さくなることが知られている。そのため、実施例1では式1を用いて加速度駆動プロファイルを作成する例を示した。しかし、本発明では、複数の正弦波を合成して駆動プロファイルを作成する際に、式1に限定されるものではない。ステージ制御の共振周波数よりも小さい周波数の正弦波であれば、露光量の制御性やステージの制御性の他様々なシステム的な制限で、合成する正弦波の周波数や振幅を選択してもかまわない。本実施例では、式1とは異なる式2で定義される加速度駆動プロファイルの例を示す。【0040】加速度:A×cos(2×π×f)+(1/10)×A×cos(2×π×3×f)・・・(2)ここで、Aはステージの加速度の振幅、πは円周率、fはステージの走査動作の基本周波数(Hz)である。【0041】式2では、フーリエ級数の式から導き出される振幅ではなく、速度プロファイルを見ながら、最適な値を決定した一例を示している。式1の振幅部分のk1に相当するものが(1/10)に変更している。式2に基づいたステージの駆動プロファイルを図4(図面一番最後)に示す。露光中の速度プロファイルが図1Bや図3Bに比べて図4Bが直線に近い形状になっている。露光中の速度変化幅も図1Bや図3Bに比べて小さくなっている。【0042】つまり、ステージ駆動プロファイルを複数の正弦波で合成する際には、ステージ制御の共振周波数よりも小さい周波数の正弦波であれば、正弦波の振幅や周波数を目的とする意図に応じて自由に設計することは可能である。【0043】[実施例4]実施例1~3では、主にKrFレーザやArFレーザ等のエキシマレーザを光源とした露光装置で、いわゆる非液浸タイプの走査露光装置を想定した例を示してきた。しかしながら、液浸露光装置にも適用可能であるばかりでなく、液浸露光装置に適用した場合の特有の効果も期待できる。以下に液浸露光装置に適用した場合の特有の効果について説明する。【0044】液浸露光装置では、図2に投影光学系7と基板8との間に液膜(不図示)が構成される。さらに、基板8の周辺かつ基板8とほぼ同面となる補助部材(不図示)を設けている。これにより、基板8のエッジ部付近を露光する際にも液膜が投影光学系7と基板8との間に保持される。ここで、通常ステージは基板8のエッジ部を露光する際には、液膜が基板8をはみ出した位置まで移動してしまう。これは、ステージの加減速区間を確保するために必要な助走距離が存在するためである。特に、従来の駆動プロファイルでは、一定速度に達するまで露光が出来ないため、加減速に必要な助走距離が必ず必要となり、基板8からのはみ出し距離が大きい。【0045】ある条件で従来の駆動プロファイルをもとに計算すると、走査方向に33mmのショット領域を露光するために、液膜が基板8から80~100mm程度はみ出してしまう可能性がある。このため、液膜を保持するために、液膜のはみ出し量を考慮して補助部材を大きく設計しなくてはならない。しかし、補助部材を大きくすると、ステージが大きくなり装置全体を大きくする必要が生じるため、出来るだけ補助部材を大きくしたくない事情がある。【0046】一方、本発明ではもともと加減速中に露光するシステムなため、従来技術のような理由での助走距離は発生しない。1ショット領域の露光が終了した後に、走査方向と直交する方向にステージが移動するために必要な時間が生じ、この時間によって走査方向にショット長さ以上の移動距離が発生する。つまり、走査方向と直交する方向のステージ最大加速度を大きくして移動時間を短くすればするほど、露光動作における走査方向のステージ移動距離量を抑制することが可能になる。露光に必要な走査方向のステージ移動距離を小さくすることが可能であるため、液膜のはみ出し量を小さくでき、補助部材の大きさを抑制できる。つまり、液浸露光装置に本発明を適用した場合、露光に必要なステージの助走区間を小さくすることが可能となり、ステージの大きさ、更には、装置全体の大きさを小さくすることが出来る。【0047】[実施例5]本発明の構成は電子ビーム(EB)露光装置や液晶露光装置に適用した場合も効果が期待できる。EB露光装置では、露光量制御は基板8に届く電子の量を制御することで行うことが可能である。電子線で描画しながら、ステージ速度に応じて、電子の量を制御することでDOSE量を一定にすることが出来る。EB露光装置においても、加減速中に露光を行う場合は、ステージ速度の変化率が小さく、ステージの速度偏差がほぼ0であり、ステージの位置決め誤差が小さいことが望まれる。そのため、実施例1~3で説明した駆動プロファイルを用いて電子線による露光を行うことで、露光量制御性能およびパターンの重ね合わせ精度の低下を抑制した加減速中露光を行うことが可能になる。【0048】液晶露光装置は、基板8がウエハでなくガラス基板となるだけで、基本的な構成は半導体露光装置と同じである。そのため、実施例1~3と同様な駆動プロファイルを適用して、露光を行うことで同等の効果が期待できることは明らかである。【0049】[デバイス製造方法]次に、デバイス(半導体デバイス、液晶表示デバイス等)の製造方法について説明する。半導体デバイスは、ウエハに集積回路を作る前工程と、前工程で作られたウエハ上の集積回路チップを製品として完成させる後工程を経ることにより製造される。前工程は、前述の露光装置を使用して感光剤が塗布されたウエハを露光する工程と、ウエハを現像する工程を含む。後工程は、アッセンブリ工程(ダイシング、ボンディング)と、パッケージング工程(封入)を含む。液晶表示デバイスは、透明電極を形成する工程を経ることにより製造される。透明電極を形成する工程は、透明導電膜が蒸着されたガラス基板に感光剤を塗布する工程と、前述の露光装置を使用して感光剤が塗布されたガラス基板を露光する工程と、ガラス基板を現像する工程を含む。本実施形態のデバイス製造方法によれば、従来よりも高品位のデバイスを製造することができる。【0050】以上、本発明の好ましい実施形態について説明したが、本発明はこれらの実施形態に限定されず、その要旨の範囲内で種々の変形および変更が可能である。
A
2012142464
2011000006
20110101
null
null
20120726
2012142464
20120726
null
null
5419900
20131129
null
null
null
フィルタ、露光装置及びデバイス製造方法
000001007
キヤノン株式会社
100076428
大塚 康徳
飯塚 直哉,正木 文太郎,三宅 明
null
8
H01L 21/027 (20060101), G03F 7/20 (20060101)
H01L 21/30 531A ,G03F 7/20 521
10
null
null
null
1
12
5F046,5F146
5F046 CB08 ,5F046 CB23 ,5F046 DA01 ,5F046 GA03 ,5F046 GA14 ,5F046 GB07,5F146 CB08 ,5F146 CB43 ,5F146 DA01 ,5F146 GA03 ,5F146 GA23 ,5F146 GB07
【課題】反射させた光による悪影響を発生させることなく特定の波長の光を選択的に透過させるフィルタを提供する。【解決手段】第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、第1方向に沿って間隙をおいて平行に配置された複数の板状部材を含み、前記複数の板状部材のそれぞれの端面によって形成される包絡面は平面をなし、前記平面は前記第1方向と非平行である。【選択図】図1
【請求項1】第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、第1方向に沿って間隙をおいて平行に配置された複数の板状部材を含み、前記複数の板状部材のそれぞれの端面によって形成される包絡面は平面をなし、前記平面は前記第1方向と非平行である、ことを特徴とするフィルタ。【請求項2】第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、少なくとも第1方向に沿って配列された複数の開口を有し、前記第1方向と直交する第2方向に沿って延びる部材を含み、前記部材の端面は平面をなし、前記平面は前記第1方向と非平行である、ことを特徴とするフィルタ。【請求項3】第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、第1方向に沿って間隙をおいて配置された複数の板状部材を含み、前記複数の板状部材のそれぞれの第1端面によって形成される包絡面は平面をなし、前記平面は前記第1方向と非平行であり、前記複数の板状部材のうち少なくとも一組の隣接する板状部材の前記第1端面における間隙は、前記少なくとも一組の隣接する板状部材の前記第1端面の反対側の第2端面における間隙より大きい、ことを特徴とするフィルタ。【請求項4】第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、少なくとも第1方向に沿って配列された複数の開口を有し、前記第1方向と直交する第2方向に沿って延びる部材を含み、前記部材の第1端面は平面をなし、前記平面は前記第1方向と非平行であり、前記複数の開口のうち少なくとも1つの開口は、前記第1端面の反対側の第2端面よりも前記第1端面において大きい、ことを特徴とするフィルタ。【請求項5】第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、少なくとも第1方向に沿って配列された複数の開口を有する端面を含み、前記端面は曲面をなし、前記曲面の曲率中心の前記第1方向における位置は、前記複数の開口の全てを含む1つの領域の前記第1方向における範囲の外側にある、ことを特徴とするフィルタ。【請求項6】前記複数の開口は、少なくとも前記第1方向に沿って間隙をおいて平行に配置された複数の板状部材によって形成されている、ことを特徴とする請求項5に記載のフィルタ。【請求項7】前記複数の開口は、前記第1方向と直交する第2方向に沿って延びる部材が有する開口である、ことを特徴とする請求項5に記載のフィルタ。【請求項8】第1波長を有する第1の光と前記第1波長より短い第2波長を有する第2の光とを含む光を出射する光源と、前記光の光路外に配置され、前記第1の光を吸収する吸収部材と、前記光源から出射された光のうち前記第1の光を前記吸収部材に向けて反射し、前記光源から出射された光のうち前記第2の光を透過させる請求項1乃至請求項7のいずれか1項に記載のフィルタと、を備え、前記フィルタを透過した前記第2の光で基板を露光する、ことを特徴とする露光装置。【請求項9】第1波長を有する第1の光と前記第1波長より短い第2波長を有する第2の光とを含む光を出射する光源と、前記光の光路外に配置され、前記第1の光を吸収する吸収部材と、複数の開口が少なくとも第1方向に沿って配列された端面を備え、前記端面は平面をなし、前記平面は前記第1方向と非平行であり、前記光源から出射された光のうち前記第1の光を前記吸収部材に向けて反射し、前記光源から出射された光のうち前記第2の光を透過させるフィルタと、を備え、前記フィルタを透過した前記第2の光で基板を露光する、ことを特徴とする露光装置。【請求項10】請求項8又は請求項9に記載の露光装置を用いて基板を露光する工程と、前記工程で露光された基板を現像する工程と、を含むデバイス製造方法。
【請求項1】第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、第1方向に沿って間隙をおいて平行に配置された複数の板状部材を含み、前記複数の板状部材のそれぞれの端面によって形成される包絡面は平面をなし、前記平面は前記第1方向と非平行である、ことを特徴とするフィルタ。【請求項2】第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、少なくとも第1方向に沿って配列された複数の開口を有し、前記第1方向と直交する第2方向に沿って延びる部材を含み、前記部材の端面は平面をなし、前記平面は前記第1方向と非平行である、ことを特徴とするフィルタ。【請求項3】第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、第1方向に沿って間隙をおいて配置された複数の板状部材を含み、前記複数の板状部材のそれぞれの第1端面によって形成される包絡面は平面をなし、前記平面は前記第1方向と非平行であり、前記複数の板状部材のうち少なくとも一組の隣接する板状部材の前記第1端面における間隙は、前記少なくとも一組の隣接する板状部材の前記第1端面の反対側の第2端面における間隙より大きい、ことを特徴とするフィルタ。【請求項4】第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、少なくとも第1方向に沿って配列された複数の開口を有し、前記第1方向と直交する第2方向に沿って延びる部材を含み、前記部材の第1端面は平面をなし、前記平面は前記第1方向と非平行であり、前記複数の開口のうち少なくとも1つの開口は、前記第1端面の反対側の第2端面よりも前記第1端面において大きい、ことを特徴とするフィルタ。【請求項5】第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、少なくとも第1方向に沿って配列された複数の開口を有する端面を含み、前記端面は曲面をなし、前記曲面の曲率中心の前記第1方向における位置は、前記複数の開口の全てを含む1つの領域の前記第1方向における範囲の外側にある、ことを特徴とするフィルタ。【請求項9】第1波長を有する第1の光と前記第1波長より短い第2波長を有する第2の光とを含む光を出射する光源と、前記光の光路外に配置され、前記第1の光を吸収する吸収部材と、複数の開口が少なくとも第1方向に沿って配列された端面を備え、前記端面は平面をなし、前記平面は前記第1方向と非平行であり、前記光源から出射された光のうち前記第1の光を前記吸収部材に向けて反射し、前記光源から出射された光のうち前記第2の光を透過させるフィルタと、を備え、前記フィルタを透過した前記第2の光で基板を露光する、ことを特徴とする露光装置。
【技術分野】【0001】本発明は、フィルタ、露光装置及びデバイス製造方法に関する。
【背景技術】【0002】EUV(ExtremeUltra-Violet)光源として、プラズマ光源にはレーザープラズマ光源(LPP)、放電型プラズマ光源(DPP)などのプラズマ光源が用いられる。例えば、LPPでは、真空容器中に置かれたターゲット材に高強度のパルスレーザー光を照射し、高温のプラズマを発生させ、これから放射される例えば波長13.5nm程度のEUV光を利用する。ターゲット材としては、金属、不活性ガスなどが用いられる。ターゲットから放射されるEUV光の平均強度を高くするためにはパルスレーザーの繰り返し周波数の高い方が良く、通常数kHzの繰り返し周波数で運転される。また、ターゲットから放射されるEUV光を効率よく利用するために集光ミラーが設けられている。集光ミラーには、モリブデンとシリコンの膜を交互に60層ほど積層させた多層膜ミラーや金属をコーティングした斜入射ミラーなどが使用される。照明光学系は、複数の多層膜ミラー、斜入射ミラー、反射型インテグレータ等から構成される。反射型インテグレータはマスクを均一に所定の開口数で照明する役割を持っている。反射型インテグレータには平行化されたEUV光が入射され、焦点距離fの位置に二次光源を作る。【0003】照明系から供給されたEUV光は原版であるレチクルで反射され、6~8枚の多層膜ミラーからなる投影光学系で1/4に縮小されて、レジストが塗布されたウエハに照射される。レチクル及びウエハは、それぞれレチクルステージ及びウエハステージに保持され、アライメント光学系で精密に位置合わせ、フォーカス検出光学系で精密にフォーカスされた状態で、縮小倍率に比例した速度比で同期して走査する。このようにして、レチクルの縮小投影像がウエハ上に結像した状態でそれらを同期走査するという動作が繰り返される(ステップ・アンド・スキャン)。こうして、ウエハ全面にレチクルの転写パターンが転写される。【0004】プラズマ光源は所望のEUV光だけでなく、赤外領域からEUVまでの不要な光(OutofBand光:以下OoB光)も発生する。これらOoB光はフレアとなってウエハ上の光のコントラストを低減させたり、ウエハを熱膨張させたりする。また、ミラーの熱負荷を増大させるため、特に投影系のミラーに関して問題となる。【0005】OoB光を除去するためにフィルタ(SpectralPurityFilter)を光路に設置して、所望のEUV光だけを選択的に透過させる方法が、特許文献1及び非特許文献1に開示されている。非特許文献1では、OoB光の波長より小さな開口を設け、EUV光だけを透過させてOoB光(赤外光)を反射させる。
【発明が解決しようとする課題】【0008】しかし、従来のフィルタがOoB光(赤外光)を上流のミラーに向けて反射させるため、ミラーやチャンバ等の部材を加熱してしまう。また、設計外の光路を通るため照明系だけでなく、投影光学系の光学素子やウエハ上にも迷光となった赤外光が到達し、加熱するという問題がある。【0009】そこで、本発明は、反射させた光による悪影響を発生させることなく特定の波長の光を選択的に透過させるフィルタを提供することを目的とする。
【課題を解決するための手段】【0010】本発明は、第1波長を有する第1の光を反射し、前記第1波長より短い第2波長を有する第2の光を透過させるフィルタであって、第1方向に沿って間隙をおいて平行に配置された複数の板状部材を含み、前記複数の板状部材のそれぞれの端面によって形成される包絡面は平面をなし、前記平面は前記第1方向と非平行である、ことを特徴とする。
【発明の効果】【0011】本発明によれば、反射させた光による悪影響を発生させることなく特定の波長の光を選択的に透過させるフィルタを提供することができる。
【発明を実施するための形態】【0013】本発明の露光装置は、第1波長を有する第1の光と第1波長より短い第2波長を有する第2の光とを含む光を出射する光源と、光源から入射された光のうち、第1の光を反射し、第2の光を透過させるフィルタとを備える。露光装置は、さらに光源から出射された光の光路外に配置され、第1の光を吸収する吸収部材を備える。フィルタで反射された第1の光は、吸収部材に向けて反射される。露光装置は、フィルタを透過した第2の光で基板を露光する。第1の光は例えば赤外光であり、第2の光は例えばEUV光である。フィルタに入射した第1の光(赤外光)は入射した方向とは異なる方向に反射され、戻り光となることが防げる。フィルタに入射した第2の波長の光(EUV光)がフィルタの内壁にけられた損失は最小限に抑えられるようにフィルタが構成される。【0014】例えば、赤外光の波長より十分に薄い複数の板状部材を、赤外光の波長より十分に小さくかつEUV光の波長より大きい間隔で互いに平行となるように並べることで、入射する赤外光を反射しEUV光を透過することができる。このとき反射されるのは入射する赤外光の偏光成分のうち、電気ベクトルが板状部材に平行な偏光であり、電気ベクトルが板状部材に垂直な偏光は透過する。よって、露光装置において、入射する赤外光の偏光が直線偏光あるいは直線偏光に近い場合、1個のフィルタが赤外光の電気ベクトルと板状部材とが平行になるように配置される。偏光状態がランダム又はランダムに近い赤外光が入射する場合、板状部材によるフィルタは2個使用される。2個のフィルタをそれらの板状部材が互いにが直交する関係になるように同一光路中に配置することで、入射した赤外光の全方向成分の偏光を反射することができる。【0015】フィルタが光の入射方向(第2方向)と直交する第1方向に沿って配置された複数の板状部材で構成されている場合、板状部材の端面によって形成される包絡面は、第1方向と非平行な平面をなすか、又は、光路外に曲率中心を持つ曲面をなす。フィルタが第1方向に沿って配置された複数の開口を有する部材で構成されている場合、前記部材の端面は、やはり、第1方向と非平行な平面をなすか、又は、光路外に曲率中心を持つ曲面をなす。露光装置内に設置したフィルタにより反射された赤外光はチャンバや保持部などの露光装置の構成部材を暖めてしまう。反射された赤外光を吸収する吸収部材を設置することで、赤外光が露光装置の構成部材を暖めてしまうことを防止することができる。吸収部材は露光光の光路外に設置される。フィルタの設置角度は、反射された赤外光が吸収部材へ向かうように決定される。【0016】露光装置のサイズは小型であることが好ましく、そのためには装置内の各素子がコンパクトであれば配置の自由度が上がるため設計が容易となる。吸収部材のサイズを小さくするためにはフィルタで反射する赤外光を集光する必要がある。フィルタ表面に曲率を持たせることで、フィルタで反射する赤外光を集光して吸収部材へ導くことができる。露光装置内に設置したフィルタへの入射光は必ずしも平行光であるとは限らない。フィルタへの入射光が集光光もしくは発散光であった場合、フィルタへの入射光の角度はフィルタの面内で一定ではない。フィルタを構成する全ての内壁が互いに平行である場合、ある場所で入射光に対して内壁が平行になるように設置すると、その場所以外ではEUV光がけられてしまうため、EUV光の透過率が低下する。そこで、フィルタの各内壁の角度を、その場所での入射光と各々平行にすることで、EUV光の透過率の低下を防ぐことができる。以下に、本発明の実施形態を添付の図面に基づいて詳細に説明する。【0017】〔第1実施形態〕図1に基づいて第1実施形態のフィルタ10について説明する。フィルタ10は、複数の板状部材11を赤外光の波長より小さくEUV光の波長より大きな一定の間隙をおいて平行に並べて配置した構造を有し、正面から見るとスリット状の開口が並んでいる。フィルタ10は、入射光12に対して板状部材11の内壁11aが平行となり、入射光12の入射側の端面11bによって形成される包絡面11cが、入射光の進行方向(第2方向)と非平行な平面をなすように配置されている。つまり、包絡面11cが入射光の進行方向に垂直な面に対して傾くようにフィルタ10が設置されている。複数の板状部材11はホルダ14により支持されている。【0018】図2はフィルタ10をEUV露光装置に搭載した時の、入射光12とフィルタ10との関係を模式的に表したものである。照明系の光路中で露光光が平行化されている場所にフィルタ10を挿入する。図2において左側が照明系の上流側、右側が下流側である。12は入射光である。フィルタ10の上流では赤外光とEUV光が混ざった状態である。フィルタ10により、赤外光が反射され、EUV光が下流の光学系へと導かれる。反射された赤外光15が迷光となって露光装置を暖めないように、反射された赤外光15を吸収するための吸収部材16を露光光の光路外に設置する。ここで入射光12の作るベクトルと入射点と吸収部材16を結ぶベクトルで決まる角度を二分するようにフィルタ10の入射側の包絡面11cにおける法線13の角度が設定されている。フィルタ10の内壁11aと入射光12とは平行である。フィルタ10を挿入したことによる露光光の損失は図1の正面図で示した板状部材11の端面11bをなす部分にEUV光が当たる場合だけである。板状部材11の壁面でEUV光がけられることがないため、EUV光の損失は最小限に抑えられる。【0019】本実施形態に用いるフィルタ10は、板状部材11を並べて配置したものであるため、板状部材11に平行な偏光成分しか反射することができない。よって、フィルタ10に入射する赤外光の偏光状態がランダムである場合、同様のフィルタ10’をもう一枚使用する。2枚目のフィルタ10’は1枚目のフィルタ10に対してスリットの長手方向が直交するような向きで、同一光路上に設置される。赤外光を入射光12とは異なる方向に反射させるため、2枚目のフィルタ10’も1枚目のフィルタ10と同様に包絡面が入射光の進行方向に垂直な面に対して傾くようにフィルタ10が設置されている。。【0020】フィルタ10全体のサイズは露光装置中の設置場所のビームサイズと同サイズ以上とするため、例えば30mm×30mm程度である。フィルタ10は照明系の光路中で露光光が平行化されている場所に設置される。またこのときフィルタ10の内壁11aと入射光とが平行になるように設置される。図3に示されるように、板状部材11が配置される間隔をd、板状部材11の厚さをt、板状部材11の幅をh、板状部材11の壁面と入射光12とがなす角をθとすると、θによるEUV光の損失は、板状部材11の射影面積から以下の式のように表すことができる。h*tanθ/(d-t)・・・(1)【0021】本実施形態において、板状部材11の壁面と入射光12とが角度をなすことによるEUV光の光量損失が20%以下であることが好ましい。すなわち、d、h、t、θの関係は(2)式のようになり、θが満たすべき値の範囲は(3)式で表される。h*tanθ/(d-t)≦0.2・・・(2)θ≦tan-1{0.2*(d-t)/h}・・・(3)【0022】プラズマ光源、特にCO2レーザーを励起レーザーとしたLPPの場合、問題となる赤外光の波長は10.6μmである。この波長の赤外光を許容値まで除去するためには、dが5μm、tが0.5μm、hが5μm程度である。よって、(3)式から、θはおよそ10度以下となることがわかる。ここで図2に基づいて、板状部材の端面11bが形成する包絡面11cにおける法線13と入射光12とがなす角度について説明する。平行化された入射光12の直径をa、板状部材の端面11bが形成する包絡面11cにおける法線13と入射光12がなす角度をφとすると、入射光12と反射光15とを分離するために必要なフィルタ10からの距離xは(4)式で表される。x=a/tan(2φ)・・・(4)【0023】本実施形態において、板状部材の端面11bが形成する包絡面11cにおける法線13と入射光12とがなす角度φは20°程度である。入射光12の直径aが25mmであるとき、フィルタ10の設置位置を上流側の光学素子より30mm以上離れた位置とすることで入射光12と反射された赤外光15を分離することができる。反射された赤外光15は露光装置内に設置された吸収部材16により吸収される。吸収部材16に吸収される赤外光は数100W以上であるため、吸収部材16からの輻射熱を防ぐための水冷機構が備えられていることが望ましい。【0024】〔第2実施形態〕図4に基づいて第2実施形態のフィルタ40について説明する。ここでは第1実施形態との差異についてのみ記述する。図4は第2実施形態におけるフィルタ40の正面図及び断面図である。第2実施形態におけるフィルタ40は、複数の開口を有し入射光の進行方向(第2方向)に沿って延びる部材41の入射光の入射側における端面41cが平面をなすように複数の板状部材が2次元に配置した構造を有している。開口の形状は正四角形とされている。フィルタ40の開口が2次元に配置されているので赤外光の両偏光を同時に除去することができる。開口の形状は、円形、六角形でも同様の効果が得られる。入射光の入射側における部材41の端面41cにおける法線42と各開口の軸44とは斜めに交わっている。フィルタ40は例えばSiの異方性エッチングで製作する。Siの異方性エッチングでフィルタを製作し、赤外光を反射するための金属膜を部材41の表面全体に成膜する。複数の板状部材はホルダ43により支持されている。【0025】第2実施形態の露光装置において、フィルタ40は照明系の光路中で露光光が平行化されている場所に挿入され、開口の軸44が入射光と平行になるように設置される。このとき開口がアレイ状に配置された部材41の入射側の端面41cにおける法線42は、入射光と斜めに交わっている。フィルタ40の上流側から入射する赤外光とEUV光は、フィルタ40により、赤外光は入射した方向とは異なる方向に反射され、EUV光は下流の光学系に導かれる。反射された赤外光が迷光となって露光装置を暖めないように、反射された赤外光を吸収するための吸収部材16を露光光の光路外に設置する。ここで入射光の作るベクトルと入射点と吸収部材16とを結ぶベクトルで決まる角度を二分するようにフィルタ40の入射側の端面41cにおける法線の角度が設定されている。【0026】第2実施形態の露光装置に使用されるフィルタ40は、開口をアレイ状に配列した構造を有しているため、入射する赤外光の両偏光を同時に反射することができる。て入射する赤外光の偏光状態がランダムであっても、使用するフィルタ40は1つでよい。【0027】部材41のサイズは開口5μm、板状部材の厚さ0.5μm、長さ5μm程度となる。そしてこれらの部材41を含むフィルタ40のサイズは、露光装置中の設置場所のビームサイズと同サイズ以上とするため、例えば200mm×100mm程度である。露光装置において、フィルタ40は開口の軸44と入射光とが略平行になるように設置される。第1実施形態と同様に、各開口の軸44と入射光とが角度をなすことによるEUV光の光量損失が20%以下であることが好ましい。すなわち、各開口の軸44と入射光とがなす角度をθとすると、θが採りうる値は(3)式で表され、θはおよそ10度以下である。各開口の軸44と複数の部材41の入射側の端面41cにおける法線42とがなす角度は20°とすることが好ましい。【0028】〔第3実施形態〕図5に基づいて第3実施形態のフィルタ50について説明する。図5は第3実施形態におけるフィルタ50の正面図及び断面図である。フィルタ50は、板状部材51を階段状に並べて配置した構造を有している。各板状部材51は棒状の支持部材52で固定されている。板状部材51の壁面51aと、板状部材51の端面が形成する包絡面51cにおける法線53とが斜めに交わるような形状となっている。第3実施形態のフィルタ50は板状部材の壁面51aが入射光と平行になるように設置される。【0029】板状部材51の幅は5μm、厚さは0.5μm、板状部材51が配置される間隔は5μm程度である。フィルタ50のサイズは、露光装置中の設置場所のビームサイズと同サイズ以上とするため、例えば30mm×30mm程度である。第3実施形態の露光装置において、フィルタ50は板状部材の壁面51aと入射光とが略平行になるように設置される。第1実施形態と同様に、各開口の軸54と入射光とが角度をなすことによるEUV光の光量損失が20%以下であることが好ましい。すなわち、各開口の軸54と入射光とがなす角度をθとすると、θが採りうる値は(3)式で表され、θはおよそ10度以下である。各開口の軸54と複数の板状部材51の入射側の端面の包絡面51cにおける法線53とがなす角度は20°とすることが好ましい。【0030】〔第4実施形態〕図6に基づいて第4実施形態のフィルタ60について説明する。第4実施形態におけるフィルタ60は、複数の開口を持つ部材61を、その第1端面61cが平面をなし、かつ、光路に沿って収束するように配置した形状を有している。図6に示すように、フィルタ60の各開口の軸64と複数の部材61の第1端面61cにおける法線62とはいずれも斜めに交わっている。第1端面61cにおける隣接する板状部材の間隙は、第1端面61cの反対側の第2端面における隣接する板状部材の間隙より大きい。【0031】このフィルタ60を図7に示すように入射光71が平行化されていない照明系の光路中に挿入する。フィルタ60への入射光71の入射角度はフィルタ60の面内位置により異なるが、局所的に見ると各開口の軸64はその部材61への入射光71と平行である。よってEUV光は部材61の壁面61aでけられることがないため、EUV光の損失は最小となる。フィルタ60によって反射された赤外光72は光路外に設置された吸収部材73に吸収されるため光学素子に悪影響を与えない。【0032】第4実施形態では各開口の軸64とその部材61への入射光71が平行であったが、必ずしも各開口の軸64とその部材61への入射光71が全ての場所で平行である必要はない。図8のように一部だけが入射光71と平行であってもよい。例えば開口の軸64の角度を64aと64bの二種類とすることで、各開口の軸64と入射光71が平行でない位置では部材61の壁面61aでEUV光がけられてしまうためEUV光の透過率が低下するが、フィルタ60の製作が容易となる。すなわち、少なくとも一組の隣接する板状部材において第1端面における間隙が第2端面における間隙より大きければよい。開口の軸64と入射光71とがなす角度の上限値は、第1実施形態の考え方と同様に、部材61の射影面積から決定され、例えば10度である。軸64aを有する部材と軸64bを有する部材とを別々に製作し、ホルダ63内で一体化させるといったことが可能となるため、フィルタ60の製作が容易となる。【0033】〔第5実施形態〕第5実施形態のフィルタについて説明する。第5実施形態において図6で示される部材61は複数の板状部材から構成されており、フィルタ60は、板状部材61を階段状に並べて配置した構造を有している。フィルタ60の板状部材の壁面61aと、板状部材61の端面の包絡面61cにおける法線62とはいずれも斜めに交わっており、壁面61aと法線62とのなす角度は場所により異なっている。【0034】〔第6実施形態〕図9に基づいて第6実施形態のフィルタ90について説明する。図9に示すように、フィルタ90の板状部材91の端面91cは曲面であり、その曲率中心の第1方向における位置は、複数の開口の全てを含む1つの領域の第1方向における範囲の外側にある。すなわち、端面の法線92とフィルタの内壁91aとはいずれの場所においても斜めに交わっている。このフィルタ90を図10に示すように入射光103が平行化されている照明系の光路中に挿入する。反射された赤外光101はフィルタ90により集光され、吸収部材102で吸収される。反射された赤外光101は集光されているため、吸収部材102のサイズを小さくすることができる。吸収部材102のサイズを小さくすることにより照明系内の設置自由度が上がるため、照明系の設計が容易となる。なお、第6実施形態における複数の板状部材91の替わりに複数の棒状部材を配置し、複数の開口が一方の端面にのみ存在するようにしてもよい。【0035】〔第7実施形態〕図11に基づいて第7実施形態のフィルタ110について説明する。図11に示すように、複数の開口が2次元に沿って配列された部材111の端面111cは曲率を持ち、端面111cにおける法線112とフィルタの内壁111aとはいずれの場所においても斜めに交わっている。【0036】〔第8実施形態〕図12に基づいて第8実施形態のフィルタ120について説明する。第6実施形態において複数の板状部材はホルダに固定されていたが、第8実施形態においては、複数の板状部材は棒状の支持部材で固定されている。図12に示すように、フィルタ120の各板状部材の端面の包絡面121cは曲率を持ち、包絡面121cにおける法線とフィルタの内壁とはいずれの場所においても斜めに交わっている。【0037】〔デバイスの製造方法〕本発明の一実施形態のデバイス(半導体デバイス、液晶表示デバイス等)の製造方法について説明する。半導体デバイスは、ウエハ(基板)に集積回路を作る前工程と、前工程で作られたウエハ上の集積回路チップを製品として完成させる後工程を経ることにより製造される。前工程は、前述の露光装置を使用して感光剤が塗布されたウエハを露光する工程と、前記工程で露光されたウエハを現像する工程を含む。後工程は、アッセンブリ工程(ダイシング、ボンディング)と、パッケージング工程(封入)を含む。液晶表示デバイスは、透明電極を形成する工程を経ることにより製造される。透明電極を形成する工程は、透明導電膜が蒸着されたガラス基板に感光剤を塗布する工程と、前述の露光装置を使用して感光剤が塗布されたガラス基板を露光する工程と、ガラス基板を現像する工程を含む。本実施形態のデバイス製造方法によれば、従来よりも高品位のデバイスを製造することができる。【0038】以上、本発明の好ましい実施形態について説明したが、本発明はこれらの実施形態に限定されず、その要旨の範囲内で種々の変形及び変更が可能である。
A
2012140839
2011010258
20110102
null
null
20120726
2012140839
20120726
null
null
null
null
null
null
null
縁石切下部分危険防止及び保護“蛍”標示板
509223564
白出 敦子
null
null
白出 敦子
null
8
E01F 9/053 (20060101)
E01F 9/053
2
null
null
null
0
4
2D064
2D064 AA11 ,2D064 AA22 ,2D064 BA05 ,2D064 CA02 ,2D064 CA06 ,2D064 DA05 ,2D064 DA09 ,2D064 DA13 ,2D064 DB12 ,2D064 EB23
【課題】取付が簡易でありながら危険標示と保護の機能性をもった道路縁石、特に縁石切下部分に用いる危険防止及び保護標示を提供する。【解決手段】ゴム製のシ-ト3に反射材4を埋め込んだ道路縁石2、特に縁石切下部分1に用いる危険防止及び保護標示縁石2であり、この反射材4は複数設けられた道路縁石2、特に縁石切下部分1に用いる危険防止及び保護標示である。【選択図】図4
【請求項1】ゴム製のシ-トに反射材を埋め込んだことを特徴とする道路縁石、特に縁石切下部分に用いる危険防止及び保護標示板である。【請求項2】前記危険防止及び保護標示板は、ゴム製のシ-トに反射材を埋め込み、この反射材は複数設けられたことを特徴とする道路縁石、特に縁石切下部分に用いる危険防止及び保護標示板である。
【請求項1】ゴム製のシ-トに反射材を埋め込んだことを特徴とする道路縁石、特に縁石切下部分に用いる危険防止及び保護標示板である。【請求項2】前記危険防止及び保護標示板は、ゴム製のシ-トに反射材を埋め込み、この反射材は複数設けられたことを特徴とする道路縁石、特に縁石切下部分に用いる危険防止及び保護標示板である。
【技術分野】【0001】この発明は、道路縁石、特に縁石切下部分に用いる危険防止及び保護標示の形状に関する。
【背景技術】【0002】従来の道路縁石に用いる危険防止標示の形状は、図2に示すように、縁石の本体2の上部に器具などが設けられたものである。
【発明が解決しようとする課題】【0003】しかしながら、以上の技術によれば、形状が突出していたり、特に取り付けするときの工程や工作など手間がかかり、その費用負担が必要だった。そこで、この発明は、取付が簡易でありながら危険標示と保護の機能性をもった道路縁石、特に縁石切下部分に用いる危険防止及び保護標示の提供をすることを課題とする。
【課題を解決するための手段】【0004】以上の課題を解決するために、第一発明は、ゴム製のシ-トに反射材を埋め込んだことを特徴とする道路縁石、特に縁石切下部分に用いる危険防止及び保護標示板である。また、第二発明は、ゴム製のシ-トに反射材を埋め込み、この反射材は複数設けられたことを特徴とする道路縁石、特に縁石切下部分に用いる危険防止及び保護標示板である。
【発明の効果】【0005】第一発明、または第二発明によれば、ゴム製シ-トに反射材を埋め込み、複数設けられたので、見やすく危険標示の機能性が視覚的に認識しやすい。
【発明を実施するための形態】【0007】この発明の一実施形態を、図1に示す。縁石の本体1、2は、主にコンクリ-ト製であり、この本体1、2の表面には、ゴム製のシ-ト3に反射材4が複数埋め込まれ一体的な形状となっている標示板(G)を5の専用テ-プと6の安定シ-ト板で貼着させることにより実施する。【0008】「実施形態の効果」この実施形態によれば、反射材の働きで、車を運転するドライバ-や歩行する歩行者にとって、縁石、縁石切り下げ部分があることを視覚的に認識させることになり安全である。反射材4が埋め込まれたシ-ト3はゴム製なので、車や歩行者、物が当たったとしても、縁石本体1、2のコンクリ-トよりも安全である。埋め込みシ-トの形状から、変形されにくく汚れが溜まりにくい。「他の実施形態」図1の実施形態では、シ-トに埋め込まれた反射材は複数の長方形4であったが、他の実施形態では、反射材は円形、直線、正方形、三角などを複数あるいは単体も受けたものでも良い。
S
WO2011083776
2011548994
null
JP2011050001
20110104
20130513
null
null
WO2011083776
20110714
5822729
20151016
null
null
null
発酵乳の製造方法及び乳製品
000006138
株式会社明治
100103539
衡田 直行
石川 冬馬,江並 麻里,山本 昌志
2010001519,20100106,JP
8
A23C 9/123 (20060101), A23C 9/13 (20060101)
A23C 9/123 ,A23C 9/13
8
null
null
AP(BW,GH,GM,KE,LR,LS,MW,MZ,NA,SD,SL,SZ,TZ,UG,ZM,ZW),EA(AM,AZ,BY,KG,KZ,MD,RU,TJ,TM),EP(AL,AT,BE,BG,CH,CY,CZ,DE,DK,EE,ES,FI,FR,GB,GR,HR,HU,IE,IS,IT,LT,LU,LV,MC,MK,MT,NL,NO,PL,PT,RO,RS,SE,SI,SK,SM,TR),OA(BF,BJ,CF,CG,CI,CM,GA,GN,GQ,GW,ML,MR,NE,SN,TD,TG),AE,AG,AL,AM,AO,AT,AU,AZ,BA,BB,BG,BH,BR,BW,BY,BZ,CA,CH,CL,CN,CO,CR,CU,CZ,DE,DK,DM,DO,DZ,EC,EE,EG,ES,FI,GB,GD,GE,GH,GM,GT,HN,HR,HU,ID,IL,IN,IS,JP,KE,KG,KM,KN,KP,KR,KZ,LA,LC,LK,LR,LS,LT,LU,LY,MA,MD,ME,MG,MK,MN,MW,MX,MY,MZ,NA,NG,NI,NO,NZ,OM,PE,PG,PH,PL,PT,RO,RS,RU,SC,SD,SE,SG,SK,SL,SM,ST,SV,SY,TH,TJ,TM,TN,TR,TT,TZ,UA,UG,US,UZ,VC,VN,ZA,ZM,ZW
0
19
4B001
4B001 AC06 ,4B001 AC31 ,4B001 BC14 ,4B001 EC99
発酵工程後の加熱や添加物の使用などの煩雑な操作を必要とせず、経時的な酸度の上昇やpHの低下を抑制し、長期間に亘って、適度な風味(例えば、酸味)を保ち、良好な品質を維持しうる発酵乳の製造方法を提供する。発酵乳の原料に乳酸桿菌及び乳酸球菌を添加し、発酵工程の従来の至適温度よりも高い温度である44~55℃で発酵させることによって、従来の至適温度で発酵させた場合と比較して、冷蔵保存中における発酵乳含有乳製品の酸度を適度に保持することができる。これにより、発酵乳の酸味が強すぎることに基づく、発酵乳の風味の変化を防止することができる。
【請求項1】発酵乳の原料に乳酸桿菌及び乳酸球菌を添加し、44~55℃の発酵温度で発酵乳を得る発酵工程を含む、発酵乳の製造方法。【請求項2】上記発酵温度が44~49℃である、請求項1に記載の発酵乳の製造方法。【請求項3】上記発酵温度の保持時間が2~24時間である、請求項1又は2に記載の発酵乳の製造方法。【請求項4】上記乳酸桿菌がラクトバチルス・ブルガリカスであり、かつ、上記乳酸球菌がストレプトコッカス・サーモフィルスである、請求項1~3のいずれか1項に記載の発酵乳の製造方法。【請求項5】請求項1~3のいずれか1項に記載の発酵乳の製造方法によって得られた発酵乳を含む乳製品。【請求項6】上記乳製品が、ドリンクヨーグルト、ソフトヨーグルト、乳酸菌飲料、及びセットタイプヨーグルトから選ばれる一種である、請求項5に記載の乳製品。【請求項7】上記乳製品の調製の終了時から25日間の経過時まで、10℃の温度下に保存した場合に、この間の上記乳製品の酸度(%)の上昇の幅が0.25%以下である、請求項5又は6に記載の乳製品。【請求項8】上記乳製品の調製の終了時から25日間の経過時まで、10℃の温度下に保存した場合に、この間の上記乳製品のpHの低下の幅が0.28以下である、請求項5~7のいずれか1項に記載の乳製品。
【請求項1】発酵乳の原料に乳酸桿菌及び乳酸球菌を添加し、44~55℃の発酵温度で発酵乳を得る発酵工程を含む、発酵乳の製造方法。
【技術分野】【0001】本発明は、発酵乳の風味や品質を簡便かつ効率的に改善できる発酵乳の製造方法に関し、特に、前発酵タイプのドリンクヨーグルト、ソフトヨーグルト等の発酵乳含有乳製品の冷蔵保存中における酸度やpHの変化を抑制できる発酵乳の製造方法に関する。
【背景技術】【0002】発酵乳は「乳等省令」で、乳またはこれと同等以上の無脂乳固形分を含む乳などを乳酸菌または酵母で発酵させ、糊状または液状にしたもの、またはこれらを凍結したものと定義されている。発酵乳の分類では、(a)主に容器に充填した後に発酵させ、容器内で固化させたハードヨーグルト(固形状発酵乳、セットタイプヨーグルト)と、(b)大型のタンクなどで発酵させた後にカードを粉砕し、必要に応じて、果肉やソースなどと混合した後に容器に充填したソフトヨーグルト(糊状発酵乳)と、(c)ハードヨーグルトやソフトヨーグルトを均質機などを用いて細かく砕いて、液状の性質を高め、必要に応じて、果肉やソースなどと混合した後に容器に充填したドリンクヨーグルト(液状発酵乳)に大別される。【0003】日本における発酵乳の成分規格は、無脂乳固形分が8%以上で、かつ、1ml当たりの乳酸菌の数または酵母の数が1000万以上と定められている。また、FAO(国際連合食糧農業機関)/WHO(世界保健機関)によるヨーグルトの国際規格によると、ラクトバチルス・ブルガリカス(Lactobacillusbulgaricus)及びストレプトコッカス・サーモフィルス(Streptococcusthermophilus)の作用によって、乳または乳製品を乳酸発酵して得た凝固乳製品を、ヨーグルトと定義している。【0004】ヨーグルトなどの発酵乳は、乳酸菌の生菌を含むため、長期間で保存した場合、乳酸菌が生成する乳酸などによって経時的に酸度が上昇し、pHが低下し、製造直後と比べて、風味や品質が変化するという問題がある。この問題を軽減するために、以前より種々の方法が提案されている。【0005】一例として、ヨーグルト素材組成物に乳酸菌を加え、組成物中の乳の発酵度合を所望のものとしたものを低温に放置したのち、該乳酸菌を高温側発育停止限界温度以上であって完全死滅に至らない温度、時間条件下に加熱し、これを冷却することを特徴とする、乳酸菌の生菌を含むヨーグルトの製造方法が提案されている(特許文献1)。このヨーグルトの製造方法において、乳酸菌がラクトバチルス・ブルガリカスである場合、高温側発育停止温度は50~55℃であり、完全死滅条件は例えば63℃で30分間である。他の例として、キトサンを含有してなる酸度上昇を抑制した発酵乳が提案されている(特許文献2)。
【発明が解決しようとする課題】【0007】特許文献1に記載の技術は、発酵工程後に特定の加熱温度と加熱時間で処理するものである。この技術は、加熱条件の調整が煩雑である上、加熱のための熱エネルギーが過剰に必要であり、さらに、加熱温度が高い場合、ヨーグルトの風味が劣化する可能性があるという問題がある。また、特許文献2に記載の技術は、発酵乳には通常含有させないキトサンを、発酵乳の添加物として用いるものである。この技術は、キトサンの添加によって商品力が低下することや、キトサンの風味によって発酵乳の風味が変化する可能性があるなどの問題がある。【0008】そこで、本発明は、発酵工程後の加熱や添加物の使用などの煩雑な操作を必要とせず、経時的な酸度の上昇やpHの低下を抑制し、長期間に亘って、適度な風味(例えば、酸味)を保ち、良好な品質を維持しうる発酵乳の製造方法を提供することを目的とする。
【課題を解決するための手段】【0009】本発明者らは、上記の課題を解決するために鋭意検討した結果、発酵乳の原料に乳酸桿菌及び乳酸球菌を添加し、発酵工程の従来の至適温度(38~43℃程度)よりも高い温度(例えば、44~49℃)で発酵させることによって、従来の至適温度で発酵させた場合と比較して、発酵時間を殆ど増大させずに、冷蔵保存中における発酵乳含有物の酸度の上昇及びpHの低下を抑制できることを見出した。また、本発明の発酵温度(例えば、44~46℃)で発酵させた場合、従来の至適温度で発酵させた場合と比較して、乳酸桿菌や乳酸球菌の生菌数が殆ど変わらず、発酵乳含有乳製品としての市場での商品価値を損なわないことを見出した。さらに、本発明の発酵温度(例えば、44~50℃)で発酵させた場合、従来の至適温度で発酵させた場合と比較して、発酵乳含有乳製品の風味や物性(品質)を同等に維持しており、市場での商品価値を損なわないことを見出し、本発明を完成した。【0010】すなわち、本発明は、以下の[1]~[8]を提供するものである。[1]発酵乳の原料に乳酸桿菌及び乳酸球菌を添加し、44~55℃の発酵温度で発酵乳を得る発酵工程を含む、発酵乳の製造方法。[2]上記発酵温度が44~49℃である、前記の[1]に記載の発酵乳の製造方法。[3]上記発酵温度の保持時間が2~24時間である、前記の[1]又は[2]に記載の発酵乳の製造方法。[4]上記乳酸桿菌がラクトバチルス・ブルガリカスであり、かつ、上記乳酸球菌がストレプトコッカス・サーモフィルスである、前記の[1]~[3]のいずれかに記載の発酵乳の製造方法。[5]前記の[1]~[4]のいずれかに記載の発酵乳の製造方法によって得られた発酵乳を含む乳製品。[6]上記乳製品が、ドリンクヨーグルト、ソフトヨーグルト、乳酸菌飲料、及びセットタイプヨーグルトから選ばれる一種である、前記の[5]に記載の乳製品。[7]上記乳製品の調製の終了時から25日間の経過時まで、10℃の温度下に保存した場合に、この間の上記乳製品の酸度(%)の上昇の幅が25%以下である、前記の[5]又は[6]に記載の乳製品。[8]上記乳製品の調製の終了時から25日間の経過時まで、10℃の温度下に保存した場合に、この間の上記乳製品のpHの低下の幅が0.28以下である、前記の[5]~[7]のいずれかに記載の乳製品。【0011】本発明で用いる「発酵乳の原料」とは、生乳(原乳)、全脂乳、脱脂乳、またはホエイなどの乳成分を含む液体である。ここで、生乳とは例えば、牛乳などの獣乳である。発酵乳の原料を構成しうる成分の例としては、生乳、全脂乳、脱脂乳、ホエイの他に、その加工品(例えば、全脂粉乳、全脂濃縮乳、脱脂粉乳、脱脂濃縮乳、練乳、ホエイ粉、クリーム、バター、チーズなど)等が挙げられる。全脂粉乳などの固体原料を用いる場合、水等の液体を加えることによって、液体である発酵乳の原料を調製することができる。なお、発酵乳の原料は、一般的にヨーグルトミックスなどと呼ばれるものであり、乳成分の他に、砂糖、糖類、甘味料、香料、果汁、果肉、ビタミン、ミネラルなどの食品成分または食品添加物などを含むことができる。また、必要に応じて、ペクチン、大豆多糖類、CMC(カルボキシメチルセルロース)、寒天、ゼラチンなどの安定剤を含有させてもよい。【0012】本発明における「発酵乳」とは、(a)セットタイプヨーグルト(例えば、プレーンヨーグルトやハードヨーグルト)などの後発酵タイプのヨーグルト、(b)ソフトヨーグルト、ドリンクヨーグルトまたは乳酸菌飲料の製造時の中間品(換言すると、最終製品ではない製造途中の物)であって、発酵工程の終了後であって、かつ、発酵工程の後に添加される副原料を添加する前の物と定義される。また、本発明における「発酵乳」とは、乳成分を含むものであればよく、無脂乳固形分の含有率及び生菌数が限定されるものではない。すなわち、本発明における「発酵乳」とは、無脂乳固形分が8重量%以上のもの(日本の乳等省令で規定する狭義の発酵乳)に限定されず、例えば、無脂乳固形分が3重量%以上、8重量%未満のもの(日本の乳等省令で規定する狭義の乳製品)や、無脂乳固形分が3重量%未満のものを含む。また、本発明における「発酵乳」とは、1ml当たりの乳酸菌の数が1000万以上のもの(日本の乳等省令で規定する狭義の発酵乳)に限定されず、1ml当たりの乳酸菌の数が1000万未満のものを含む。本明細書において、「発酵乳製品」とは、本発明の製造方法によって得られる発酵乳(例えば、ソフトヨーグルトもしくはドリンクヨーグルトの製造時の中間品)に糖液などの副原料を混合して調製した、ソフトヨーグルトやドリンクヨーグルトなどの前発酵タイプのヨーグルトや、乳酸菌飲料などである。ここで、副原料は、必要に応じて配合される、前記の「発酵乳の原料」の説明で例示した食品成分、食品添加物、または安定剤などを含むことができる。本明細書において、「乳製品」及び「発酵乳製品」は、無脂乳固形分が3重量%以上のもの(日本の乳等省令で規定する狭義の乳製品)に限定されず、3重量%未満のものを含む。本明細書において、「乳製品」は、最終製品であり、セットタイプヨーグルト、ソフトヨーグルト、ドリンクヨーグルト、及び乳酸菌飲料を含む。ここで、「最終製品」とは、消費者が飲食するための状態となった製品であり、後発酵タイプのヨーグルト(セットタイプヨーグルト)の場合には発酵乳であり、ソフトヨーグルト、ドリンクヨーグルト及び乳酸菌飲料の場合には、発酵乳製品である。【0013】本明細書において「酸度」とは、牛乳関係法令集(乳業団体衛生連絡協議会、平成16年(2004年)3月)の56頁の「5乳及び乳製品の酸度の測定法」による測定値であり、詳細は以下の通りである。すなわち、試料10mlに同量の炭酸ガスを含まない水を加えて希釈し、指示薬としてフェノールフタレイン液0.5mlを加えて、0.1mol/Lの水酸化ナトリウム溶液で30秒間、微紅色の消失しない点を限度として滴定し、その滴定量から試料100g当たりの乳酸のパーセント量を求め、酸度とする。0.1mol/Lの水酸化ナトリウム溶液1mlは、乳酸9mgに相当する。指示薬は、フェノールフタレイン1gを50%エタノールに溶かして、100mlとする。
【発明の効果】【0014】本発明によると、発酵乳の原料に乳酸桿菌及び乳酸球菌を添加し、発酵工程の従来の至適温度(38~43℃程度)よりも高い温度(例えば、44~47℃)で発酵させることによって、従来の至適温度で発酵させた場合と比較して、冷蔵保存中における発酵乳含有物(発酵乳または発酵乳製品)の酸度の経時的な上昇を抑制して、酸度を適度に保持することができる。これにより、発酵乳の酸味が強すぎることに基づく、発酵乳の風味の劣化を防止することができる。そして、例えば、発酵乳の原料に乳酸桿菌及び乳酸球菌を添加し、従来の至適温度で発酵させた場合に14日間程度である賞味期限を、本発明の発酵温度で発酵させることで、20~30日間程度に延長することができる。【0015】また、本発明によると、発酵乳の原料に乳酸桿菌及び乳酸球菌を添加し、発酵工程の従来の至適温度(38~43℃程度)よりも高い温度(例えば、44~46℃)で発酵させているにもかかわらず、従来の至適温度で発酵させた場合と比較して、乳酸桿菌や乳酸球菌の生菌数が殆ど変わらず、発酵乳の乳酸桿菌及び乳酸球菌の必要な生菌数を確保することができ、発酵乳含有乳製品としての市場での商品価値を損なうことがない。さらに、本発明の発酵温度(例えば、44~50℃)で発酵させた場合、従来の至適温度で発酵させた場合と比較して、最終製品である発酵乳含有乳製品の風味や物性(品質)を同等に維持しており、最終製品としての市場での商品価値を損なうことがない。【0016】すなわち、本発明によると、発酵工程後の加熱や添加物の使用などの煩雑な操作を必要とせず、経時的な酸度の上昇やpHの低下を抑制し、長期間に亘って、適度な風味を保ち、良好な品質を維持しうる発酵乳の製造方法を提供することができる。
【発明を実施するための形態】【0017】本発明の発酵乳の製造方法は、発酵乳の原料に乳酸桿菌及び乳酸球菌を添加し、44~55℃の発酵温度で発酵乳を得る発酵工程を含む。本発明では、発酵温度を従来の至適温度(38~43℃程度)よりも高い値(44~55℃)に定めているので、当該発酵乳または当該発酵乳を含む発酵乳製品の酸度の増大及びpHの低下を抑制することができる。本発明では、前記の高い温度(44~55℃)で発酵させることによって、乳酸菌やビフィズス菌などの微生物の活力(活性)や菌数、あるいは発酵乳の原料に含まれる各種の成分などに影響を与えて、例えば、冷蔵保存中における酸度の上昇を抑制すると考えられる。本発明における発酵温度は、発酵乳中の乳酸菌が死滅しないものであればよく、44~55℃、好ましくは44~50℃、より好ましくは44~49℃、さらに好ましくは44~48℃、さらに好ましくは44~47℃、さらに好ましくは45~47℃、さらに好ましくは45~46.5℃、最も好ましくは45~46℃である。また、本発明において、発酵温度と従来の至適温度範囲(38~43℃)の上限値(43℃)の差は、好ましくは1~15℃、より好ましくは2~12℃、さらに好ましくは2~10℃、さらに好ましくは2~8℃、さらに好ましくは2~7℃、さらに好ましくは2~6℃、さらに好ましくは2~5℃、最も好ましくは2~4℃である。本発明において、前記の発酵温度の保持時間は、風味と物性の良好な発酵乳を効率的に得る観点から、好ましくは2~24時間、より好ましくは3~12時間、さらに好ましくは3.5~8時間、最も好ましくは4~6時間である。【0018】前記のような発酵条件を採用することで、従来の至適温度で発酵させた場合と同様に、発酵乳の微生物(乳酸桿菌や乳酸球菌など)を死滅させず、その必要な生菌数を確保すると共に、従来の至適温度で発酵させた場合と比較して、発酵乳や発酵乳製品の風味や物性(品質)を同等に維持することができる。なお、商業的な規模(換言すると、大容量)で発酵乳を製造する場合、発酵温度を完全に一定の条件に制御することは困難であるため、実際に設定した温度から上下に1~2℃程度の変化を想定して発酵条件を設定すると良い。【0019】次に、発酵乳の原料にスターターとして添加し混合するための乳酸菌について、説明する。本発明で用いる乳酸桿菌の例としては、ララクトバチルス・ブルガリカス(Lactobacillusbulgaricus)、ラクトバチルス・ラクティス(Lactobacilluslactis)等が挙げられる。本発明で用いる乳酸球菌の例としては、ストレプトコッカス・サーモフィルス(Streptococcusthermophilus)等が挙げられる。本発明において、乳酸桿菌及び乳酸球菌に加えて、他の菌を用いることもできる。他の菌としては、酵母等が挙げられる。本発明で用いる乳酸桿菌及び乳酸球菌の好ましい組み合わせとしては、乳酸桿菌がラクトバチルス・ブルガリカス(ブルガリア菌)であり、かつ乳酸球菌がストレプトコッカス・サーモフィルス(サーモフィルス菌)である組み合わせが挙げられる。この組み合わせは、ヨーグルトに独特の芳醇さと爽やかさを醸し出すため、嗜好性が高く、また、国際規格でヨーグルトと認められているため、本発明において好ましく用いられる。すなわち、乳酸桿菌として、少なくともラクトバチルス・ブルガリカスを使用し、かつ、乳酸球菌として、少なくともストレプトコッカス・サーモフィルスを使用することは、本発明の効果を十分に発揮させる観点から望ましい。なお、本発明では、乳酸桿菌や乳酸球菌として、特異的な性質を有する変異株を使用する必要はなく、汎用の菌株を使用することができる。【0020】本発明で得られる発酵乳は、発酵乳製品の製造に用いることができる。この場合、発酵乳製品として、ドリンクヨーグルト、ソフトヨーグルト、乳酸菌飲料等が挙げられる。本発明において、最終製品である発酵乳(セットタイプヨーグルト)及び発酵乳製品(ドリンクヨーグルト、ソフトヨーグルト、乳酸菌飲料)の各形態のうち、冷蔵保存中における酸度の上昇やpHの低下に伴う、官能的な酸味(風味)の変化は、一般的には、液状のドリンクヨーグルト(前発酵タイプ)及び乳酸菌飲料で最も影響が大きく、次いで、糊状のソフトヨーグルト(前発酵タイプ)で影響が大きく、固形状のセットタイプヨーグルト(後発酵タイプ)では比較的に影響が小さくなる。すなわち、最終製品の形態に拘わらず、本発明の効果は確実に現れるのであるが、酸味の変化を感じやすいドリンクヨーグルトやソフトヨーグルトなどの前発酵タイプの場合、本発明の効果はより顕著である。また、液状のために周囲の環境から特に影響を受け易いドリンクヨーグルトの場合、本発明の効果は最も顕著である。また、日本の乳等省令では発酵乳に分類されないが、ドリンクヨーグルトに近い形態として、乳酸菌飲料類などの乳酸菌(生菌)を含むヨーグルト系の飲料でも、本発明の効果は同様に期待できる。【0021】本発明の発酵乳の製造方法は、発酵工程の前に殺菌工程などを含むことができる。殺菌工程としては、例えば、120~150℃、1秒間~60秒間などの条件で加熱処理するUHT(超高温)殺菌方法や、80~100℃、10秒間~30分間などの条件で加熱処理するHTST(高温短時間)殺菌方法などが挙げられる。【0022】本発明の発酵乳の製造方法は、発酵工程の後に冷却工程、カード破砕工程、副原料添加工程などを含むことができる。冷却工程では例えば、発酵乳を発酵温度から所定の低温(例えば、要冷蔵の表示の条件である10℃以下など)に低下させる。カード破砕工程では例えば、発酵乳を攪拌や加圧などし、発酵乳カードを微粒化(微細化)して分散させる。なお、カード破砕工程には、発酵乳を均質化し、発酵乳カードを液状化する場合なども含まれる。副原料添加工程では例えば、糖液、果汁、果肉、フルーツプレパレーションなどの発酵乳以外の成分(副原料)を、発酵乳と混合し撹拌する。なお、副原料添加工程には、タンク内などで副原料と発酵乳を混合し撹拌して安定化させる場合も含まれる。【0023】本発明の発酵乳の製造方法は、通常含まれる工程である、殺菌工程、発酵工程、冷却工程の各工程に加えて、必要に応じて、カード破砕工程、副原料添加工程等の他の工程を含めることができる。カード破砕工程及び副原料添加工程は、いずれか一方の工程のみを含ませてもよいし、両方の工程を含ませてもよい。また、これら両方の工程を含ませる場合、通常、カード破砕工程の後に、副原料添加工程を含ませる。【0024】本発明で得られる発酵乳または該発酵乳を含む乳製品(以下、「本発明の発酵乳等」ともいう。)を、最終製品の調製の終了時(具体的には、最終製品が発酵乳である場合には、発酵乳の発酵工程の終了時であり、最終製品が発酵乳以外の乳製品である場合には、当該乳製品の調製工程の終了時)から25日間の経過時まで、10℃の温度下に保存した場合に、当該25日間の酸度(%)の上昇の幅(換言すると、25日後の酸度の値から0日後の酸度の値を引いた値)は、好ましくは0.25%以下、より好ましくは0.23%以下、さらに好ましくは0.20%以下、特に好ましくは0.18%以下である。該値の下限は、特に限定されず、小さければ小さいほど良いが、通常、0.10%である。また、本発明の発酵乳等を、最終製品の調製の終了時から25日間の経過時まで、10℃の温度下に保存した場合に、当該25日後の酸度(%)は、好ましくは0.98%以下、より好ましくは0.96%以下、さらに好ましくは0.93%以下、特に好ましくは0.91%以下である。該値の下限は、特に限定されないが、通常、0.80%である。【0025】本発明の発酵乳等を、最終製品の調製の終了時から12日間の経過時まで、10℃の温度下に保存した場合に、当該12日間の酸度(%)の上昇の幅は、好ましくは0.18%以下、より好ましくは0.17%以下、さらに好ましくは0.15%以下、特に好ましくは0.13%以下である。該値の下限は、特に限定されず、小さければ小さいほど良いが、通常、0.10%である。また、本発明の発酵乳等を、最終製品の調製の終了時から12日間の経過時まで、10℃の温度下に保存した場合に、当該12日後の酸度(%)は、好ましくは0.91%以下、より好ましくは0.90%以下、さらに好ましくは0.88%以下、さらに好ましくは0.86%以下である。該値の下限は、特に限定されないが、通常、0.80%である。【0026】本発明の発酵乳等を、最終製品の調製の終了時から25日間の経過時まで、10℃の温度下に保存した場合に、当該25日間のpHの低下の幅(換言すると、0日後のpHの値から25日後のpHの値を引いた値)は、好ましくは0.28以下、より好ましくは0.25以下、さらに好ましくは0.20以下、特に好ましくは0.15以下である。該値の下限は、特に限定されず、小さければ小さいほど良いが、通常、0.05である。本発明の発酵乳等を、最終製品の調製の終了時から25日間の経過時まで、10℃の温度下に保存した場合に、当該25日後のpHは、好ましくは3.90以上、より好ましくは3.95以上、さらに好ましくは4.00以上、特に好ましくは4.05以上である。該値の上限は、特に限定されないが、通常、4.20である。【0027】本発明の発酵乳等を、最終製品の調製の終了時から12日間の経過時まで、10℃の温度下に保存した場合に、当該12日間のpHの低下の幅は、好ましくは0.20以下、より好ましくは0.18以下、さらに好ましくは0.15以下、特に好ましくは0.10以下である。該値の下限は、特に限定されず、小さければ小さいほど良いが、通常、0.05である。本発明の発酵乳等を、最終製品の調製の終了時から12日間の経過時まで、10℃の温度下に保存した場合に、当該12日後のpHは、好ましくは3.95以上、より好ましくは4.00以上、さらに好ましくは4.05以上、さらに好ましくは4.10以上である。該値の上限は、特に限定されないが、通常、4.20である。【0028】本発明において、前記の好ましい酸度またはpHであれば、最終製品である発酵乳含有製品(発酵乳または発酵乳製品)の賞味期限を十分に延長することができる。一方、発酵乳や発酵乳製品では一般的に、酸味を抑制して、風味をマイルドにするため、甘味料などを添加して甘味を増強し、酸味と甘味のバランスにより嗜好性を高めている。この点、本発明では、酸度の上昇及びpHの低下を抑制しているため、発酵乳または発酵乳製品に由来する酸味を抑制することができ、甘味料などの添加量を低減することができる。この場合、発酵乳や発酵乳製品の本来の芳醇さと爽かさを維持しつつ、すっきりした酸味の発酵乳や発酵乳製品を提供することができる。【実施例】【0029】以下、本発明について実施例を挙げて具体的に説明するが、本発明は、この実施例に限定されないことはいうまでもない。例えば、本発明は、セットタイプヨーグルトにも適用可能である。【0030】[実施例1;高温(45℃)で発酵した場合(実験室規模の実験)]脱脂粉乳705gと、水道水4195gを混合して、発酵乳の原料(ヨーグルトミックス)を調製し、これを95℃、10分間で加熱殺菌した後に、45℃に冷却した。次に、「明治ブルガリアヨーグルト」(商品名;明治乳業社製)より単離したラクトバチルス・ブルガリカス(Lactobacillusbulgaricus)とストレプトコッカス・サーモフィルス(Streptococcusthermophilus)の混合スターター100gを接種し、タンク内において、45℃で発酵させ、乳酸の生成によって酸度が1.20%に到達した、発酵時間が5時間の時点で発酵工程を終了し、次いで10℃以下に冷却して、発酵乳を得た。なお、後述する従来の至適温度で発酵させた比較例1の場合と比較して、この5時間は発酵時間として、同等であった。【0031】この得られた発酵乳について、一段加圧を約10MPa、二段加圧を約5MPaで均質化して、液状の発酵乳を得た。そして、この液状の発酵乳と糖液(砂糖を5.5質量%、ブドウ糖果糖液糖を16質量%、ペクチンを0.6質量%で配合した水溶液)を質量比で6:4に混合して、最終製品である発酵乳製品(ドリンクヨーグルト)を得た。得られた発酵乳製品を10℃で保存し、調製直後の新鮮物を始点として、発酵乳製品の酸度、pH、粘度、乳酸桿菌と乳酸球菌の生菌数の経時的変化を調べた。なお、乳酸桿菌と乳酸球菌の生菌数は、発酵乳製品の1mlあたりのコロニー数(コロニー形成単位:Colonyformingunit)を計測した数値である。【0032】[実施例2;高温(47℃)で発酵した場合(実験室規模の実験)]脱脂粉乳705gと、水道水4195gを混合して、発酵乳の原料(ヨーグルトミックス)を調製し、これを95℃、10分間で加熱殺菌した後に、47℃に冷却した。次に、実施例1と同様にして、混合スターター100gを接種し、タンク内において、47℃で発酵させ、乳酸の生成によって酸度が1.20%に到達した、発酵時間が5.5時間の時点で発酵工程を終了し、次いで10℃以下に冷却して、発酵乳を得た。なお、後述する従来の至適温度で発酵させた比較例1の場合と比較して、この5.5時間は発酵時間として、ほぼ同等であった。【0033】得られた発酵乳について、実施例1と同様にして、最終製品である発酵乳製品(ドリンクヨーグルト)を得た。得られた発酵乳製品について、実施例1と同様にして、発酵乳製品の酸度、pH、粘度、乳酸桿菌と乳酸球菌の生菌数の経時的変化を調べた。【0034】[比較例1;従来の至適温度(43℃)で発酵した場合(実験室規模の実験)]脱脂粉乳705gと、水道水4195gを混合して、発酵乳の原料(ヨーグルトミックス)を調製し、これを95℃、10分間で加熱殺菌した後に、43℃に冷却した。次に、実施例1と同様にして、混合スターターを100gで接種し、タンク内において、43℃で発酵させた。乳酸の生成によって酸度が1.20%に到達した、発酵時間が5時間の時点で発酵工程を終了し、次いで10℃以下に冷却して、発酵乳を得た。【0035】得られた発酵乳について、実施例1と同様にして、最終製品である発酵乳製品(ドリンクヨーグルト)を得た。得られた発酵乳製品について、実施例1と同様にして、発酵乳製品の酸度、pH、乳酸桿菌と乳酸球菌の生菌数、粘度の経時的変化を調べた。【0036】実施例1、実施例2、比較例1について、発酵乳製品の酸度、pH、及び、乳酸桿菌と乳酸球菌の生菌数の経時変化を表1~表4に示した。【0037】【表1】【0038】【表2】【0039】【表3】【0040】【表4】【0041】表1及び表2から、従来の至適温度の43℃で発酵させた比較例1と比較して、高温の45℃で発酵させた実施例1と、高温の47℃で発酵させた実施例2では、冷蔵(10℃以下)保存中における酸度の上昇及びpHの低下が抑制されることを確認できた。【0042】表1及び表2から、比較例1の12~17日間の保存に比較して、実施例1と実施例2の25日間(4週間程度)の保存で、酸度の上昇及びpHの低下が抑制されており、本発明により、発酵乳や発酵乳製品の賞味期限を延長できることが分かる。一方、発酵乳や発酵乳製品では一般的に、酸味を抑制し、風味をマイルドにするため、甘味料などを添加して甘味を増強し、酸味と甘味のバランスにより嗜好性を高めている。本発明によれば、酸度の上昇及びpHの低下が抑制され、発酵乳や発酵乳製品に由来する酸味を抑制できるため、甘味料などの添加量を低減することができる。この場合、発酵乳や発酵乳製品の本来の芳醇さと爽かさを維持しつつ、すっきりした酸味の発酵乳や発酵乳製品を提供することができる。【0043】一方、表3及び表4から、従来の至適温度の43℃で発酵させた比較例1と比較して、高温の45℃で発酵させた実施例1と、高温の47℃で発酵させた実施例2では、乳酸桿菌数と乳酸球菌数の経時変化に差異はなかった。そして、実施例1~2のそれぞれで、乳酸菌の生菌数(1mlあたり)が1000万(1×107)cfu以上であり、日本における発酵乳の成分の規格を十分に満たしていることを確認できた。また、表には示さなかったが、発酵乳製品の物性(品質)の指標として粘度を調べたところ、従来の至適温度の43℃で発酵させた比較例1と比較して、高温の45℃で発酵させた実施例1と、高温の47℃で発酵させた実施例2では、粘度の経時変化に差異はなかった。つまり、冷蔵(10℃以下)保存中における粘度の上昇は起こらないことを確認できた。【0044】実施例1、実施例2、比較例1の結果より、発酵乳や発酵乳製品を調製する際に、従来の至適温度と言われていた発酵温度よりも高い温度である45~47℃で発酵させることで、発酵時間を従来と同等にしつつ、発酵乳含有乳製品の規格や商品の価値を十分に満たして、冷蔵保存中における酸の生成を抑制できることが明らかとなった。【0045】[実施例3;高温(45~47℃)で発酵した場合(実機規模の実験)]脱脂粉乳1.4トンと、水道水8.4トンを混合して、発酵乳の原料(ヨーグルトミックス)を調製し、これを95℃、10分間で加熱殺菌した後に、約45℃に冷却した。次に、「明治ブルガリアヨーグルト」より単離したラクトバチルス・ブルガリカス(Lactobacillusbulgaricus)とストレプトコッカス・サーモフィルス(Streptococcusthermophilus)の混合スターター0.2トンを接種し、タンク内において、約45℃で発酵を開始させ、乳酸の生成によって酸度が1.10%に到達した、発酵時間が4時間の時点で発酵工程を終了し、次いで10℃以下に冷却して、発酵乳を得た。なお、後述する従来の至適温度で発酵させた比較例2の場合と比較して、この4時間は発酵時間として、同等であった。発酵時間である4時間の経過時点において、発酵の進行に伴い、発酵温度は約47℃に到達していた。また、タンク内において、発酵温度は必ずしも均一ではなく、外側部分と内側(中心)部分とでは異なっていた。前記の約45℃及び約47℃の温度は、タンク内の最も外側の地点で測定したものである。最も内側の地点(中心点)では、この最も外側の地点よりも温度が2.0℃程度高い。【0046】この得られた発酵乳について、一段加圧を約10MPa、二段加圧を約5MPaで均質化して、液状の発酵乳を得た。そして、この液状の発酵乳と糖液(砂糖を5.5質量%、ブドウ糖果糖液糖を16質量%、ペクチンを0.6質量%で配合した水溶液)を質量比で6:4に混合して、最終製品である発酵乳製品(ドリンクヨーグルト)を得た。この得られた発酵乳製品を10℃と5℃で保存し、調製直後の新鮮物を始点として、発酵乳製品の酸度の上昇の幅の経時的変化を調べた。【0047】[実施例4;高温(47~49℃)で発酵した場合(実機規模の実験)]脱脂粉乳1.4トンと、水道水8.4トンを混合して、発酵乳の原料(ヨーグルトミックス)を調製し、これを95℃、10分間で加熱殺菌した後に、約47℃に冷却した。次に、実施例3と同様にして、混合スターター0.2トンを接種し、タンク内において、約45℃で発酵を開始させ、乳酸の生成によって酸度が1.10%に到達した、発酵時間が4.7時間の時点で発酵工程を終了し、次いで10℃以下に冷却して、発酵乳を得た。なお、後述する従来の至適温度で発酵させた比較例2の場合と比較して、この4.7時間は発酵時間として、幾らか延長されていた。発酵時間である4.7時間の経過時点において、発酵の進行に伴い、発酵温度は約49℃に到達していた。また、タンク内において、発酵温度は必ずしも均一ではなく、外側部分と内側(中心)部分とでは異なっていた。なお、タンク内での測定地点及び温度の差異は、実施例3と同様である。【0048】この得られた発酵乳について、実施例3と同様にして、最終製品である発酵乳製品(ドリンクヨーグルト)を得た。この得られた発酵乳製品について、実施例3と同様にして、発酵乳製品の酸度の上昇の幅の経時的変化を調べた。【0049】[比較例2;従来の至適温度(43~44℃)で発酵した場合(実機規模の実験)]脱脂粉乳1.4トンと、水道水8.4トンを混合して、発酵乳の原料(ヨーグルトミックス)を調製し、これを95℃、10分間で加熱殺菌した後に、約43℃に冷却した。次に、実施例3と同様にして、混合スターター0.2トンを接種し、タンク内において、約43℃で発酵を開始させ、乳酸の生成によって酸度が1.10%に到達した、発酵時間が4時間の時点で発酵工程を終了し、次いで10℃以下に冷却して、発酵乳を得た。発酵時間である4時間の経過時点において、発酵の進行に伴い、発酵温度は約44℃に到達していた。また、タンク内において、発酵温度は必ずしも均一ではなく、外側部分と内側(中心)部分とでは異なっていた。なお、タンク内での測定地点及び温度の差異は、実施例3と同様である。【0050】この得られた発酵乳について、実施例3と同様にして、最終製品である発酵乳製品(ドリンクヨーグルト)を得た。この得られた発酵乳製品について、実施例3と同様にして、発酵乳製品の酸度の上昇の幅の経時的変化を調べた。【0051】実施例3、実施例4、比較例2について、発酵乳製品の酸度の上昇の幅の経時変化を表5(保存温度:10℃)と表6(保存温度:5℃)に示した。【0052】【表5】【0053】【表6】【0054】表5及び表6から、比較例2の7~12日間の保存に比較して、実施例3と実施例4の36日間(1カ月以上)の保存で、酸度の上昇が抑制されており、本発明により、発酵乳や発酵乳製品の賞味期限を延長できることが分かる。一方、本発明により、酸度の上昇が抑制され、発酵乳や発酵乳製品に由来する酸味を抑制できるため、甘味料などの添加量を低減できる。この場合、発酵乳や発酵乳製品の本来の芳醇さと爽かさを維持しつつ、すっきりした酸味の発酵乳や発酵乳製品を提供できる。【0055】実施例3及び比較例2について、専門パネラーの12名で、発酵乳製品の官能評価を実施した。この官能評価では、酸味の強さ、甘味の強さ、後味の良さ、口当たりの良さを評価の項目とし、「2、1、0、-1、-2」の5段階で評価の程度を表現した。ここで、この評価の程度で数値が大きいと、酸味及び甘味については「強い」を意味し、後味及び口当たりについては「良い」を意味する。酸味については、数値が小さいほど良好であり、甘味、後味、口当たりについては、数値が大きいほど良好である。この官能評価における専門パネラーの12名の平均値の経時変化を表7に示した。【0056】【表7】【0057】表7から、比較例2に比較して実施例3で、酸味は弱く、甘味は強く、後味は同等に、口当たりは良く評価されており、本発明により、発酵乳や発酵乳製品の酸味を抑えながら、甘味を増強して、後味を同等に維持しつつ、口当たりを改良できることが分かる。前記の実施例などで実証した内容と同様に、この官能評価からも、本発明により、製造直後の新鮮物だけでなく、冷蔵保存後の保存品においても、発酵乳や発酵乳製品の本来の芳醇さと爽かさを維持しつつ、すっきりした酸味の発酵乳や発酵乳製品を提供できることを確認できた。
S
WO2011083784
2011548998
null
JP2011050015
20110104
20130513
null
null
WO2011083784
20110714
5179673
20130118
null
null
null
液晶表示装置
000005049
シャープ株式会社
100101683
奥田 誠司
山下 祐樹,正楽 明大
2010003076,20100108,JP
8
G02F 1/133 (20060101), G02F 1/1335 (20060101), G09F 9/30 (20060101), G09G 3/36 (20060101), G09G 3/20 (20060101)
G02F 1/133 525 ,G02F 1/1335 505 ,G09F 9/30 390C ,G09G 3/36 ,G09G 3/20 642K ,G09G 3/20 611E
9
null
null
AP(BW,GH,GM,KE,LR,LS,MW,MZ,NA,SD,SL,SZ,TZ,UG,ZM,ZW),EA(AM,AZ,BY,KG,KZ,MD,RU,TJ,TM),EP(AL,AT,BE,BG,CH,CY,CZ,DE,DK,EE,ES,FI,FR,GB,GR,HR,HU,IE,IS,IT,LT,LU,LV,MC,MK,MT,NL,NO,PL,PT,RO,RS,SE,SI,SK,SM,TR),OA(BF,BJ,CF,CG,CI,CM,GA,GN,GQ,GW,ML,MR,NE,SN,TD,TG),AE,AG,AL,AM,AO,AT,AU,AZ,BA,BB,BG,BH,BR,BW,BY,BZ,CA,CH,CL,CN,CO,CR,CU,CZ,DE,DK,DM,DO,DZ,EC,EE,EG,ES,FI,GB,GD,GE,GH,GM,GT,HN,HR,HU,ID,IL,IN,IS,JP,KE,KG,KM,KN,KP,KR,KZ,LA,LC,LK,LR,LS,LT,LU,LY,MA,MD,ME,MG,MK,MN,MW,MX,MY,MZ,NA,NG,NI,NO,NZ,OM,PE,PG,PH,PL,PT,RO,RS,RU,SC,SD,SE,SG,SK,SL,SM,ST,SV,SY,TH,TJ,TM,TN,TR,TT,TZ,UA,UG,US,UZ,VC,VN,ZA,ZM,ZW
1
20
2H191,2H193,5C006,5C080,5C094
2H191 FA05Y,2H191 FA08Y,2H191 GA19,2H193 ZA04 ,2H193 ZA05 ,2H193 ZC13 ,2H193 ZD16,5C006 AA22 ,5C006 AC27 ,5C006 BB16 ,5C006 FA23 ,5C006 FA56,5C080 AA10 ,5C080 BB05 ,5C080 CC03 ,5C080 DD06 ,5C080 EE30 ,5C080 FF11 ,5C080 JJ04 ,5C080 JJ06,5C094 AA02 ,5C094 BA03 ,5C094 BA43 ,5C094 CA20 ,5C094 CA24 ,5C094 EA04 ,5C094 GA10
本発明は、各画素が4個以上のサブ画素によって規定される液晶表示装置に関する。本発明の液晶表示装置では、複数のサブ画素は、各画素(P)内でn行1列(nは4以上の偶数)に配置されている。行方向に沿って隣接する任意の2つの画素(P)において、同じ色を表示するサブ画素同士は、同じ行に配置されている。列方向に沿って隣接する任意の2つの画素(P)を第1画素(P1)および第2画素(P2)としたとき、複数のサブ画素のうちの半分のサブ画素(R、G)は、第1画素(P1)内においては奇数行に配置され、且つ、第2画素(P2)内においては偶数行に配置されている。残り半分のサブ画素(B、Y)は、第1画素(P1)内においては偶数行に配置され、且つ、第2画素(P2)内においては奇数行に配置されている。本発明によると、横ストライプ配置を採用してドット反転駆動を行った場合に、表示品位の低下を抑制することができる。
【請求項1】複数の行および複数の列を含むマトリクス状に配列された複数の画素を有し、行方向に延びる複数の走査線および列方向に延びる複数の信号線を有するアクティブマトリクス基板と、前記アクティブマトリクス基板に対向する対向基板と、前記アクティブマトリクス基板と前記対向基板との間に設けられた液晶層と、を備え、前記複数の画素のそれぞれは、互いに異なる色を表示する複数のサブ画素によって規定される液晶表示装置であって、前記複数のサブ画素は、前記複数の画素のそれぞれ内でn行1列(nは4以上の偶数)に配置されており、前記複数の画素のうちの行方向に沿って隣接する任意の2つの画素において、同じ色を表示するサブ画素同士は、同じ行に配置されており、前記複数の画素のうちの列方向に沿って隣接する任意の2つの画素を第1画素および第2画素としたとき、前記複数のサブ画素のうちの半分のサブ画素は、前記第1画素内においては奇数行に配置され、且つ、前記第2画素内においては偶数行に配置されており、残り半分のサブ画素は、前記第1画素内においては偶数行に配置され、且つ、前記第2画素内においては奇数行に配置されている液晶表示装置。【請求項2】前記複数のサブ画素は、第1の色を表示する第1サブ画素、第2の色を表示する第2サブ画素、第3の色を表示する第3サブ画素および第4の色を表示する第4サブ画素であり、前記第1、第2、第3および第4サブ画素は、前記複数の画素のそれぞれ内で4行1列に配置されている請求項1に記載の液晶表示装置。【請求項3】前記第1サブ画素は、前記第1画素内においては1行目に配置され、且つ、前記第2画素内においては2行目に配置されており、前記第2サブ画素は、前記第1画素内においては2行目に配置され、且つ、前記第2画素内においては1行目に配置されており、前記第3サブ画素は、前記第1画素内においては3行目に配置され、且つ、前記第2画素内においては4行目に配置されており、前記第4サブ画素は、前記第1画素内においては4行目に配置され、且つ、前記第2画素内においては3行目に配置されている請求項2に記載の液晶表示装置。【請求項4】前記複数のサブ画素は、赤を表示する赤サブ画素、緑を表示する緑サブ画素、青を表示する青サブ画素および黄を表示する黄サブ画素である請求項2または3に記載の液晶表示装置。【請求項5】前記複数のサブ画素は、赤を表示する赤サブ画素、緑を表示する緑サブ画素、青を表示する青サブ画素および白を表示する白サブ画素である請求項2または3に記載の液晶表示装置。【請求項6】前記複数のサブ画素は、第1の色を表示する第1サブ画素、第2の色を表示する第2サブ画素、第3の色を表示する第3サブ画素、第4の色を表示する第4サブ画素、第5の色を表示する第5サブ画素および第6の色を表示する第6サブ画素であり、前記第1、第2、第3、第4、第5および第6サブ画素は、前記複数の画素のそれぞれ内で6行1列に配置されている請求項1に記載の液晶表示装置。【請求項7】前記第1サブ画素は、前記第1画素内においては1行目に配置され、且つ、前記第2画素内においては2行目に配置されており、前記第2サブ画素は、前記第1画素内においては2行目に配置され、且つ、前記第2画素内においては1行目に配置されており、前記第3サブ画素は、前記第1画素内においては3行目に配置され、且つ、前記第2画素内においては4行目に配置されており、前記第4サブ画素は、前記第1画素内においては4行目に配置され、且つ、前記第2画素内においては3行目に配置されており、前記第5サブ画素は、前記第1画素内においては5行目に配置され、且つ、前記第2画素内においては6行目に配置されており、前記第6サブ画素は、前記第1画素内においては6行目に配置され、且つ、前記第2画素内においては5行目に配置されている請求項6に記載の液晶表示装置。【請求項8】前記複数のサブ画素は、赤を表示する赤サブ画素、緑を表示する緑サブ画素、青を表示する青サブ画素、シアンを表示するシアンサブ画素、マゼンタを表示するマゼンタサブ画素および黄を表示する黄サブ画素である請求項6または7に記載の液晶表示装置。【請求項9】ドット反転駆動を行い得る請求項1から8のいずれかに記載の液晶表示装置。
【請求項1】複数の行および複数の列を含むマトリクス状に配列された複数の画素を有し、行方向に延びる複数の走査線および列方向に延びる複数の信号線を有するアクティブマトリクス基板と、前記アクティブマトリクス基板に対向する対向基板と、前記アクティブマトリクス基板と前記対向基板との間に設けられた液晶層と、を備え、前記複数の画素のそれぞれは、互いに異なる色を表示する複数のサブ画素によって規定される液晶表示装置であって、前記複数のサブ画素は、前記複数の画素のそれぞれ内でn行1列(nは4以上の偶数)に配置されており、前記複数の画素のうちの行方向に沿って隣接する任意の2つの画素において、同じ色を表示するサブ画素同士は、同じ行に配置されており、前記複数の画素のうちの列方向に沿って隣接する任意の2つの画素を第1画素および第2画素としたとき、前記複数のサブ画素のうちの半分のサブ画素は、前記第1画素内においては奇数行に配置され、且つ、前記第2画素内においては偶数行に配置されており、残り半分のサブ画素は、前記第1画素内においては偶数行に配置され、且つ、前記第2画素内においては奇数行に配置されている液晶表示装置。
【技術分野】【0001】本発明は、液晶表示装置に関し、特に、各画素が4個以上のサブ画素によって規定される液晶表示装置に関する。
【背景技術】【0002】現在、液晶表示装置が様々な用途に利用されている。一般的な液晶表示装置では、光の三原色である赤、緑、青を表示する3個のサブ画素によって1個の画素が構成されており、そのことによってカラー表示が可能になっている。【0003】しかしながら、従来の液晶表示装置は、表示可能な色の範囲(「色再現範囲」と呼ばれる。)が狭いという問題を有している。そこで、液晶表示装置の色再現範囲を広くするために、表示に用いる原色の数を増やす手法が提案されている。【0004】例えば、特許文献1には、図13に示すように、赤を表示する赤サブ画素R、緑を表示する緑サブ画素Gおよび青を表示する青サブ画素Bに加えて黄を表示する黄サブ画素Yを含む4個のサブ画素によって1個の画素Pが構成された液晶表示装置800が開示されている。この液晶表示装置800では、4個のサブ画素R、G、B、Yによって表示される赤、緑、青、黄の4つの原色を混色することにより、カラー表示が行われる。【0005】4つ以上の原色を用いて表示を行うことにより、三原色を用いて表示を行う従来の液晶表示装置よりも色再現範囲を広くすることができる。本願明細書では、4つ以上の原色を用いて表示を行う液晶表示装置を「多原色液晶表示装置」と称し、三原色を用いて表示を行う液晶表示装置を「三原色液晶表示装置」と称する。【0006】また、特許文献2には、図14に示すように、赤サブ画素R、緑サブ画素Gおよび青サブ画素Bに加えて白を表示する白サブ画素Wを含む4個のサブ画素によって1個の画素Pが構成された液晶表示装置900が開示されている。この液晶表示装置900では、追加されたサブ画素が白サブ画素Wであるので、色再現範囲を広くすることはできないものの、表示輝度を高くすることができる。【0007】しかしながら、図13に示した液晶表示装置800や図14に示した液晶表示装置900のように、各画素Pを構成するサブ画素を3個から4個に増やすと、信号線の本数が4/3倍に増加してしまう。特許文献3に記載されているように、信号線の本数の増加は、額縁領域の寸法の増加や、COF(チップ・オン・フィルム)実装に用いられるIC(フレキシブル基板上に設けられるドライバIC)の個数の増加を招く。そのため、液晶表示装置の外形寸法が増加したり、製造コストが増加したりしてしまう。【0008】これらの問題を解決するために、特許文献3には、図15に示すようにサブ画素の配置が従来と異なる液晶表示装置1000が開示されている。液晶表示装置1000の各画素Pは、赤サブ画素R、緑サブ画素G、青サブ画素Bおよび白サブ画素Wによって構成されている。【0009】ただし、図13および図14に示した液晶表示装置800および900では1つの画素Pを構成する複数のサブ画素が行方向に沿って(つまり一行に)配置されているのに対し、液晶表示装置1000では、図15に示すように、1つの画素Pを構成する複数のサブ画素が列方向に沿って(つまり一列に)配置されている。そのため、液晶表示装置800および900では、各色のカラーフィルタが列方向に延びるストライプ状に設けられるのに対し、液晶表示装置1000では、各色のカラーフィルタは、行方向に延びるストライプ状に設けられる。本願明細書では、カラーフィルタが列方向に延びるストライプ状に設けられるカラーフィルタ配置を「縦ストライプ配置」と称し、カラーフィルタが行方向に延びるストライプ状に設けられるカラーフィルタ配置を「横ストライプ配置」と称する。【0010】上述したような横ストライプ配置を採用することにより、縦ストライプ配置を採用した場合に比べて信号線の本数を大幅に減らすことができる。例えば同じ画素数で比較した場合、図15に示した液晶表示装置1000では、図13および図14に示した液晶表示装置800および900に比べて、信号線の本数を1/4に減らすことができる。信号線の本数が大幅に減ることにより、額縁領域の寸法を増加させたり、COF実装に用いられるICの個数を増加させたりする必要がなくなる。そのため、液晶表示装置の外形寸法の増加や、製造コストの増加を防止することができる。
【発明が解決しようとする課題】【0012】しかしながら、図15に示した液晶表示装置1000のように横ストライプ配置を採用すると、ドット反転駆動を行った場合に、縦線状のちらつき(縦ラインフリッカ)が発生し、表示品位が低下してしまう。ドット反転駆動は、液晶層への印加電圧の極性を1サブ画素(1ドット)ごとに反転させる駆動方法である。【0013】図16に、三原色液晶表示装置にドット反転駆動を行った場合の各サブ画素への印加電圧の極性を示し、図17に、液晶表示装置1000にドット反転駆動を行った場合の各サブ画素への印加電圧の極性を示す。【0014】三原色液晶表示装置では、図16に示すように、同色のサブ画素への印加電圧の極性が、行方向に沿って反転する。例えば図16中の1行目のサブ画素行では、左側から右側に向かうにつれて、赤サブ画素Rへの印加電圧の極性は正(+)、負(-)、正(+)となり、緑サブ画素Gへの印加電圧の極性は負(-)、正(+)、負(-)となり、青サブ画素Bへの印加電圧の極性は正(+)、負(-)、正(+)となる。また、三原色液晶表示装置では、同色のサブ画素への印加電圧の極性が、列方向に沿っても反転する。例えば図16中の1列目のサブ画素列では、上側から下側に向かうにつれて、赤サブ画素Rへの印加電圧の極性は正(+)、負(-)、正(+)となる。【0015】これに対し、液晶表示装置1000では、1個の画素Pが4個のサブ画素から構成されているので、図17に示すように、各サブ画素列で同色のサブ画素への印加電圧の極性が全て同じになってしまう。例えば図17中の1列目のサブ画素列では、赤サブ画素Rおよび青サブ画素Bへの印加電圧の極性は全て正(+)で、緑サブ画素Gおよび白サブ画素Wへの印加電圧の極性は全て負(-)である。【0016】このように、列方向で同色のサブ画素への印加電圧の極性が揃ってしまうと、単色表示を行ったときに縦ラインフリッカが発生してしまう。以下、図18(a)および(b)を参照しながら縦ラインフリッカが発生する原因を説明する。【0017】図18(a)および(b)は、対向電極への印加電圧(対向電圧)Vcomと、画素電極への正極性の書き込み電圧(ドレイン電圧)Vd1および負極性の書き込み電圧(ドレイン電圧)Vd2との関係をそれぞれ示している。【0018】対向電圧Vcomは、図18(a)に示すように、正極性の書き込み電圧Vd1と負極性の書き込み電圧Vd2の中間値(センターレベル)に一致するように設定されている。そのため、正極性の書き込み電圧Vd1が供給されたときの液晶層への印加電圧V1と、負極性の書き込み電圧Vd2が供給されたときの液晶層への印加電圧V2とは等しい。【0019】ところが、実際には、対向電圧Vcomは、図18(b)に示すように、正極性の書き込み電圧Vd1と負極性の書き込み電圧Vd2の中間値からずれることがある。その場合、正極性の書き込み電圧Vd1が供給されたときの液晶層への印加電圧V1と、負極性の書き込み電圧Vd2が供給されたときの液晶層への印加電圧V2とが異なってしまう。従って、正極性の書き込み電圧Vd1が供給されたときと、負極性の書き込み電圧Vd2が供給されたときとで、サブ画素の輝度が異なってしまう。【0020】そのため、例えば、図19に示すように赤サブ画素Rのみを点灯させる赤色表示を行った場合、赤サブ画素Rへの印加電圧の極性が正であるサブ画素列と、赤サブ画素Rへの印加電圧の極性が負であるサブ画素列とで、輝度が異なる。つまり、隣接する2つのサブ画素列は、互いに異なる明るさの縦線を表示することになる。その結果、縦ラインフリッカが視認され、表示品位が低下してしまう。【0021】本発明は、上記問題に鑑みてなされたものであり、その目的は、各画素が4個以上のサブ画素によって規定される液晶表示装置において、横ストライプ配置を採用したときの表示品位の低下を抑制することにある。
【課題を解決するための手段】【0022】本発明による液晶表示装置は、複数の行および複数の列を含むマトリクス状に配列された複数の画素を有し、行方向に延びる複数の走査線および列方向に延びる複数の信号線を有するアクティブマトリクス基板と、前記アクティブマトリクス基板に対向する対向基板と、前記アクティブマトリクス基板と前記対向基板との間に設けられた液晶層と、を備え、前記複数の画素のそれぞれは、互いに異なる色を表示する複数のサブ画素によって規定される液晶表示装置であって、前記複数のサブ画素は、前記複数の画素のそれぞれ内でn行1列(nは4以上の偶数)に配置されており、前記複数の画素のうちの行方向に沿って隣接する任意の2つの画素において、同じ色を表示するサブ画素同士は、同じ行に配置されており、前記複数の画素のうちの列方向に沿って隣接する任意の2つの画素を第1画素および第2画素としたとき、前記複数のサブ画素のうちの半分のサブ画素は、前記第1画素内においては奇数行に配置され、且つ、前記第2画素内においては偶数行に配置されており、残り半分のサブ画素は、前記第1画素内においては偶数行に配置され、且つ、前記第2画素内においては奇数行に配置されている。【0023】ある好適な実施形態において、前記複数のサブ画素は、第1の色を表示する第1サブ画素、第2の色を表示する第2サブ画素、第3の色を表示する第3サブ画素および第4の色を表示する第4サブ画素であり、前記第1、第2、第3および第4サブ画素は、前記複数の画素のそれぞれ内で4行1列に配置されている。【0024】ある好適な実施形態において、前記第1サブ画素は、前記第1画素内においては1行目に配置され、且つ、前記第2画素内においては2行目に配置されており、前記第2サブ画素は、前記第1画素内においては2行目に配置され、且つ、前記第2画素内においては1行目に配置されており、前記第3サブ画素は、前記第1画素内においては3行目に配置され、且つ、前記第2画素内においては4行目に配置されており、前記第4サブ画素は、前記第1画素内においては4行目に配置され、且つ、前記第2画素内においては3行目に配置されている。【0025】ある好適な実施形態において、前記複数のサブ画素は、赤を表示する赤サブ画素、緑を表示する緑サブ画素、青を表示する青サブ画素および黄を表示する黄サブ画素である。【0026】ある好適な実施形態において、前記複数のサブ画素は、赤を表示する赤サブ画素、緑を表示する緑サブ画素、青を表示する青サブ画素および白を表示する白サブ画素である。【0027】ある好適な実施形態において、前記複数のサブ画素は、第1の色を表示する第1サブ画素、第2の色を表示する第2サブ画素、第3の色を表示する第3サブ画素、第4の色を表示する第4サブ画素、第5の色を表示する第5サブ画素および第6の色を表示する第6サブ画素であり、前記第1、第2、第3、第4、第5および第6サブ画素は、前記複数の画素のそれぞれ内で6行1列に配置されている。【0028】ある好適な実施形態において、前記第1サブ画素は、前記第1画素内においては1行目に配置され、且つ、前記第2画素内においては2行目に配置されており、前記第2サブ画素は、前記第1画素内においては2行目に配置され、且つ、前記第2画素内においては1行目に配置されており、前記第3サブ画素は、前記第1画素内においては3行目に配置され、且つ、前記第2画素内においては4行目に配置されており、前記第4サブ画素は、前記第1画素内においては4行目に配置され、且つ、前記第2画素内においては3行目に配置されており、前記第5サブ画素は、前記第1画素内においては5行目に配置され、且つ、前記第2画素内においては6行目に配置されており、前記第6サブ画素は、前記第1画素内においては6行目に配置され、且つ、前記第2画素内においては5行目に配置されている。【0029】ある好適な実施形態において、前記複数のサブ画素は、赤を表示する赤サブ画素、緑を表示する緑サブ画素、青を表示する青サブ画素、シアンを表示するシアンサブ画素、マゼンタを表示するマゼンタサブ画素および黄を表示する黄サブ画素である。【0030】ある好適な実施形態において、本発明による液晶表示装置は、ドット反転駆動を行い得る。
【発明の効果】【0031】本発明によると、各画素が4個以上のサブ画素によって規定される液晶表示装置において、横ストライプ配置を採用したときの表示品位の低下を抑制することができる。
【発明を実施するための形態】【0033】以下、図面を参照しながら本発明の実施形態を説明する。なお、本発明は以下の実施形態に限定されるものではない。【0034】図1に、本実施形態における液晶表示装置100を示す。液晶表示装置100は、図1に示すように、複数の行および複数の列を含むマトリクス状に配列された複数の画素Pを有する。【0035】複数の画素Pのそれぞれは、互いに異なる色を表示する複数のサブ画素(「ドット」とも呼ばれる。)によって規定される。各画素Pを規定する複数のサブ画素は、具体的には、赤を表示する赤サブ画素R、緑を表示する緑サブ画素G、青を表示する青サブ画素Bおよび黄を表示する黄サブ画素Yである。【0036】本実施形態における液晶表示装置100では、赤サブ画素R、緑サブ画素G、青サブ画素Bおよび黄サブ画素Yは、各画素P内で4行1列に(つまり列方向に沿って)配置されている。【0037】図2および図3に、液晶表示装置100のより具体的な構造を示す。図2は、液晶表示装置100の1個のサブ画素を模式的に示す断面図であり、行方向に沿った断面を示している。図3は、4行3列に配置された12個のサブ画素(行方向に沿って連続する3個の画素P)の等価回路図である。【0038】液晶表示装置100は、図2に示すように、アクティブマトリクス基板10と、アクティブマトリクス基板10に対向する対向基板20と、アクティブマトリクス基板10と対向基板20との間に設けられた液晶層30とを備える。【0039】アクティブマトリクス基板10は、複数のサブ画素のそれぞれに設けられた画素電極11と、画素電極11に電気的に接続された薄膜トランジスタ(TFT)14と、行方向に延びる複数の走査線12と、列方向に延びる複数の信号線13とを有する。スイッチング素子として機能するTFT14は、対応する走査線12から走査信号を供給され、対応する信号線13から表示信号を供給される。【0040】走査線12は、絶縁性を有する透明基板(例えばガラス基板)10a上に設けられている。また、透明基板10a上には、行方向に延びる補助容量線15も設けられている。補助容量線15は、走査線12と同じ導電膜から形成されている。補助容量線15の、サブ画素の中央付近に位置する部分は、他の部分よりも幅が広く、この部分が補助容量対向電極15aとして機能する。補助容量対向電極15aは、補助容量線15から補助容量対向電圧(CS電圧)を供給される。【0041】走査線12および補助容量線15(補助容量対向電極15aを含む)を覆うように、ゲート絶縁膜16が設けられている。ゲート絶縁膜16上に、信号線13が設けられている。また、ゲート絶縁膜16上には、補助容量電極17も設けられている。補助容量電極17は、信号線13と同じ導電膜から形成されている。補助容量電極17は、TFT14のドレイン電極に電気的に接続されており、TFT14を介して画素電極11と同じ電圧を供給される。【0042】信号線13および補助容量電極17を覆うように、層間絶縁膜18が設けられている。層間絶縁膜18上に、画素電極11が設けられている。なお、図2に例示している構成では、画素電極11は、そのエッジ部が層間絶縁膜18を介して走査線12および信号線13に重畳するように形成されているが、勿論、画素電極11が走査線12および信号線13に全く重なっていなくてもよい。【0043】対向基板20は、画素電極11に対向する対向電極21を有する。対向電極21は、絶縁性を有する透明基板(例えばガラス基板)20a上に設けられている。対向基板20は、さらにカラーフィルタ層23を有する。カラーフィルタ層23は、赤色の光を透過する赤カラーフィルタ、緑色の光を透過する緑カラーフィルタ、青色の光を透過する青カラーフィルタおよび黄色の光を透過する黄カラーフィルタと、これらのカラーフィルタ間に位置する遮光層(ブラックマトリクス)とを含む。【0044】液晶層30は、表示モードに応じて正または負の誘電異方性を有する液晶分子(不図示)を含み、さらに、必要に応じてカイラル剤を含む。アクティブマトリクス基板10および対向基板20の最表面(液晶層30側の最表面)には、一対の配向膜19および29が形成されている。配向膜19および29としては、表示モードに応じて水平配向膜または垂直配向膜が設けられる。【0045】上述した構造を有する液晶表示装置100では、画素電極11と、画素電極11に対向する対向電極21と、これらの間に位置する液晶層30とによって液晶容量CLCが構成される。つまり、各サブ画素は、アクティブマトリクス基板10に設けられた画素電極11と、対向基板20に設けられ画素電極11に対向する対向電極21と、画素電極11と対向電極21との間に位置する液晶層30とを含む。また、補助容量電極17と、補助容量電極17に対向する補助容量対向電極15aと、これらの間に位置するゲート絶縁膜16とによって補助容量CCSが構成される。なお、補助容量CCSの構成は、ここで例示したものに限定されない。例えば、層間絶縁膜18が比較的薄い場合には、補助容量電極17を形成せず、画素電極11と、補助容量対向電極15aと、これらの間に位置するゲート絶縁膜16および層間絶縁膜18とによって補助容量CCSが構成されてもよい。【0046】本実施形態における液晶表示装置100では、既に述べたように、各画素Pを規定する複数のサブ画素は、画素P内で4行1列に配置されている。また、図1に示すように、任意のサブ画素行は、同色のサブ画素のみを含んでいる。言い換えると、複数の画素Pのうちの行方向に沿って隣接する任意の2つの画素Pにおいて、同じ色を表示するサブ画素同士は、同じ行に配置されている。従って、カラーフィルタ層23に含まれる各色のカラーフィルタは、行方向に延びるストライプ状に設けられている。【0047】このように、液晶表示装置100には、横ストライプ配置が採用されている。横ストライプ配置を採用することにより、特許文献3にも記載されているように、信号線の本数を大幅に減らすことができる。そのため、額縁領域の寸法を増加させたり、COF実装に用いられるICの個数を増加させたりする必要がなくなる。そのため、外形寸法の増加や、製造コストの増加を防止することができる。【0048】また、液晶表示装置100は、以下に説明する構成を有していることによって、横ストライプ配置が採用されているにもかかわらず、縦ラインフリッカの発生が抑制される。【0049】本実施形態における液晶表示装置100では、図1に示すように、複数の画素Pのうちの列方向に沿って隣接する任意の2つの画素Pを第1画素P1および第2画素P2としたとき、第1画素P1と第2画素P2とで、サブ画素の配置が異なっている。図1に示す例では、赤サブ画素Rは、第1画素P1内においては1行目に配置されているのに対し、第2画素P2内においては2行目に配置されている。また、青サブ画素Bは、第1画素P1内においては2行目に配置されているのに対し、第2画素P2内においては1行目に配置されている。さらに、緑サブ画素Gは、第1画素P1内においては3行目に配置されているのに対し、第2画素P2内においては4行目に配置されている。そして、黄サブ画素Yは、第1画素P1内においては4行目に配置されているのに対し、第2画素P2内においては3行目に配置されている。【0050】このように、各画素Pを規定する複数のサブ画素のうちの半分のサブ画素は、第1画素P1内においては奇数行に配置され、且つ、第2画素P2内においては偶数行に配置されている。また、残り半分のサブ画素は、第1画素P1内においては偶数行に配置され、且つ、第2画素P2内においては奇数行に配置されている。【0051】図4に、液晶表示装置100においてドット反転駆動を行ったときの各サブ画素の液晶層30への印加電圧の極性を示す。なお、液晶層30への印加電圧の極性は、対向電極21の電位を基準電位とし、画素電極11に正極性の電圧が供給される場合を正、負極性の電圧が供給される場合を負として示している。【0052】ドット反転駆動が行われると、図4に示すように、液晶層30に印加される電圧の極性は、行方向に沿っても列方向に沿っても1サブ画素ごとに反転する。そのため、任意のサブ画素行(同色のサブ画素のみを含む)において、印加電圧の極性が揃う(全て同じになる)ことはない。例えば、図4における1行目の(もっとも上側に位置する)サブ画素行において、赤サブ画素Rの液晶層30への印加電圧は、左側から右側に向かうにつれて、正(+)、負(-)、正(+)となる。また、任意のサブ画素列においても、印加電圧の極性が揃う(全て同じになる)ことはない。例えば、図4における1列目の(もっとも左側に位置する)サブ画素列において、赤サブ画素R(図4中で破線の楕円が付されている赤サブ画素R)の液晶層30への印加電圧は、上側から下側に向かうにつれて、正(+)、負(-)、正(+)となる。【0053】上述したように、本実施形態における液晶表示装置100では、行方向についてだけでなく、列方向についても、同色のサブ画素への印加電圧の極性が揃うことが回避されるので、縦ラインフリッカの発生が抑制される。そのため、縦ラインフリッカの発生に起因する表示品位の低下が抑制される。【0054】なお、図1に示した液晶表示装置100では、第2画素P2におけるサブ画素配置は、第1画素P1における上側2行のサブ画素の位置を互いに入れ替えるとともに下側2行のサブ画素の位置を互いに入れ替えたものである。つまり、2行ごとに色配列の入れ替えが行われている。本発明は、このような2行ごとに色配列の入れ替えを行う態様に限定されるものではない。例えば、図5に示す液晶表示装置100Aのような構成を採用することもできる。【0055】液晶表示装置100Aでは、図5に示すように、赤サブ画素Rは、第1画素P1内においては1行目に配置されているのに対し、第2画素P2内においては4行目に配置されている。また、青サブ画素Bは、第1画素P1内においては2行目に配置されているのに対し、第2画素P2内においては3行目に配置されている。さらに、緑サブ画素Gは、第1画素P1内においては3行目に配置されているのに対し、第2画素P2内においては2行目に配置されている。そして、黄サブ画素Yは、第1画素P1内においては4行目に配置されているのに対し、第2画素P2内においては1行目に配置されている。【0056】図6に、液晶表示装置100Aにおいてドット反転駆動を行ったときの各サブ画素の液晶層への印加電圧の極性を示す。図6に示すように、任意のサブ画素行において、印加電圧の極性が揃うことはない。例えば、図6における1行目のサブ画素行において、赤サブ画素Rの液晶層への印加電圧は、左側から右側に向かうにつれて、正(+)、負(-)、正(+)となる。また、任意のサブ画素列においても、印加電圧の極性が揃うことはない。例えば、図6における1列目のサブ画素列において、赤サブ画素R(図6中で破線の楕円が付されている赤サブ画素R)の液晶層への印加電圧は、上側から下側に向かうにつれて、正(+)、負(-)、正(+)となる。【0057】このように、液晶表示装置100Aにおいても、行方向および列方向の両方について、同色のサブ画素への印加電圧の極性が揃うことが回避されるので、縦ラインフリッカの発生が抑制される。そのため、縦ラインフリッカの発生に起因する表示品位の低下が抑制される。このような効果が得られるのは、各画素Pを規定する複数のサブ画素のうちの半分のサブ画素が、第1画素P1内においては奇数行に配置され、且つ、第2画素P2内においては偶数行に配置されているとともに、残り半分のサブ画素が、第1画素P1内においては偶数行に配置され、且つ、第2画素P2内においては奇数行に配置されているからである。【0058】なお、図1に示した液晶表示装置100のように、2行ごとに色配列の入れ替えを行う態様の場合、第1画素P1および第2画素P2間での同色サブ画素の位置のずれが小さい(いずれの色についても1行分)ので、表示への悪影響が小さいという効果が得られる。【0059】また、図1および図5には、赤サブ画素R、緑サブ画素G、青サブ画素Bおよび黄サブ画素Yのサイズがすべて同じ場合を例示しているが、本発明はこれに限定されるものではなく、画素Pを規定する複数のサブ画素には、他のサブ画素とサイズの異なるサブ画素が含まれていてもよい。例えば、図7および図8に示すように、赤サブ画素Rおよび青サブ画素Bが緑サブ画素Gおよび黄サブ画素Yより大きくてもよい。赤サブ画素Rが黄サブ画素Yよりも大きいと、国際公開第2007/148519号に開示されているように、すべてのサブ画素が同じサイズを有している場合に比べ、明るい赤(明度の高い赤)を表示することができる。【0060】また、各画素Pを規定するサブ画素の種類(組み合わせ)も、上述した例に限定されるものではない。例えば、赤サブ画素R、緑サブ画素Gおよび青サブ画素Bと、シアンを表示するシアンサブ画素とによって各画素Pが規定されてもよいし、赤サブ画素R、緑サブ画素Gおよび青サブ画素Bと、マゼンタを表示するマゼンタサブ画素とによって各画素Pが規定されてもよい。【0061】また、図9に示す液晶表示装置200のように、各画素Pが、赤サブ画素R、緑サブ画素Gおよび青サブ画素Bと、白を表示する白サブ画素Wとによって規定されてもよい。液晶表示装置200は、液晶表示装置100における黄サブ画素Yを白サブ画素Wに置換したものである。液晶表示装置200が備える対向基板のカラーフィルタ層の、白サブ画素Wに対応する領域には、無色透明な(つまり白色の光を透過する)カラーフィルタが設けられる。液晶表示装置200では、追加された原色が白であるため、色再現範囲を広くするという効果は得られないが、1個の画素P全体の表示輝度を向上させることができる。【0062】液晶表示装置200においても、各画素Pを規定する複数のサブ画素のうちの半分のサブ画素は、第1画素P1内においては奇数行に配置され、且つ、第2画素P2内においては偶数行に配置されている。また、残り半分のサブ画素は、第1画素P1内においては偶数行に配置され、且つ、第2画素P2内においては奇数行に配置されている。そのため、ドット反転駆動が行われたときに、図10に示すように、行方向および列方向の両方について、同色のサブ画素への印加電圧の極性が揃うことが回避されるので、縦ラインフリッカの発生が抑制される。そのため、縦ラインフリッカの発生に起因する表示品位の低下が抑制される。【0063】なお、各画素Pを規定する複数のサブ画素は、図1、図5および図9に示したように、4行1列に配置された4個のサブ画素に限定されるものではない。本発明は、各画素Pが、当該画素内でn行1列(nは4以上の偶数)に配置された複数のサブ画素によって規定される液晶表示装置に広く用いられる。【0064】例えば、図11に示す液晶表示装置300のように、各画素Pは、6行1列に配置された6個のサブ画素によって規定されてもよい。液晶表示装置300では、赤サブ画素R、緑サブ画素G、青サブ画素Bおよび黄サブ画素Yに、シアンを表示するシアンサブ画素Cおよびマゼンタを表示するマゼンタサブ画素Mを加えた6個のサブ画素によって各画素Pが規定される。【0065】液晶表示装置300では、図11に示すように、複数の画素Pのうちの列方向に沿って隣接する任意の2つの画素Pを第1画素P1および第2画素P2としたとき、第1画素P1と第2画素P2とで、サブ画素の配置が異なっている。【0066】図11に示す例では、赤サブ画素Rは、第1画素P1内においては1行目に配置されているのに対し、第2画素P2内においては2行目に配置されている。青サブ画素Bは、第1画素P1内においては2行目に配置されているのに対し、第2画素P2内においては1行目に配置されている。緑サブ画素Gは、第1画素P1内においては3行目に配置されているのに対し、第2画素P2内においては4行目に配置されている。黄サブ画素Yは、第1画素P1内においては4行目に配置されているのに対し、第2画素P2内においては3行目に配置されている。シアンサブ画素Cは、第1画素P1内においては5行目に配置されているのに対し、第2画素P2内においては6行目に配置されている。マゼンタサブ画素Mは、第1画素P1内においては6行目に配置されているのに対し、第2画素P2内においては5行目に配置されている。【0067】このように、各画素Pを規定する複数のサブ画素のうちの半分のサブ画素は、第1画素P1内においては奇数行に配置され、且つ、第2画素P2内においては偶数行に配置されている。また、残り半分のサブ画素は、第1画素P1内においては偶数行に配置され、且つ、第2画素P2内においては奇数行に配置されている。【0068】図12に、液晶表示装置300においてドット反転駆動を行ったときの各サブ画素の液晶層への印加電圧の極性を示す。図12に示すように、任意のサブ画素行(同色のサブ画素のみを含む)において、印加電圧の極性が揃う(全て同じになる)ことはない。例えば、図12における1行目のサブ画素行において、赤サブ画素Rの液晶層への印加電圧は、左側から右側に向かうにつれて、正(+)、負(-)、正(+)となる。また、任意のサブ画素列においても、印加電圧の極性が揃う(全て同じになる)ことはない。例えば、図12における1列目のサブ画素列において、赤サブ画素R(図12中で破線の楕円が付されている赤サブ画素R)の液晶層への印加電圧は、上側から下側に向かうにつれて、正(+)、負(-)、正(+)となる。【0069】このように、液晶表示装置300においても、行方向および列方向の両方について、同色のサブ画素への印加電圧の極性が揃うことが回避されるので、縦ラインフリッカの発生が抑制される。そのため、縦ラインフリッカの発生に起因する表示品位の低下が抑制される。【0070】また、液晶表示装置300では、第2画素P2におけるサブ画素配置は、第1画素P1における上側2行のサブ画素の位置を互いに入れ替えるとともに中央2行のサブ画素の位置を互いに入れ替え、さらに、下側2行のサブ画素の位置を互いに入れ替えたものである。つまり、2行ごとに色配列の入れ替えが行われている。そのため、図1に示した液晶表示装置100と同様に、第1画素P1および第2画素P2間での同色サブ画素の位置のずれが小さい(いずれの色についても1行分)ので、表示への悪影響が小さいという効果も得られる。勿論、各画素Pが4個のサブ画素によって規定されている場合と同様、各画素Pが6個のサブ画素によって規定される場合も、このような2行ごとに色配列の入れ替えを行う態様に限定されるものではない。
S
WO2011083785
2011548999
null
JP2011050016
20110104
20130513
null
null
WO2011083785
20110714
5641444
20141107
null
null
null
ネットワークシステム、及びネットワーク冗長化方法
000004237
日本電気株式会社
100102864
工藤 実
ピラウォン ミナサイ,高島 正徳,飛鷹 洋一,伊澤 徹,佐藤 志穂美
2010000819,20100105,JP
8
H04L 12/713 (20130101)
H04L 12/56 G
10
null
null
AP(BW,GH,GM,KE,LR,LS,MW,MZ,NA,SD,SL,SZ,TZ,UG,ZM,ZW),EA(AM,AZ,BY,KG,KZ,MD,RU,TJ,TM),EP(AL,AT,BE,BG,CH,CY,CZ,DE,DK,EE,ES,FI,FR,GB,GR,HR,HU,IE,IS,IT,LT,LU,LV,MC,MK,MT,NL,NO,PL,PT,RO,RS,SE,SI,SK,SM,TR),OA(BF,BJ,CF,CG,CI,CM,GA,GN,GQ,GW,ML,MR,NE,SN,TD,TG),AE,AG,AL,AM,AO,AT,AU,AZ,BA,BB,BG,BH,BR,BW,BY,BZ,CA,CH,CL,CN,CO,CR,CU,CZ,DE,DK,DM,DO,DZ,EC,EE,EG,ES,FI,GB,GD,GE,GH,GM,GT,HN,HR,HU,ID,IL,IN,IS,JP,KE,KG,KM,KN,KP,KR,KZ,LA,LC,LK,LR,LS,LT,LU,LY,MA,MD,ME,MG,MK,MN,MW,MX,MY,MZ,NA,NG,NI,NO,NZ,OM,PE,PG,PH,PL,PT,RO,RS,RU,SC,SD,SE,SG,SK,SL,SM,ST,SV,SY,TH,TJ,TM,TN,TR,TT,TZ,UA,UG,US,UZ,VC,VN,ZA,ZM,ZW
1
28
5K030
5K030 GA12 ,5K030 HA08 ,5K030 HD03 ,5K030 JA11 ,5K030 KA05 ,5K030 LB07 ,5K030 MD02
パケットを転送するスイッチと、経路情報を決定する制御サーバが分離されたネットワークシステムにおいて、スイッチが制御サーバから経路情報に基づくフローエントリ登録等に関する制御メッセージを受信する場合に、アウトオブバンド制御チャンネルとインバンド制御チャンネルによる制御チャンネルの冗長化を実現する。具体的には、パケットを転送するスイッチと経路情報を決定する制御サーバが分離されたネットワークシステムにおいて、スイッチと制御サーバ間は、制御メッセージを送受信する制御チャンネルで接続されている。スイッチは、経路情報を決定するために、制御メッセージ専用の経路を利用し、アウトオブバンド制御チャンネルで、制御サーバと接続されるだけではなく、通常のデータ通信と共用の経路を利用し、インバンド制御チャンネルで、他の制御サーバと接続することで、制御チャンネルを冗長化する。
【請求項1】パケットを転送するスイッチと、前記スイッチに対し、アウトオブバンド制御チャンネルを経由して制御メッセージを送信し、経路情報を決定するアウトオブバンド制御サーバと、前記スイッチに対し、インバンド制御チャンネルを経由して制御メッセージを送信し、経路情報を決定するインバンド制御サーバとを含むネットワークシステム。【請求項2】請求項1に記載のネットワークシステムであって、前記アウトオブバンド制御サーバは、前記スイッチとの間で前記アウトオブバンド制御チャンネルを確立する手段と、前記スイッチと前記インバンド制御サーバとの間の前記インバンド制御チャンネル用の経路情報を設定する手段とを具備するネットワークシステム。【請求項3】請求項1又は2に記載のネットワークシステムであって、前記スイッチは、前記インバンド制御サーバとの間で前記インバンド制御チャンネルを確立する手段と、前記アウトオブバンド制御サーバと前記インバンド制御サーバとの双方を用いて、制御サーバの冗長構成を構築する手段とを具備するネットワークシステム。【請求項4】請求項1乃至3のいずれか一項に記載のネットワークシステムであって、前記スイッチは、前記アウトオブバンド制御チャンネルと前記インバンド制御チャンネルとの双方の制御チャンネルの障害を監視する手段と、障害発生時に、前記アウトオブバンド制御チャンネル及び前記インバンド制御チャンネルのうち、アクティブの制御チャンネルから、スタンバイの制御チャンネルに切り替える手段とを更に具備するネットワークシステム。【請求項5】請求項1乃至4のいずれか一項に記載のネットワークシステムにおいて、スイッチ、アウトオブバンド制御サーバ、並びにインバンド制御サーバのうち少なくとも1つとして使用される計算機。【請求項6】スイッチにより、パケットを転送することと、アウトオブバンド制御サーバから前記スイッチに対し、アウトオブバンド制御チャンネルを経由して制御メッセージを送信し、経路情報を決定することと、インバンド制御サーバから前記スイッチに対し、インバンド制御チャンネルを経由して制御メッセージを送信し、経路情報を決定することとを含むネットワーク冗長化方法。【請求項7】請求項6に記載のネットワーク冗長化方法であって、前記アウトオブバンド制御サーバにより、前記スイッチとの間で前記アウトオブバンド制御チャンネルを確立することと、前記アウトオブバンド制御サーバにより、前記スイッチと前記インバンド制御サーバとの間の前記インバンド制御チャンネル用の経路情報を設定することとを含むネットワーク冗長化方法。【請求項8】請求項6又は7に記載のネットワーク冗長化方法であって、前記スイッチにより、前記インバンド制御サーバとの間で前記インバンド制御チャンネルを確立することと、前記スイッチにより、前記アウトオブバンド制御サーバと前記インバンド制御サーバとの双方を用いて、制御サーバの冗長構成を構築することとを含むネットワーク冗長化方法。【請求項9】請求項6乃至8のいずれか一項に記載のネットワーク冗長化方法であって、前記スイッチにより、前記アウトオブバンド制御チャンネルと前記インバンド制御チャンネルとの双方の制御チャンネルの障害を監視することと、前記スイッチにより、障害発生時に、前記アウトオブバンド制御チャンネル及び前記インバンド制御チャンネルのうち、アクティブの制御チャンネルから、スタンバイの制御チャンネルに切り替えることとを更に含むネットワーク冗長化方法。【請求項10】請求項6乃至9のいずれか一項に記載のネットワーク冗長化方法を、スイッチ、アウトオブバンド制御サーバ、及びインバンド制御サーバの各々として機能する計算機に実行させるためのプログラムを記憶した記憶媒体。
【請求項1】パケットを転送するスイッチと、前記スイッチに対し、アウトオブバンド制御チャンネルを経由して制御メッセージを送信し、経路情報を決定するアウトオブバンド制御サーバと、前記スイッチに対し、インバンド制御チャンネルを経由して制御メッセージを送信し、経路情報を決定するインバンド制御サーバとを含むネットワークシステム。【請求項6】スイッチにより、パケットを転送することと、アウトオブバンド制御サーバから前記スイッチに対し、アウトオブバンド制御チャンネルを経由して制御メッセージを送信し、経路情報を決定することと、インバンド制御サーバから前記スイッチに対し、インバンド制御チャンネルを経由して制御メッセージを送信し、経路情報を決定することとを含むネットワーク冗長化方法。
【技術分野】【0001】本発明は、ネットワークシステムに関し、特にパケットを転送するスイッチと経路情報を決定する制御サーバが分離されたネットワークシステムに関する。
【背景技術】【0002】ネットワークシステムにおける経路制御方式の1つとして、近年、通信機器の制御プロトコルであるオープンフロー(OpenFlow)技術を使用した経路制御方式が研究されている。【0003】オープンフロー技術による経路制御が行われるネットワークシステムでは、OFC(OpenFlowController)等の制御サーバが、経路情報を決定し、決定された経路情報に従って、OFS(OpenFlowSwitch)等のスイッチのフローテーブルを操作することにより、スイッチの挙動を制御する。【0004】フローテーブルとは、所定のマッチ条件(ルール)に適合するパケットに対して行うべき所定の処理(アクション)を定義したフローエントリが登録されるテーブルである。ルールに適合するパケット群(パケット系列)をフローと呼ぶ。フローのルールは、パケットの各プロトコル階層のヘッダ領域に含まれる宛先アドレス(DestinationAddress)、送信元アドレス(SourceAddress)、宛先ポート(DestinationPort)、送信元ポート(SourcePort)のいずれか又は全てを用いた様々な組み合わせにより定義され、区別可能である。なお、上記のアドレスには、MACアドレス(MediaAccessControlAddress)やIPアドレス(InternetProtocolAddress)を含むものとする。また、上記に加えて、入口ポート(IngressPort)の情報も、フローのルールとして使用可能である。【0005】オープンフロー技術の詳細については、非特許文献1、2に記載されている。【0006】図1及び図2は、オープンフロー技術による経路制御が行われるネットワークシステムの例として、パケットを転送するスイッチと、経路情報を決定する制御サーバにより構成されるネットワークシステムを示す。図1は、当該ネットワークシステムの最小単位の基本構成を示す。図2は、当該ネットワークシステムの具体的な構成例を示す。【0007】このネットワークシステムは、制御サーバ10と、スイッチ20を含む。【0008】制御サーバ10は、経路情報11を決定し、制御チャンネルを経由して、スイッチ20のフローテーブル21上にフローエントリ22を登録することができる。【0009】スイッチ20は、フローテーブル21を持ち、フローエントリ22を保存する。また、スイッチ20は、フローテーブル21に保存されたフローエントリ22に基づいて、リンクを経由して、受信したパケットを他のスイッチ20や端末30に転送する。【0010】図3は、フローエントリに登録される情報を示す。フローエントリ22は、マッチフィールド51と、プライオリティ52と、アクション53を情報として保持する。【0011】マッチフィールド51は、パケットのヘッダ情報とフローエントリとのマッチング(照合)に使用される情報であり、ヘッダ情報に含まれる送信元(Source)や宛先(Destination)のMACアドレスやIPアドレス、VLANID(VirtualLocalAreaNetwork-identifier)、並びに、物理ポートやアプリケーションポート等に関する情報を持つ。プライオリティ52は、フローエントリのマッチング順番を決定するための優先順位に関する情報である。アクション53は、パケットの処理方法(処理内容)に関する情報であり、特定ポートにパケットを送出することやパケットを廃棄することを規定した情報を持つ。【0012】図4は、パケットのヘッダ情報を示す。パケットは、MACヘッダ61と、IPヘッダ62と、TCP(TransmissionControlProtocol)ヘッダ63と、暗号化された制御メッセージ64をヘッダ情報として保持する。この制御メッセージ64の例として、オープンフロープロトコルメッセージ(OpenFlowProtocolMessage)の1つであり、コントローラからスイッチのフローテーブルにエントリを登録するための「FlowMod」メッセージ等が考えられる。【0013】MACヘッダ61は、送信元MACアドレス(図4のSrcMAC)と、宛先MACアドレス(図4のDstMAC)を持つ。IPヘッダ62は、送信元IPアドレス(図4のSrcIP)と、宛先IPアドレス(図4のDstIP)を持つ。TCPヘッダ63は、送信元ポート(図4のSrcPort)と、宛先ポート(図4のDstPort)を持つ。【0014】スイッチ20は、受信したパケットのパケットヘッダから抽出したヘッダ情報と、フローエントリのマッチフィールド51とを参照し、これらに含まれる同一項目の情報を照合して一致すれば、当該フローエントリのアクション53を、そのパケットに対するアクションとして決定する。【0015】図5において、各フローテーブル内のフローエントリについては、それぞれのフローエントリのプライオリティによりマッチング順番を決定している。【0016】このように、上記のネットワークシステムにおいては、パケット転送手段としてのスイッチと、経路情報決定手段としての制御サーバが分離され、1台の制御サーバが複数のスイッチの経路情報を決定する構成となっており、スイッチと制御サーバは制御チャンネルで接続されている。このような場合、スイッチと制御サーバ間の通信における遅延や障害を回避するため、制御チャンネルは専用線であると望ましいが、制御チャンネルを専用線にするには、スイッチの専用ポートやネットワークの専用リンクを必要とし、可用性や拡張性が制限される。また、その専用線の制御チャンネルが切断された場合に、スイッチが制御情報を受信することができなくなる。【0017】関連する技術として、特許文献1(特開2000-078194号公報)にネットワークシステムが開示されている。この関連技術では、ネットワークを構成するスイッチは、複数の接続ポートを備えており、これらのポートにネットワークを構成する伝送路、エンドシステム、ネットワークサーバが接続される。【0018】また、特許文献2(特開2003-273907号公報)に自律システム、通信制御方法、サーバ及びルータが開示されている。この関連技術では、自律システムは、他の自律システムと相互にBGP(ボーダー・ゲートウェイ・プロトコル)に基づく通信を行う機能を持つ複数のBGPルータと、BGPルータによるBGP通信を集中制御する単一のサーバと、BGPに基づく通信機能を持たないがサーバとBGPルータ間の通信を中継するルータとを含んで構成されている。BGPルータとルータは、サーバからの指示により自機におけるIPパケットの経路情報を変更することが可能である。【0019】また、特許文献3(特開2007-251344号公報)に無線通信装置及び無線通信方法が開示されている。この関連技術では、制御情報に含まれる上り制御情報が制御チャンネルに誤りが発生することにより取得することができない場合であっても、当該情報がデータチャンネルにインバンドされていることから、そのインバンドされた上り制御情報を用いて上り送信のためのフレームが生成される。
null
null
null
【発明を実施するための形態】【0028】<第1実施形態>以下に、本発明の第1実施形態について添付図面を参照して説明する。【0029】[基本構成]図6A、図6Bに示すように、本発明のネットワークシステムは、アウトオブバンド制御サーバ100と、スイッチ200と、インバンド制御サーバ300を含む。【0030】アウトオブバンド制御サーバ100は、スイッチ情報管理部110と、冗長制御サーバ管理部120と、制御チャンネル接続部130と、制御メッセージ処理部140と、経路情報150を備える。【0031】スイッチ200は、制御サーバ情報管理部210と、制御チャンネル接続部230と、制御メッセージ処理部240と、フローテーブル260を備える。【0032】インバンド制御サーバ300は、スイッチ情報管理部310と、冗長制御サーバ管理部320と、制御チャンネル接続部330と、制御メッセージ処理部340と、経路情報350を備える。【0033】アウトオブバンド制御サーバ100、スイッチ200、並びにインバンド制御サーバ300は、計算機内外の各装置の制御、データの生成や処理、及びプログラムの実行を行う機能を有する。例えば、アウトオブバンド制御サーバ100がパケットを転送する経路外にあり、スイッチ200及びインバンド制御サーバ300がパケットを転送する経路上にある場合も考えられる。このとき、アウトオブバンド制御サーバ100は、アウトオブバンド方式で、制御メッセージのみをスイッチに送信し、インバンド制御サーバ300は、インバンド方式で、制御メッセージとデータとをスイッチに送信するものでも良い。【0034】スイッチ情報管理部110、冗長制御サーバ管理部120、制御サーバ情報管理部210、スイッチ情報管理部310、並びに冗長制御サーバ管理部320は、パケットを保持する機能を有する。【0035】冗長制御サーバ管理部120、制御チャンネル接続部130、制御チャンネル接続部230、冗長制御サーバ管理部320、並びに制御チャンネル接続部330は、ネットワークを介して、外部とパケットの送受信を行う機能を有する。【0036】制御メッセージ処理部140、制御メッセージ処理部240、並びに制御メッセージ処理部340は、制御メッセージの生成や処理を行う機能を有する。【0037】[ハードウェアの例示]アウトオブバンド制御サーバ100、及びインバンド制御サーバ300の例として、PC(パソコン)、アプライアンス(appliance)、ワークステーション、メインフレーム、スーパーコンピュータ等の計算機を想定している。アウトオブバンド制御サーバ100、及びインバンド制御サーバ300は、基本的に同じ構成であると望ましい。【0038】スイッチ200の例として、オープンフロースイッチを想定している。オープンフロースイッチとして使用可能な機器の例として、ルータ、スイッチングハブ等の中継機器や、ゲートウェイ、プロキシ、ファイアウォール並びにロードバランサ等の中継用の計算機等が考えられる。例えば、スイッチ200は、マルチレイヤスイッチ(multi-layerswitch)でも良い。マルチレイヤスイッチは、サポートするOSI参照モデルの層毎に、更に細かく分類されている。主な種別としては、ネットワーク層(第3層)のデータを読むレイヤ3スイッチ、トランスポート層(第4層)のデータを読むレイヤ4スイッチ、アプリケーション層(第7層)のデータを読むレイヤ7スイッチ(アプリケーションスイッチ)がある。【0039】アウトオブバンド制御サーバ100、スイッチ200、並びにインバンド制御サーバ300は、物理マシン上に構築された仮想マシン(VirtualMachine(VM))でも良い。【0040】アウトオブバンド制御サーバ100、スイッチ200、並びにインバンド制御サーバ300、及び制御メッセージ処理部140、制御メッセージ処理部240、並びに制御メッセージ処理部340のデータ処理機能やプログラム実行機能を実現するハードウェアの例として、CPU(CentralProcessingUnit)、マイクロプロセッサ(microprocessor)、マイクロコントローラ、或いは、同様の機能を有する半導体集積回路(IntegratedCircuit(IC))等が考えられる。【0041】スイッチ情報管理部110、冗長制御サーバ管理部120、制御サーバ情報管理部210、スイッチ情報管理部310、並びに冗長制御サーバ管理部320のデータ保持機能、及び経路情報150、フローテーブル260、並びに経路情報350を保持するデータ保持機能を実現するハードウェアの例として、RAM(RandomAccessMemory)、ROM(ReadOnlyMemory)、EEPROM(ElectricallyErasableandProgrammableReadOnlyMemory)やフラッシュメモリ等の半導体記憶装置、HDD(HardDiskDrive)やSSD(SolidStateDrive)等の補助記憶装置、又は、DVD(DigitalVersatileDisk)やSDメモリカード(SecureDigitalmemorycard)等のリムーバブルディスクや記憶媒体(メディア)等が考えられる。なお、上記のデータ保持機能を実現するハードウェアは、計算機本体に内蔵された記憶装置に限らず、周辺機器(外付けHDD等)や外部のサーバ(Webサーバやファイルサーバ等)に設置された記憶装置、或いは、DAS(DirectAttachedStorage)、FC-SAN(FibreChannel-StorageAreaNetwork)、NAS(NetworkAttachedStorage)、IP-SAN(IP-StorageAreaNetwork)でも良い。【0042】冗長制御サーバ管理部120や冗長制御サーバ管理部320、及び、制御チャンネル接続部130、制御チャンネル接続部230、並びに制御チャンネル接続部330の通信機能を実現するハードウェアの例として、NIC(NetworkInterfaceCard)等のネットワークアダプタや、アンテナ等の通信装置、接続口(コネクタ)等の通信ポート等が考えられる。また、ネットワークの例として、インターネット、LAN(LocalAreaNetwork)、無線LAN(WirelessLAN)、WAN(WideAreaNetwork)、バックボーン(Backbone)、ケーブルテレビ(CATV)回線、固定電話網、携帯電話網、WiMAX(IEEE802.16a)、3G(3rdGeneration)、専用線(leaseline)、IrDA(InfraredDataAssociation)、Bluetooth(登録商標)、シリアル通信回線、データバス等が考えられる。【0043】但し、実際には、これらの例に限定されない。【0044】[構成の詳細]アウトオブバンド制御サーバ100は、スイッチ情報管理部110に、アウトオブバンド配下スイッチ情報111として、事前に自分が管理しているスイッチの情報(スイッチID等)を登録する。更に、アウトオブバンド制御サーバ100は、ネットワーク上にある他の制御サーバの情報を登録する。アウトオブバンド制御サーバ100は、冗長制御サーバ管理部120を利用し、他の制御サーバに、インバンド制御サーバ300としてサービスを提供することが可能かを問い合わせる。アウトオブバンド制御サーバ100は、他の制御サーバからサービス提供可能の通知がある場合、その相手(他の制御サーバ)から受信した証明書を利用し、当該他の制御サーバをインバンド制御サーバ300として、アウトオブバンド制御サーバ100とインバンド制御サーバ300間の暗号化通信経路を確立する。更に、アウトオブバンド制御サーバ100は、制御メッセージ処理部140を利用し、経路情報150に基づいて、フローエントリ登録等に関する制御メッセージを生成し、制御チャンネル接続部130を経由して、制御メッセージをスイッチ200に送信する。【0045】スイッチ200は、主にアウトオブバンド制御サーバの情報211から制御メッセージを受信しているため、制御サーバ情報管理部210に、少なくともアウトオブバンド制御サーバの情報211を登録する。スイッチ200は、制御チャンネル接続部230を利用して、既知のアウトオブバンド制御サーバ100に接続要求を送信する。スイッチ200は、アウトオブバンド制御サーバ100から受信した証明書を基づいて、スイッチ200とアウトオブバンド制御サーバ100間の共通鍵(共有鍵)を作成し、暗号化通信経路とアウトオブバンド制御チャンネルを確立する。ここでは、リンクとして使用されるスイッチ200のデータ転送用のネットワークと、アウトオブバンド制御チャンネルとして使用されるスイッチ200とアウトオブバンド制御サーバ100間のネットワークは、別々のネットワークであるものとする。スイッチ200は、アウトオブバンド制御チャンネルを、制御メッセージ専用の通信経路として利用する。【0046】スイッチ200とアウトオブバンド制御サーバ100間にアウトオブバンド制御チャンネルが確立できたら、アウトオブバンド制御サーバ100から配下のスイッチ200に対して、インバンド制御サーバ300の情報212を通知し、スイッチ200のフローテーブル260に、インバンド制御サーバ300へのパケット転送を定義したフローエントリを登録する。このフローエントリは、制御メッセージ用フローエントリ261となり、プライオリティが最優先で、スイッチ200内部のフローテーブルからエージングアウトしないことを設定される。すなわち、アウトオブバンド制御サーバ100は、配下のスイッチ200のフローテーブル260に、インバンド制御サーバ300へパケットを転送して経路情報を問い合わせる旨のフローエントリを登録する。インバンド制御サーバ300がパケット転送経路上にある場合、インバンド制御サーバ300は、スイッチ200にとっては、パケットの転送先であり、制御サーバでもある。【0047】スイッチ200は、受信したパケットのヘッダ情報と、この制御メッセージ用のフローエントリを利用して、他の通信データと混在している制御メッセージのパケットを抽出することで、自分宛の通信か、制御メッセージの中継かを判断する。また、スイッチ200は、受信したパケットのヘッダ情報と、この制御メッセージ用のフローエントリを、スイッチ200とインバンド制御サーバ300との通信用の経路を決定する際にも使用する。【0048】図7は、スイッチ200のフローテーブル260に登録される制御メッセージ用フローエントリ261の例を示す。ここでは、制御メッセージ用フローエントリ261の例として、フローエントリ401~404を示す。フローエントリ401は、インバンド制御サーバ300への接続用のフローエントリである。フローエントリ402は、インバンド制御サーバ300からスイッチ200宛のフローエントリである。フローエントリ403は、インバンド制御サーバ300からスイッチ200中継用のフローエントリである。フローエントリ404は、インバンド制御サーバ300用のフローエントリである。【0049】アウトオブバンド制御サーバ100は、アウトオブバンド制御チャンネルを利用して、制御メッセージ用のフローエントリ401~404を配下のスイッチ200のフローテーブル260に登録する。【0050】アウトオブバンド制御サーバ100は、インバンド制御サーバ300への接続用のフローエントリ401のマッチフィールドに、インバンド制御サーバの宛先IPアドレス(SrcIP)と制御メッセージ用のTCPポート(SrcPort)を記録したものを、配下のスイッチ200のフローテーブル260に登録する。スイッチ200は、パケットヘッダから抽出した情報を、優先的にフローエントリのマッチフィールドとマッチングさせることで、インバンド制御サーバ300へのパケットを特定し、そのパケットをインバンド制御サーバ300へ送信する。逆に、インバンド制御サーバ300からのパケットを特定する場合には、フローエントリ402、403のマッチフィールドに、インバンド制御サーバ300の送信元IPアドレス(SrcIP)と送信元の制御メッセージ用のTCPポート(SrcPort)が記録されるため、スイッチ200は、混在している通信データのパケットに基づいて、自分宛か、制御メッセージの中継かを判断することができる。【0051】図8は、スイッチ200内部の構成の詳細を示す。ここでは、特に、スイッチ200内部のフローテーブル260と、制御メッセージ用フローエントリ261、データプレーン用フローエントリ262の構成を示す。なお、図8で新たに示されたフロー転送部270は、制御メッセージのパケットと、他のパケットが混在したデータトラフィックを受信し、フローテーブル260内のフローエントリに基づいて、それぞれのパケットを転送する。また、インターフェース280は、アウトオブバンド制御チャンネル用の専用ポートと、インバンド制御チャンネル用の汎用ポートを有する。【0052】図8では、アウトオブバンド制御チャンネルを経由する制御メッセージは、インターフェース280の専用ポートを経由して、フローテーブル260内のフローエントリとマッチングせず、直接に制御チャンネル接続部230へ送信される。しかし、インバンド制御チャンネルを経由する制御メッセージは、インターフェース280の汎用ポートを経由して、他のパケットと混在してスイッチ200のフロー転送部270に到着する。このとき、スイッチ200は、高優先の制御メッセージ用フローエントリ261を利用して、制御メッセージを特定し、自分宛の制御チャンネル接続部230に転送するか、その制御メッセージを中継するかを判断する。【0053】スイッチ200は、制御サーバ情報管理部210にあるインバンド制御サーバ300の情報(IPアドレス等)と、制御メッセージ処理部240を利用して、制御チャンネル接続部230を経由して、インバンド制御サーバ300へ接続を要求する。インバンド制御サーバ300は、スイッチ200の認証を行い、許可されたスイッチ200に対し、証明書を送信する。スイッチ200は、インバンド制御サーバ300から受信した証明書から、スイッチ200とインバンド制御サーバ300間の共通鍵を作成し、暗号化通信経路とインバンド制御チャンネルを確立する。インバンド制御サーバ300は、インバンド配下スイッチ情報312として、スイッチの情報をスイッチ情報管理部310に保存する。【0054】スイッチ200は、主にアウトオブバンド制御サーバ100から経路情報に基づくフローエントリ登録等に関する制御メッセージを受信しながら、アウトオブバンド制御サーバ100とインバンド制御サーバ300の状態を監視する。スイッチ200は、アウトオブバンド制御サーバ100の状態が異常を検出した場合、即時に、インバンド制御サーバ300へ切り替えることができる。【0055】図9は、スイッチ情報管理部110やスイッチ情報管理部310に登録されるスイッチ情報の例を示す。ここでは、スイッチ情報管理部110に登録されるスイッチ情報として説明する。スイッチ情報管理部110は、アウトオブバンド配下スイッチ情報111と、インバンド配下スイッチ情報112を持つ。アウトオブバンド配下スイッチ情報111は、スイッチID1111、1112と、証明書・公開鍵1113を含む。インバンド配下スイッチ情報112は、スイッチID1121、1122と、サーバ・サーバ間の共通鍵1123を含む。【0056】図10は、制御サーバ情報管理部210に登録される制御サーバ情報の例を示す。制御サーバ情報管理部210は、アウトオブバンド制御サーバの情報211と、インバンド制御サーバの情報212を持つ。アウトオブバンド制御サーバの情報211は、制御サーバのMACアドレス2111と、制御サーバのIPアドレス2112と、制御サーバのTCPポート番号2113と、サーバ・スイッチ間の共通鍵2114を含む。インバンド制御サーバの情報212は、制御サーバのMACアドレス2121と、制御サーバのIPアドレス2122と、制御サーバのTCPポート番号2123と、サーバ・スイッチ間の共通鍵2124を含む。【0057】次に、図11~図18に示すタイムチャートを使用して、本発明のネットワークシステムにおけるサーバ等の動作を説明する。【0058】[アウトオブバンド制御サーバ側の動作]図11は、アウトオブバンド制御サーバ100側の動作を示す。【0059】(1)ステップS101アウトオブバンド制御サーバ100は、インバンド制御サーバ300を選択する。【0060】(2)ステップS102アウトオブバンド制御サーバ100は、選択したインバンド制御サーバ300への接続を要求する。ここでは、アウトオブバンド制御サーバ100は、選択したインバンド制御サーバ300に、冗長制御サーバとしてのサービス提供を依頼する。【0061】(3)ステップS103アウトオブバンド制御サーバ100は、インバンド制御サーバ300から許可の応答があるか確認する。ここでは、アウトオブバンド制御サーバ100は、インバンド制御サーバ300から証明書を受信した場合、インバンド制御サーバ300から許可の応答があったと判断する。【0062】(4)ステップS104アウトオブバンド制御サーバ100は、インバンド制御サーバ300から許可の応答がなかった場合(ステップS103でNo)、他のインバンド制御サーバ300を選択し、改めて、選択したインバンド制御サーバ300への接続を要求する。【0063】(5)ステップS105アウトオブバンド制御サーバ100は、インバンド制御サーバ300から許可の応答があった場合(ステップS103でYes)、インバンド制御サーバ300からの証明書を利用して、共通鍵を作成し、アウトオブバンド制御サーバ100とインバンド制御サーバ300間の暗号化通信経路を確立する。【0064】(6)ステップS106アウトオブバンド制御サーバ100は、インバンド制御サーバ300に、配下のスイッチの情報(スイッチID)と、作成した共通鍵を通知する。【0065】(7)ステップS107アウトオブバンド制御サーバ100は、当該インバンド制御サーバ300の情報を配下のスイッチ200に通知する。【0066】(8)ステップS108アウトオブバンド制御サーバ100は、スイッチ200のフローテーブル260に、制御メッセージ用フローエントリ261を登録する。【0067】[インバンド制御サーバ側の動作]図12は、インバンド制御サーバ300側の動作を示す。【0068】(1)ステップS201インバンド制御サーバ300は、他の制御サーバからインバンド制御サーバ300としての接続要求を受信する。ここでは、要求元の制御サーバは、アウトオブバンド制御サーバ100とする。インバンド制御サーバ300は、アウトオブバンド制御サーバ100から、冗長制御サーバとしてのサービス提供依頼を受信する。【0069】(2)ステップS202インバンド制御サーバ300は、要求元の制御サーバに対し、インバンド制御サーバ300として接続許可するかどうかを判断する。【0070】(3)ステップS203インバンド制御サーバ300は、要求元の制御サーバに対し、インバンド制御サーバ300として接続許可しない場合、要求元の制御サーバに接続拒否を通知する。【0071】(4)ステップS204インバンド制御サーバ300は、要求元の制御サーバに対し、インバンド制御サーバ300として接続許可する場合、要求元の制御サーバに接続許可を通知し、証明書を送信する。【0072】(5)ステップS205インバンド制御サーバ300は、要求元の制御サーバから共通鍵を受信し、暗号化通信経路とサーバ・サーバ間の通信経路を確立する。ここでは、インバンド制御サーバ300は、アウトオブバンド制御サーバ100から、アウトオブバンド制御サーバ100で作成された共通鍵を受信し、アウトオブバンド制御サーバ100とインバンド制御サーバ300間の暗号化通信経路を確立する。【0073】(6)ステップS206また、インバンド制御サーバ300は、相手の制御サーバから受信したスイッチの情報を保存する。ここでは、インバンド制御サーバ300は、アウトオブバンド制御サーバ100から、配下のスイッチ200を示すスイッチIDを受信し、管理する。なお、インバンド制御サーバ300は、共通鍵とスイッチの情報(スイッチID)を同じタイミングで受信するようにしても良い。【0074】[アウトオブバンド制御チャンネルの確立]図13は、スイッチ200が既知のアウトオブバンド制御サーバ100に対して、TCPセッションを確認し、サーバから受信した証明書を利用して、公開鍵を生成し、暗号化通信経路とアウトオブバンド制御チャンネルを確立する際の動作を示す。【0075】(1)ステップS301スイッチ200は、既知のアウトオブバンド制御サーバ100に対して、TCPセッションを確立する。【0076】(2)ステップS302スイッチ200は、アウトオブバンド制御サーバ100から証明書を受信し、アウトオブバンド制御サーバ100とスイッチ200間の共通鍵を作成する。【0077】(3)ステップS303スイッチ200は、アウトオブバンド制御サーバ100とスイッチ200間の暗号化通信経路を確立する。【0078】(4)ステップS304スイッチ200は、アウトオブバンド制御サーバ100に接続要求を送信する。【0079】(5)ステップS305スイッチ200は、アウトオブバンド制御チャンネルを確立することができるか確認する。ここでは、スイッチ200は、アウトオブバンド制御サーバ100から、接続許可の応答があれば、アウトオブバンド制御チャンネルを確立することができると判断する。スイッチ200は、アウトオブバンド制御チャンネルを確立することができない場合、最初からやり直す。【0080】(6)ステップS306スイッチ200は、アウトオブバンド制御チャンネルを確立することができる場合、アウトオブバンド制御サーバ100とスイッチ200間のアウトオブバンド制御チャンネルを確立する。【0081】[インバンド制御チャンネルの確立]図14は、スイッチ200がアウトオブバンド制御チャンネルを確立した後、インバンド制御チャンネルを確立する際の動作を示す。【0082】(1)ステップS401スイッチ200は、スイッチ200とアウトオブバンド制御サーバ間に、アウトオブバンド制御チャンネルを確立する。この手順については、図13に示す。【0083】(2)ステップS402スイッチ200は、アウトオブバンド制御チャンネルが確立した後、アウトオブバンド制御サーバ100に、インバンド制御サーバ300の情報と、アウトオブバンド制御サーバ100とインバンド制御サーバ300間の共通鍵を要求する。【0084】(3)ステップS403スイッチ200は、アウトオブバンド制御サーバ100から、候補のインバンド制御サーバ300の情報を受信する。【0085】(4)ステップS404スイッチ200は、その候補のインバンド制御サーバ300に対し、自分のスイッチIDと、アウトオブバンド制御サーバ100とインバンド制御サーバ300間の共通鍵を送信し、インバンド制御サーバ300に接続要求する。【0086】(5)ステップS405スイッチ200は、インバンド制御サーバ300への接続が許可されたか確認する。ここでは、スイッチ200は、インバンド制御サーバ300から接続許可の応答を受信した場合、インバンド制御サーバ300への接続が許可されたと判断する。【0087】(6)ステップS406スイッチ200は、インバンド制御サーバ300から接続許可の応答を受信しなかった場合(ステップS405でNo)、アウトオブバンド制御サーバ100に「インバンド制御チャンネル確立不可」の旨を通知し、改めて、他のインバンド制御サーバ300の情報を要求する。【0088】(7)ステップS407スイッチ200は、インバンド制御サーバ300から接続許可の応答を受信した場合(ステップS405でYes)、スイッチ200とインバンド制御サーバ300間の暗号化通信経路を確立する。ここでは、スイッチ200は、インバンド制御サーバ300から、接続許可の応答として証明書を受信し、スイッチ200とインバンド制御サーバ300間の共通鍵を作成し、作成された共通鍵により、スイッチ200とインバンド制御サーバ300間の暗号化通信経路を確立する。【0089】(8)ステップS408スイッチ200は、スイッチ200とインバンド制御サーバ300間のインバンド制御チャンネルを確立する。【0090】[インバンド制御サーバへのスイッチ情報の登録]図15は、インバンド制御サーバ300のスイッチ200の情報の登録の際の動作を示す。【0091】(1)ステップS501インバンド制御サーバ300は、配下のスイッチ200からインバンド制御チャンネル確立の要求を受信する。【0092】(2)ステップS502インバンド制御サーバ300は、スイッチ200から要求を受信した際、スイッチ情報管理部310に、スイッチ200の情報が登録されているか確認する。ここで、以前、アウトオブバンド制御サーバ100とインバンド制御サーバ300の間に、スイッチ200の情報(スイッチID)を交換しているため、そのスイッチ200の情報(スイッチID)と、アウトオブバンド制御サーバ100とインバンド制御サーバ300間の共通鍵を参照して、スイッチ200の情報(スイッチID)の登録を受け入れるかを判断する。【0093】(3)ステップS503インバンド制御サーバ300は、スイッチ200の情報(スイッチID)が登録されていない場合(ステップS502でNo)、スイッチ200に対して、接続拒否を通知する。【0094】(4)ステップS504インバンド制御サーバ300は、スイッチ200の情報(スイッチID)が登録されている場合(ステップS502でYes)、アウトオブバンド制御サーバ100とインバンド制御サーバ300間の共通鍵が正しいか確認する。【0095】(5)ステップS505インバンド制御サーバ300は、アウトオブバンド制御サーバ100とインバンド制御サーバ300間の共通鍵が正しくない場合(ステップS504でNo)、スイッチ200に対して、接続拒否を通知する。【0096】(6)ステップS506インバンド制御サーバ300は、アウトオブバンド制御サーバ100とインバンド制御サーバ300間の共通鍵が正しい場合(ステップS504でYes)、スイッチ200に対して、接続許可を通知し、証明書を送付する。【0097】(7)ステップS507インバンド制御サーバ300は、証明書の送付に対する応答として、スイッチ200からスイッチ200とインバンド制御サーバ300間の共通鍵を受信し、スイッチ200とインバンド制御サーバ300間の共通鍵により、暗号化通信経路を確立する。【0098】(8)ステップS508インバンド制御サーバ300は、スイッチ200とインバンド制御サーバ300間のインバンド制御チャンネルを確立する。【0099】[アウトオブバンド制御サーバとインバンド制御サーバの切り替え]図16A、図16Bは、スイッチ200が、アウトオブバンド制御サーバ100からインバンド制御サーバ300への切り替え、或いはインバンド制御サーバ300からアウトオブバンド制御サーバ100への切り替えを行う際の動作を示す。【0100】(1)ステップS601スイッチ200は、アウトオブバンド制御サーバ100及びインバンド制御サーバ300の各々と接続した後、主にアウトオブバンド制御サーバ100から制御メッセージを受信する。【0101】(2)ステップS602スイッチ200は、アウトオブバンド制御サーバ100に対し、定期的に、状態監視用メッセージを送信する。【0102】(3)ステップS603スイッチ200は、アウトオブバンド制御サーバ100から状態監視用メッセージに対する応答があるか確認する。スイッチ200は、アウトオブバンド制御サーバ100から応答がある場合(ステップS603でYes)、アウトオブバンド制御サーバ100から状態監視に関する制御メッセージを受信する。【0103】(4)ステップS604スイッチ200は、アウトオブバンド制御サーバ100から応答がない場合(ステップS603でNo)、アウトオブバンド制御サーバ100が異常状態であると判断し、インバンド制御サーバ300を選択し、選択したインバンド制御サーバ300への切り替えを準備する。【0104】(5)ステップS605スイッチ200は、インバンド制御サーバ300に対し、切り替え通知を送信し、接続開始を要求し、インバンド制御サーバ300へ接続する。【0105】(6)ステップS606スイッチ200は、インバンド制御サーバ300へ接続した時点で、インバンド制御サーバ300から制御メッセージを受信することになる。スイッチ200は、インバンド制御サーバ300から制御メッセージを受信する。【0106】(7)ステップS607なお、インバンド制御サーバ300に接続中のスイッチ200でも、定期的に、アウトオブバンド制御サーバ100に対し、状態監視用メッセージを送信する。【0107】(8)ステップS608スイッチ200は、状態監視用メッセージに対し、アウトオブバンド制御サーバ100から応答があるか確認する。スイッチ200は、応答の有無により、アウトオブバンド制御サーバ100の状態を確認し、アウトオブバンド制御サーバ100が復旧したかを確認する。【0108】(9)ステップS609スイッチ200は、アウトオブバンド制御サーバ100から応答があり、復旧したことが確認できた場合(ステップS608のYes)、スイッチ200は即時にアウトオブバンド制御サーバ100に切り戻し、アウトオブバンド制御サーバ100から制御メッセージを受信する。【0109】(10)ステップS610スイッチ200は、アウトオブバンド制御サーバ100から応答がなく、復旧したことが確認できない場合(ステップS608のNo)、インバンド制御サーバ300に対し、状態監視用メッセージを送信する。【0110】(11)ステップS611スイッチ200は、状態監視用メッセージに対し、インバンド制御サーバ300から応答があるか確認する。スイッチ200は、インバンド制御サーバ300から応答がある場合(ステップS611でYes)、インバンド制御サーバ300から状態監視に関する制御メッセージを受信する。また、スイッチ200は、インバンド制御サーバ300から応答がない場合(ステップS611でNo)、インバンド制御サーバ300が異常状態だと判断し、他のインバンド制御サーバ300を選択し、選択したインバンド制御サーバ300への切り替えを準備する。【0111】[制御メッセージ用フローエントリのマッチング]図17は、インバンド制御サーバ300宛に、スイッチ200上に登録される制御メッセージ用フローエントリのマッチングの仕組みを示す。【0112】(1)ステップS701アウトオブバンド制御サーバ100は、スイッチ200に対し、インバンド制御サーバ300の情報を送信し、インバンド制御サーバ300へのフローエントリを登録する。その登録されたフローエントリは、制御メッセージ用フローエントリとなる。スイッチ200は、インバンド制御サーバ300への制御メッセージを生成し、制御メッセージのパケットを送信する。【0113】(2)ステップS702スイッチ200は、その制御メッセージのパケットのヘッダから抽出した検索キーを利用し、高優先の制御メッセージ用フローエントリとマッチングを行う。【0114】(3)ステップS703スイッチ200は、制御メッセージのパケットのヘッダから抽出した検索キーが、高優先の制御メッセージ用フローエントリとマッチするか確認する。【0115】(4)ステップS704スイッチ200は、マッチした場合(ステップS703でYes)、制御メッセージを、インバンド制御サーバ300へ送信する。【0116】(5)ステップS705スイッチ200は、マッチしなかった場合(ステップS703でNo)、制御メッセージのパケットのヘッダから抽出した検索キーが、他の制御メッセージ用フローエントリとマッチするか確認する。ここでは、スイッチ200は、制御メッセージのパケットのヘッダから抽出した検索キーを利用し、先にマッチングを行った制御メッセージ用フローエントリの次に高優先の制御メッセージ用フローエントリとマッチングを行う。スイッチ200は、マッチした場合、制御メッセージを、当該制御メッセージ用フローエントリに対応する制御サーバへ送信する。スイッチ200は、制御メッセージのパケットのヘッダから抽出した検索キーとマッチする制御メッセージ用フローエントリが存在しない場合、当該制御メッセージのパケットを破棄しても良い。【0117】[パケット群からの制御メッセージの抽出]図18は、スイッチ200上のフローテーブル上に、パケットと混載している制御メッセージを抽出する制御メッセージ用フローエントリのマッチングの仕組みを示す。【0118】(1)ステップS801スイッチ200は、パケットを受信する。【0119】(2)ステップS802スイッチ200は、受信したパケットのヘッダから抽出した検索キーを利用し、高優先の制御メッセージ用フローエントリとマッチングを行う。【0120】(3)ステップS803スイッチ200は、受信したパケットのヘッダから抽出した検索キーが、高優先の制御メッセージ用フローエントリとマッチするか確認する。【0121】(4)ステップS804スイッチ200は、マッチしなかった場合(ステップS803でNo)、受信したパケットのヘッダから抽出した検索キーが、他の制御メッセージ用フローエントリとマッチするか確認する。ここでは、スイッチ200は、受信したパケットのヘッダから抽出した検索キーを利用し、先にマッチングを行った制御メッセージ用フローエントリの次に高優先の制御メッセージ用フローエントリとマッチングを行う。スイッチ200は、マッチした場合、制御メッセージを、当該制御メッセージ用フローエントリに対応する制御サーバへ送信する。スイッチ200は、受信したパケットのヘッダから抽出した検索キーとマッチする制御メッセージ用フローエントリが存在しない場合、当該受信したパケットを破棄しても良い。【0122】(5)ステップS805スイッチ200は、マッチした場合(ステップS803でYes)、そのパケットが制御メッセージであるものと判断し、宛先が自分宛であるか確認する。【0123】(6)ステップS806スイッチ200は、宛先が自分宛でない場合(ステップS805でNo)、宛先に基づいて、他のスイッチへ制御メッセージを中継する。【0124】(7)ステップS807スイッチ200は、宛先が自分宛である場合(ステップS805でYes)、自分宛の制御メッセージを、自身の制御メッセージ処理部240に転送する。【0125】<第2実施形態>以下に、本発明の第2実施形態について説明する。スイッチ200は、制御メッセージ処理のプロトコルにおいて、TCPの送信先ポート番号、又は送信元ポートが規定される場合(例えば、TCPポート番号が9999)、制御メッセージ検出用フローエントリを用いて、流れているデータトラフィックから制御メッセージを抽出することができる。【0126】その制御メッセージを自分のアウトオブバンド制御サーバに送信し、アウトオブバンド制御サーバは、制御メッセージのヘッダから、他の制御サーバの送信元IPアドレスを抽出でき、他の制御サーバへの接続試行を行うことができる。【0127】<本発明の特徴>本発明は、パケットを転送するスイッチと経路を決定する制御サーバにおいて、アウトオブバンド制御サーバとインバンド制御サーバによって、制御チャンネルの冗長化を実現するものである。【0128】本発明は、スイッチに対し、アウトオブバンド制御サーバから、インバンド制御サーバへ制御メッセージ用フローエントリを登録する仕組みとなっている。【0129】本発明では、アウトオブバンド制御サーバとインバンド制御サーバの間でスイッチIDを交換する。【0130】また、本発明では、インバンド制御サーバが他のスイッチを登録する。【0131】更に、本発明では、制御メッセージ用フローエントリを用いて、パケットと混在している制御メッセージを抽出する。【0132】本発明は、パケット転送手段(スイッチ)と経路情報決定手段(制御サーバ)が分離されたネットワークシステム等で利用することができる。【0133】本発明においては、スイッチが主のアウトオブバンド制御サーバから制御メッセージを受信しながら、データトラフィックのネットワークを経由し、他の制御サーバをインバンド制御サーバとして利用することができる。【0134】また、本発明においては、スイッチに対し、アウトオブバンド制御サーバから事前に接続依頼したインバンド制御サーバの情報を登録することで、スイッチは、信頼できる他の制御サーバ(インバンド制御サーバ)へ接続できる。【0135】また、本発明においては、インバンド制御サーバは、事前に他のアウトオブバンド制御サーバからスイッチID及びサーバ・サーバ間の共通鍵を受信し、保存することで、スイッチから接続要求されたときに、当該スイッチの認証を行うことができる。【0136】また、本発明においては、アウトオブバンド制御サーバからスイッチのフローテーブル用に対し、高優先度の制御メッセージ用フローエントリを登録することで、スイッチは、データトラフィックと混在している制御メッセージを抽出することができる。【0137】また、本発明においては、制御メッセージ用のフローエントリにより、制御メッセージが優先的にマッチングされ、スイッチの制御メッセージ処理部への送信することができ、また、他のスイッチへの優先的に制御メッセージを中継することができる。【0138】また、本発明においては、スイッチは、監視しているアウトオブバンド制御サーバに障害が発生した場合、一旦、アウトオブバンド制御サーバからインバンド制御サーバへ切り替え、インバンド制御サーバから制御メッセージを受信するが、常時、切り替え前のアウトオブバンド制御サーバを監視することで、アウトオブバンド制御サーバが復旧したとき、即時に切り替え戻すことができる。【0139】<まとめ>以上のように、本発明は、パケットを転送するスイッチと、経路情報を決定する制御サーバが分離されたネットワークシステムにおいて、スイッチが制御サーバから経路情報に基づくフローエントリ登録等に関する制御メッセージを受信する場合に、アウトオブバンド制御チャンネルとインバンド制御チャンネルによる制御チャンネルの冗長化を実現する構成にしたことを特徴としている。【0140】図1において、パケットを転送するスイッチと経路を決定する制御サーバを構成するデータプレーンとコントロールプレーンの分離したネットワークシステムを示す。ここで、スイッチと制御サーバ間では、制御メッセージを送受信する制御チャンネルで接続されている。【0141】図5において、スイッチは経路情報を決定するために、アウトオブバンド制御チャンネルで制御サーバとの接続されることだけではなく、通常のデータ通信用の経路を利用し、ネットワーク経由で、他の制御サーバと接続することができるインバンド制御チャンネルを構築することで、制御チャンネルを冗長する。なお、アウトオブバンド制御チャンネルでは、制御メッセージ専用の通信路を利用する。また、インバンド制御チャンネルでは、通信データと制御メッセージで同じ通信路を共同利用する。【0142】このようにして、本発明では、スイッチが、アウトオブバンド制御チャンネルとインバンド制御チャンネルにより制御メッセージを送受信するので、制御チャンネルの冗長化を実現することができる。【0143】図6A、図6Bは、パケットを転送するスイッチと経路情報を管理・決定する制御サーバから構成になるネットワークシステムを示す。【0144】スイッチは、初期設定で主に制御メッセージを受信する制御サーバと接続するためには、データ転送用のネットワークとは別に、アウトオブバンド制御チャンネルで接続され、スイッチにとって、その制御サーバは、アウトオブバンド制御サーバとなる。【0145】アウトオブバンド制御サーバは、ネットワーク上に存在している既知又は設定される他の制御サーバに制御チャンネルの冗長構成を依頼する。依頼された他の制御サーバは、スイッチにとって、インバンド制御サーバとなる。【0146】アウトオブバンド制御サーバは、冗長制御サーバ管理部を利用して、アウトオブバンド制御サーバとインバンド制御サーバ間の暗号化通信経路を構築、その経路を通じて、自分が管理しているスイッチの情報を相手のインバンド制御サーバに通知する。【0147】更に、アウトオブバンド制御サーバは、自分が管理しているスイッチに対し、インバンド制御サーバの情報を通知する。【0148】スイッチは、アウトオブバンド制御サーバから受信したインバンド制御サーバの情報を基に、インバンド制御サーバに対し、スイッチの登録を依頼する。インバンド制御サーバは、事前にアウトオブバンド制御サーバから受信したスイッチの情報を基に、スイッチの認証を行い、スイッチを登録する。スイッチは、インバンド制御サーバから登録された後、主に制御メッセージを受信するアウトオブバンド制御サーバと共に、インバンド制御サーバの状態を監視する。【0149】スイッチは、常時監視しているアウトオブバンド制御サーバから応答がない場合、アウトオブバンド制御サーバに異常が発生したこと判断し、アウトオブバンド制御サーバからインバンド制御サーバへ切り替えし、インバンド制御サーバから制御メッセージを受信する。【0150】このようにして、スイッチは、主に受信したアウトオブバンド制御サーバと他の制御サーバ(インバンド制御サーバ)から冗長された制御チャンネルから制御メッセージを受信することができる。【0151】<補足>本発明の特徴は、アウトオブバンド制御チャンネルとインバンド制御チャンネルによる冗長構成と、アウトオブバンド制御チャンネルからのインバンド制御チャンネルの接続性の確立と、アウトオブバンド制御チャンネルとインバンド制御チャンネルの選択と、アウトオブバンド制御チャンネルとインバンド制御チャンネルの切り替えの方式にある。【0152】本発明では、アウトオブバンド制御サーバが、スイッチとアウトオブバンドで制御チャンネルを構成・確立し、スイッチとインバンド制御サーバ間の制御チャンネル用の経路情報(フローテーブル)を設定する。【0153】そして、スイッチが、インバンド制御サーバとの制御チャンネルを構成・確立し、アウトオブバンド制御サーバとインバンド制御サーバで(双方を用いて)、制御サーバの冗長構成を構築する。また、スイッチが、制御チャンネルの障害を監視して、障害発生時にアクティブ(主系・本番系)の制御チャンネルから、スタンバイ(待機系・予備系)の制御チャンネルに切り替える。【0154】以上、本発明の実施形態を詳述してきたが、実際には、上記の実施形態に限られるものではなく、本発明の要旨を逸脱しない範囲の変更があっても本発明に含まれる。【0155】なお、本出願は、日本出願番号2010-000819に基づく優先権を主張するものであり、日本出願番号2010-000819における開示内容は引用により本出願に組み込まれる。
S
WO2011083786
2011549000
null
JP2011050019
20110104
20130513
null
null
WO2011083786
20110714
5743906
20150515
null
null
2014006918
通信制御システム、及び通信制御方法
000004237
日本電気株式会社
100102864
工藤 実
高島 正徳,飛鷹 洋一,伊澤 徹
2010001292,20100106,JP
8
H04L 12/701 (20130101)
H04L 12/56 100Z
10
null
null
AP(BW,GH,GM,KE,LR,LS,MW,MZ,NA,SD,SL,SZ,TZ,UG,ZM,ZW),EA(AM,AZ,BY,KG,KZ,MD,RU,TJ,TM),EP(AL,AT,BE,BG,CH,CY,CZ,DE,DK,EE,ES,FI,FR,GB,GR,HR,HU,IE,IS,IT,LT,LU,LV,MC,MK,MT,NL,NO,PL,PT,RO,RS,SE,SI,SK,SM,TR),OA(BF,BJ,CF,CG,CI,CM,GA,GN,GQ,GW,ML,MR,NE,SN,TD,TG),AE,AG,AL,AM,AO,AT,AU,AZ,BA,BB,BG,BH,BR,BW,BY,BZ,CA,CH,CL,CN,CO,CR,CU,CZ,DE,DK,DM,DO,DZ,EC,EE,EG,ES,FI,GB,GD,GE,GH,GM,GT,HN,HR,HU,ID,IL,IN,IS,JP,KE,KG,KM,KN,KP,KR,KZ,LA,LC,LK,LR,LS,LT,LU,LY,MA,MD,ME,MG,MK,MN,MW,MX,MY,MZ,NA,NG,NI,NO,NZ,OM,PE,PG,PH,PL,PT,RO,RS,RU,SC,SD,SE,SG,SK,SL,SM,ST,SV,SY,TH,TJ,TM,TN,TR,TT,TZ,UA,UG,US,UZ,VC,VN,ZA,ZM,ZW
1
21
5K030
5K030 HA08 ,5K030 HC01 ,5K030 HD03 ,5K030 KA05 ,5K030 LB05
制御サーバーからの通信機器の遠隔制御を行うシステムの場合、制御サーバーから通信機器の転送テーブルにエントリを書き込むタイミングによっては、トラフィックのループや廃棄が意図せず発生する可能性がある。そこで、制御サーバー101が行った通信機器102に対する転送テーブルのエントリの登録・書換・削除に対して、通信機器102で制御サーバー101からの制御指示内容の検証を行い、通信機器102でその検証結果を保持し、制御サーバー101がその検証結果を取得し、複数の通信機器102からの検証結果を基にして、一連の制御が実行可能かどうか判断した後に、複数の通信機器102での実行を促す。
【請求項1】受信したトラフィックと、転送テーブルに登録されたエントリとをマッチングし、当該トラフィックに包含される情報と当該エントリに包含される情報とが一致すると、当該トラフィックを、当該エントリに規定された経路に転送する複数の通信機器と、前記複数の通信機器の各々が持つ転送テーブルにエントリを登録し、前記各通信機器に対して経路情報を決定する制御サーバーとを含み、前記各通信機器は、前記制御サーバーからの一連の制御指示に対して、前記制御サーバーからの制御指示内容の検証を行い、検証結果を保持し、前記制御サーバーは、前記各通信機器から検証結果を取得し、前記各通信機器からの検証結果を基にして、前記各通信機器において一連の制御が実行可能かどうか判断し、一連の制御が実行可能であれば、前記各通信機器に対して一連の制御の実行を促す通信制御システム。【請求項2】請求項1に記載の通信制御システムであって、前記各通信機器は、前記制御サーバーからの一連の制御指示に従って、一旦、一連の制御を実行し、前記制御サーバーは、前記制御サーバーからの制御指示内容が異常であると判断した場合、前記各通信機器に対して、実行結果のロールバックの実行を促す通信制御システム。【請求項3】請求項1又は2に記載の通信制御システムであって、前記各通信機器は、前記制御サーバーから、転送テーブルへの新規エントリの追加指示の制御命令を受け取った際、前記転送テーブルを参照し、前記転送テーブルに空きエントリがあるかどうかを確認し、空きエントリがある場合、前記転送テーブルに包括・一部包括関係にあるエントリがあるかどうかを確認し、包括・一部包括関係にあるエントリがある場合、当該エントリの優先度を確認し、前記制御サーバーからの制御命令を実行する通信制御システム。【請求項4】請求項3に記載の通信制御システムであって、前記各通信機器は、空きエントリがない場合、もしくは包括・一部包括関係にあるエントリの有無を確認した場合、一連の制御を待機状態にして、前記制御サーバーからの指示を待ち、前記制御サーバーから新たな制御命令を受け取り、新たな制御命令が処理実行である場合、前記制御サーバーからの制御命令に従って、前記転送テーブルにエントリを追加し、新たな制御命令がロールバック指示である場合、前記制御サーバーからの制御命令に従って、前記転送テーブルにはエントリを追加せず、ロールバック関数に従った処理を行う通信制御システム。【請求項5】請求項1乃至4のいずれか一項に記載の通信制御システムにおいて、通信機器及び制御サーバーのいずれかとして使用される計算機。【請求項6】制御サーバーから、複数の通信機器の各々が持つ転送テーブルにエントリを登録し、前記各通信機器に対して経路情報を決定することと、前記各通信機器において、受信したトラフィックと、転送テーブルに登録されたエントリとをマッチングし、当該トラフィックに包含される情報と当該エントリに包含される情報とが一致すると、当該トラフィックを、当該エントリに規定された経路に転送することと、前記各通信機器において、前記制御サーバーからの一連の制御指示に対して、前記制御サーバーからの制御指示内容の検証を行い、検証結果を保持することと、前記制御サーバーにおいて、前記各通信機器から検証結果を取得し、前記各通信機器からの検証結果を基にして、前記各通信機器において一連の制御が実行可能かどうか判断し、一連の制御が実行可能であれば、前記各通信機器に対して一連の制御の実行を促すこととを含む通信制御方法。【請求項7】請求項6に記載の通信制御方法であって、前記各通信機器において、前記制御サーバーからの一連の制御指示に従って、一旦、一連の制御を実行することと、前記制御サーバーにおいて、前記制御サーバーからの制御指示内容が異常であると判断した場合、前記各通信機器に対して、実行結果のロールバックの実行を促すこととを更に含む通信制御方法。【請求項8】請求項6又は7に記載の通信制御方法であって、前記各通信機器において、前記制御サーバーから、転送テーブルへの新規エントリの追加指示の制御命令を受け取った際、前記転送テーブルを参照し、前記転送テーブルに空きエントリがあるかどうかを確認することと、空きエントリがある場合、前記転送テーブルに包括・一部包括関係にあるエントリがあるかどうかを確認することと、包括・一部包括関係にあるエントリがある場合、当該エントリの優先度を確認することと、前記制御サーバーからの制御命令を実行することとを更に含む通信制御方法。【請求項9】請求項8に記載の通信制御方法であって、前記各通信機器において、空きエントリがない場合、もしくは包括・一部包括関係にあるエントリの有無を確認した場合、一連の制御を待機状態にして、前記制御サーバーからの指示を待つことと、前記制御サーバーから新たな制御命令を受け取ることと、新たな制御命令が処理実行である場合、前記制御サーバーからの制御命令に従って、前記転送テーブルにエントリを追加することと、新たな制御命令がロールバック指示である場合、前記制御サーバーからの制御命令に従って、前記転送テーブルにはエントリを追加せず、ロールバック関数に従った処理を行うこととを更に含む通信制御方法。【請求項10】請求項6乃至9のいずれか一項に記載の通信制御方法を、通信機器及び制御サーバーのいずれかとして機能する計算機に実行させるためのプログラムを記憶した記憶媒体。
【請求項1】受信したトラフィックと、転送テーブルに登録されたエントリとをマッチングし、当該トラフィックに包含される情報と当該エントリに包含される情報とが一致すると、当該トラフィックを、当該エントリに規定された経路に転送する複数の通信機器と、前記複数の通信機器の各々が持つ転送テーブルにエントリを登録し、前記各通信機器に対して経路情報を決定する制御サーバーとを含み、前記各通信機器は、前記制御サーバーからの一連の制御指示に対して、前記制御サーバーからの制御指示内容の検証を行い、検証結果を保持し、前記制御サーバーは、前記各通信機器から検証結果を取得し、前記各通信機器からの検証結果を基にして、前記各通信機器において一連の制御が実行可能かどうか判断し、一連の制御が実行可能であれば、前記各通信機器に対して一連の制御の実行を促す通信制御システム。【請求項6】制御サーバーから、複数の通信機器の各々が持つ転送テーブルにエントリを登録し、前記各通信機器に対して経路情報を決定することと、前記各通信機器において、受信したトラフィックと、転送テーブルに登録されたエントリとをマッチングし、当該トラフィックに包含される情報と当該エントリに包含される情報とが一致すると、当該トラフィックを、当該エントリに規定された経路に転送することと、前記各通信機器において、前記制御サーバーからの一連の制御指示に対して、前記制御サーバーからの制御指示内容の検証を行い、検証結果を保持することと、前記制御サーバーにおいて、前記各通信機器から検証結果を取得し、前記各通信機器からの検証結果を基にして、前記各通信機器において一連の制御が実行可能かどうか判断し、一連の制御が実行可能であれば、前記各通信機器に対して一連の制御の実行を促すこととを含む通信制御方法。
【技術分野】【0001】本発明は、通信制御システムに関し、特にパケットを転送する通信機器と経路情報を決定する制御サーバーが分離された通信制御システムに関する。
【背景技術】【0002】TCP/IP(TransmissionControlProtocol/InternetProtocol)やIEEE802.1におけるネットワークの経路制御は、通信機器間での経路情報の交換による自律的な経路制御が行われる手法が一般的である。【0003】一方で、通信機器間の自律的な経路制御では、通信機器間の経路情報が一時的な不一致となることで、ネットワークの信頼性が低下する問題があった。【0004】この問題に対応する手法として、特許文献1(US2006/0092974A1)に、通信機器から制御部を分離して、その制御部を実装した制御サーバーから、制御部のない複数の通信機器を遠隔で制御する手法が開示されている。また、制御サーバーで複数の通信機器の経路を制御することにより、複数の通信機器間で経路情報を交換することによる自律的な経路制御より、信頼性の高い経路制御が実現できることも知られている。【0005】また、非特許文献1には、制御サーバーから通信機器を制御するプロトコルとして、オープンフロープロトコル(OpenFlowProtocol)が開示されている。オープンフロープロトコルによると、通信機器は、フローテーブルと呼ばれる転送テーブルを有し、制御サーバーは、通信機器の転送テーブルにエントリ(entry)を追加・書換・削除することができる。【0006】なお、フローテーブルとは、所定のマッチ条件(ルール)に適合するパケットに対して行うべき所定の処理(アクション)を定義したエントリが登録されるテーブルである。ルールに適合するパケット群(パケット系列)をフローと呼ぶ。フローのルールは、パケットの各プロトコル階層のヘッダ領域に含まれる宛先アドレス(DestinationAddress)、送信元アドレス(SourceAddress)、宛先ポート(DestinationPort)、送信元ポート(SourcePort)のいずれか又は全てを用いた様々な組み合わせにより定義され、区別可能である。なお、上記のアドレスには、MACアドレス(MediaAccessControlAddress)やIPアドレス(InternetProtocolAddress)を含むものとする。また、上記に加えて、入口ポート(IngressPort)の情報も、フローのルールとして使用可能である。【0007】また、通信機器は、所定の検索情報について、通信機器を経由するトラフィックと、転送テーブルに登録されたエントリをマッチングし、両方に含まれる検索情報(例えば宛先IPアドレス)が一致すると、当該トラフィックをエントリに従った経路に転送する。オープンフロープロトコル等を用いて、ネットワークの経路制御を制御サーバーから行うことが可能である。【0008】しかしながら、制御サーバーからの通信機器の遠隔制御を行う場合、既知の手法により、通信機器が交換することによって発生する経路情報の発振の抑制は可能となるが、制御サーバーから通信機器の転送テーブルにエントリを書き込むタイミングによっては、トラフィックのループや廃棄が意図せず発生する可能性がある。【0009】例えば、制御サーバーで計算された転送経路に関係なく任意の通信機器の転送テーブルにエントリを書く場合について説明する。【0010】図1を例にとると、通信機器102Aにおいて、検索情報として、ある転送テーブルのエントリが通信機器102Bを出力先として登録された時に、既に通信機器102Bにおいて、その検索情報を包含する転送テーブルのエントリが通信機器102Aを出力先として登録されている場合、条件に一致するトラフィックは、通信機器102Aと通信機器102Bの間で何度も往復することになる。【0011】例えば、通信機器102Aにおいて、検索情報として、宛先IPアドレス「192.168.0.1/32」(「/32」は、ネットワークアドレス長を示す)をヘッダ情報に持つパケットは通信機器102Bを出力先とする旨のエントリが登録されたとする。このとき、既に、通信機器102Bにおいて、宛先IPアドレス「192.168.0.0/8」をヘッダ情報に持つパケットは通信機器102Aを出力先とする旨のエントリが登録されている場合、IPアドレス「192.168.0.1/32」宛のトラフィックは、通信機器102Aと通信機器102Bの間で何度も往復することになる。【0012】また、例えば、制御サーバーで計算された転送経路に関係なく任意の通信機器102の転送テーブルにエントリを書く場合について説明する。【0013】図1を例にとると、通信機器102Aにおいて、ある転送テーブルのエントリが通信機器102Bを出力先として登録した後に、通信機器102Bにおいて、新規の転送テーブルのエントリが登録できない場合、条件に一致するトラフィックは、通信機器102Bで廃棄されることになる。【0014】例えば、通信機器102Aにおいて、検索情報として宛先IPアドレス「192.168.0.1/32」をヘッダ情報に持つパケットは通信機器102Bを出力先とする旨のエントリが登録された後に、通信機器102Bにおいて、新規の転送テーブルのエントリが登録できない場合、IPアドレス「192.168.0.1/32」宛のトラフィックは、通信機器102Bで廃棄されることになる。【0015】非特許文献1のオープンフロープロトコルにより制御される転送テーブルは、図3に示す通り、検索優先度が存在する。トラフィックは、低い検索優先度のエントリの検索情報に一致するとしても、より高い検索優先度のエントリの検索情報に一致する場合、より高い検索優先度のエントリに従って、転送される。【0016】また、例えば、トラフィックを受信する端末側に近い通信機器102から、通信経路を逆順に辿るように通信機器102に対して転送テーブルにエントリを登録する場合について説明する。【0017】図1を例にとると、通信機器102D、102B、102Aの順に通信テーブルのエントリが登録される。しかし、既に、通信機器102Bの転送テーブルにおいて、新たに登録されるエントリの検索情報を包含する転送テーブルのエントリが、新たに登録されるエントリより高い検索優先度で登録されているとする。この場合、通信機器102Bにおいて、検索情報として低い検索優先度で通信機器102Dを出力先として新たなエントリが登録されても、新たに登録されたエントリは、トラフィックの検索結果には使用されないため、通信機器102Aにおいて、検索情報として通信機器102Bを出力先としてエントリが登録されると、条件に一致するトラフィックは、通信機器102Aと102Bの間で何度も往復することになる。【0018】例えば、通信機器102Bの転送テーブルにおいて、既に、IPアドレス「192.168.0.0/8」宛のトラフィックに関するエントリが、新たに登録されるエントリより高い検索優先度で登録されているとする。この場合、通信機器102Bにおいて、検索情報として、宛先IPアドレス「192.168.0.1/32」をヘッダ情報に持つパケットは低い検索優先度で通信機器102Dを出力先とする旨の新たなエントリが登録されても、新たに登録されたエントリは、トラフィックの検索結果には使用されないため、通信機器102Aにおいて、検索情報として、宛先IPアドレス「192.168.0.1/32」をヘッダ情報に持つパケットは通信機器102Bを出力先とする旨のエントリが登録されると、条件に一致するトラフィックは、通信機器102Aと102Bの間で何度も往復することになる。
null
null
null
【発明を実施するための形態】【0027】<第1実施形態>以下に、本発明の第1実施形態について添付図面を参照して説明する。【0028】[ネットワークシステムの構成]図1は、本発明が実施されるネットワークシステムの構成例を示すものである。このネットワークシステムは、制御サーバー101と、通信機器102と、端末103を含む。ここでは、通信機器102として、通信機器102A~102Dを示す。また、端末103として、端末103A、103Bを示す。【0029】制御サーバー101は、端末103間のエンド間の通信経路を、通信機器102から収集したトポロジー(topology:接続形態)構成情報を基に計算し、端末103間のトラフィックを転送するためのエントリを、通信機器102の転送テーブルに対して登録する。また、制御サーバー101は、トポロジー構成情報の変化や使用可能帯域の変化等による経路の変更を基に、通信機器102の転送テーブルのエントリの書換や削除等を行う。【0030】通信機器102(通信機器102A~102D)は、ネットワークトポロジーを構成しており、ネットワークのエンド間のトラフィックを伝送する。通信機器102の転送テーブルは、ネットワークを流れるトラフィックの検索情報とその一致したトラフィックの転送先を指定したエントリで構成され、制御サーバー101から制御される。【0031】端末103(端末103A、103B)は、エンド間のトラフィックの送受信を行う。【0032】[ハードウェアの例示]制御サーバー101の例として、PC(パソコン)、シンクライアントサーバー、アプライアンス(appliance)、ワークステーション、メインフレーム、スーパーコンピュータ等の計算機を想定している。【0033】通信機器102の例として、オープンフロースイッチを想定している。オープンフロースイッチとして使用可能な機器の例として、ルータ、スイッチングハブ等の中継機器や、ゲートウェイ、プロキシ、ファイアウォール並びにロードバランサ等の中継用の計算機等が考えられる。例えば、通信機器102は、マルチレイヤスイッチ(multi-layerswitch)でも良い。マルチレイヤスイッチは、サポートするOSI参照モデルの層毎に、更に細かく分類されている。主な種別としては、ネットワーク層(第3層)のデータを読むレイヤ3スイッチ、トランスポート層(第4層)のデータを読むレイヤ4スイッチ、アプリケーション層(第7層)のデータを読むレイヤ7スイッチ(アプリケーションスイッチ)がある。【0034】端末103の例として、PC、シンクライアント端末、アプライアンス、ワークステーション、メインフレーム、スーパーコンピュータ等の計算機、又は、携帯電話機、カーナビ(カーナビゲーションシステム)、ガジェット(電子機器)、携帯ゲーム機、家庭用ゲーム機、双方向テレビ、デジタルチューナー、デジタルレコーダー、情報家電(informationhomeappliance)、OA(OfficeAutomation)機器等が考えられる。端末103は、車両や船舶、航空機等の移動体に搭載されていても良い。【0035】なお、制御サーバー101、通信機器102、及び端末103は、物理マシン上に構築された仮想マシン(VirtualMachine(VM))でも良い。【0036】制御サーバー101、通信機器102、及び端末103の各々を接続するネットワークの例として、インターネット、LAN(LocalAreaNetwork)、無線LAN(WirelessLAN)、WAN(WideAreaNetwork)、バックボーン(Backbone)、ケーブルテレビ(CATV)回線、固定電話網、携帯電話網、WiMAX(IEEE802.16a)、3G(3rdGeneration)、専用線(leaseline)、IrDA(InfraredDataAssociation)、Bluetooth(登録商標)、シリアル通信回線、データバス等が考えられる。【0037】但し、実際には、これらの例に限定されない。【0038】[通信機器の構成]図2は、通信機器102の構成例を示すものである。通信機器102は、制御サーバーインタフェース部1021と、トランザクション管理部1022と、テーブル操作部1023と、テーブル検索部1024と、転送テーブル1025と、データ転送部1026と、インタフェース1027を備える。ここでは、インタフェース1027として、インタフェース1027A、1027Bを示す。【0039】制御サーバーインタフェース部1021は、制御サーバー101との制御チャネルを終端して、制御サーバー101からの制御命令を取り出したり、実行結果を返送したり、トランザクション(transaction)結果に対する問い合わせの受付・返送をしたりする。なお、トランザクションとは、関連する複数の処理を一つの処理単位としてまとめたものである。この制御命令の例として、オープンフロープロトコルメッセージ(OpenFlowProtocolMessage)の1つであり、コントローラからスイッチのフローテーブルにエントリを登録するための「FlowMod」メッセージ等が考えられる。【0040】トランザクション管理部1022は、制御命令毎にトランザクションとして保持し、その実行結果やその命令に対する検証結果を保持し、制御サーバー101からの問い合わせに対して応答したり、トランザクションの実行命令に対して実行したりする。実行待ちのトランザクションを実行する手法には、広く一般に使用されている2フェーズコミットの手法を使用することができる。【0041】テーブル操作部1023は、転送テーブル1025に対してエントリの登録・書換・削除を実行するブロックである。【0042】テーブル検索部1024は、トランザクション管理部1022からの命令に従って、転送テーブル1025の登録済みエントリに対して、新規エントリが包含関係や一部包含関係になっていないか、包含・一部包含関係のエントリがそのエントリに対して、高・低の検索優先度のいずれかを確認し、その結果をトランザクション管理部1022に返す。【0043】転送テーブル1025は、図3に示す通り、エントリは番号で管理されており、その番号の順に検索優先度が決まっている、また、図4のような検索情報(例えば、送信元・送信先IPアドレス)、出力ポート等の情報を有する。ここでは、転送テーブル1025は、エントリ番号と、送信元IPアドレスと、送信先IPアドレスと、出力ポートの情報を有する。出力ポートは、インタフェース1027(インタフェース1027A、1027B)を示す。【0044】データ転送部1026は、インタフェース1027(インタフェース1027A、1027B)から入力されたトラフィックから検索キーを抽出し、その検索キーを転送テーブル1025に対して検索実行し、その検索結果に従ってトラフィックを転送する。【0045】インタフェース1027(インタフェース1027A、1027B)は、通信機器102の通信用のインタフェースであり、図1のように他の通信機器102や端末103と接続を行い、トラフィックの受信と送信を行う。【0046】ここでは、制御サーバーインタフェース部1021、トランザクション管理部1022、テーブル操作部1023、テーブル検索部1024、転送テーブル1025、データ転送部1026は、プログラムで駆動される処理装置等のハードウェアと、そのハードウェアを駆動して所望の処理を実行させるプログラム等のソフトウェアと、そのソフトウェアや各種データを格納する記憶装置によって実現されるものとする。但し、実際には、これらの例に限定されない。【0047】上記の処理装置の例として、CPU(CentralProcessingUnit)、マイクロプロセッサ(microprocessor)、マイクロコントローラ、或いは、同様の機能を有する半導体集積回路(IntegratedCircuit(IC))等が考えられる。但し、実際には、これらの例に限定されない。【0048】上記の記憶装置の例として、RAM(RandomAccessMemory)、ROM(ReadOnlyMemory)、EEPROM(ElectricallyErasableandProgrammableReadOnlyMemory)やフラッシュメモリ等の半導体記憶装置、HDD(HardDiskDrive)やSSD(SolidStateDrive)等の補助記憶装置、又は、DVD(DigitalVersatileDisk)やSDメモリカード(SecureDigitalmemorycard)等のリムーバブルディスクや記憶媒体(メディア)等が考えられる。但し、実際には、これらの例に限定されない。【0049】また、制御サーバーインタフェース部1021、データ転送部1026、及びインタフェース1027は通信機能を持つ。制御サーバーインタフェース部1021、データ転送部1026、及びインタフェース1027の通信機能を実現するハードウェアの例として、NIC(NetworkInterfaceCard)等のネットワークアダプタや、アンテナ等の通信装置、接続口(コネクタ)等の通信ポート等が考えられる。但し、実際には、これらの例に限定されない。【0050】[転送テーブルへのエントリ登録の際の動作(待機あり)]図6を用いて、通信経路の一貫性を保つための動作について説明する。【0051】ここでは、制御サーバー101は、通信機器102に、図4の形式のエントリーデータを送信する。通信機器102は、受信したエントリーデータを転送テーブル1025に格納する。【0052】(1)ステップS101通信機器102において、制御サーバーインタフェース部1021は、制御サーバー101から、制御チャネル経由で、新規エントリの追加指示の制御命令を受け取り、トランザクション管理部1022に渡す。トランザクション管理部1022は、制御命令に含まれるトランザクション番号をキーに、図5のトランザクション状態テーブルに状態を検証待ちとして登録する。ここでは、トランザクション状態テーブルは、コントローラIDと、トランザクション番号と、制御命令と、状態と、ロールバック方法の情報を有する。コントローラIDは、制御サーバーの識別情報である。制御サーバー101が1台しかなく一意に特定できる場合、コントローラIDの情報はなくても良い。トランザクション状態テーブルの検証待ちトランザクションを、検証中の状態に変更して、転送テーブル1025のエントリをテーブル検索部1024に送付する。【0053】(2)ステップS102テーブル検索部1024は、転送テーブル1025を参照し、転送テーブル1025に空きエントリがあるかどうかを確認する。テーブル検索部1024は、空きエントリがある場合、ステップS104の処理へ移行する。また、テーブル検索部1024は、空きエントリがない場合、ステップS103の処理へ移行する。【0054】(3)ステップS103テーブル検索部1024は、空きエントリがない場合、転送テーブル1025に空きエントリがないことを、トランザクション管理部1022に通知する。トランザクション管理部1022は、状態を空きエントリなしとして、ステップS106の処理へ移行し、トランザクションを待機状態にする。【0055】(4)ステップS104テーブル検索部1024は、空きエントリがある場合、転送テーブル1025に包括関係や一部包括関係にあるエントリがある(コンフリクトがある)かどうかを確認する。テーブル検索部1024は、包括関係や一部包括関係にあるエントリがある(コンフリクトがある)場合、当該エントリの優先度も確認する。テーブル検索部1024は、包括関係や一部包括関係にあるエントリがある(コンフリクトがある)場合、ステップS105の処理へ移行する。また、テーブル検索部1024は、包括関係や一部包括関係にあるエントリがない(コンフリクトがない)場合、ステップS106の処理へ移行し、トランザクションを待機状態にする。【0056】(5)ステップS105テーブル検索部1024は、包括関係や一部包括関係にあるエントリがある(コンフリクトがある)場合、包括関係や一部包括関係にあるエントリがある(コンフリクトがある)ことを、トランザクション管理部1022に通知する。トランザクション管理部1022は、状態を包括・一部包括関係あり(コンフリクトあり)として、ステップS106の処理へ移行し、トランザクションを待機状態にする。【0057】(6)ステップS106テーブル検索部1024は、トランザクションを待機状態にして、制御サーバー101からの指示を待つ。制御サーバー101は、自動的に、トランザクション番号をキーに、処理検証結果を確認し、その結果を基に制御サーバー101内で判断を行う。このとき、トランザクション完了を、通信機器102から制御サーバー101に通知することも可能である。【0058】(7)ステップS107制御サーバーインタフェース部1021は、制御サーバー101から、制御チャネル経由で、新たな制御命令を受け取り、トランザクション管理部1022に渡す。制御サーバーインタフェース部1021は、制御サーバー101からの制御命令に従って処理を行う。制御命令が処理実行であれば、ステップS108の処理へ移行する。制御命令がロールバック指示であれば、ステップS109の処理へ移行する。【0059】(8)ステップS108制御サーバーインタフェース部1021は、制御命令が処理実行である場合、制御サーバー101からの制御命令に従って、転送テーブル1025にエントリを反映させる。【0060】(9)ステップS109制御サーバーインタフェース部1021は、制御命令がロールバック指示である場合、制御サーバー101からの制御命令に従って、転送テーブル1025にはエントリを反映させず、登録されたロールバック関数に従った処理を行う。【0061】<第2実施形態>本実施形態では、図6のステップS106、ステップS107において、トランザクション番号を制御のINDEX(索引)とする代わりに、転送テーブル1025のエントリを制御のINDEXとする。【0062】転送テーブルのエントリとは、IPアドレス等の検索情報である。同じ検索情報が存在すると特定が難しくなるため、転送テーブルのエントリ(検索情報)には重複しない情報を使用すると好適である。【0063】これにより、トランザクション番号を省略することが可能になる。【0064】<第3実施形態>本実施形態では、図7に示す通り、トランザクション管理部1022は、空きエントリがない場合や、包括関係や一部包括関係にあるエントリがない(コンフリクトがない)場合に、処理を待機するのではなく、そのまま制御命令を実行する。この場合、実行した結果、処理検証結果を確認でき、ロールバックすることも可能である。【0065】[転送テーブルへのエントリ登録の際の動作(待機なし)]図7を用いて、本実施形態において、通信経路の一貫性を保つための動作について説明する。なお、図7のステップS201~S205は、図6のステップS101~S105と同じである。【0066】(1)ステップS201通信機器102において、制御サーバーインタフェース部1021は、制御サーバー101から、制御チャネル経由で、新規エントリの追加指示の制御命令を受け取り、トランザクション管理部1022に渡す。トランザクション管理部1022は、制御命令に含まれるトランザクション番号をキーに、図5のトランザクション状態テーブルに状態を検証待ちとして登録する。トランザクション状態テーブルの検証待ちトランザクションを、検証中の状態に変更して、転送テーブルのエントリをテーブル検索部1024に送付する。【0067】(2)ステップS202テーブル検索部1024は、転送テーブル1025を参照し、転送テーブル1025に空きエントリがあるかどうかを確認する。テーブル検索部1024は、空きエントリがある場合、ステップS204の処理へ移行する。また、テーブル検索部1024は、空きエントリがない場合、ステップS203の処理へ移行する。【0068】(3)ステップS203テーブル検索部1024は、空きエントリがない場合、転送テーブル1025に空きエントリがないことを、トランザクション管理部1022に通知する。トランザクション管理部1022は、状態を空きエントリなしとして、ステップS206の処理へ移行する。【0069】(4)ステップS204テーブル検索部1024は、空きエントリがある場合、転送テーブル1025に包括関係や一部包括関係にあるエントリがある(コンフリクトがある)かどうかを確認する。なお、テーブル検索部1024は、包括関係や一部包括関係にあるエントリがある(コンフリクトがある)場合、当該エントリの優先度も確認する。テーブル検索部1024は、包括関係や一部包括関係にあるエントリがある(コンフリクトがある)場合、ステップS205の処理へ移行する。また、テーブル検索部1024は、包括関係や一部包括関係にあるエントリがない(コンフリクトがない)場合、ステップS206の処理へ移行する。【0070】(5)ステップS205テーブル検索部1024は、包括関係や一部包括関係にあるエントリがある(コンフリクトがある)場合、包括関係や一部包括関係にあるエントリがある(コンフリクトがある)ことを、トランザクション管理部1022に通知する。トランザクション管理部1022は、状態を包括・一部包括関係あり(コンフリクトあり)として、ステップS206の処理へ移行する。【0071】(6)ステップS206制御サーバーインタフェース部1021は、制御サーバー101からの制御命令に従って処理を行う。制御サーバーインタフェース部1021は、制御命令が処理実行である場合、制御サーバー101からの制御命令に従って、転送テーブル1025にエントリを反映させる。また、制御サーバーインタフェース部1021は、制御命令がロールバック指示である場合、制御サーバー101からの制御命令に従って、転送テーブル1025にはエントリを反映させず、登録されたロールバック関数に従った処理を行う。制御サーバー101は、トランザクション番号をキーに、処理検証結果を確認し、その結果を基に制御サーバー101内で判断を行う。このとき、トランザクション完了を、通信機器102から制御サーバー101に通知することも可能である。【0072】<第4実施形態>本実施形態では、図8に示す通り、通信機器102は、複数の制御サーバー101(制御サーバー101A、101B)から制御を受ける。この場合、図9に示す通りのトランザクション状態テーブルになる。本実施形態では、トランザクションは、「コントローラID」と「トランザクション番号」の組で管理される。コントローラIDは、制御サーバーの識別情報である。ここでは、制御サーバー101AのコントローラIDを「1」とし、制御サーバー101BのコントローラIDを「2」とする。【0073】<第5実施形態>本実施形態では、トランザクション番号には、制御サーバー101がイニシエーター(initiator)となるトランザクションに関しては、制御サーバー101が独自に生成した番号を使用し、通信機器102がイニシエーターとなるトランザクションについては、通信機器102が独自に生成した番号を使用する。通信機器102がイニシエーターとなるトランザクションの例として、インタフェースの状態の変化や、トラフィック受信を制御サーバー101へ通知するトランザクション等がある。【0074】<第6実施形態>本実施形態では、制御サーバー101が複数の通信機器102群を制御するため、制御サーバー101がイニシエーターとなって行うトランザクションも、通信機器102がイニシエーターとなって行うトランザクションも、制御サーバー101では、通信機器102とトランザクション番号の組で管理される。【0075】<第7実施形態>本実施形態では、通信機器102は、トランザクション番号を独自に生成し、通信機器102のIDが変更されたときや、接続先制御サーバー101が変更されたときには、トランザクション番号を新たに振り直す。このとき、トランザクション番号には、ランダムな番号を使用する。【0076】<第8実施形態>本実施形態では、通信機器102は、同一トランザクションの実行に複数の処理が存在するとき、それらの複数の処理の順序を守って処理を行う。【0077】<追記>なお、上記の各実施形態は、組み合わせて実施することも可能である。【0078】<まとめ>以上のように、本発明は、通信機器と分離された制御サーバーから通信機器の転送テーブルを追加・書換・削除することにより、端末間のトラフィックの認可・経路・QoS等を制御する通信システムにおいて、一貫性を保って複数の通信機器の転送テーブルに対する操作を行うことにより、端末間の通信の接続性を確保するための通信機器の制御方式に関する。【0079】本発明は、制御サーバーからの通信機器の転送テーブルのエントリ等の登録・書換・削除を行うときに、通信機器間での状態不一致を抑止し、意図しないトラフィックのループや廃棄をなくし、通信の信頼性を向上することを目的とする。【0080】本発明の手法では、制御サーバー101が行った通信機器102に対する転送テーブルのエントリの登録・書換・削除やインタフェースのUP/DOWNの制御等の制御指示に対して、通信機器102で制御サーバー101からの制御指示内容の検証を行い、通信機器102でその検証結果を保持し、制御サーバー101がその検証結果を取得し、複数の通信機器102からの検証結果を基にして、一連の制御が実行可能かどうか判断した後に、複数の通信機器102での実行を促す。【0081】もしくは、制御サーバー101からの指示に従って、通信機器102はいったん実行し、制御サーバー101は制御命令が異常であると判断した場合、通信機器102に対して、実行結果をロールバックする。【0082】以上、本発明の実施形態を詳述してきたが、実際には、上記の実施形態に限られるものではなく、本発明の要旨を逸脱しない範囲の変更があっても本発明に含まれる。【0083】なお、本出願は、日本出願番号2010-001292に基づく優先権を主張するものであり、日本出願番号2010-001292における開示内容は引用により本出願に組み込まれる。
S
WO2011086952
2011549954
null
JP2011050008
20110104
20130520
null
null
WO2011086952
20110721
5549681
20140530
null
null
null
動画像符号化データの表示方法、装置及び通信システム
000002130
住友電気工業株式会社
100088155
長谷川 芳樹
藤田 康仁,畑 洋一,後藤 由光,柿井 俊昭
2010006046,20100114,JP
8
H04N 7/26 (20060101)
H04N 7/13 A
11
null
null
AP(BW,GH,GM,KE,LR,LS,MW,MZ,NA,SD,SL,SZ,TZ,UG,ZM,ZW),EA(AM,AZ,BY,KG,KZ,MD,RU,TJ,TM),EP(AL,AT,BE,BG,CH,CY,CZ,DE,DK,EE,ES,FI,FR,GB,GR,HR,HU,IE,IS,IT,LT,LU,LV,MC,MK,MT,NL,NO,PL,PT,RO,RS,SE,SI,SK,SM,TR),OA(BF,BJ,CF,CG,CI,CM,GA,GN,GQ,GW,ML,MR,NE,SN,TD,TG),AE,AG,AL,AM,AO,AT,AU,AZ,BA,BB,BG,BH,BR,BW,BY,BZ,CA,CH,CL,CN,CO,CR,CU,CZ,DE,DK,DM,DO,DZ,EC,EE,EG,ES,FI,GB,GD,GE,GH,GM,GT,HN,HR,HU,ID,IL,IN,IS,JP,KE,KG,KM,KN,KP,KR,KZ,LA,LC,LK,LR,LS,LT,LU,LY,MA,MD,ME,MG,MK,MN,MW,MX,MY,MZ,NA,NG,NI,NO,NZ,OM,PE,PG,PH,PL,PT,RO,RS,RU,SC,SD,SE,SG,SK,SL,SM,ST,SV,SY,TH,TJ,TM,TN,TR,TT,TZ,UA,UG,US,UZ,VC,VN,ZA,ZM,ZW
1
23
5C159
5C159 LB07 ,5C159 MA00 ,5C159 PP04 ,5C159 PP14 ,5C159 RF23 ,5C159 SS08 ,5C159 TA07 ,5C159 TA76 ,5C159 TB04 ,5C159 TC00 ,5C159 TC12 ,5C159 TC22 ,5C159 TD12 ,5C159 UA05
本発明は、動画像の画質劣化を可能な限り回避する一方で伝送エラーに起因した映像品質の劣化を観察者に認識されないよう隠蔽する動画像符号化データの表示方法等に関する。当該表示方法は、復号化すべき対象画像フレームと廃棄すべき対象画像フレームを、エラー回復処理等の画像復元処理と並行して行われるエラー判定(32)を経て選別し、選別された対象画像フレームのみを復号化(33)する。所定の表示装置へは、復号化された対象画像フレームのみをフレームレートを調節しながら順次表示(34)していく。
【請求項1】それぞれが圧縮された複数の画像フレームの符号化データにより構成されるとともに所定の伝送手段を介して配信される動画像符号化データの表示方法であって、前記伝送手段を介して前記複数の画像フレームの符号化データを順次受信していく受信工程と、受信された画像フレームのうち単位時間当たりに表示されるべき対象画像フレームであって所定のフレーム間隔で配置された対象画像フレームの符号化データそれぞれについて、伝送エラーに起因したデータ欠損率を計算し、得られた計算結果に基づいて、前記対象画像フレームそれぞれのうちから復号化すべき対象画像フレームを選別する選別工程と、前記選別工程において選別された対象画像フレームの符号化データのみを復号化する復号化工程と、前記復号化工程において復号化された対象画像フレームのフレーム数に基づいてフレームレートを調整しながら、該対象画像フレームを所定の表示装置に表示していく表示工程と、を備えた動画像符号化データの表示方法。【請求項2】前記対象画像フレームの符号化データの受信完了までの間、受信した符号化データに含まれる欠損データの再送リクエストが行われることを特徴とする請求項1記載の動画像符号化データの表示方法。【請求項3】前記選別工程において、前記データ欠損率の計算結果とともに、受信されたデータ間の連続性に基づいて、前記対象画像フレームそれぞれのうちから復号化すべき対象画像フレームが選別されることを特徴とする請求項1又は2記載の動画像符号化データの表示方法。【請求項4】前記選別工程において、復号化の対象から除外された対象画像フレームのうち少なくともいずれかについて、再送リクエストが行われることを特徴とする請求項1~3のいずれか一項記載の動画像符号化データの表示方法。【請求項5】前記表示工程におけるフレームレートの調整では、単位時間当たりに表示されるべき対象画像フレームの、時間軸上の位置を変更することなく、前記選別工程において選別されなかった対象画像フレームが廃棄されることを特徴とする請求項1~4のいずれか一項記載の動画像符号化データの表示方法。【請求項6】前記表示工程におけるフレームレートの調整では、前記復号化工程において復号化された対象画像フレームが、それぞれのフレーム間隔が一致するよう前記単位時間内に均等配置されることを特徴とする請求項1~4のいずれか一項記載の動画像符号化データの表示方法。【請求項7】前記受信工程において受信される前記対象画像フレームの符号化データは、優先順位の異なる複数種類の情報を含み、前記対象画像フレームの符号化データは、該優先順位の高い情報から順に配信されることを特徴とする請求項1~6のいずれか一項記載の動画像符号化データの表示方法。【請求項8】前記対象画像フレームのうち隣接する対象画像フレーム間を比較することにより画素移動を検知し、画素移動が検知された対象画像フレームのフレームデータにおける空間領域に、前記選別工程における選別基準値を決定するための重み付けをすることを特徴とする請求項1~6のいずれか一項記載の動画像符号化データの表示方法。【請求項9】前記再送リクエストの対象となった欠損データに相当する符号化データは、他の送信予定の符号化データに優先して配信されることを特徴とする請求項2記載の動画像符号化データの表示方法。【請求項10】それぞれが圧縮された複数の画像フレームの符号化データにより構成された動画像符号化データを、所定の伝送手段を介して取り込むための入出力部と、前記入出力部を介して取り込まれた動画像符号化データを一旦格納するためのメモリと、請求項1~9のいずれか一項記載の動画像符号化データの表示方法を実行するための制御部と、表示部と、を備えた表示装置。【請求項11】それぞれが圧縮された複数の画像フレームの符号化データにより構成されるとともに所定の伝送手段を介して配信される動画像符号化データのための通信システムであって、請求項7~9のいずれか一項記載の動画像符号化データの表示方法に基づいて、動画像符号化データを配信する送信手段と、請求項1~6記載の動画像符号化データの表示方法を実施する受信手段と、を備えた通信システム。
【請求項1】それぞれが圧縮された複数の画像フレームの符号化データにより構成されるとともに所定の伝送手段を介して配信される動画像符号化データの表示方法であって、前記伝送手段を介して前記複数の画像フレームの符号化データを順次受信していく受信工程と、受信された画像フレームのうち単位時間当たりに表示されるべき対象画像フレームであって所定のフレーム間隔で配置された対象画像フレームの符号化データそれぞれについて、伝送エラーに起因したデータ欠損率を計算し、得られた計算結果に基づいて、前記対象画像フレームそれぞれのうちから復号化すべき対象画像フレームを選別する選別工程と、前記選別工程において選別された対象画像フレームの符号化データのみを復号化する復号化工程と、前記復号化工程において復号化された対象画像フレームのフレーム数に基づいてフレームレートを調整しながら、該対象画像フレームを所定の表示装置に表示していく表示工程と、を備えた動画像符号化データの表示方法。
【技術分野】【0001】本発明は、有線、無線を問わず既存のネットワークなどを介して配信される動画像符号化データの表示技術に関し、特に、表示装置に表示される映像の伝送エラーに起因した画質劣化を隠蔽可能にする方法、装置及び通信システムに関するものである。
【背景技術】【0002】近年、高速データ通信技術の発展に伴い、既存のネットワークを介した動画像データなど大容量データの送受信がネットワーク利用者単位で可能になってきた。しかしながら、大容量データの送受信は、勢いトラフィックの増大を招いてしまうため、一部のネットワーク利用者によってネットワーク資源が占有される状況を招いてしまう。このような状況は、ネットワーク利用者全体がネットワーク資源を享受することを困難にするため、通信事業者、サービスプロバイダ等では、伝送チャネルごとに帯域制限を加えることで、ネットワーク資源が一部のネットワーク利用者に占有されないような運用が実施されている。【0003】一方、ディジタル信号処理技術の発展に伴い、制限された帯域幅の伝送チャネルを介してより効率的なデータ伝送を可能にするため、動画圧縮技術も盛んに研究されている。特に、このような動画圧縮技術には、Motion-JPEG、Motion-JPEG2000、H264などが知られており、送信側において画像フレームごとの圧縮(符号化)が行われる一方、受信側では圧縮された画像フレームごとに復号化していくことで、モニタ等への動画像表示を可能にしている。【0004】しかしながら、ネットワークを利用した動画像配信サービスなどでは、通信品質等の劣化に起因した伝送エラーが頻繁に発生する。この場合、受信側で再生されたモニタ映像にはブロックノイズ等が発生するなど、明らかな画質劣化が生じてしまう。そのため、以下の特許文献1~3には、動画像配信サービスにおいて伝送エラーが発生した場合でも、送信側から受信側への動画像データの再送処理や、正常に受信されなかった動画像データに対するエラー回復処理を実行することで、可能な限り動画像データ再生時の画質劣化を防ぐための技術が開示されている。
【発明が解決しようとする課題】【0006】発明者らは、従来の動画像符号化データに対する表示技術、特にエラー補償技術(エラー隠蔽技術ともいう)について検討した結果、以下のような課題を発見した。すなわち、上述の特許文献1~3に記載された技術は、動画像符号化データの送受信において伝送エラーが発生した場合でも、再送処理や、エラー回復処理(誤り訂正、フレーム間予測による補間など)などの画像復元処理を実行することにより、可能な限りモニタ表示される動画像の画質劣化を防ぐことを主目的とした技術ではある。しかしながら、最終的に回復できない場合には画質劣化を伴いながら配信された動画像をモニタ等に表示することとなる。そのため、モニタ映像にはブロックノイズ等が発生するなど、明らかな画質劣化が観察者に認識される。特に、実際の動画像符号化データの送受信では、その対応可能な範囲超えるデータ劣化が生じてしまうため、表示画像の品質劣化は避けられないという課題があった。【0007】本発明は、上述のような課題を解決するためになされたものであり、可能な限り画像復元処理により動画像データ再生時の画質劣化を回避する一方、伝送エラーに起因した表示映像の画質劣化を観察者に認識されないよう隠蔽する動画像符号化データの表示方法、装置及び通信システムを提供することを目的としている。
【課題を解決するための手段】【0008】一般に、一定レベル以上のフレームレートを持つ動画像データの品質が劣化する場合、観察者には、画質自体の劣化よりもフレームレートの低下の方が、より認識しづらいことが知られている。本発明は、このような観察者の視覚特性に着目して完成されたものであり、可能な限り再送処理、エラー回復処理等の画像復元処理を実行しつつ、表示される映像の画質劣化を観察者に認識させることなく、効果的に伝送エラーに起因したデータ欠損等を隠蔽することを可能にする。具体的に、本発明に係る通信システムは、ネットワークへ接続されたサーバなどの受信手段と、端末装置などの受信手段を備え、それぞれが圧縮された複数の画像フレームの符号化データにより構成されるとともに所定の伝送手段を介して配信される動画像符号化データを処理対象とする。特に受信手段で行われる表示方法(本発明に係る動画像符号化データの表示方法)は、配信される複数の画像フレームの符号化データを順次受信していく受信工程と、受信された複数の画像フレームのうち単位時間当たりに表示されるべき複数の対象画像フレームから復号化対象とすべき画像フレームを順次選別していく選別工程と、復号化工程と、表示工程を備える。なお、本発明は、動画像符号化データの表示に際し、単独で適用されてもよく、また、従来のエラー隠蔽技術と組み合わせて適用されてもよい。【0009】選別工程は、単位時間当たりに表示されるべき対象画像フレームであって所定のフレーム間隔で配置された対象画像フレームの符号化データそれぞれについて、伝送エラーに起因したデータ欠損率を計算し(エラー判定)、対象画像フレームそれぞれのうち復号化すべき対象画像フレームを、得られた計算結果に基づいて選別する。復号化工程は、選別工程において選別された対象画像フレームの符号化データのみを復号化する。したがって、選別工程では、選別されなかった対象画像フレーム(一定レベル以下にデータ品質が劣化したことにより復号化対象とならなかった対象画像フレーム)については廃棄される。また、表示工程は、復号化工程において復号化された対象画像フレームのフレーム数に基づいてフレームレートを調整しながら、該対象画像フレームを所定の表示装置に表示していく。【0010】上述のように本発明によれば、一定品質以上の画像フレームを、フレームレートを調整しながら所定の表示装置に表示していくことにより、表示映像の画質劣化を効果的に隠蔽することが可能になる。また、本願発明に係る表示方法と従来のエラー隠蔽技術とを組み合わせた構成では、エラー判定により本来であれば復号化対象とならない対象フレームであっても許容範囲内であれば、従来のエラー隠蔽技術によりエラー回復させることにより復号化対象となり得る。この場合、廃棄される動画像フレームのフレーム数を減らせるため、本発明による隠蔽効果を更に向上させることが可能になる。【0011】なお、本発明に係る動画像符号化データの表示方法において、対象画像フレームの符号化データの受信完了までの間、受信した符号化データに含まれる欠損データの再送リクエストが行われるのが好ましい。欠損データを解消するための再送リクエストにより復号化可能なデータを受信することが出来れば、画像復元処理や破棄の判断が不要になる。結果的に、復号化可能なデータを受信した時点で欠損データの数を低減させることが可能になるからである。通常、1つの像フレームはパケット単位で送受信されるが、ここで、「符号化データの受信完了」時点とは、例えば、対象画像フレームの最終パケット受信時、次の対象画像フレームの先頭パケット受信時、タイムアウト時などによって決定されればよい。また、再送リクエストを受けた欠損データに相当する符号化データは、他の送信予定の符号化データに優先して配信されるのが好ましい。再送リクエストの対象となった欠損データに相当する符号化データ(配信前においては復号化可能な正常な符号化データ)の再送が遅れると、次以降の画像フレームの復号化処理の遅延を招くからである。【0012】本発明に係る動画像符号化データの表示方法の選別工程において、データ欠損率の計算結果とともに、受信されたデータ間の連続性に基づいて、対象画像フレームそれぞれのうちから復号化すべき対象画像フレームが選別されてもよい。データ欠損率が許容範囲外となった符号化データであっても、受信データの連続性が一定以上確保されたデータであれば、同フレーム内の他の受信データやフレーム間予測などによってロス隠蔽、データ補完などが可能な程度の情報が十分得られるからである。【0013】また、本発明に係る動画像符号化データの表示方法の選別工程において、復号化の対象から除外された対象画像フレームのうち少なくともいずれかについて、再送リクエストを行ってもよい。破棄対象となった画像フレームであっても、その画像特性によっては再度データ欠損率が許容範囲に収まるまで再送リクエストを行うことが妥当な場合もあり得るからである。【0014】本発明に係る動画像符号化データの表示方法において、表示工程におけるフレームレートの調整では、単位時間当たりに表示されるべき対象画像フレームの、時間軸上の位置を変更することなく、選別工程において選別されなかった対象画像フレームのみが廃棄される。ただし、選別工程により複数の画像フレームが連続して廃棄されると、表示される対象画像フレームのフレーム間隔が広がってしまい、観察者に対象画像フレームのスキップ表示が認識されてしまう。このような場合におけるフレームレートの調整では、復号化工程において復号化された対象画像フレームが、それぞれのフレーム間隔が一致するよう単位時間内に均等配置されるのが好ましい。何れのフレームレート調整によっても、上述のように、表示される対象画像フレームは品質劣化がないか少ないため、観察者の視覚特性により表示される映像の画質劣化を効果的に隠蔽することが可能になる。【0015】本発明に係る動画像符号化データの表示方法は、対象画像フレームのうち隣接する対象画像フレーム間を比較することにより画素移動を検知し、画素移動が検知された対象画像フレームのフレームデータにおける空間領域に、選別工程における選別基準値を決定するための重み付けを行うことも可能である。なお、画素移動が検知される空間領域は、観察者に視認される可能性の高い領域であり、可能な限り、表示されるべき対象画像フレームの廃棄を回避するのが好ましい。そのため、画素移動が検知された対象画像フレームについて、他の対象画像フレームよりも選別基準値を低くするよう、差別化するのが好ましい。【0016】本発明に係る動画像符号化データの表示方法において、受信工程で受信される対象画像フレームの符号化データは、優先順位の異なる複数種類の情報を含む。また、対象画像フレームの符号化データは、該優先順位の高い情報から順に配信される。1つの画像フレームが複数の送信パケットに分割される際、より重要性(画像復号化への寄与度)の高い情報から優先的に送信することで、回復の可能性が高くなるからである。また、優先順位としては、例えば、画質、解像度、色コンポーネント、位置(空間領域)の順とするなどの予め送信側で決定されているものとする。【0017】本発明に係る表示装置は、上述のように構成された動画像符号化データの表示方法を実現するための装置であり、少なくとも、入出力部と、メモリと、制御部と、表示部を備える。具体的に、入出力部は、それぞれが圧縮された複数の画像フレームの符号化データにより構成された動画像符号化データを、所定の伝送手段を介して取り込む。メモリは、入出力部を介して取り込まれた動画像符号化データを一旦格納する。制御部は、上述のように構成された動画像符号化データの表示方法(本発明に係る動画像符号化データの表示方法)を実行する。表示部は、制御部により調整されたフレームレートで、復号化された対象画像フレームを順次表示していく。【0018】なお、本発明に係る各実施例は、以下の詳細な説明及び添付図面によりさらに十分に理解可能となる。これら実施例は単に例示のために示されるものであって、この発明を限定するものと考えるべきではない。【0019】また、本発明のさらなる応用範囲は、以下の詳細な説明から明らかになる。しかしながら、詳細な説明及び特定の事例はこの発明の好適な実施例を示すものではあるが、例示のためにのみ示されているものであって、本発明の範囲における様々な変形および改良はこの詳細な説明から当業者には自明であることは明らかである。
【発明の効果】【0020】本発明に係る動画像符号かデータの表示方法、装置及び通信システムによれば、可能な限りエラー回復処理等により動画像データ再生時の画質劣化を回避しながら、劣化画像フレームのみを廃棄するとともに表示用のフレームレートを調整しながら復号化された画像フレームを順次所定の表示装置に表示していく。そのため、廃棄される劣化画像フレームを最小限に抑制しつつ表示映像の画質劣化が効果的に隠蔽され得る。
【発明を実施するための形態】【0022】以下、本発明に係る動画像符号化データの表示方法、表示装置及び通信システムの各実施形態を、図1~図8を参照しながら詳細に説明する。なお、図面の説明において同一の要素には同一符号を付して重複する説明を省略する。【0023】図1は、本発明に係る動画像符号化データの表示方法の一実施形態を実現するためのシステム構成(本発明に係る通信システム)の一例を説明するための概念図である。本発明の表示対象となる動画像符号化データは、図1に示されたように、通信システムにおいて、動画像符号化データの送信手段であるサーバ20からネットワーク10を介して該動画像符号化データの受信手段である各端末装置30(図中、端末Aおよび端末Bで示す)に配信され、それぞれが圧縮された複数の画像フレームの符号化データにより構成されている。なお、図1に示された通信システムにおいて、ネットワーク10に接続された端末装置のうち何れかが、コンテンツ配信を制御するサーバとして機能し、他の端末装置30が受信用端末装置(本発明に係る表示装置)として機能する。【0024】サーバ20は、複数種類の配信用コンテンツが格納されたデータベース21(図中、D/Bで示す)を備えており、このD/B21から読み出された映像データを画像フレームごとに圧縮することで(符号化22)、動画像符号化データが生成される。サーバ20は、このように生成された動画像符号化データ(複数の分割された送信パケット)を、ネットワーク10を介して、配信要求のあった端末装置30(例えば端末A)に配信する(送信23)。なお、サーバ20では、端末Aからの再送リクエストを受信し(リクエスト受信24)、送信パケットの送信順序を調節する。【0025】サーバ20から配信される動画像符号化データは、例えば、画質、解像度、色コンポーネント、位置の順に予め優先順位が決定されており、サーバ20は、動画像符号化データとして、この優先順位の高い送信パケットから順に端末Aへ配信する(送信23)。【0026】一方、端末Aでは、ネットワーク10を介してサーバ20から送信された動画像符号化データを受信し(受信31)、単位時間当たりに表示されるべき対象画像フレームの選別および廃棄を行う(エラー判定32)。端末Aでは、選別された対象画像フレームの復号化を行った後(復号化33)、表示用のフレームレートを調整しながら所定の表示装置に順次表示していく(表示34)。なお、端末Bにおいても端末Aと同様の表示制御が行われる。また、エラー判定32は、復号化33の前、復号化33の最中、また、復号化33の終了後の何れもタイミング行われてもよい。【0027】また、端末Aでは、対象画像フレームの符号化データの受信完了までの間、欠損データの数を低減させるため、受信した符号化データに含まれる欠損データの再送リクエストが行われる(リクエスト送信35)。ここで、「符号化データの受信完了」時点とは、例えば、対象画像フレームの最終パケット受信時、次の対象画像フレームの先頭パケット受信時、タイムアウト時などによって決定される。一方、サーバ20では、再送リクエストを受けた欠損データを含む送信パケットを、他の送信パケットに優先して配信する(送信23)。【0028】図2は、図1に示された端末装置30(本発明に係る表示装置であって、図1中の端末A、端末Bそれぞれに相当する)の具体的な構造を示す図である。図2に示された端末装置は、情報処理部300と、表示用モニタ400により構成され、情報処理部300は、入出力部310(I/O)と、制御部320と、描画部330と、入出力部340と、メモリ350を備える。【0029】具体的に、I/O310は、ネットワーク10を介して動画像符号化データを取り込むためのインターフェースである。また、I/O340は、外部入力装置であるキーボード410やマウス(ポインティングデバイス)420のインターフェースである。メモリ350は、I/O310を介して取り込まれた動画像符号化データ、本発明に係る動画像符号化データの表示方法を実行するためのプログラム、各種制御データ等が格納される。制御部320は、メモリ350に格納されているプログラム(本発明に係る動画像符号化データの表示方法を実行するためのプログラム)を実行する。描画部330は、制御部320が復号化した画像フレームを順次表示用モニタ400に表示させる画像プロセッサであり、制御部330からの表示制御信号に従って、所定のフレームレートで復号化された画像データを表示用モニタ400に表示していく。【0030】上述のような構造を有する端末装置30において表示制御の対象となる動画像符号化データの構造を図3に示す。なお、図3は、表示対象となる動画像符号化データの構造を説明するための図である。【0031】動画像データ自体は、図3の領域(a)に示されたように、時間軸上において一定間隔(フレーム間隔)を空けて配置された複数の画像フレームfn(n=0、1,2、…)により構成されており、これら複数の画像フレームf0~fn+1それぞれを圧縮(符号化)することにより動画像符号化データが得られる。なお、フレームレート単位時間T中に配置された表意されるべき画像フレームのフレーム数で規定される。【0032】図3の領域(b)には、一例としてMotion-JPEG2000の画像フレーム、すなわち、画像フレームfnのフレームデータの構造が示されている。画像フレームfnは、複数種類の階層的スケーラビリティにより構成されており、各スケーラビリティとしては、色コンポーネントA、B、C、画質A1、B1、C1、解像度A2、B2、C2、空間領域A3、B3、C3が指定されている。図1に示された端末Aにおけるエラー判定32では、画像フレームfnにおける各スケーラビリティ(色コンポーネント成分、画質成分、解像度成分、空間領域)に対して重みづけを施したデータ欠損率を設定し、その設定されたデータ欠損率を判定基準として、復号化すべき画像フレームの選別が行われる。ここで、データ欠損率は、各スケーラビリティについて、エラー判定の対象となった全成分数に対する伝送エラー等に起因して欠落した成分数の比で定義される。【0033】具体的に、エラー判定32から復号化33までの選別工程を、図4及び図5のフローチャートを用いて詳細に説明する。【0034】まず、この選別工程では、単位時間T当りに表示されるべき画像フレームを対象とし、順次対象となる画像フレームを変更しながら主にエラー判定が行われる(ステップST440、ST441)。なお、図4のフローチャートでは、各スケーラビリティのエラー判定ステップとして入れ子構造が採用されているが、説明の都合上、対象となるスケーラビリティごとに独立にエラー判定が行われるよう説明する。したがって、以下の説明内容と図4のフローチャートに実質的な差異はない。【0035】対象となった各画像フレームについては、対象色コンポーネントのデータ欠損率が判定される(ステップST401)。このステップST401における判定は、エラー判定の対象である画像フレームのフレームデータを構成する色コンポーネント全てについて順次行われる(ステップST414、ST424)。この間、計算されたデータ欠損率が予め重み付けされた判定値L1と等しいか、あるいは、判定値L1を超えた場合、データ連続性の確認が行われる(ステップST401a)。対象色コンポーネントのエラー判定において、データ欠損が許容値未満である場合、又は、データ欠損率が許容値以上であってもデータ補間に必要な情報が確保できる程度のデータ連続性が確認できた場合には、他のスケーラビリティとして、画質成分のエラー判定が行われる。一方、対象色コンポーネントのエラー判定において、データ欠損率、データ連続性の双方について画像復元が不能と判断された場合には(J1)、破棄判定処理S100が行われる。【0036】画質成分のデータ欠損率判定(ステップST402)も、エラー判定の対象である画像フレームを構成するフレームデータのうち画質成分全てについて順次行われる(ステップST413、ST423)。この間、計算されたデータ欠損率が予め重み付けされた判定値L2と等しいか、あるいは、判定値L2を超えた場合、データ連続性の確認が行われる(ステップST402a)。対象画質成分のエラー判定において、データ欠損が許容未満である場合、又は、データ欠損率が許容値以上であってもデータ補間に必要な情報が確保できる程度のデータ連続性が確認できた場合には、他のスケーラビリティとして、解像度成分のエラー判定が行われる。一方、対象画質成分のエラー判定において、データ欠損率、データ連続性の双方について画像復元が不能と判断された場合には(J2)、破棄判定処理S100が行われる。【0037】解像度成分のデータ欠損率判定(ステップST403)も、エラー判定の対象である画像フレームを構成するフレームデータのうち解像度成分全てについて順次行われる(ステップST412、ST422)。この間、計算されたデータ欠損率が予め重み付けされた判定値L3と等しいか、あるいは、判定値L3を超えた場合、データ連続性の確認が行われる(ステップST403a)。対象解像度成分のエラー判定において、データ欠損が許容未満である場合、又は、データ欠損率が許容値以上であってもデータ補間に必要な情報が確保できる程度のデータ連続性が確認できた場合には、他のスケーラビリティとして、空間領域成分のエラー判定が行われる。一方、対象解像度成分のエラー判定において、データ欠損率、データ連続性の双方について画像復元が不能と判断された場合には(J3)、破棄判定処理S100が行われる。【0038】さらに、空間領域(位置)成分のデータ欠損率判定(ステップST404)も、エラー判定の対象である画像フレームを構成するフレームデータのうち解像度成分全てについて順次行われる(ステップST411、ST421)。この間、計算されたデータ欠損率が予め重み付けされた判定値L4と等しいか、あるいは、判定値L4を超えた場合、データ連続性の確認が行われる(ステップST404a)。対象空間領域成分のエラー判定において、データ欠損が許容未満である場合、又は、データ欠損率が許容値以上であってもデータ補間に必要な情報が確保できる程度のデータ連続性が確認できた場合には、他のスケーラビリティとして、空間領域成分のエラー判定が行われる。一方、対象解像度成分のエラー判定において、データ欠損率、データ連続性の双方について画像復元が不能と判断された場合には(J4)、破棄判定処理S100が行われる。【0039】図5に示されたように、破棄判定処理S100では、データ欠損状態が判定される(ステップST501)。判定結果J1~J4のいずれかに基づいてステップST501が行われる場合、色コンポーネント、画質成分、解像度成分、空間領域成分の少なくともいずれかが破棄基準を満たしていることになる。しかしながら、画質成分、解像度成分はエラー判定をクリアしているような場合、実用上十分な品質の画像復号化が可能となる。このような場合には、処理を合流点J10へ移す。さらに、ステップST501において画像復元不可能と判定された場合でも、フレーム受信完了前であったり、また、画像フレームの特性によっては各コンポーネントが許容値に達していなくとも許容値に達するまで再送リクエストを行った方がよい場合もある。そこで、ステップST502では、再送リクエストの必要性が判断され(ステップST502)、再送リクエストが必要と判断されればリクエスト送信(図1のリクエスト送信35)を行い(ステップST503)、処理を合流点へ移す。一方、ステップST502において、再送リクエスト不要と判断した場合には、当該対象画像フレームは破棄され(ステップST504)、処理が合流点J10へ移ことになる。【0040】以上の各スケーラビリティのエラー判定においてデータ欠損率が許容範囲内(設定値L1、L2、L3、L4未満)であること、データ連続性が確認されたこと等の諸条件を満たした画像フレームのみが選別され、続けて復号化が行われる(ステップST450)。【0041】なお、上述のエラー判定では、スケーラビリティごとに予め重み付けされた判定値(L1、L2、L3、L4)を設定したが、この重み付けを動的に変更することも可能である。例えば図6の領域(a)に示されたように、連続する画像フレームfn、fn-1間を比較することにより画素Aの移動を検知し、この画素移動が検知された対象画像フレームfnのフレームデータにおける空間領域に、選別工程における選別基準値を決定するための重み付けが行われてもよい。【0042】具体的には、図6の領域(b)のフローチャートに示されたように、連続する画像フレームfn、fn-1間を比較することにより、画素AがV1だけ移動したことが検知されると、この移動量V1と予め設定された判定値L5を比較し(ステップST601)、移動量V1が判定値L5以上であれば、重み付け動作として、対象画像フレームのフレームデータを構成する空間領域のエラー判定時に利用される判定値L4を変更する(ステップST602)。特に、画素移動が検知される空間領域は、観察者に視認される可能性の高い領域であり、可能な限り、表示されるべき対象画像フレームの廃棄を回避するのが好ましい。そのため、図6の領域(b)のフローチャートで示された重み付け工程が実行されることにより、画素Aの移動が検知された対象画像フレームfnは、他の対象画像フレームよりも判定値(選別基準値)を低くするよう、差別化される。【0043】なお、エラー判定方法としては、上述のようなエラー判定(色、画質、解像度、空間の順で判定するもの、図4参照)以外に、例えば、これら各スケーラビリティの判定を異なる順序で行うことも可能である。また、スケーラビリティ毎にエラー判定を行うだけでなく、各スケーラビリティのデータ欠損率の累積値を求め、この累積データ欠損率を基にエラー判定することとしてもよい。さらには、PSNRやSSIMなどの画質評価指標が用いられてもよい。【0044】次に、本発明に係る動画像符号化データの表示方法におけるフレームレートの調整方法について図7及び図8を用いて詳細に説明する。上述のように、本発明に係る動画像符号化データの表示方法は、観察者の映像劣化認識がフレームレートの増減よりも表示映像の画質劣化に敏感であるという知見に基づいて完成されたものであり、フレーム間隔の変動(単位時間T当りの表示すべき画像フレームのうち実際に選別される画像フレーム数の変動に連動する)に基づいて、種々のフレームレート調整が行われる。【0045】例えば、図7には、一部の画像フレームの廃棄に伴うフレーム間隔の最大値が比較的小さい場合のフレームレート調整が示されている。具体的には、図7の領域(a)に示されたように、単位時間T内にフレーム間隔Δtで均等配置された複数の画像フレームf0~f10の表示制御(フレームレート:11/T)において、選別工程により画像フレームf1、f6が廃棄された場合、復号化されるべき画像フレームf0、f2~f5、f7~f10の、単位時間T当りの最大フレーム間隔は2Δtと、比較的小さいため、図7の領域(b)に示されたように、単純に廃棄された画像フレームf1、f6を間引きした状態で復号化された画像フレームf0、f2~f5、f7~f10が順次表示用モニタ400(図2参照)に表示されていく(フレームレート:9/T)。【0046】一方、図8の領域(a)に示されたように、連続する複数の画像フレームが廃棄された場合、単位時間T当りに表示されるべき画像フレームの最大フレーム間隔は大きくなってしまう。例えば、図8の領域(a)の場合、単位時間T内にフレーム間隔Δtで均等配置された複数の画像フレームf0~f10の表示制御(フレームレート:11/T)において、選別工程により画像フレームf1、~f3、f6~f7が廃棄された場合、復号化されるべき画像フレームf0、f4~f5、f8~f10の、単位時間T当りの最大フレーム間隔は3Δtと、比較的大きくなる。この場合、図7の領域(b)に示されたフレームレート調整が行われると、観察者に対象画像フレームのスキップ表示が認識されてしまう。したがって、図8の領域(a)に示されたように、復号化されるべき画像フレーム間のフレーム間隔が大きくなる場合には、図8の領域(b)に示されたように、復号化された対象画像フレームが、それぞれのフレーム間隔が一致するよう単位時間内に均等配置(フレーム間隔:Δt’)されるのが好ましい(フレームレート:6/T)。なお、図7及び図8それぞれに示された何れのフレームレート調整によっても、フレームレートの調整のみが行われ、表示される対象画像フレームは品質劣化がないか少ないため、観察者の視覚特性により表示される映像の品質劣化を効果的に隠蔽することが可能になる。なお、フレームレート調整については、エラー判定工程の後であれば、復号化の前、後のいずれの段階においても行うことは可能である。【0047】以上の本発明の説明から、本発明を様々に変形しうることは明らかである。そのような変形は、本発明の思想および範囲から逸脱するものとは認めることはできず、すべての当業者にとって自明である改良は、以下の請求の範囲に含まれるものである。
S
WO2011096154
2011552673
null
JP2011000001
20110104
20130610
null
null
WO2011096154
20110811
5678345
20150116
null
null
null
色素増感太陽電池およびその製造方法
000006644,504174135
新日鉄住金化学株式会社,国立大学法人九州工業大学
100112771
内田 勝
早瀬 修二,河野 充,山口 能弘
2010022148,20100203,JP
8
H01M 14/00 (20060101), H01L 31/04 (20060101)
H01M 14/00 P ,H01L 31/04 Z
8
null
null
AP(BW,GH,GM,KE,LR,LS,MW,MZ,NA,SD,SL,SZ,TZ,UG,ZM,ZW),EA(AM,AZ,BY,KG,KZ,MD,RU,TJ,TM),EP(AL,AT,BE,BG,CH,CY,CZ,DE,DK,EE,ES,FI,FR,GB,GR,HR,HU,IE,IS,IT,LT,LU,LV,MC,MK,MT,NL,NO,PL,PT,RO,RS,SE,SI,SK,SM,TR),OA(BF,BJ,CF,CG,CI,CM,GA,GN,GQ,GW,ML,MR,NE,SN,TD,TG),AE,AG,AL,AM,AO,AT,AU,AZ,BA,BB,BG,BH,BR,BW,BY,BZ,CA,CH,CL,CN,CO,CR,CU,CZ,DE,DK,DM,DO,DZ,EC,EE,EG,ES,FI,GB,GD,GE,GH,GM,GT,HN,HR,HU,ID,IL,IN,IS,JP,KE,KG,KM,KN,KP,KR,KZ,LA,LC,LK,LR,LS,LT,LU,LY,MA,MD,ME,MG,MK,MN,MW,MX,MY,MZ,NA,NG,NI,NO,NZ,OM,PE,PG,PH,PL,PT,RO,RS,RU,SC,SD,SE,SG,SK,SL,SM,ST,SV,SY,TH,TJ,TM,TN,TR,TT,TZ,UA,UG,US,UZ,VC,VN,ZA,ZM,ZW
0
34
5F151,5H032
5F151 AA14,5H032 AA06 ,5H032 AS06 ,5H032 AS16 ,5H032 BB02 ,5H032 BB05 ,5H032 BB07 ,5H032 BB10 ,5H032 CC16 ,5H032 EE01 ,5H032 EE07 ,5H032 EE16 ,5H032 EE18 ,5H032 HH04 ,5H032 HH05 ,5H032 HH06
透明導電膜に換えて集電電極を用いる技術において、電池の作製作業が簡易で、また集電電極の厚みを望ましい薄さにすることができる色素増感太陽電池およびその製造方法を提供する。色素増感太陽電池10は、太陽光の入射側に設けられる透明基板12と、透明基板12と対向して設けられ、カソード極とされる導電性基板14と、多孔質半導体層16と、集電極とされる多孔質導電性金属層18と、多孔質絶縁層20を備える。多孔質導電性金属層18は、多孔質絶縁層20に成膜される0.3μm~100μmの厚みの層であり、多孔質半導体層16の透明基板12とは反対側に接触して配置され、アノード極とされる。多孔質絶縁層20は、多孔質導電性金属層18の多孔質半導体層16とは反対側に配置され、多孔質絶縁層20に対向して導電性基板14が配置される。
【請求項1】透明基板と、カソード極となる導電性基板と、該透明基板と該導電性基板の間に、該透明基板に近接してまたは接触して配置され色素を吸着した多孔質半導体層と、該多孔質半導体層の該透明基板とは反対側に接触して配置されアノード極となる多孔質導電性金属層と、該多孔質導電性金属層の該多孔質半導体層とは反対側に配置される多孔質絶縁層を備え、電解質が封入されてなる色素増感太陽電池であって、該多孔質導電性金属層が該多孔質絶縁層に成膜されてなり、0.3μm~100μmの厚みを有することを特徴とする色素増感太陽電池。【請求項2】前記多孔質導電性金属層が、Ti、W、Ni、PtおよびAuからなる群から選ばれる1種または2種以上の金属材料で形成されてなることを特徴とする請求項1記載の色素増感太陽電池。【請求項3】前記多孔質絶縁層がガラス繊維成形体からなることを特徴とする請求項1記載の色素増感太陽電池。【請求項4】前記透明基板および導電性基板がいずれもフレキシブル基板であり、前記多孔質導電性金属層および前記多孔質絶縁層が、いずれも前記多孔質半導体層の焼成温度以上の耐熱性を有する材料からなることを特徴とする請求項1~3のいずれか1項に記載の色素増感太陽電池。【請求項5】前記多孔質導電性金属層および前記多孔質絶縁層のそれぞれの材料がいずれも350℃以上の融点を有することを特徴とする請求項4記載の色素増感太陽電池。【請求項6】前記多孔質絶縁層が100μm以下の厚みを有することを特徴とする請求項4記載の色素増感太陽電池。【請求項7】多孔質絶縁層の上に、成膜法によりアノード極とされる0.3μm~100μmの厚みの多孔質導電性金属層を設ける工程と、多孔質絶縁層に対向してカソード極とされる導電性基板を設ける工程と、多孔質導電性金属層に接して多孔質半導体層を設ける工程と、を有することを特徴とする色素増感太陽電池の製造方法。【請求項8】多孔質半導体層の焼成温度以上の耐熱性を有する材料からなる多孔質絶縁層の上に、成膜法によりアノード極とされる、多孔質半導体層の焼成温度以上の耐熱性を有する材料からなる0.3μm~100μmの厚みの多孔質導電性金属層を設ける工程と、多孔質導電性金属層の上に多孔質半導体層の材料であるペーストを設ける工程と、ペーストおよび多孔質導電性金属層の設けられた多孔質絶縁層を加熱して、ペーストを焼成することで多孔質半導体層を形成する工程と多孔質半導体層の側を向けて多孔質絶縁層にフレキシブル透明基板を対向配置する工程と、多孔質半導体層の側を外にして多孔質絶縁層にカソード極とされるフレキシブル導電性基板を対向配置する工程と、を有することを特徴とする色素増感太陽電池の製造方法。
【請求項1】透明基板と、カソード極となる導電性基板と、該透明基板と該導電性基板の間に、該透明基板に近接してまたは接触して配置され色素を吸着した多孔質半導体層と、該多孔質半導体層の該透明基板とは反対側に接触して配置されアノード極となる多孔質導電性金属層と、該多孔質導電性金属層の該多孔質半導体層とは反対側に配置される多孔質絶縁層を備え、電解質が封入されてなる色素増感太陽電池であって、該多孔質導電性金属層が該多孔質絶縁層に成膜されてなり、0.3μm~100μmの厚みを有することを特徴とする色素増感太陽電池。【請求項7】多孔質絶縁層の上に、成膜法によりアノード極とされる0.3μm~100μmの厚みの多孔質導電性金属層を設ける工程と、多孔質絶縁層に対向してカソード極とされる導電性基板を設ける工程と、多孔質導電性金属層に接して多孔質半導体層を設ける工程と、を有することを特徴とする色素増感太陽電池の製造方法。【請求項8】多孔質半導体層の焼成温度以上の耐熱性を有する材料からなる多孔質絶縁層の上に、成膜法によりアノード極とされる、多孔質半導体層の焼成温度以上の耐熱性を有する材料からなる0.3μm~100μmの厚みの多孔質導電性金属層を設ける工程と、多孔質導電性金属層の上に多孔質半導体層の材料であるペーストを設ける工程と、ペーストおよび多孔質導電性金属層の設けられた多孔質絶縁層を加熱して、ペーストを焼成することで多孔質半導体層を形成する工程と多孔質半導体層の側を向けて多孔質絶縁層にフレキシブル透明基板を対向配置する工程と、多孔質半導体層の側を外にして多孔質絶縁層にカソード極とされるフレキシブル導電性基板を対向配置する工程と、を有することを特徴とする色素増感太陽電池の製造方法。
【技術分野】【0001】本発明は、色素増感太陽電池およびその製造方法に関する。
【背景技術】【0002】色素増感太陽電池は、湿式太陽電池あるいはグレッツェル電池等と呼ばれ、シリコン半導体を用いることなくヨウ素溶液に代表される電気化学的なセル構造を持つ点に特徴がある。一般的には、透明な導電性ガラス板(透明導電膜を積層した透明基板)に二酸化チタン粉末等を例えば450℃以上の温度で焼付け、これに色素を吸着させて形成したチタニア層等の多孔質半導体層と導電性ガラス板(導電性基板)からなる対極の間に電解液としてヨウ素溶液等を配置した、簡易な構造を有する。【0003】色素増感太陽電池の発電メカニズムは、以下のとおりである。受光面である透明な導電性ガラス板面から入射した光を、多孔質半導体層に吸着された色素が吸収し、電子励起を引き起こし、その励起した電子が半導体へと移動し、導電性ガラスへと導かれる。ついで、対極に戻った電子はヨウ素などの電解液を介して電子を失った色素へと導かれ、色素が再生される。【0004】色素増感太陽電池は、材料が安価であり、作製に大掛かりな設備を必要としないことから、低コストの太陽電池として注目されている。色素増感太陽電池のさらなる低コスト化のため、例えば高価な透明導電膜を省略することが検討されている。【0005】透明導電膜を省略する方法の一つとして、導電性金属からなる配線を光照射側となる透明基板の上に施すことが検討されている。しかし、この場合、入射光の一部は金属配線部分に遮られることとなり、光電変換効率の低下を伴う。【0006】この点を改善するものとして、例えば、孔を有する集電電極として線径が1μm~10mmの金網を用い、この金網に多孔質半導体層の材料であるペーストを塗布し、ペーストを焼成して多孔質半導体層を形成した後に、透明導電膜を持たないガラス製透明基板に多孔質半導体層の側を向けて金網を配置する技術が開示されている(特許文献1参照)。この技術によれば、ガラス製透明基板に換えて樹脂製のフレキシブル透明基板を用いる場合においても、ペーストの焼成温度が樹脂の耐熱温度である例えば150℃以下の温度に制限されることがなく、ペーストを適切な温度で焼成して望ましい多孔質半導体層を得ることができる。しかしながら、集電電極として予め加工形成された金網あるいはその他の有孔板等を用いると、金網等を配置して色素増感太陽電池を作製する作業が煩雑になるものと思われる。また、金網等の厚みを薄くすることには限界があるため、金網等の厚みが厚いことに起因し、電解質が金網等を介して多孔質半導体層に移動する際の拡散抵抗が大きくなり、これにより光電変換効率の低下を来たすおそれも考えられる。【0007】これに対して、ガラス等の透明基板上に形成された半導体層(多孔質半導体層)に、マスク等を用いてパターニングしながら厚み1~100μm程度の集電体層(集電電極)を成膜する方法も検討されている(例えば特許文献2参照)。この方法によれば、集電体層として所望の薄膜を容易に形成することができる。しかしながら、この技術では、ガラス製透明基板に換えて樹脂製のフレキシブル透明基板を用いる場合に、ペーストの焼成温度が樹脂の耐熱温度である例えば150℃以下の温度に制限されるおそれがあり、また、透明基板とともに対極もフレキシブル基板とすると、色素増感太陽電池を使用中に屈曲した集電体層と対極が短絡するおそれもある。
【発明が解決しようとする課題】【0009】解決しようとする問題点は、上記した特許文献1のように透明導電膜に換えて集電電極として金網等を用いる技術において、色素増感太陽電池の作製作業が煩雑になる点および集電電極の厚みを望ましい薄さにすることに限界がある点である。
【課題を解決するための手段】【0010】本発明に係る色素増感太陽電池は、透明基板と、カソード極となる導電性基板と、該透明基板と該導電性基板の間に、該透明基板に近接してまたは接触して配置され色素を吸着した多孔質半導体層と、該多孔質半導体層の該透明基板とは反対側に接触して配置されアノード極となる多孔質導電性金属層と、該多孔質導電性金属層の該多孔質半導体層とは反対側に配置される多孔質絶縁層を備え、電解質が封入されてなる色素増感太陽電池であって、該多孔質導電性金属層が、該多孔質絶縁層に成膜されてなり、0.3μm~100μmの厚みを有することを特徴とする。【0011】また、本発明に係る色素増感太陽電池は、好ましくは、前記多孔質導電性金属層が、Ti、W、Ni、PtおよびAuからなる群から選ばれる1種または2種以上の金属材料で形成されてなることを特徴とする。【0012】また、本発明に係る色素増感太陽電池は、好ましくは、前記多孔質絶縁層がガラス繊維成形体からなることを特徴とする。【0013】また、本発明に係る色素増感太陽電池は、好ましくは、前記透明基板および導電性基板がいずれもフレキシブル基板であり、前記多孔質導電性金属層および前記多孔質絶縁層が、いずれも前記多孔質半導体層の焼成温度以上の耐熱性を有する材料からなることを特徴とする。【0014】また、本発明に係る色素増感太陽電池は、好ましくは、前記多孔質導電性金属層および前記多孔質絶縁層のそれぞれの材料がいずれも350℃以上の融点を有することを特徴とする。【0015】また、本発明に係る色素増感太陽電池は、好ましくは、前記多孔質絶縁層が100μm以下の厚みを有することを特徴とする。【0016】また、本発明に係る色素増感太陽電池の製造方法は、多孔質絶縁層の上に、成膜法によりアノード極とされる0.3μm~100μmの厚みの多孔質導電性金属層を設ける工程と、多孔質絶縁層に対向してカソード極とされる導電性基板を設ける工程と、多孔質導電性金属層に接して多孔質半導体層を設ける工程と、を有することを特徴とする。【0017】また、本発明に係る色素増感太陽電池の製造方法は、好ましくは、多孔質半導体層の焼成温度以上の耐熱性を有する材料からなる多孔質絶縁層の上に、成膜法によりアノード極とされる、多孔質半導体層の焼成温度以上の耐熱性を有する材料からなる0.3μm~100μmの厚みの多孔質導電性金属層を設ける工程と、多孔質導電性金属層の上に多孔質半導体層の材料であるペーストを設ける工程と、ペーストおよび多孔質導電性金属層の設けられた多孔質絶縁層を加熱して、ペーストを焼成することで多孔質半導体層を形成する工程と多孔質半導体層の側を向けて多孔質絶縁層にフレキシブル透明基板を対向配置する工程と、多孔質半導体層の側を外にして多孔質絶縁層にカソード極とされるフレキシブル導電性基板を対向配置する工程と、を有することを特徴とする。
【発明の効果】【0018】本発明に係る色素増感太陽電池は、アノード極となる多孔質導電性金属層が多孔質絶縁層に成膜された0.3μm~100μmの厚みの層であるので、集電電極として金網等を用いる技術に比べて、色素増感太陽電池の作製作業の煩雑さが少なく、また、電解質が金網等を介して多孔質半導体層に移動する際のように拡散抵抗が大きくなって光電変換効率の低下を来たすおそれがない。また、本発明に係る色素増感太陽電池は、透明基板および導電性基板としてフレキシブル基板を用いる場合において、0.3μm~100μmの厚みの多孔質導電性金属層および多孔質絶縁層を多孔質半導体層の焼成温度以上の耐熱性を有する材料で形成するので、色素増感太陽電池を屈曲させて使用する際に、多孔質絶縁層が介在することにより、多孔質導電性金属層が導電性基板と接触して短絡を生じるおそれがない。また、多孔質半導体層を多孔質導電性金属層の上に形成して焼成した後に透明基板と接合することにより、多孔質半導体層の焼成不足に起因する不都合を生じるおそれがない。また、本発明に係る色素増感太陽電池の製造方法は、多孔質絶縁層の上に、成膜法によりアノード極とされる0.3μm~100μmの厚みの多孔質導電性金属層を設けるので、集電電極の厚みが望ましい薄さである本発明に係る色素増感太陽電池を好適に得ることができる。また、本発明に係る色素増感太陽電池の製造方法は、フレキシブル透明基板およびフレキシブル導電性基板を用いる場合において、0.3μm~100μmの厚みの多孔質導電性金属層および多孔質絶縁層として多孔質半導体層の焼成温度以上の耐熱性を有する材料を用い、多孔質半導体層を多孔質導電性金属層上に形成して焼成した後にフレキシブル透明基板と接合するので、フレキシブル基板を用いた本発明に係る色素増感太陽電池を好適に得ることができる。
【発明を実施するための形態】【0020】本発明の実施の形態について、以下に説明する。【0021】図1に模式的に示すように、本実施の形態に係る色素増感太陽電池10は、透明基板12と、導電性基板14と、多孔質半導体層16と、多孔質導電性金属層18と、多孔質絶縁層20を備える。色素増感太陽電池10は、封止材(スペーサ)22で封止され、電解質24が封入される。透明基板12は、太陽光の入射側に設けられる基板である。導電性基板14は、透明基板12と対向して設けられ、カソード極とされる。多孔質半導体層16は、透明基板12と導電性基板14の間に、透明基板12に近接してまたは接触して配置される。多孔質半導体層16は色素を吸着する。多孔質導電性金属層18は、多孔質絶縁層20に成膜される0.3μm~100μmの厚みの層であり、多孔質半導体層16の透明基板12とは反対側に接触して配置され、アノード極とされる。多孔質絶縁層20は、多孔質導電性金属層18の多孔質半導体層16とは反対側に、導電性基板14と対向して配置される。多孔質導電性金属層18と多孔質絶縁層20は、いずれも、多孔質半導体層16に吸着した色素と導電性基板14との間での電解質のイオン拡散によって電荷を良好に移動させるために、多孔質に形成される。ここで、多孔質導電性金属層18および多孔質絶縁層20に形成される孔は、凹部状のものではなく、層の両表面に連通するものをいう。【0022】透明基板12および導電性基板14の基台(以下に説明する導電膜等を形成するためのベースとなる基板)は、例えば、ガラス板であってもよく、あるいは屈曲性を有する樹脂板(フレキシブル透明基板およびフレキシブル導電性基板)であってもよい。屈曲性を有する樹脂板の材料樹脂は、例えば、PET(ポリエチレンテレフタレート)、PEN(ポリエチレンナフタレート)、ポリイミド、硬化アクリル樹脂、硬化エポキシ樹脂、硬化シリコーン樹脂、各種エンジニアリングプラスチックス、メタセシス重合で得られる環状ポリマ等が挙げられる。導電性基板14は、上記の基台に導電膜を設け、さらに、導電膜の電解質(電解液)に向けた面には、例えば白金膜等の触媒膜を設ける。導電膜は、例えば、ITO(スズをドープしたインジウム膜)であってもよく、またFTO(フッ素をドープした酸化スズ膜)であってもよく、あるいはまたSnO2膜等であってもよい。また、導電性基板14は、導電膜を設けずに、基台に白金膜等の触媒膜のみを設けたものでもよい。この場合、触媒膜が導電膜として作用する。透明基板12および導電性基板14の厚みは、いずれも特に限定するものではなく、それぞれ、例えば10μm~1mm程度とすることができる。【0023】多孔質半導体層16は、半導体材料として、例えば、TiO2、ZnOまたはSnO2等の適宜の金属酸化物を用いることができるが、このうちTiO2が好ましい。多孔質半導体層16は、その厚みを特に限定するものではないが、好ましくは、通常よりも大きな14μm以上の厚みとする。なお、多孔質半導体層16が通常の厚みを有する場合にも本発明を好適に適用できることは勿論である。太陽光の変換効率を向上させる方法のひとつとして、多孔質半導体層の厚みを厚くして太陽光の吸収効率を上げる方法が考えられる。しかしながら、電子拡散長が多孔質半導体層の厚み寸法を超えてしまうと、それ以上多孔質半導体層の厚みを厚くしても効果がなく、逆に開放電圧が低下し、変換効率が低下する問題がある。これに対して、本実施の形態に係る色素増感太陽電池10によれば、電解質が集電層として作用する多孔質導電性金属膜18を介して多孔質半導体層16に移動し、多孔質半導体層16内を電子が容易に移動し、また、導電性金属膜18から電解質24への電荷移動抵抗が大きく、逆電子移動が起こりにくいため、多孔質半導体層16の厚みを例えば14μm以上に厚くした場合においても高い変換効率を得ることができる。多孔質半導体層16の厚みの上限は得られる変換効率の値等に応じて適宜設定されるが、例えば、40μm程度である。焼成されるTiO2の微粒子の粒径は特に限定するものではないが、1nm~100nm程度が好ましい。また、多孔質半導体層16の多孔質導電性金属層18に接する部分は多孔質半導体層16の多孔質導電性金属層18に対するカバレッジ率を高めるために例えば粒径が50μm程度の微粒子を用いて比較的粗な層とし、一方、これに積層する多孔質半導体層16側の部分は、粒径が例えば10~30nm程度の小さな微粒子を用いて多孔性の高い層とする積層構造とすることが好ましい。【0024】多孔質半導体層16は、上記の半導体材料が300℃以上、好ましくは350℃以上、さらに好ましくは400℃以上の温度で焼成されたものである。一方、焼成温度の上限は特にないが、多孔質半導体層14の材料の融点よりは十分に低い温度とし、好ましくは550℃以下の温度とする。また、多孔質半導体層16の材料としてチタン酸化物(チタニア)を用いる場合、ルチル結晶に移行しない程度の温度で、チタン酸化物の導電性が高いアナターゼ結晶の状態で焼成することが好ましい。多孔質半導体層16は、薄層に設けた上記の半導体材料を焼成した後、さらに薄層を設けて焼成する操作を繰り返して所望の厚みとすると、好適である。多孔質半導体層16は、フレキシブル透明基板12と接触していても、接触していなくてもどちらでもよいが、両者の間隔はなるべく短いほうがよい。【0025】多孔質半導体層16に吸着される色素は、400nm~1000nmの波長に吸収を持つものであり、例えば、ルテニウム色素、フタロシアニン色素などの金属錯体、シアニン色素などの有機色素を挙げることができる。【0026】多孔質導電性金属層18は、前記したように成膜法により多孔質絶縁層20に形成される。成膜法は、塗布法やスパッタリング等の薄膜形成方法を用いることができる。塗布法の場合、マスクを用いた印刷法を用いることは、所望の孔をより確実に形成するために好ましい一態様である。多孔質絶縁層20に薄膜に形成される多孔質導電性金属層18は、多孔質絶縁層20の孔に対応して多孔質である。形成される孔は、前記したように凹部状のものではなく、層の両表面に連通するものをいう。孔は、貫通孔であってもよく、また多孔質導電性金属層18の内部の連結孔であってもよく、さらにまたこれら貫通孔および連結孔が複合したものであってもよい。多孔質導電性金属層18の材料は、適度の導電性を有するものである限り耐熱性の条件を特に限定するものではないが、透明基板12としてフレキシブル透明基板を用いおよび導電性基板14としてフレキシブル導電性基板を用いる場合は、多孔質導電性金属層18の材料として、多孔質半導体層16の焼成温度以上の耐熱性を有するものであって、好ましくは350℃以上の融点を有し、さらに好ましくは400℃以上の融点を有するものを用いる。多孔質導電性金属層18の材料は、Ti、W、Ni、PtおよびAuからなる群から選ばれる1種または2種以上の金属材料またはこれらの化合物であると、電解質中の電荷輸送イオンとして用いられるヨウ素に対する耐食性の良好な導電性金属層を得ることができて好ましい。このとき、これらの金属材料の微粒子が凝集あるいは焼結して得られる多孔質導電性金属層18は、微粒子間に連結孔を有する。導電性金属層18の厚みは、100μm以下、好ましくは50μm以下、さらに好ましくは30μm以下であり、少なくとも0.3μm以上である。導電性金属層18の厚みが100μmを大きく超えると、導電性金属層の内部を通過する電解質の拡散抵抗が大きすぎて、電解質の移動が阻害されるおそれがある。一方、導電性金属層18の厚みが0.3μmよりもさらに小さいと、電気抵抗が増加して電極として適当でない。このように厚みの小さい多孔質導電性金属層18は、多孔質絶縁層20に成膜する方法で好適にかつ容易に実現することができ、また、このとき、多孔質絶縁層20が支持体としての役割を果たすことで、多孔質導電性金属層18は厚みを小さくしても剛性を確保することができる。【0027】多孔質絶縁層20の孔の形態は、多孔質絶縁層20を貫通する貫通孔および多孔質絶縁層20内部の連結孔のいずれであってもよく、また貫通孔および連結孔が複合したものであってもよい。貫通孔の場合、開口率は例えば30%以上程度あればよい。また、多孔質絶縁層20は、多孔質導電性金属層18の場合と同様に、電解質の拡散抵抗の増加を軽減する観点から、上記のように適度の多孔質であるとともに薄膜に形成される多孔質導電性金属層18の支持体として一定程度の剛性を確保できる限度で厚みが薄いことが望ましい。このような、多孔質性と薄い厚みで剛性を得ることができるものである限り、多孔質絶縁層20は、非晶質でも結晶質でも良く、例えばアルミ陽極酸化膜、アルミナ繊維成形体等の適宜の材料を用いることができるが、ガラス繊維成形体であるとより好ましい。多孔質絶縁層20の材料は、多孔質導電性金属層18と同様に、耐熱性の条件を特に限定するものではないが、透明基板12としてフレキシブル透明基板を用いおよび導電性基板14としてフレキシブル導電性基板を用いる場合は、多孔質絶縁層20の材料として、多孔質半導体層16の焼成温度以上の耐熱性を有するものであって、好ましくは350℃以上の融点を有し、さらに好ましくは400℃以上の融点を有するものを用いる。また、多孔質絶縁層20の材料は、電解質の溶媒やヨウ素に対して耐薬品性があるものが好ましい。このような性質を有するものであって、かつ十分な開口を有するものとして、多孔質絶縁層20の材料として上記のガラス繊維成形体を用いることが好ましい。ガラス繊維成形体は、ガラス繊維を織ったガラスクロス、ガラス繊維を適宜の手段で結合させたシートであるガラス不織布、またはガラス繊維を漉いて紙状にしたガラスペーパー(不織布の一部の態様のものはガラスペーパーに含まれる。)等を用いることができる。なお、このとき、多孔質絶縁層20および多孔質導電性金属層18を貫通する貫通孔を機械加工等の適宜の方法で形成することも好ましい態様である。これらのガラス繊維成形体は、交差する繊維間に例えば1μm~1mm程度のいわば目開きがあり、ガラス繊維成形体の内部で連結孔を有する。そして、ガラス繊維成形体に成膜する多孔質導電性金属層18は、ガラス繊維上に薄膜に形成される(図4参照)。多孔質絶縁層20の厚みは、電解質の拡散抵抗の増加を来たすものでない限り特に限定するものではない。ただし、電解質の拡散性および色素増感太陽電池のフレキシブル性を十分に確保するという観点で、好ましくは、厚みは100μm以下、さらに好ましくは50μm以下である。また、材料に応じて剛性が確保できるものである限り、厚みの下限は特になく、例えば1μm程度とすることができる。【0028】電解質24は、ヨウ素、リチウムイオン、イオン液体、t-ブチルピリジン等を含むものであり、例えばヨウ素の場合、ヨウ化物イオンおよびヨウ素の組み合わせからなる酸化還元体を用いることができる。酸化還元体は、これを溶解可能な適宜の溶媒を含む。電解質の注入方法は特に限定されないが、封止材の一部をシールせずに開口部にしておき、その開口部から電解質を注入し、開口部をシールすることもできる。また、フレキシブル導電性基板14の一部に予め開口部を設けておき、そこから電解質を注入した後に開口部をシールすることもできる。【0029】以上説明した構造を有する本実施の形態に係る色素増感太陽電池10は、アノード極となる多孔質導電性金属層18が多孔質絶縁層20に成膜されたものであるので、集電電極として金網等を用いる技術に比べて、色素増感太陽電池の作製作業の煩雑さが少なく、集電電極の厚みを望ましい薄さに形成することができる。また、導電性金属層18の厚みが0.3μm~100μmと小さいので、電解質が金網等を介して多孔質半導体層に移動する際のように拡散抵抗が大きくなって光電変換効率の低下を来たすおそれがない。また、本実施の形態に係る色素増感太陽電池10は、透明基板12としてフレキシブル透明基板を用いおよび導電性基板14としてフレキシブル導電性基板を用いる場合において、多孔質導電性金属層18および多孔質絶縁層20を多孔質半導体層16の焼成温度以上の耐熱性を有する材料で形成するので、色素増感太陽電池10を屈曲させて使用する際に、多孔質絶縁層20が介在することにより、多孔質導電性金属層18が導電性基板14と接触して短絡を生じるおそれがない。また、多孔質半導体層16を多孔質導電性金属層18の上に形成して焼成した後に透明基板12と接合することにより、多孔質半導体層16の焼成不足に起因する不都合を生じるおそれがない。【0030】つぎに、上記本実施の形態に係る色素増感太陽電池10の製造方法として好適な本実施の形態に係る色素増感太陽電池の製造方法について説明する。なお、各図において、各構成要素については図1で対応するものと同一の参照符号を付す。【0031】まず、本実施の形態の第一の例に係る色素増感太陽電池の製造方法について、図2A~図2Cを参照して説明する。【0032】本実施の形態の第一の例に係る色素増感太陽電池の製造方法は、多孔質絶縁層20の上にアノード極となる厚みが0.3μm~100μmの多孔質導電性金属層18を設ける工程(工程2A図2Aおよび図2B参照)と、多孔質絶縁層20に対向してカソード極とされる導電性基板14を設ける工程(工程2B図2C参照)と、多孔質導電性金属層18に接して多孔質半導体層16を設ける工程(工程2C図2C参照)を有する。【0033】工程2Aでは、多孔質絶縁層20は例えば予め調製したガラスクロス等の所望の開口率を有するものを用いる。このとき、ガラスクロス等に必要に応じて機械加工等の適宜の方法で貫通孔を合わせて形成してもよい。多孔質絶縁層20の上に設ける多孔質導電性金属層18は、塗布法や薄膜形成法によって厚みが0.3μm~100μmの薄膜に形成する(図2B参照)。前者の塗布法の場合、多孔質絶縁層20の上に多孔質導電性金属層18の材料である金属粒子のペーストを印刷し、加熱、乾燥し、さらに焼成する。このとき、マスクを用いて開口を形成してもよい。一方、後者の薄膜形成法の場合、例えばスパッタリングにより多孔質絶縁層18を形成する。また、このとき、多孔質絶縁層20および多孔質導電性金属層18に必要に応じて機械加工等の適宜の方法で貫通孔を合わせて形成してもよい。工程2Bは、工程2Aに引き続いて行ってもよく、また、例えば工程2Cの後や、さらには透明基板12を形成した後に最終工程として行ってもよい。工程2Cにおいて、多孔質半導体層16は、透明基板12の上に設けてもよく、また、多孔質導電性金属層18の上に設けてもよい。多孔質半導体層16は、TiO2等の微粒子のペーストで薄膜を形成した後に焼成する操作を繰り返して所望の厚みの膜にすると好ましい。また、多孔質半導体層16は、多孔質導電性金属層18に接する部分は相対的に大きな粒径の微粒子を用いて比較的粗な層とし、一方、これに積層する透明基板12側の部分は、相対的に小さな粒径の微粒子を用いて多孔性の高い層とする積層構造とすることが好ましい。焼成して得られる多孔質半導体層16を構成する微粒子の表面に、色素を吸着する。吸着の方法は、例えば、多孔質半導体層16を形成し、多孔質導電性金属層18の設けられた多孔質絶縁層20を色素溶液に浸し微粒子表面に色素を化学吸着させるいわゆる含浸法によって行うことができる。【0034】工程2Cにおいて、透明基板12の上に多孔質半導体層16を設ける場合、透明基板12の上に塗布法等により設ける多孔質半導体層16の材料であるペーストを焼成することで多孔質半導体層16を形成し、その後、多孔質半導体層16の側を多孔質導電性金属層18の側に向けて透明基板12と多孔質絶縁層20を接合する。一方、多孔質導電性金属層18の上に多孔質半導体層16を設ける場合、多孔質導電性金属層18の上に多孔質半導体層16の材料であるペーストを設け(図3C参照)、ペーストおよび多孔質導電性金属層18の設けられた多孔質絶縁層20を加熱して、ペーストを焼成することで多孔質半導体層16を形成し、多孔質半導体層16の側を向けて多孔質絶縁層20に透明基板12を対向配置する。【0035】これらの各層(各部材)が、スペーサ22で封止され、電解質24が注入されることで色素増感太陽電池が完成する。【0036】本実施の形態の第一の例に係る色素増感太陽電池の製造方法により、集電電極の厚みが望ましい薄さである本実施の形態に係る色素増感太陽電池を好適に得ることができる。すなわち、集電電極として金網等を用いる技術に比べて、作製作業の煩雑さが少なく、集電電極の厚みを望ましい薄さに形成した色素増感太陽電池を得ることができる。また、導電性金属層18の厚みが0.3μm~100μmと小さいので、電解質が金網等を介して多孔質半導体層に移動する際のように拡散抵抗が大きくなって光電変換効率の低下を来たすおそれがない色素増感太陽電池を得ることができる。【0037】つぎに、本実施の形態の第二の例に係る色素増感太陽電池の製造方法について、図3A~図3Dを参照して説明する。【0038】本実施の形態の第二の例に係る色素増感太陽電池の製造方法は、多孔質絶縁層20の上にアノード極となる厚みが0.3μm~100μmの多孔質導電性金属層18を設ける工程(工程3A図3Aおよび図3B参照)と、多孔質導電性金属層18の上に多孔質半導体層の材料であるペーストを設ける工程(工程3B図3C参照)と、ペーストおよび多孔質導電性金属層18の設けられた多孔質絶縁層20を加熱して、ペーストを焼成することで多孔質半導体層16を形成する工程(工程3C図3C参照)と、多孔質半導体層16の側を向けて多孔質絶縁層20にフレキシブル透明基板12を対向配置する工程(工程3D図3D参照)と、多孔質半導体層16の側を外にして多孔質絶縁層20にカソード極となるフレキシブル導電性基板14を対向配置する工程(工程3E図3D参照)と、を有する。ここで、工程3Dおよび工程3Eは、工程3Cの後に順次行われてもよいが、工程3Cの後に工程3Eを行い、その後に工程3Dを行ってもよい。【0039】工程3Aでは、第一の例の工程2Aの場合と同様にして、多孔質絶縁層20および多孔質導電性金属層18を形成する。工程3Bでは、第一の例の工程3Bの場合と同様にして、塗布法等の適宜の方法により、多孔質導電性金属層18の上に多孔質半導体層16の材料であるペーストを設ける。工程3Cでは、ペーストおよび多孔質導電性金属層18の設けられた多孔質絶縁層20を加熱して、ペーストを300℃以上の温度で焼成する。この段階では、フレキシブル透明基板12およびフレキシブル導電性基板14のいずれも形成されていないため、多孔質導電性金属層18の設けられた多孔質絶縁層20の融点を下回るものである限り、加熱、焼成温度には制限がない。焼成して得られる多孔質半導体層16を構成する微粒子の表面に、色素を吸着する。これにより、十分に焼成された多孔質半導体層16を得ることができる(以上、図3C参照)。工程3Dでは、多孔質半導体層16の側を樹脂シート等からなるフレキシブル透明基板12に向けて多孔質絶縁層20にフレキシブル透明基板12を対向配置する。すなわち、多孔質半導体層16がフレキシブル透明基板12に接し、あるいは近接するように、多孔質半導体層16および多孔質導電性金属層18の設けられた多孔質絶縁層20とフレキシブル透明基板12を配置する(図3D参照)。工程3Eでは、多孔質半導体層16の側を外にして多孔質絶縁層20に樹脂シート等からなるフレキシブル導電性基板14を対向配置する。多孔質絶縁層20とフレキシブル導電性基板14は、両者の間に適量の電解質24を注入できる限り、適宜近接して設けてもよい。これらの各層(各部材)が、スペーサ22で封止され、電解質24が注入されることで色素増感太陽電池が完成する。【0040】本実施の形態の第二の例に係る色素増感太陽電池の製造方法により、フレキシブル基板を用いた本実施の形態に係る色素増感太陽電池を好適に得ることができる。すなわち、屈曲させて使用する際に、多孔質絶縁層が介在することにより、多孔質導電性金属層が導電性基板と接触して短絡を生じるおそれがない色素増感太陽電池を得ることができる。また、多孔質半導体層の焼成不足に起因する不都合を生じるおそれがない色素増感太陽電池を得ることができる。【実施例】【0041】以下、本発明の実施例について説明する。本発明はこの実施例に限定されるものではない。【0042】(実施例1)Ti粒子(大阪チタニウム社製)とターピネオールとエチルセルロースを主成分とするECビヒクル(日新化成株式会社製EC-200FTD)を混合し、Ti粒子のペーストを作製した。厚み15μm、直径約8μmのガラス繊維からなるガラスクロス(旭化成イーマテリアルズ株式会社製空隙率45%)の20mm×25mmの範囲に上記作製したTi粒子ペーストをスクリーン印刷し、乾燥後、400℃で1時間Ar雰囲気下で焼成し、ガラスクロスの片面に約20μmの厚みのTi粒子層を形成し、Ti粒子シート基板を得た。Ti粒子層を形成し、Ti粒子シート基板のSEM写真を図4に示す。Ti粒子シート基板の焼成後のTi粒子層の上の5mm×20mmの範囲にチタニアペースト(商品名NanoxideD、ソーラロニクス社製)を印刷し、乾燥後、400℃で30分空気中で焼成した。焼成後のチタニア上に、さらにチタニアペーストを印刷、焼成する操作を合計4回繰り返し、Ti粒子層の片面に12μmの厚みのチタニア層を形成した。N719色素(ソーラロニクス社製)のアセトニトリルとt-ブチルアルコールの混合溶媒溶液に、作製したチタニア層付きTi粒子シート基板を70時間含浸させ、チタニア表面に色素を吸着した。吸着後の基板(チタニア層付きTi粒子シート基板)はアセトニトリルとt-ブチルアルコールの混合溶媒で洗浄した。厚み125μmのポリエチレンナフタレート(PEN)からなる透明樹脂シートと色素吸着した基板の色素吸着チタニア層側が向き合うように、厚み60μmの半硬化樹脂シート(SX1170-60、ソーラロニクス社製)を挟んで、115℃で接着して積層し、積層板を得た。その際、半硬化樹脂シートはチタニア層に接触しないよう、チタニア層を囲むように配置し、また、後に電解液が注入できるように約1mm程度の隙間を2ヶ所設けた。厚み125μmのPENからなる透明樹脂シートの片面に透明導電膜であるITOが積層してある透明導電膜付き透明樹脂シートのITO側に、約40nmの厚みのPtをスパッタの手法で積層したシートのPt側が上記の積層板のガラスクロス側と向き合うように、上記半硬化樹脂シートを挟んで積層板に透明樹脂シートを積層し、115℃で接着した。約1mmの隙間からヨウ素、LiIからなるアセトニトリル溶媒の電解液を注入して、有効平面寸法(電池として機能する領域の平面寸法)が5mm×20mmで厚みが約0.4mmの色素増感太陽電池を作製した。得られた色素増感太陽電池の光電変換性能を、100mW/cm2の強度の疑似太陽光(山下電装社製擬似太陽光装置使用)を透明樹脂シート側から照射したときのIV曲線を測定して調べた。光電変換効率は4.4%であった。なお、上記色素増感太陽電池を長手方向中央でR(曲率半径)=15mmに折り曲げた状態とし、上記条件でIV曲線を測定したところ、色素増感太陽電池の光電変換効率は4.4%であった。【0043】(実施例2)ガラスクロスの代わりに、直径1~5μmのガラス繊維からなる厚み50μmの不織布であるガラスペーパー(空隙率90%)を用いた以外は、実施例1と同様の方法で色素増感太陽電池を作製した。得られた色素増感太陽電池の光電変換効率は4.1%であった。なお、上記色素増感太陽電池を長手方向中央でR(曲率半径)=15mmに折り曲げた状態とし、上記条件でIV曲線を測定したところ、色素増感太陽電池の光電変換効率は4.1%であった。【0044】(実施例3)厚み15μmのガラスクロスの20mm×25mmの範囲にマスクを用いてスパッタリングする手法で厚み400nmのTi膜を形成した。形成したTi膜の上の5mm×20mmの範囲にチタニアペースト(商品名NanoxideD、ソーラロニクス社製)を印刷し、乾燥後、400℃で30分空気中で焼成した。以降、実施例1と同様の方法で色素増感太陽電池を作製した。約1mmの隙間からヨウ素、LiIからなるアセトニトリル溶媒の電解液を注入して色素増感太陽電池を作製した。得られた色素増感太陽電池の光電変換効率は3.8%であった。なお、上記色素増感太陽電池を長手方向中央でR(曲率半径)=15mmに折り曲げた状態とし、上記条件でIV曲線を測定したところ、色素増感太陽電池の光電変換効率は3.8%であった。【0045】(比較例1)厚み125μmのPENからなる透明樹脂シートの片面に透明導電膜であるITOが積層してある透明導電膜付き透明樹脂シートのITO側の5mm×20mmの範囲に、チタニアペースト(商品名NanoxideD、ソーラロニクス社製)を印刷し、乾燥後、150℃で30分空気中で焼成した。焼成後のチタニア上に、さらにチタニアペーストを印刷、焼成する操作を合計4回繰り返し、透明導電膜付き透明樹脂シートの片面に12μmの厚みのチタニア層を形成した。N719色素(ソーラロニクス社製)のアセトニトリルとt-ブチルアルコールの混合溶媒溶液に、作製したチタニア層付きシート基板を70時間含浸させ、チタニア表面に色素を吸着した。吸着後の基板はアセトニトリルとt-ブチルアルコールの混合溶媒で洗浄した。チタニア層付きシート基板のチタニア側と、厚み125μmのPENからなる透明樹脂シートの片面に透明導電膜であるITOが積層してある透明導電膜付き透明樹脂シートのITO側に、約40nmの厚みのPtをスパッタの手法で積層したシートのPt側が向き合うように、厚み60μmの半硬化樹脂シート(SX1170-60、ソーラロニクス社製)を挟んで積層し、115℃で接着した。その際、半硬化樹脂シートはチタニア層に接触しないよう、チタニア層を囲むように配置し、また、後に電解液が注入できるように約1mm程度の隙間を2ヶ所設けた。得られた色素増感太陽電池の光電変換効率は0.1%であった。【0046】(参考例1)約20μmの厚みのTi粒子層に換えてガラスクロスの片面に約150μmの厚みのTi粒子層を形成したほかは、実施例1と同様に色素増感太陽電池を作製した。得られた色素増感太陽電池の光電変換効率は2.1%であった。【0047】(参考例2)厚み400nmのTi膜に換えて厚み200nmの厚みのTi膜を作製したほかは、実施例3と同様に色素増感太陽電池を作製した。得られた色素増感太陽電池の光電変換効率は0.1%であった。【0048】(参考例3)厚み15μmのガラスクロスに換えて厚み200μmのガラスクロスを使用したほかは、実施例1と同様に色素増感太陽電池を作製した。得られた色素増感太陽電池の光電変換効率は3.3%であった。なお、上記色素増感太陽電池をR(曲率半径)=15mmに折り曲げた状態とし、上記条件でIV曲線を測定したところ、色素増感太陽電池の光電変換効率は1.7%であった。また、色素増感太陽電池の外観を確認したところ、電解液の漏れが確認された。【0049】(参考例4)厚み15μmのガラスクロスに換えて厚み500μmのガラスクロスを使用したほかは、実施例1と同様に色素増感太陽電池を作製した。得られた色素増感太陽電池の光電変換効率は2.8%であった。なお、上記色素増感太陽電池を長手方向中央でR(曲率半径)=15mmに折り曲げた状態とし、上記条件でIV曲線を測定したところ、色素増感太陽電池の光電変換効率は1.5%であった。また、色素増感太陽電池の外観を確認したところ、電解液の漏れが確認された。
S
WO2011096240
2011552715
null
JP2011050004
20110104
20130610
null
null
WO2011096240
20110811
5692097
20150213
null
null
null
生体情報計測器、携帯端末装置、生体情報計測方法およびプログラム
000004237
日本電気株式会社
100106909
棚井 澄雄
篠田 茂樹,佐々木 康弘,酒井 浩,高橋 尚武
2010024456,20100205,JP
8
A61B 5/16 (20060101), A61B 5/01 (20060101), A61B 5/00 (20060101), A61B 5/0245 (20060101)
A61B 5/16 300A ,A61B 5/00 101E ,A61B 5/00 N ,A61B 5/02 320A
9
null
null
AP(BW,GH,GM,KE,LR,LS,MW,MZ,NA,SD,SL,SZ,TZ,UG,ZM,ZW),EA(AM,AZ,BY,KG,KZ,MD,RU,TJ,TM),EP(AL,AT,BE,BG,CH,CY,CZ,DE,DK,EE,ES,FI,FR,GB,GR,HR,HU,IE,IS,IT,LT,LU,LV,MC,MK,MT,NL,NO,PL,PT,RO,RS,SE,SI,SK,SM,TR),OA(BF,BJ,CF,CG,CI,CM,GA,GN,GQ,GW,ML,MR,NE,SN,TD,TG),AE,AG,AL,AM,AO,AT,AU,AZ,BA,BB,BG,BH,BR,BW,BY,BZ,CA,CH,CL,CN,CO,CR,CU,CZ,DE,DK,DM,DO,DZ,EC,EE,EG,ES,FI,GB,GD,GE,GH,GM,GT,HN,HR,HU,ID,IL,IN,IS,JP,KE,KG,KM,KN,KP,KR,KZ,LA,LC,LK,LR,LS,LT,LU,LY,MA,MD,ME,MG,MK,MN,MW,MX,MY,MZ,NA,NG,NI,NO,NZ,OM,PE,PG,PH,PL,PT,RO,RS,RU,SC,SD,SE,SG,SK,SL,SM,ST,SV,SY,TH,TJ,TM,TN,TR,TT,TZ,UA,UG,US,UZ,VC,VN,ZA,ZM,ZW
0
40
4C017,4C038,4C117
4C017 AA02 ,4C017 AA16 ,4C017 AA20 ,4C017 AB02,4C038 PP03 ,4C038 PQ06 ,4C038 PS00,4C117 XB01 ,4C117 XB18 ,4C117 XC26 ,4C117 XD16 ,4C117 XE06 ,4C117 XE13 ,4C117 XE23 ,4C117 XG01 ,4C117 XG06 ,4C117 XG13
本発明の生体情報計測器は、生体情報を計測するセンサと、予め設定された規格化情報に基づいて前記生体情報の値を変換することにより、前記生体情報を規格化する規格化回路と、前記生体情報または前記規格化された生体情報について、予め定められた時間内における予め定められた値以上の変化量を検出し、前記変化量が大きいほど低い信頼性を示す信頼性情報を生成する信頼性情報生成回路とを具備する。
【請求項1】生体情報を計測するセンサと、予め設定された規格化情報に基づいて前記生体情報の値を変換することにより、前記生体情報を規格化する規格化回路と、前記生体情報または前記規格化された生体情報について、予め定められた時間内における予め定められた値以上の変化量を検出し、前記変化量が大きいほど低い信頼性を示す信頼性情報を生成する信頼性情報生成回路と、を具備する生体情報計測器。【請求項2】複数の前記センサと、前記センサの各々が計測する前記生体情報を規格化する複数の前記規格化回路と、前記生体情報または前記規格化された生体情報の各々について、前記信頼性情報を生成する複数の前記信頼性情報生成回路と、前記信頼性情報に基づいて、前記規格化された複数の前記生体情報を、信頼性が高い生体情報ほど大きい重みで加重平均する加重平均回路と、を具備する請求項1に記載の生体情報計測器。【請求項3】前記生体情報計測器は、複数種類の前記センサを具備して複数種類の前記生体情報を計測し、前記加重平均回路は、前記規格化された複数種類の生体情報の加重平均を算出する、請求項2に記載の生体情報計測器。【請求項4】前記信頼性情報生成回路は、前記複数のセンサが計測した前記生体情報の値の増減を判定し、前記生体情報の値が共に増加または無変化であると判定した場合および前記生体情報の値が共に減少または無変化であると判定した場合は、より高い信頼性を示す前記信頼性情報を生成し、1つの前記生体情報の値が増加し他の1つの前記生体情報の値が減少すると判定した場合は、より低い信頼性を示す前記信頼性情報を生成する請求項2または請求項3に記載の生体情報計測器。【請求項5】請求項3に記載の生体情報計測器を具備する携帯端末装置であって、前記複数種類のセンサは、発汗量を計測する発汗センサと、心拍数を計測する心拍数センサとを含み、前記加重平均回路は、規格化された前記発汗量と、規格化された前記心拍数と、を含む前記規格化された複数種類の生体情報の加重平均を算出し、前記携帯端末装置は、前記加重平均に基づいて被計測者の運動負荷を判定する運動負荷判定回路と、判定した前記運動負荷を表示する表示回路と、を具備する携帯端末装置。【請求項6】複数の前記センサと、前記センサの各々が計測する前記生体情報を規格化する複数の前記規格化回路と、前記生体情報または前記規格化された生体情報の各々について、前記信頼性情報を生成する複数の前記信頼性情報生成回路と、を具備する請求項1に記載の生体情報計測器を具備する携帯端末装置であって、前記複数のセンサは、発汗量を計測する発汗センサと、体温を計測する体温センサとを含み、前記携帯端末装置は、前記発汗量と前記発汗量の信頼性情報と前記体温と前記体温の信頼性情報とに基づいて被計測者の心理状態を判定する心理状態判定回路と、判定した前記心理状態を表示する表示回路と、を具備する携帯端末装置。【請求項7】前記心理状態判定部が判定した前記心理状態を示す心理状態情報を他の端末装置に送信する送信回路をさらに具備する請求項6に記載の携帯端末装置。【請求項8】生体情報を計測する計測ステップと、前記生体情報の値を予め設定された規格化情報に基づいて変換することにより、前記生体情報の各々を規格化する規格化ステップと、前記生体情報または前記規格化された生体情報について、予め定められた時間内かつ予め定められた値以上の変化量を検出し、前記変化量が大きいほど低い信頼性を示す信頼性情報を生成する信頼性情報生成ステップと、を具備する生体情報計測方法。【請求項9】コンピュータに、生体情報を計測する計測ステップと、前記生体情報の値を予め設定された規格化情報に基づいて変換することにより、前記生体情報の各々を規格化する規格化ステップと、前記生体情報または前記規格化された生体情報について、予め定められた時間内かつ予め定められた値以上の変化量を検出し、前記変化量が大きいほど低い信頼性を示す信頼性情報を生成する信頼性情報生成ステップと、を実行させるためのプログラム。
【請求項1】生体情報を計測するセンサと、予め設定された規格化情報に基づいて前記生体情報の値を変換することにより、前記生体情報を規格化する規格化回路と、前記生体情報または前記規格化された生体情報について、予め定められた時間内における予め定められた値以上の変化量を検出し、前記変化量が大きいほど低い信頼性を示す信頼性情報を生成する信頼性情報生成回路と、を具備する生体情報計測器。【請求項8】生体情報を計測する計測ステップと、前記生体情報の値を予め設定された規格化情報に基づいて変換することにより、前記生体情報の各々を規格化する規格化ステップと、前記生体情報または前記規格化された生体情報について、予め定められた時間内かつ予め定められた値以上の変化量を検出し、前記変化量が大きいほど低い信頼性を示す信頼性情報を生成する信頼性情報生成ステップと、を具備する生体情報計測方法。【請求項9】コンピュータに、生体情報を計測する計測ステップと、前記生体情報の値を予め設定された規格化情報に基づいて変換することにより、前記生体情報の各々を規格化する規格化ステップと、前記生体情報または前記規格化された生体情報について、予め定められた時間内かつ予め定められた値以上の変化量を検出し、前記変化量が大きいほど低い信頼性を示す信頼性情報を生成する信頼性情報生成ステップと、を実行させるためのプログラム。
【技術分野】【0001】本発明は、生体情報計測器、携帯端末装置、生体情報計測方法およびプログラムに関する。
【背景技術】【0002】心拍数や呼吸数などの生体情報を計測することにより、被計測者の健康状態等、抽象的な状態を判定し通知する幾つかのサービスが提案されている。例えば、特許文献1では、心電図や心拍数のデータから被計測者の健康状態を推定し、被計測者が緊急事態に陥っていると判定すると緊急通報を行う携帯情報端末機器が示されている。特許文献2では、体温や脈拍や血圧から被計測者の健康状態を推定し、さらには、体の左側と右側とにおける体温や脈拍や血圧の差に基づいて脳卒中や心筋梗塞のおそれを推定する生体情報監視システムが示されている。接触型のセンサを用いて生体情報を計測する場合、センサ端子の剥離等により計測異常が生じるおそれがある。このため、計測が正しく行われているか否かの判定が重要となる。例えば、特許文献3では、人体情報を測定する情報通信端末において、電極間の電流を検知した場合に被計測者の人体が検知部に接触していると判定する方法や、心拍数や血圧が所定の範囲内にある場合に被計測者の人体が検知部に接触していると判定する方法が示されている。特許文献4では、血圧測定装置において、計測を複数回行って、1回目の計測値と2回目の計測値との差異があまりに大きい場合に測定ミス等があったと判定する方法が示されている。
【発明が解決しようとする課題】【0004】しかしながら、これらの方法を用いた場合、一定の計測値が得られているが、実際は計測異常であるような場合に、健康状態等の適切な判定を行えないおそれがある。例えば、センサ端子の部分剥離の場合、センサの計測値は0とはならず、小さい値の生体情報を計測することが考えられる。この場合に、特許文献3に示される、電流を検出する方法では、センサが人体に接触している部分が存在するために電流が検出され、計測異常を検出できないおそれがある。特許文献3に示される、心拍数や血圧が所定の範囲内にあるか否かによる方法では、センサ端子の部分剥離後の計測値が所定の範囲内にある場合は、計測異常を検出できない。特許文献4に示される、1回目の計測値と2回目の計測値との差異による方法では、センサ端子の部分剥離前後で、計測値の差異が大きくない場合には、計測異常を検出できない。特に、運動中の発汗量を計測する場合など、生体情報の値が増加する場合は、センサ端子の部分剥離前後で、計測値の差異が大きくない場合が生じ易い。従って、これらの異常判定方法を上記の健康状態等の判定に用いた場合、一定の計測値が得られているが、実際は計測異常であるような場合に適切に判定を行えないおそれがある。【0005】本発明は、このような事情を考慮してなされた。本発明の目的の一例は、一定の計測値が得られているが、実際は計測異常であるような場合にも、より適切に生体情報を計測できる生体情報計測器、生体情報計測方法およびプログラムや、その生体情報に基づいて、被計測者の状態をより適切に判定できる携帯端末装置を提供することである。
【課題を解決するための手段】【0006】[1]この発明は上述した課題を解決するためになされた。本発明の一態様による生体情報計測器は、生体情報を計測するセンサと、予め設定された規格化情報に基づいて前記生体情報の値を変換することにより、前記生体情報を規格化する規格化回路と、前記生体情報または前記規格化された生体情報について、予め定められた時間内における予め定められた値以上の変化量を検出し、前記変化量が大きいほど低い信頼性を示す信頼性情報を生成する信頼性情報生成回路と、を具備する。【0007】[2]上述の生体情報計測器は、複数の前記センサと、前記センサの各々が計測する前記生体情報を規格化する複数の前記規格化回路と、前記生体情報または前記規格化された生体情報の各々について、前記信頼性情報を生成する複数の前記信頼性情報生成回路と、前記信頼性情報に基づいて、前記規格化された複数の前記生体情報を、信頼性が高い生体情報ほど大きい重みで加重平均する加重平均回路と、を具備してもよい。【0008】[3]上述の生体情報計測器において、前記生体情報計測器は、複数種類の前記センサを具備して複数種類の前記生体情報を計測し、前記加重平均回路は、前記規格化された複数種類の生体情報の加重平均を算出してもよい。【0009】[4]上述の生体情報計測器において、前記信頼性情報生成回路は、前記複数のセンサが計測した前記生体情報の値の増減を判定し、前記生体情報の値が共に増加または無変化であると判定した場合および前記生体情報の値が共に減少または無変化であると判定した場合は、より高い信頼性を示す前記信頼性情報を生成し、1つの前記生体情報の値が増加し他の1つの前記生体情報の値が減少すると判定した場合は、より低い信頼性を示す前記信頼性情報を生成してもよい。【0010】[5]本発明の一態様による携帯端末装置は、上述の生体情報計測器を具備し、前記複数種類のセンサは、発汗量を計測する発汗センサと、心拍数を計測する心拍数センサとを含み、前記加重平均回路は、規格化された前記発汗量と、規格化された前記心拍数と、を含む前記規格化された複数種類の生体情報の加重平均を算出し、前記携帯端末装置は、前記加重平均に基づいて被計測者の運動負荷を判定する運動負荷判定回路と、判定した前記運動負荷を表示する表示回路と、を具備する。【0011】[6]本発明の一態様による携帯端末装置は、複数の前記センサと、前記センサの各々が計測する前記生体情報を規格化する複数の前記規格化回路と、前記生体情報または前記規格化された生体情報の各々について、前記信頼性情報を生成する複数の前記信頼性情報生成回路と、を具備する上述の生体情報計測器を具備し、前記複数のセンサは、発汗量を計測する発汗センサと、体温を計測する体温センサとを含み、前記携帯端末装置は、前記発汗量と前記発汗量の信頼性情報と前記体温と前記体温の信頼性情報とに基づいて被計測者の心理状態を判定する心理状態判定回路と、判定した前記心理状態を表示する表示回路と、を具備する。【0012】[7]上述の携帯端末装置は、前記心理状態判定部が判定した前記心理状態を示す心理状態情報を他の端末装置に送信する送信回路をさらに具備してもよい。【0013】[8]本発明の一態様による生体情報計測方法は、生体情報を計測する計測ステップと、前記生体情報の値を予め設定された規格化情報に基づいて変換することにより、前記生体情報の各々を規格化する規格化ステップと、前記生体情報または前記規格化された生体情報について、予め定められた時間内かつ予め定められた値以上の変化量を検出し、前記変化量が大きいほど低い信頼性を示す信頼性情報を生成する信頼性情報生成ステップと、を具備する。【0014】[9]本発明の一態様によるプログラムは、コンピュータに、生体情報を計測する計測ステップと、前記生体情報の値を予め設定された規格化情報に基づいて変換することにより、前記生体情報の各々を規格化する規格化ステップと、前記生体情報または前記規格化された生体情報について、予め定められた時間内かつ予め定められた値以上の変化量を検出し、前記変化量が大きいほど低い信頼性を示す信頼性情報を生成する信頼性情報生成ステップと、を実行させるためのプログラムである。
【発明の効果】【0015】本発明によれば、一定の計測値が得られているが、実際は計測異常であるような場合にも、より適切に生体情報を計測でき、その生体情報に基づいて、被計測者の状態をより適切に判定できる。
【発明を実施するための形態】【0017】<第1の実施形態>以下、図面を参照して、本発明の実施の形態について説明する。図1は、本発明の第1の実施形態における携帯電話機(携帯端末装置)1の概略構成を示す構成図である。図1において、携帯電話機1は、生体情報計測器11と、運動負荷判定回路141と、表示回路142とを具備する。生体情報計測器11は、センサ111および121と、規格化情報メモリ112および122と、規格化回路113および123と、生体情報メモリ114および124と、信頼性情報生成回路115および125と、加重平均回路131とを具備する。携帯電話機1は、被計測者が通話を行う際などに音声信号を電気信号に変換する音声処理回路や、他の電話機等との通信を行う通信回路など、図1に示す以外の部分も具備する。【0018】生体情報計測器11は、被計測者(携帯電話機1のユーザ)の発汗量を計測し、計測した発汗量に基づいて、被計測者の運動負荷(運動を行うことにより身体にかかる負荷)を示す指標を生成する。センサ111および121は、それぞれ発汗センサであり、被計測者の発汗量を計測し、計測した発汗量に応じた電流を出力する。規格化回路113は、センサ111が出力する電流値の規格化を行うことにより発汗量を算出する。「規格化」とは、センサが計測したデータを、処理対象の単位のデータに変換することである。規格化の詳細については後述する。規格化回路123は、センサ121が出力する電流値の規格化を行うことにより発汗量を算出する。規格化情報メモリ112は、規格化回路113が規格化を行うための情報である規格化情報を記憶する。規格化情報メモリ122は、規格化回路123が規格化を行うための情報である規格化情報を記憶する。【0019】生体情報メモリ114は、規格化回路113が算出した発汗量を、予め定められた時間分記憶する。生体情報メモリ124は、規格化回路123が算出した発汗量を、予め定められた時間分記憶する。信頼性情報生成回路115は、生体情報メモリ114が記憶する発汗量に基づいて、センサ111が計測したデータの信頼性を示す信頼性情報を生成する。信頼性情報生成回路125は、生体情報メモリ124が記憶する発汗量に基づいて、センサ121が計測したデータの信頼性を示す信頼性情報を生成する。加重平均回路131は、規格化回路113および123が算出した発汗量を、それぞれ信頼性情報生成回路115および125が生成した信頼性情報に基づいて重み付けし、重み付けした発汗量の平均値を算出する。運動負荷判定回路141は、加重平均回路131が算出した発汗量の平均値に基づいて、被計測者の運動負荷が適切か、あるいは過度の負荷かを判定する。表示回路142は、液晶パネル等の表示画面を具備し、運動負荷判定回路141の判定結果を表示する。【0020】図2Aおよび図2Bは、携帯電話機1の外形を示す外形図である。図2Cは、携帯電話機1の断面を示す断面図である。図2Aは、携帯電話機1の表側の外形図である。図2Aにおいて、携帯電話機1は、表示画面181と、操作ボタン182と、スピーカ183とを具備する。表示画面181は、液晶パネル等の表示画面であり、運動負荷判定回路141が判定した運動負荷を表示する。操作ボタン182は、テンキー等の押ボタンを具備し、被計測者の操作入力を受け付ける。スピーカ183は、通話相手の会話等の音声を出力する。図2Bは、携帯電話機1の裏側の外形図である。図2Bにおいて、携帯電話機1は、センサ端子191および192を具備する。センサ端子191と192とは、それぞれ、センサ111と121とが発汗量を計測するための端子である。図2Cは、携帯電話機1を図2BのA‐A’線に沿って切断した断面図である。図2Bに示すように、センサ端子191および192は、携帯電話機1の裏面に突起している。被計測者は、センサ端子191および192が被計測者の掌に接触するように携帯電話機1を持つ。この状態で被計測者が発汗すると、センサ111および121の各々を流れる電流値が変化する。センサ111および121は、これらの電流を出力する。【0021】次に、規格化回路113および123が行う規格化について説明する。図3A~3Cは、規格化回路113が、電流値を発汗量に変換する変換関数の例を示す図である。図3A~3Cの横軸は、センサ111が出力する電流値を示す。図3A~3Cの縦軸は、規格化回路113が算出する発汗量を示す。以下、図3Aに示す変数関数の例について説明する。例えば、室温が摂氏20度など、被計測者が運動を行う環境と同様の環境において、被計測者が運動を行っていない平常時の発汗量と、所定の負荷の運動を行ったときの発汗量とを予め計測しておく。この場合において、平常時の発汗量を、規格化された発汗量の基準値「1」とし、所定の負荷の運動時の発汗量を「3」とする変換関数を決定しておく。具体的には、例えば、規格化情報メモリ112が、センサ111の特性に応じた関数を予め記憶しておく。この関数は、センサ111の出力する電流値が入力されると、規格化された発汗量を出力する関数である。この関数は、関数の出力値が「1」となる入力値を示すパラメータと、関数の出力値が「3」となる入力値を示すパラメータとの、2つのパラメータを持つ。このような関数の例を式(1)に示す。【0022】【数式1】【0023】平常時に、被計測者が操作ボタン182から、平常時の発汗量の計測を指示する操作入力を行うと、規格化情報生成回路(不図示)が、センサ111が出力する電流値を読み取り、関数の出力値が「1」となる入力値を示すパラメータに、読み取った電流値(平常時の計測値V1)を書き込む。また、被計測者が、所定の負荷の運動を行っている状態で、操作ボタン182から、所定の負荷の運動をおこなったときの発汗量の計測を指示する操作入力を行うと、規格化情報生成回路が、センサ111が出力する電流値を読み取り、関数の出力値が「3」となる入力値を示すパラメータに、読み取った電流値(所定負荷運動時の計測値V2)を書き込む。これにより、平常時の発汗量を「1」とし、所定の負荷の運動をおこなったときの発汗量を「3」とする、センサ111の特性に応じた変換関数が得られる。規格化情報メモリ112が、この変換関数を記憶しておく。規格化回路113は、規格化情報メモリ112が記憶する変換関数に基づいて、規格化された発汗量を算出する。【0024】規格化情報メモリ122も、規格化情報メモリ112と同様に、関数の出力値が「1」となる入力値を示すパラメータと、関数の出力値が「3」となる入力値を示すパラメータとの、2つのパラメータを持ち、センサ121の特性に応じた関数を予め記憶しておく。規格化情報生成回路125は、規格化情報メモリ112が記憶する関数のパラメータにセンサ111が出力する電流値を書き込む際に、センサ121が出力する電流値も読み取り、規格化情報メモリ122が記憶する関数のパラメータに、読み取った電流値(平常時の計測値V1および所定負荷運動時の計測値V2)を書き込む。これにより、平常時の発汗量を「1」とし、所定の負荷の運動をおこなったときの発汗量を「3」とする、センサ121の特性に応じた変換関数が得られる。規格化情報メモリ122が、この変換関数を記憶しておく。規格化回路123は、規格化情報メモリ122が記憶する変換関数に基づいて、規格化された発汗量を算出する。【0025】生体情報計測器11が、この規格化を行うことにより、被計測者毎の個人差にかかわらず、計測値から被計測者の状態を判定することができる。また、センサ毎の特性のばらつきと、後述するように、複数種類の生体情報を計測する場合の生体情報の単位の違いとにかかわらず、複数のセンサによる計測値を比較できる。すなわち、発汗量から運動負荷を判定する際に、発汗量のより少ない被計測者と、発汗量のより多い被計測者とでは、センサが計測した発汗量が同じ場合でも、発汗量のより少ない被計測者のほうが、より高い負荷の運動中であることが考えられる。規格化回路113が、例えば発汗量をミリリットル(ml)単位で算出するなど、被計測者にかかわらない絶対的な発汗量を算出するのではなく、被計測者の平常時の発汗量を基準とした相対的な発汗量を算出している。このため、算出した発汗量に基づいて、被計測者の特性に応じて適切に運動負荷を判定できる。また、規格化情報メモリ112と122とが、それぞれセンサ111と121との特性に応じた変換関数を記憶している。このため、例えば、同じ発汗量に対してセンサ111と121とが互いに異なる値の電流を出力するなど、センサの特性によりセンサ毎に測定値のばらつきが生じる場合でも、センサの特性に応じて適切に発汗量を算出できる。【0026】規格化情報メモリ112および122が記憶する変換関数や、規格化回路113および123が算出する発汗量は、上述のものに限らない。例えば、図3Bに示すように、規格化情報メモリ112および122が、電流値を5段階の発汗量に変換する関数を記憶し、規格化回路113および123が、発汗量を示す5段階のレベルを算出するようにしてもよい。例えば、発汗量がレベル3の場合は運動負荷が中程度であると判定するなど、発汗量のレベルに基づいて、被計測者の運動負荷を判定できる。生体情報計測器11が発汗量をミリリットル単位にて計測する場合について図3Cを参照して説明する。この場合は、図3Cに示すように、規格化情報メモリ112および122は、電流値をミリリットル単位の発汗量に変換する関数を記憶する。規格化回路113および123は、発汗量をミリリットル単位にて算出する。この場合、規格化回路113および123は、センサ毎の特性のばらつきに応じた発汗量を算出する規格化を行う。【0027】次に、信頼性情報生成回路115および125が算出する信頼性情報について説明する。図4A~4Cは、発汗量の計測が正常に行われた場合の信頼性情報の例を示す図である。図4Aは、発汗量の計測が正常に行われた場合に、規格化回路113が算出する発汗量の例を示す図である。図4Aにおいて、横軸は時刻tを示し、縦軸は発汗量Wを示す。図4Aに示す例では、被計測者は時刻t1に運動を開始し、時刻t2に運動を終了している。運動開始前の発汗量は、平常時の値「1」であり、運動開始後は発汗量が増加している。運動終了後は、発汗量が減少し、時間の経過により平常時の値「1」に戻っている。【0028】図4Bは、図4Aにおける発汗量の変化量の絶対値|dW/dt|を示す図である。図4Bにおいて、横軸は時刻tを示し、縦軸は発汗量の変化量の絶対値|dW/dt|を示す。図4Bに示す基準値cは、発汗量の計測が正常に行われた場合の、発汗量の変化量の絶対値の最大値よりも大きな値である。図4Cは、図4Aの発汗量に対して信頼性情報生成回路115が算出する信頼性情報を示す図である。図4Cにおいて、横軸は時刻tを示し、縦軸は信頼性情報Rの値を示す。信頼性情報は、例えば、式(2)を用いて算出できる。【0029】【数式2】【0030】式(2)は、基準値c以上となった変化量の絶対値の積分を算出し、算出した値が0のときに信頼性情報Rが1となり、算出した値が大きくなるにつれて信頼性情報Rが小さくなる関数である。このように、発汗量が急激に変化した場合に値が小さくなる信頼性情報を算出することにより、この信頼性情報を重みとして用いて、各センサの計測値から算出される生体情報を加重平均できる。センサ端子の部分剥離などの計測異常により、生体情報の値が急激に変化すると、重み(信頼性情報の値)が小さくなる。このため、計測異常が検出されない生体情報の重みを相対的に大きくして加重平均を行うことができ、より正確に生体情報を算出できる。信頼性情報は、0以上かつ1以下の値を取り、値が大きいほど、規格化回路113が算出した発汗量の信頼性が高いことを示す。図4Bに示すように、発汗量の変化量の絶対値が基準値c未満の場合は、信頼性情報の値は「1」のままである。【0031】図5A~5Cは、発汗量の計測中にセンサ端子191が部分剥離した場合の信頼性情報の例を示す図である。図5Aは、発汗量の計測中にセンサ端子191が部分剥離した場合に、規格化回路113が算出する発汗量の例を示す図である。図5Aに示す場合においては、図4Aと同様に、時刻t1における運動開始後は発汗量が増加している。しかしながら、時刻t3にてセンサ端子191が部分剥離し、発汗量(規格化回路113の算出値)が減少している。センサ端子191の部分剥離は、例えば、被計測者が携帯電話機1を握りなおし、握りなおした後の状態では、センサ端子191の一部が掌と非接触となることにより生じる。センサ端子191の部分剥離の後、時刻t2における運動終了後は発汗量が減少し、センサ端子が部分剥離している。このため、平常時の値「1」よりも小さい発汗量で定常状態となっている。【0032】図5Bは、図5Aにおける発汗量の変化量の絶対値|dW/dt|を示す図である。センサ端子が部分剥離した場合は、運動による発汗量の変化よりも急激に発汗量が変化する。このため、図5Bの例では、センサ端子191が部分剥離した時刻t3において、基準値c以上の変化が示されている。図5Cは、図5Aの発汗量に対して信頼性情報生成回路115が算出する信頼性情報を示す図である。センサ端子が部分剥離する前の状態では、信頼性情報の値は「1」となっている。これに対し、センサ端子が部分剥離し、図5Bに示すように、発汗量の変化量の絶対値が基準値c以上となった後は、信頼性情報の値は「1」よりも小さい値となっている。図5Bのように、基準値cを定めておき、この基準値c以上となった変化量を検出することは、予め定められた時間内における予め定められた値以上の変化量を検出することに相当する。すなわち、基準値cは、発汗量/時間の次元で設定されるので、ある時間内に、ある発汗量以上の変化があったことを検出する。【0033】次に、携帯電話機1の動作について説明する。図6は、携帯電話機1が、被計測者の生体情報を計測して運動負荷を判定し表示する処理手順を示すフローチャートである。被計測者がセンサ端子191および192を掌に接触させ、操作ボタン182から運動負荷の判定を指示する操作入力を行うと、携帯電話機1は、図6の処理を開始する。まず、センサ111および121が、被計測者の生体情報として、発汗量を計測し、計測した発汗量に応じた電流を出力する(ステップS1)。次に、規格化回路113は、センサ111が出力する電流と、規格化情報メモリ112が予め記憶する、上述のように平常時の発汗量を「1」とする変換関数とに基づいて、規格化された発汗量を算出する。規格化回路113は、算出した発汗量を生体情報メモリ114に書き込み、また、加重平均回路131に出力する。同様に、規格化回路123は、センサ121が出力する電流と、規格化情報メモリ122が予め記憶する変換関数とに基づいて、規格化された発汗量を算出する。規格化回路123は、算出した発汗量を生体情報メモリ124に書き込み、また、加重平均回路131に出力する(以上、ステップS2)。【0034】信頼性情報生成回路115は、規格化回路113が算出する発汗量を生体情報メモリ124から読み出し、読み出した発汗量の変化量の絶対値を算出し、図4A~図5Cで説明したように、発汗量が所定の基準値以上に大きく変化する場合に、この、基準値以上の変化がない場合の信頼性情報よりも小さい値となる信頼性情報を生成する。信頼性情報生成回路115は、生成した信頼性情報を加重平均回路131に出力する。同様に、信頼性情報生成回路125は、規格化回路123が算出する発汗量に基づいて信頼性情報を生成し、生成した信頼性情報を加重平均回路131に出力する(以上、ステップS3)。加重平均回路131は、信頼性情報生成回路115が生成する信頼性情報を、規格化回路113が算出する発汗量の重みとし、信頼性情報生成回路125が生成する信頼性情報を、規格化回路123が算出する発汗量の重みとして、規格化回路113が算出する発汗量と規格化回路123が算出する発汗量との加重平均を算出する(ステップS4)。【0035】運動負荷判定回路141は、加重平均回路131が算出する発汗量(の加重平均)に基づいて、被計測者の運動負荷を判定する(ステップS5)。例えば、運動負荷判定回路141は、運動負荷レベルの境界における発汗量を示す閾値定数k1およびk2を予め記憶しておく。加重平均回路131が算出する発汗量が定数k1以下の場合は、運動負荷判定回路141は、適切な運動負荷のレベルである「正常」と判定する。加重平均回路131が算出する発汗量が定数k1よりも大きく定数k2以下の場合は、運動負荷判定回路141は、やや過度の運動負荷のレベルである「負荷中」と判定する。加重平均回路131が算出する発汗量が定数k2よりも大きい場合は、運動負荷判定回路141は、過度の運動負荷のレベルである「負荷大」と判定する。表示回路142は、運動負荷判定回路141が判定した運動負荷を表示する。これにより、被計測者は、表示回路142が表示する運動負荷を参考にして、適度な負荷で運動を行える。【0036】次に、携帯電話機1が行う運動負荷の判定の結果について説明する。表1は、正常に計測が行われた場合における、発汗量の計測結果と運動負荷の判定結果とを示す表である。【0037】【表1】【0038】表1では、温度および湿度が一定の部屋で十分安静にした後、被計測者が一定時間踏み台昇降を行った際の、踏み台昇降開始から1分、5分、10分および15分経過時における、センサ111とセンサ121との各々により計測される発汗量(規格化された値)と、携帯電話機1による判定結果とが示されている。併せて、同表に示す発汗量に基づいて、加重平均を行わずに、両センサ値の差が予め定められた値以上になるとエラーと判定する方法による判定結果が参考結果1に示されている。また、センサ111による計測値のみを用いて判定を行う方法による判定結果が参考結果2に示されている。表1の発汗量は、図3A~3Cで説明したように、被計測者の平常状態における計測データで規格化した値である。判定結果の「正常」は、身体能力に適した運動量であることを示す。「負荷中」は、身体能力に対して、やや過度の負荷であることを示す。「負荷大」は、身体能力に対して、過度の負荷であることを示す。表1において、「**」は、測定確度が大きいことを示す。「*」は、測定確度が小さいことを示す。表2~8における表記も表1と同様の意味である。表1に示すように、正常に計測が行われた場合は、いずれの判定方法によっても適切な判定結果が得られる。このように、正常に計測が行われた場合においては、携帯電話機1による判定では、複数のセンサによる計測結果を平均して判定を行う点で、センサの精度による測定誤差の影響が小さくなり、より確度の高い計測を行える。【0039】表2は、センサ端子の部分剥離による計測異常が生じた場合における、発汗量の計測結果と運動負荷の判定結果とを示す表である。【0040】【表2】【0041】表2における計測条件は、表1の場合と同様である。表2の場合においては、1分経過時から5分経過時までの間に、センサ端子191の部分剥離が生じている。このため、5分、10分および15分経過時においては、センサ111による計測値が小さくなる計測異常が生じている。その結果、加重平均を算出しない参考結果1では、センサ111による計測値とセンサ121による計測値との差が大きいために負荷の判定が行われず、「エラー」となっている。センサ111による計測値のみを用いる参考結果2では、センサ端子の部分剥離のために「負荷中」と判定する閾値以上に計測結果が大きくならず、いずれの経過時間においても「正常」との判定結果となっている。すなわち、10分経過時は「負荷中」と判定すべきところが「正常」と判定されている。また、15分経過時は「負荷大」と判定すべきところが「正常」と判定されている。このように、いずれも不適切な判定結果が示されている。これに対して、携帯電話機1による判定では、センサ端子191の部分剥離時の計測値の急激な減少を検出してセンサ111による計測値の信頼性情報が小さく算出される。これにより、部分剥離後も適切な判定結果が示されている。このように、片方のセンサに計測異常が生じた場合においては、携帯電話機1による判定では、重み付けの補正を行って判定結果に対する計測異常データの寄与度を小さくすることにより、計測エラーとならず、かつ、適切に判定することができる。【0042】以上のように、生体情報計測器11は、生体情報の急激な変化を検出することにより、センサ端子の部分剥離等の計測異常を検出し、検出した計測異常に応じた信頼性情報を生成する。このため、生体情報計測器11は、この信頼性情報に基づいて生体情報の重み付け平均を算出し、より正確な生体情報を計測できる。これにより、携帯電話機1は、生体情報計測器11が計測する生体情報を用いて、被計測者の運動負荷をより適切に判定できる。特に、携帯電話機等の携帯端末装置では、小型化およびコストダウンの要求により、複雑な構造を有する高価なセンサを実装できない。また、携帯端末装置の持ち方がユーザの癖や使用時の状況によって大きく異なる。このため、生体情報を計測する際に計測異常が生じる可能性が高い。上述した携帯電話機1では、センサ111および121に小型かつ低コストのセンサを用いることができる。また、携帯電話機1では、信頼性情報に基づいて複数の生体情報の加重平均を算出することで、より正確な生体情報を計測し、被計測者の運動負荷をより適切に判定できる。【0043】センサ111および121は、上述した発汗センサに限らない。センサ111および121は、例えば、心拍数を計測する心拍センサであってもよい。心拍数の変化を計測することにより、上述した発汗量の計測の場合と同様に、被計測者の運動負荷を判定できる。また、携帯電話機1が具備するセンサの数は上述した2つに限らない。携帯電話機1が3つ以上のセンサを具備する場合も、上記と同様に信頼性情報を生成し、加重平均をとることで、生体情報の計測精度を高め、運動負荷を適切に判定できる。携帯電話機1が、上述した、生体情報の変化量により計測異常を検出する方法と、他の計測異常を検出する方法とを併用するようにしてもよい。例えば、生体情報の値が予め定められた閾値以下の場合に計測異常であると判定することにより、計測開始前からセンサ端子が剥離している場合等を検出できる。携帯電話機1は、この計測異常を検出した場合に、該当する生体情報の信頼性情報の値を小さくすることができる。あるいは、携帯電話機1がエラーを表示するようにしてもよい。【0044】上記では、本実施形態に係る携帯電話機について説明したが、これに限らない。本実施形態は、例えば、パームトップパソコン(PermTopPersonalComputer)など、他の携帯端末装置に適用してもよい。本実施形態は、腕時計に適用してもよいし、運動器具に適用してもよいし、ベルト等で人体に固定する生体情報計測専用の機器に適用してもよい。生体情報メモリ114が、センサ111が出力し規格化される前の生体情報を記憶し、信頼性情報生成回路115が、この生体情報に基づいて信頼性情報を生成するようにしてもよい。特に、前述したように、規格化回路113が発汗量のレベルを出力する場合は、規格化後の生体情報からは、センサ111が出力する生体情報の急激な変化を検出することができない。したがって、この場合は、生体情報メモリ114が、センサ111が出力し規格化される前の生体情報を記憶し、信頼性情報生成回路115が、この生体情報に基づいて信頼性情報を生成する必要がある。生体情報メモリ124および信頼性情報生成回路125についても同様である。【0045】<第2の実施形態>第1の実施形態では、携帯電話機が、同じ種類の生体情報を計測する複数のセンサを具備する場合について説明した。これに対して、本実施形態では、携帯電話機が、互いに異なる種類の生体情報を計測する複数のセンサを具備する場合について説明する。図7は、本発明の第2の実施形態における携帯電話機(携帯端末装置)2の概略構成を示す構成図である。図7において、携帯電話機2は、生体情報計測器21と、運動負荷判定回路141と、表示回路142とを具備する。生体情報計測器21は、センサ111および221と、規格化情報メモリ112および222と、規格化回路113および123と、生体情報メモリ114および124と、信頼性情報生成回路115および125と、加重平均回路131とを具備する。図7において、図1の各部に対応し、その機能も同一である部分には同一の符号(111~115、123~125、131、141、142)を付し、説明を省略する。携帯電話機1と同様、携帯電話機2は、被計測者が通話を行う際などに音声信号を電気信号に変換する音声処理回路や、他の電話機等との通信を行う通信回路など、図7に示す以外の部分も具備する。センサ111、221のセンサ端子の配置は、それぞれ図2Bおよび2Cのセンサ端子191、192と同様である。【0046】センサ221は、心拍センサであり、被計測者の心拍数を計測する。規格化情報メモリ222は、規格化回路123が規格化を行うための情報である規格化情報を記憶する。規格化情報メモリ222が記憶する規格化情報は、センサ221が計測する心拍数を規格化するための関数である。規格化情報メモリ222が記憶する規格化情報は、平常時の心拍数を、規格化された心拍数の基準値「1」に変換する。また、規格化情報メモリ222が記憶する規格化情報は、前述した、規格化情報メモリ112が発汗量を「3」とする所定の負荷の運動時における心拍数を、規格化された心拍数「3」に変換する。このように、発汗量および心拍数など、複数種類の生体情報を、被計測者の平常時の生体情報や、所定の負荷の運動時における生体情報など、共通の基準に基づいて規格化することにより、生体情報の種類の違いによる単位の違いにかかわらず、複数種類の生体情報を比較できる。【0047】次に、携帯電話機2が行う運動負荷の判定の結果について説明する。表3は、正常に計測が行われた場合における、発汗量および心拍数の計測結果と運動負荷の判定結果とを示す表である。【0048】【表3】【0049】表3では、温度および湿度が一定の部屋で十分安静にした後、被計測者が一定時間踏み台昇降を行った際の、踏み台昇降開始から1分、5分、10分および15分経過時における、センサ111により計測される発汗量(規格化された値)とセンサ221により計測される心拍数(規格化された値)と、携帯電話機2による判定結果とが示されている。併せて、表3に示す発汗量および心拍数に基づいて、加重平均を行わずに、両センサ値の差が予め定められた値以上になるとエラーと判定する方法による判定結果が参考結果1に示されている。また、センサ111による計測値のみを用いて判定を行う方法による判定結果が参考結果2に示されている。表1の場合と同様、表3の発汗量および心拍数は、被計測者の平常状態における計測データで規格化した値である。判定結果の「正常」、「負荷中」「負荷大」および「**」、「*」の表記は、表1で説明したのと同様の意味である。表3の、10分経過時において、携帯電話機2の判定結果と参考結果1とは「負荷大」となっているのに対して、参考結果2は「負荷中」となっている。これは、運動負荷の増大と発汗量の増加との間に時間差が生じ、発汗量のみに基づく参考結果2では、運動負荷の増大が充分に反映されず「負荷中」となったためである。これに対して、運動負荷の増大と心拍数の増加との間の時間差は小さいため、発汗量に加えて心拍数も用いる携帯電話機2の判定結果と参考結果1とでは、運動負荷の増大を適切に反映して「負荷大」との判定がなされている。他の経過時間においては、いずれの判定方法によっても適切な判定結果が得られている。【0050】このように、正常に計測が行われた場合においては、携帯電話機2による判定では、複数種類のセンサによる計測結果を平均して判定を行う点で、生体情報の種類毎の特性や個人差の影響が小さくなり、より精度の高い判定を行える。例えば、上述した10分経過時のように、生体情報の種類によっては、運動負荷の変化が生体情報の変化に反映されるまでに時間差が生じる場合がある。複数種類の生体情報を計測することにより、この、時間差による影響を受けにくくできる。あるいは、例えば、発汗量の少ない被計測者の場合、運動を行っても発汗量の変化が小さく、この発汗量のみに基づいて運動負荷を判定すると精度が低くなることが考えられる。このような場合に、発汗量の計測に加えて心拍数の計測も行うことにより、運動負荷に応じた変化のより大きい計測値が得られ、より精度の高い判定を行うことができる。【0051】表4は、センサ端子の部分剥離による計測異常が生じた場合における、発汗量および心拍数の計測結果と運動負荷の判定結果とを示す表である。【0052】【表4】【0053】表4における計測条件は、表3の場合と同様である。表4においては、1分経過時から5分経過時までの間に、センサ端子の部分剥離が生じている。このため、5分、10分および15分経過時においては、センサ111による計測値が小さくなる計測異常が生じている。その結果、加重平均を算出しない参考結果1では、センサ111による計測値とセンサ221による計測値との差が大きいために負荷の判定が行われず、「エラー」となっている。また、センサ111による計測値のみを用いる参考結果2では、センサ端子の部分剥離のために「負荷中」と判定する閾値以上に計測結果が大きくならず、いずれの経過時間においても「正常」との判定結果となっている。すなわち、10分経過時および15分経過時において、いずれも「負荷大」と判定すべきところが「正常」と判定されており、不適切な判定結果が示されている。これに対して、携帯電話機2による判定では、センサ端子の部分剥離時の計測値の急激な減少を検出してセンサ111による計測値の信頼性情報が小さく算出される。これにより、部分剥離後も適切な判定結果が示されている。このように、片方のセンサに計測異常が生じた場合においては、携帯電話機1による判定では、重み付けの補正を行って判定結果に対する計測異常データの寄与度を小さくしている。その結果、計測エラーとならず、かつ、適切に判定することができる。【0054】<第3の実施形態>図8は、本発明の第3の実施形態における携帯電話機(携帯端末装置)3の概略構成を示す構成図である。図8において、携帯電話機3は、生体情報計測器31と、運動負荷判定回路141と、表示回路142とを具備する。生体情報計測器31は、センサ111および221と、規格化情報メモリ112および222と、規格化回路113および123と、生体情報メモリ114および124と、信頼性情報生成回路315および325と、加重平均回路131とを具備する。図8において、図1の各部に対応し、その機能も同一である部分には同一の符号(111~114、221、222、123、124、131、141、142)を付し、説明を省略する。携帯電話機1と同様、携帯電話機3は、被計測者が通話を行う際などに音声信号を電気信号に変換する音声処理回路や、他の電話機等との通信を行う通信回路など、同図に示す以外の部分も具備する。センサ111、221のセンサ端子の配置は、それぞれ図2のセンサ端子191、192と同様である。【0055】信頼性情報生成回路315は、第1の実施形態の信頼性情報生成回路115(図1)が生成する信頼性情報に、規格化回路113が算出する生体情報の増減と規格化回路123が算出する生体情報の増減との異同を加味した信頼性情報を生成する。具体的には、信頼性情報生成回路315は、生体情報メモリ114から規格化された発汗量を読み出し、現時点において、この発汗量が増加しているか減少しているかを判定する。同様に、信頼性情報生成回路315は、生体情報メモリ124から規格化された心拍数を読み出し、現時点において、この心拍数が増加しているか減少しているか無変化であるかを判定する。【0056】信頼性情報生成回路315が、生体情報メモリ114から読み出した発汗量と生体情報メモリ124から読み出した心拍数とが、共に増加または無変化であると判定した場合、あるいは、共に減少または無変化であると判定した場合について説明する。この場合、信頼性情報生成回路315は、第2の実施形態で説明した、生体情報の変化量に基づく信頼性情報の値に、「0.3」を加算した値を信頼性情報として算出する。例えば、図4Bのように、生体情報の変化量が基準値c以下の場合は、生体情報の変化量に基づく信頼性情報の値は「1」であり、信頼性情報生成回路315は、信頼性情報の値として「1.3」を算出する。信頼性情報生成回路315が、生体情報メモリ114から読み出した発汗量が増加し、生体情報メモリ124から読み出した心拍数が減少していると判定した場合について説明する。この場合、信頼性情報生成回路315は、第2の実施形態で説明した、生体情報の変化量に基づく信頼性情報の値に、「0.1」を加算した値を信頼性情報として算出する。信頼性情報生成回路315が、生体情報メモリ114から読み出した発汗量が減少し、生体情報メモリ124から読み出した心拍数が増加していると判定した場合について説明する。この場合、信頼性情報生成回路315は、第2の実施形態で説明した、生体情報の変化量に基づく信頼性情報の値に、「0」を加算した値、すなわち何も加算しない値を信頼性情報として算出する。【0057】このように、複数の生体情報が同様の増減傾向を示す場合は、被計測者の運動負荷を反映して生体情報の値が変化していることが考えられ、これらの値を用いることにより、運動負荷をより適切に判定できることが期待できる。一方、複数の生体情報が互いに異なる増減傾向を示す場合は、いずれかの生体情報の値の変化は、被計測者の運動負荷を反映していないことになり、この生体情報の値は、例えば、センサ端子の剥離が徐々に生じているなど、計測異常を生じている可能性がある。そこで、これらの生体情報の増減傾向を信頼性情報に加味する。この際、センサ端子の剥離が徐々に生じている場合や、センサの故障により感度が徐々に鈍くなる場合など、値が減少する生体情報の信頼性が低いことが考えられる。そこで、上述したように、値が減少する生体情報に対しては、値が増加する生体情報の信頼性よりも小さい値の信頼性情報を加算する。もっとも、例えば、発汗量などの生体情報が運動負荷の増減に遅れて増減する場合など、運動負荷が減少した後に、遅れて生体情報の値が増加することも考えられる。そうすると、値が増加する生体情報が必ずしも信頼性情報が高くない場合もある。そこで、生体情報の増減傾向による信頼性情報は、第2の実施形態で説明した、生体情報の変化量に基づく信頼性情報に対して、比較的小さい値とする。【0058】次に、携帯電話機3が行う運動負荷の判定の結果について説明する。表5は、正常に計測が行われた場合における、発汗量および心拍数の計測結果と運動負荷の判定結果とを示す表である。【0059】【表5】【0060】表5では、温度および湿度が一定の部屋で十分安静にした後、被計測者が一定時間踏み台昇降を行った際の、踏み台昇降開始から1分、5分、10分および15分経過時における、センサ111により計測される発汗量(規格化された値)とセンサ221により計測される心拍数(規格化された値)と、携帯電話機3による判定結果とが示されている。併せて、同表に示す発汗量および心拍数に基づいて、加重平均を行わずに、両センサ値の差が予め定められた値以上になるとエラーと判定する方法による判定結果が参考結果1に示されている。また、センサ111による計測値のみを用いて判定を行う方法による判定結果が参考結果2に示されている。表1の場合と同様、表5の発汗量および心拍数は、被計測者の平常状態における計測データで規格化した値である。判定結果の「正常」、「負荷中」「負荷大」および「**」、「*」の表記は、表1で説明したのと同様の意味である。表3で説明したのと同様、表5の、10分経過時において、参考結果2は、運動負荷の増大と発汗量の増加との間の時間差のために、運動負荷の増大が充分に反映されず「負荷中」となっている。他の経過時間においては、いずれの判定方法によっても適切な判定結果が得られている。【0061】このように、正常に計測が行われた場合においては、携帯電話機3による判定では、携帯電話機2の場合と同様、複数種類のセンサによる計測結果を平均して判定を行う点で、生体情報の種類毎の特性や個人差の影響が小さくなり、より精度の高い判定を行える。【0062】表6は、センサ端子の部分剥離による計測異常が生じた場合における、発汗量および心拍数の計測結果と運動負荷の判定結果とを示す表である。【0063】【表6】【0064】表6における計測条件は、表5の場合と同様である。表6においては、1分経過時から5分経過時までの間に、センサ端子の部分剥離が生じている。このため、5分、10分および15分経過時においては、センサ111による計測値が小さくなる計測異常が生じている。その結果、加重平均を算出しない参考結果1では、センサ111による計測値とセンサ221による計測値との差が大きいために負荷の判定が行われず、「エラー」となっている。また、センサ111による計測値のみを用いる参考結果2では、センサ端子の部分剥離のために「負荷中」と判定する閾値以上に計測結果が大きくならず、いずれの経過時間においても「正常」との判定結果となっている。すなわち、10分経過時および15分経過時において、いずれも「負荷大」と判定すべきところが「正常」と判定されており、不適切な判定結果が示されている。これに対して、携帯電話機3による判定では、センサ端子の部分剥離時の計測値の急激な減少を検出してセンサ111による計測値の信頼性情報が小さく算出される。これにより、部分剥離後も適切な判定結果が示されている。このように、片方のセンサに計測異常が生じた場合においては、携帯電話機1による判定では、重み付けの補正を行って判定結果に対する計測異常データの寄与度を小さくしている。その結果、計測エラーとならず、かつ、適切に判定することができる。【0065】生体情報計測器31が3つ以上のセンサを具備するようにしてもよい。本実施形態の方法は、生体情報計測器31が3つ以上のセンサを具備する場合に特に有効である。例えば、生体情報計測器31が、発汗量センサと心拍数センサと呼吸数センサとを具備するようにしてもよい。この場合に、心拍数と呼吸数が減少し、発汗量が増加していれば、発汗量は、心拍数や呼吸数よりも運動による影響を受けにくく、運動負荷が減少した後に、運動負荷が大きい状態の影響が発汗量に遅れて生じたことが考えられる。そうすると、同様に減少傾向を示す心拍数および呼吸数の信頼性を高くし、これらとは異なり増加傾向を示す発汗量の信頼性を低くすることにより、現在の運動負荷に応じたより適切な判定を行えることが期待できる。このように、3つ以上のセンサが計測する生体情報において、他と異なる増減傾向を示すものが存在する場合は、多数決により、同じ増減傾向を示す生体情報の信頼性を高くすることで、より適切な判定を行えることが期待できる。生体情報計測器31が、同じ種類の生体情報を計測するセンサを具備するようにしてもよい。この場合は、例えばセンサの故障により他のセンサと異なる増減傾向を示す生体情報の信頼性を低くすることができ、より適切な判定を行えることが期待できる。【0066】<第4の実施形態>図9は、本発明の第4の実施形態における携帯電話機(携帯端末装置)4の概略構成を示す構成図である。図9において、携帯電話機4は、生体情報計測器41と、表示回路442と、心理状態判定回路443と、データベース444と、通信回路(送信回路)445とを具備する。生体情報計測器41は、センサ111および421と、規格化情報メモリ412および422と、規格化回路113および123と、生体情報メモリ114および124と、信頼性情報生成回路115および125とを具備する。携帯電話機4は、携帯電話機9と通信を行う。携帯電話機9は、表示回路942と、通信回路945とを具備する。図9において、図1の各部に対応し、その機能も同一である部分には同一の符号(111、113~115、123~125)を付し、説明を省略する。携帯電話機4は、被計測者が通話を行う際などに音声信号を電気信号に変換する音声処理回路等、図9に示す以外の部分も具備する。【0067】センサ421は、体温センサであり、被計測者の体温を計測する。規格化情報メモリ412は、センサ111が計測した発汗量を規格化するための規格化情報を記憶する。被計測者の平常時の発汗量を「1」とし、予め定められた言葉および声量で威圧された場合など、所定の緊張状態における発汗量を「5」とする関数が予め決定される。規格化情報メモリ412は、この関数を規格化情報として記憶する。規格化情報メモリ422は、センサ421が計測した体温を規格化するための規格化情報を記憶する。規格化情報メモリ412の場合と同様に、被計測者の平常時の体温を「1」とし、予め定められた言葉および声量で威圧された場合など、所定の緊張状態における体温を「5」とする関数が予め決定される。規格化情報メモリ422は、この関数を規格化情報として記憶する。【0068】データベース444は、心理状態判定回路443が心理状態を判定する際に用いる、生体情報と心理状態情報との対応表を予め記憶している。例えば、データベース444が記憶する心理状態情報は、被計測者が落ち着いていることを示す「安静」または被計測者が緊張していることを示す「緊張」のいずれかの値をとる。データベース444は、発汗量と体温とそれぞれの信頼性情報との、一定の範囲毎に、当該範囲と「安静」または「緊張」とを対応付けて記憶する。これにより、発汗量と体温とそれぞれの信頼性情報との値が定まれば、それらの値に対応付けられた心理状態情報をデータベース444から読み出すことができる。心理状態判定回路443は、規格化回路113が算出する規格化された発汗量と、信頼性情報生成回路115が生成する発汗量の信頼性情報と、規格化回路123が算出する規格化された体温と、信頼性情報生成回路125が生成する体温の信頼性情報とに基づいて、判定を行う。すなわち、心理状態判定回路443は、これらの情報に基づいて、被計測者が落ち着いているか、あるいは緊張状態にあるかの心理状態を判定する。心理状態判定回路443は、データベース444を参照して、これらの発汗量や体温や各々の信頼性情報に対応付けられた心理状態情報を読み出すことにより、被計測者の心理状態を判定する。表示回路442は、スピーカを具備し、心理状態判定回路443が判定した心理状態を音声にて表示する。本実施形態では、被計測者が携帯電話機4を用いて通話を行っている際に、心理状態判定回路443が心理状態を判定する。この場合、被計測者は携帯電話機4の表示画面を見ることができないため、表示回路442は、心理状態を音声にて表示する。携帯電話機9は、携帯電話機4が送信する心理状態情報を受信して表示する。通信回路945は、携帯電話機4の通信回路445が送信する心理状態情報を受信し、受信した心理状態情報を表示回路942に出力する。表示回路942は、液晶パネル等の表示画面を具備し、通信回路945から出力される心理状態情報を表示画面に表示する。表示回路942が表示回路442と同様にスピーカを具備し、通信回路945から出力される心理状態情報を音声にて表示するようにしてもよい。【0069】図10Aおよび図10Bは、携帯電話機4の外形を示す外形図である。図10Cは、携帯電話機4の断面を示す断面図である。図10Aは、携帯電話機4の表側の外形図である。図10Aにおいて、携帯電話機4は、表示画面181と、操作ボタン182と、スピーカ183と、センサ端子193および194とを具備する。図10Aにおいて、図2Aの各部に対応し、その機能も同一である部分には同一の符号(181~183)を付し、説明を省略する。スピーカ183は、表示回路442が具備するスピーカである。センサ端子193は、センサ111が発汗量を計測するための端子である。センサ端子194は、センサ421が体温を計測するための端子である。図10Bは、携帯電話機4の裏側の外形図である。図10Aおよび図10Bに示すように、センサ端子194は、携帯電話機1の側面に突起している。被計測者は、センサ端子194が被計測者の指に接触するように携帯電話機4を持つ。この状態で被計測者が発汗すると、センサ111を流れる電流値が変化する。センサ111は、この電流を出力する。図10Cは、携帯電話機4を図10AのB‐B’線に沿って切断した断面図である。図10Aおよび図10Cに示すように、センサ端子193は、携帯電話機4の表面に突起している。被計測者は、センサ端子193が被計測者の顔に接触するように携帯電話機4を持ち、通話を行う。この状態で、センサ421は、被計測者の体温として接触部分の温度を計測する。【0070】次に、携帯電話機4が行う心理状態の判定の結果について説明する。表7は、正常に計測が行われた場合における、発汗量および体温の計測結果と心理状態の判定結果とを示す表である。【0071】【表7】【0072】表7では、温度および湿度が一定の部屋で十分安静にした後、被計測者が10分間の通話を行った際の、通話開始から1分、5分および10分経過時における、センサ111により計測される発汗量(規格化された値)とセンサ421により計測される体温(規格化された値)と、携帯電話機4による判定結果とが示されている。併せて、表7に示す発汗量および体温に基づいて、加重平均を行わずに、両センサ値の差が予め定められた値以上になるとエラーと判定する方法による判定結果が参考結果1に示されている。また、センサ111による計測値のみを用いて判定を行う方法による判定結果が参考結果2に示されている。表7の計測において、通話開始後5分前後において、被計測者の通話相手は、被計測者に対して緊張を与えるために怒気をはらんだ強い口調で会話を行っている。表1の場合と同様、表7の発汗量および体温は、被計測者の平常状態における計測データで規格化した値である。判定結果の「安静」は、被計測者が落ち着いた心理状態にあることを示し、「緊張」は、被計測者が緊張した心理状態にあることを示す。表7において、「**」は、測定確度が大きいことを示す。「*」は、測定確度が小さいことを示す。表7に示すように、正常に計測が行われた場合は、いずれの判定方法によっても適切な判定結果が得られる。このように、正常に計測が行われた場合においては、携帯電話機4による判定では、複数種類のセンサによる計測結果を用いて判定を行っている。このため、生体情報の種類毎の特性や個人差の影響が小さくなり、より精度の高い判定を行える。【0073】表8は、センサ端子の部分剥離による計測異常が生じた場合における、発汗量および体温の計測結果と心理状態の判定結果とを示す表である。【0074】【表8】【0075】表8における計測条件は、表7の場合と同様である。表8においては、1分経過時から5分経過時までの間に、センサ端子の部分剥離が生じている。このため、5分および10分経過時においては、センサ111による計測値が小さくなる計測異常が生じている。その結果、加重平均を算出しない参考結果1では、5分および10分経過時において、センサ111による計測値とセンサ421による計測値との差が大きいために心理状態の判定が行われず、「エラー」となっている。また、センサ111による計測値のみを用いる参考結果2では、5分経過時において、センサ端子の部分剥離のために「緊張」と判定する閾値以上に計測結果が大きくならず、「安静」という不適切な判定結果となっている。これに対して、携帯電話機4による判定では、センサ端子の部分剥離時の計測値の急激な減少を検出してセンサ111による計測値の信頼性情報が小さく算出される。これにより、部分剥離後も適切な判定結果が示されている。このように、片方のセンサに計測異常が生じた場合においては、携帯電話機4による判定では、重み付けの補正を行って判定結果に対する計測異常データの寄与度を小さくしている。その結果、計測エラーとならず、かつ、適切に判定することができる。【0076】以上のように、携帯電話機4が、被計測者の心理状態を判定して通話相手の携帯電話機9に送信することにより、従来のメッセージや音楽等の送信に加えて、通話者の心理状態という新たな情報を通知できる。また、心理状態判定回路443が、心理状態情報に基づいてさらに被計測者の会話の信用度を判定して通話相手の携帯電話機9に送信することにより、会話の信用度を表示するサービスを提供できる。あるいは、心理状態判定回路443が、被計測者の心理状態として通話相手に対する好意度を判定して表示回路442に表示し、また、通話相手の携帯電話機9に送信することにより、通話者同士の相性診断サービスを提供できる。このように、携帯電話機4が被計測者の心理状態を判定することにより、さまざまなサービスを提供できる。【0077】心理状態判定回路443およびデータベース444が、携帯電話機4の外部にあってもよい。例えば、サーバ装置(不図示)が心理状態判定回路443およびデータベース444を具備してもよい。この場合、サーバ装置が、携帯電話機4から生体情報や信頼性情報を受信すると、心理状態判定回路443が、受信した生体情報や信頼性情報に対応付けられた心理状態情報を、データベース444から読み出す。サーバ装置は読み出した心理状態情報を携帯電話機4に送信し、携帯電話機4の表示回路442が、受信した心理状態情報を受信する。データベース444を携帯電話機4の内部にある場合、携帯電話機4の大きさの制約によりデータベース444の記憶容量が制限される。これに対して、データベース444を携帯電話機4の外部にある場合は、データベース444が、より大きな記憶容量を備えることができる。これにより、上述した発汗量および体温に加えて、心拍数にも基づいて心理状態を判定するなど、より多くの種類の生体情報を用いてより適切な心理状態判定を行える。あるいは、データベース444が、生体情報や信頼性情報のより細かい範囲毎に心理状態情報を記憶するなど、より詳細に心理状態判定を行える。さらに、心理状態判定回路443およびデータベース444を携帯電話機4の外部に設けることにより、複数の携帯電話機が心理状態判定回路443やデータベース444を共有できる。これにより、データベース444が記憶する対応表を更新することにより、複数の携帯電話機が用いる対応表を一度に更新できるなど、対応表の管理が容易になる。【0078】表示回路442や942が、上述した音声表示以外の方法で、心理状態情報を表示するようにしてもよい。例えば、表示回路442が表示画面を具備し、通話終了後に心理状態情報を視覚的に表示するようにしてもよい。例えば、表示画面に被計測者のアバタ(Avatar、仮想的な化身)を表示し、アバタの顔の表情により心理状態を表現するようにしてもよい。【0079】携帯電話機1~4の全部または一部の機能を実現するためのプログラムをコンピュータ読み取り可能な記録媒体に記録して、この記録媒体に記録されたプログラムをコンピュータシステムに読み込ませ、実行することにより各部の処理を行ってもよい。ここでいう「コンピュータシステム」とは、OSや周辺機器等のハードウェアを含む。「コンピュータシステム」は、WWWシステムを利用している場合であれば、ホームページ提供環境(あるいは表示環境)も含む。「コンピュータ読み取り可能な記録媒体」とは、フレキシブルディスク、光磁気ディスク、ROM、CD-ROM等の可搬媒体、コンピュータシステムに内蔵されるハードディスク等の記憶装置のことをいう。「コンピュータ読み取り可能な記録媒体」とは、インターネット等のネットワークや電話回線等の通信回線を介してプログラムを送信する場合の通信線のように、短時間の間、動的にプログラムを保持するもの、その場合のサーバやクライアントとなるコンピュータシステム内部の揮発性メモリのように、一定時間プログラムを保持しているものも含む。上記プログラムは、前述した機能の一部を実現しても良く、さらに前述した機能をコンピュータシステムにすでに記録されているプログラムとの組み合わせで実現してもよい。【0080】以上、本発明の実施形態について図面を参照して詳述してきたが、具体的な構成はこの実施形態に限られるものではなく、この発明の要旨を逸脱しない範囲の設計変更等も含まれる。【0081】この出願は、2010年2月5日に出願された日本出願特願2010-024456を基礎とする優先権を主張し、その開示の全てをここに取り込む。
S
WO2011099313
2011553771
null
JP2011050012
20110104
20130613
null
null
WO2011099313
20110818
5533889
20140509
null
null
null
CMP研磨液及び研磨方法
000004455
日立化成株式会社
100088155
長谷川 芳樹
南 久貴,天野倉 仁,安西 創
2010030351,20100215,JP
8
H01L 21/304 (20060101), B24B 37/00 (20120101)
H01L 21/304 622D ,H01L 21/304 622X ,B24B 37/00 H
9
null
null
AP(BW,GH,GM,KE,LR,LS,MW,MZ,NA,SD,SL,SZ,TZ,UG,ZM,ZW),EA(AM,AZ,BY,KG,KZ,MD,RU,TJ,TM),EP(AL,AT,BE,BG,CH,CY,CZ,DE,DK,EE,ES,FI,FR,GB,GR,HR,HU,IE,IS,IT,LT,LU,LV,MC,MK,MT,NL,NO,PL,PT,RO,RS,SE,SI,SK,SM,TR),OA(BF,BJ,CF,CG,CI,CM,GA,GN,GQ,GW,ML,MR,NE,SN,TD,TG),AE,AG,AL,AM,AO,AT,AU,AZ,BA,BB,BG,BH,BR,BW,BY,BZ,CA,CH,CL,CN,CO,CR,CU,CZ,DE,DK,DM,DO,DZ,EC,EE,EG,ES,FI,GB,GD,GE,GH,GM,GT,HN,HR,HU,ID,IL,IN,IS,JP,KE,KG,KM,KN,KP,KR,KZ,LA,LC,LK,LR,LS,LT,LU,LY,MA,MD,ME,MG,MK,MN,MW,MX,MY,MZ,NA,NG,NI,NO,NZ,OM,PE,PG,PH,PL,PT,RO,RS,RU,SC,SD,SE,SG,SK,SL,SM,ST,SV,SY,TH,TJ,TM,TN,TR,TT,TZ,UA,UG,US,UZ,VC,VN,ZA,ZM,ZW
1
29
3C058,5F057
3C058 AA07 ,3C058 CA05 ,3C058 CB03 ,3C058 CB10 ,3C058 DA02 ,3C058 DA12 ,3C058 DA17,5F057 AA14 ,5F057 AA28 ,5F057 BA22 ,5F057 BB26 ,5F057 CA12 ,5F057 DA03 ,5F057 EA01 ,5F057 EA06 ,5F057 EA07 ,5F057 EA08 ,5F057 EA09 ,5F057 EA16 ,5F057 EA17 ,5F057 EA21 ,5F057 EA22 ,5F057 EA23 ,5F057 EA24 ,5F057 EA31
本発明のCMP研磨液は、第8、11、12及び13族からなる群より選択される少なくとも一種の金属を含む金属塩と、1,2,4-トリアゾールと、リン酸類と、酸化剤と、砥粒とを含有する。本発明の研磨方法は、パラジウム層を有する基板の当該パラジウム層と研磨布との間にCMP研磨液を供給しながら、少なくともパラジウム層を研磨布で研磨する工程を備え、CMP研磨液が、第8、11、12及び13族からなる群より選択される少なくとも一種の金属を含む金属塩と、1,2,4-トリアゾールと、リン酸類と、酸化剤と、砥粒とを含有する。
【請求項1】第8、11、12及び13族からなる群より選択される少なくとも一種の金属を含む金属塩と、1,2,4-トリアゾールと、リン酸類と、酸化剤と、砥粒とを含有する、CMP研磨液。【請求項2】前記酸化剤として過酸化水素、過ヨウ素酸、過ヨウ素酸塩、ヨウ素酸塩、臭素酸塩及び過硫酸塩からなる群より選択される少なくとも一種を含有する、請求項1に記載のCMP研磨液。【請求項3】前記砥粒が、アルミナ、シリカ、ジルコニア、チタニア及びセリアからなる群より選択される少なくとも一種を含む、請求項1又は2に記載のCMP研磨液。【請求項4】前記砥粒の含有量がCMP研磨液の全質量基準で0.1~10質量%である、請求項1~3のいずれか一項に記載のCMP研磨液。【請求項5】パラジウム層を研磨するための請求項1~4のいずれか一項に記載のCMP研磨液。【請求項6】パラジウム層を有する基板の当該パラジウム層と研磨布との間にCMP研磨液を供給しながら、少なくとも前記パラジウム層を前記研磨布で研磨する工程を備え、前記CMP研磨液が、第8、11、12及び13族からなる群より選択される少なくとも一種の金属を含む金属塩と、1,2,4-トリアゾールと、リン酸類と、酸化剤と、砥粒とを含有する、研磨方法。【請求項7】前記CMP研磨液が、前記酸化剤として過酸化水素、過ヨウ素酸、過ヨウ素酸塩、ヨウ素酸塩、臭素酸塩及び過硫酸塩からなる群より選択される少なくとも一種を含有する、請求項6に記載の研磨方法。【請求項8】前記砥粒が、アルミナ、シリカ、ジルコニア、チタニア及びセリアからなる群より選択される少なくとも一種を含む、請求項6又は7に記載の研磨方法。【請求項9】前記砥粒の含有量が前記CMP研磨液の全質量基準で0.1~10質量%である、請求項6~8のいずれか一項に記載の研磨方法。
【請求項1】第8、11、12及び13族からなる群より選択される少なくとも一種の金属を含む金属塩と、1,2,4-トリアゾールと、リン酸類と、酸化剤と、砥粒とを含有する、CMP研磨液。【請求項6】パラジウム層を有する基板の当該パラジウム層と研磨布との間にCMP研磨液を供給しながら、少なくとも前記パラジウム層を前記研磨布で研磨する工程を備え、前記CMP研磨液が、第8、11、12及び13族からなる群より選択される少なくとも一種の金属を含む金属塩と、1,2,4-トリアゾールと、リン酸類と、酸化剤と、砥粒とを含有する、研磨方法。
【技術分野】【0001】本発明は、CMP研磨液及び研磨方法に関する。
【背景技術】【0002】近年、半導体集積回路(LSI)の高集積化、高性能化に伴って、新たな微細加工技術が開発されている。化学機械研磨(CMP)法もその一つであり、LSI製造工程、特に多層配線形成工程における層間絶縁膜層の平坦化、金属プラグ形成、埋め込み配線形成において頻繁に利用される技術となっている(例えば、下記特許文献1参照)。【0003】微細加工技術としては、あらかじめ溝部(凹部)及び隆起部(凸部)が形成された絶縁膜上に金属層を堆積して溝部に金属層を埋め込み、次いで、隆起部上に堆積した金属層(溝部以外の金属層)をCMPにより除去して埋め込み配線を形成する、いわゆるダマシン法が採用されている(例えば、下記特許文献2参照)。【0004】金属層に対するCMPの一般的な方法は、円形の研磨定盤(プラテン)上に研磨布(研磨パッド)を貼り付け、研磨布表面を研磨液で浸し、基体の金属層が形成された面を研磨布表面に押し付けて、その裏面から所定の圧力(以下、「研磨圧力」という。)を金属層に加えた状態で研磨定盤を回し、研磨液と隆起部上の金属層との機械的摩擦によって隆起部上の金属層を除去するものである。【0005】CMPに用いられる金属用の研磨液は、一般的に酸化剤及び固体砥粒を含有しており、必要に応じて酸化金属溶解剤、保護膜形成剤(金属防食剤)を更に含有している。酸化剤を含有する研磨液を用いたCMPの基本的なメカニズムは、まず酸化剤によって金属層表面が酸化されて酸化層が形成され、その酸化層が固体砥粒によって削り取られることにより、金蔵層が研磨されるものと考えられている。【0006】このような研磨方法では、溝部上に堆積した金属層表面の酸化層は、研磨布にあまり触れず、固体砥粒による削り取りの効果が及ばないが、隆起部上に堆積した金属層表面の酸化層は、研磨布に触れて削り取りが進む。そのため、CMPの進行とともに、凸部上の金属層が除去されて基体表面は平坦化される(例えば、下記非特許文献1参照)。【0007】CMP研磨液については、研磨される対象物質によって含有成分が異なることが知られている。例えば、基板に形成された窒化チタン又は窒化タンタル等からなる層を研磨対象とするCMP研磨液として、保護膜形成剤、有機酸を含有する研磨液が知られている(例えば、下記特許文献3参照)。【0008】また、銅からなる層に適用するCMP研磨液として、例えば2-キノリンカルボン酸を含有するCMP研磨液が知られている(例えば、下記特許文献4参照)。更に、ニッケルからなる層に適用するCMP研磨液として、例えば砥粒、有機酸、酸化剤を含有するHDD磁気ヘッド用CMP研磨液が知られている(例えば、下記特許文献5参照)。
【発明が解決しようとする課題】【0011】ところで、近年、LSIの構造が更に多様化しており、用いられる金属も多様化している。このため、このようなLSIを製造する際に用いられるCMP研磨液には、様々な金属に対して良好な研磨速度を有するものが求められている。【0012】例えば、近年、ワイヤボンディング実装方式において、コスト低減を目的として、これまで用いられてきた金ワイヤに代えて、銅ワイヤが採用される動きがある。その際には、信頼性を向上させるため、ボンディングパッドにパラジウム層(又はパラジウム合金層)を用いることが検討されている。【0013】一方、半導体素子の高集積化に伴い多ピン化、狭ピッチ化、薄型実装化が要求されている。更に、半導体素子と配線基板間での配線遅延やノイズの防止も重要な課題となっている。このため、半導体素子と配線基板との接続方式は、ワイヤボンディングを主体とした実装方式に替わりフリップチップ実装方式が広く採用されてきている。【0014】そして、このフリップチップ実装方式においては、半導体素子の電極端子上に突起電極を形成し、この突起電極を介して半導体素子を配線基板上に形成された接続端子に一括して接合するはんだバンプ接続法が広く使用されている。このようなフリップチップ実装方式においても、突起電極部分にパラジウム層(又はパラジウム合金層)を設けることが検討されている。【0015】パラジウムは、一般に白金やルテニウム等と共に「貴金属」に分類される。貴金属層に適用するCMP研磨液としては、例えば、硫黄化合物を含有するCMP研磨液、ジケトン、窒素含有複素環化合物又は両性イオン化合物の何れかを含有するCMP研磨液、白金族系金属の酸化物を含有するCMP研磨液が知られている(例えば、特許文献6、7、8参照)。また、白金等の貴金属を研磨するための研磨液として、特別な酸化剤又は化学エッチャントを含まず、研磨材(砥粒)としてのアルミナと、カルシウム、ストロンチウム、バリウム、マグネシウム、亜鉛及びこれらの混合物からなる群から選択される少なくとも1種の金属のイオンとを含む研磨液が知られている(例えば、特許文献9参照)。【0016】しかし、パラジウム層をCMPによって研磨することはこれまで充分に検討されていないため、前記のワイヤボンディング実装方式やフリップチップ実装方式は大規模な実用化には至っていない。本発明者らの知見によれば、パラジウム層は酸化されにくい上に硬度が高いため、特許文献3、4、5の研磨液を用いてパラジウム層を研磨することが非常に困難であることが判明した。また、貴金属を研磨するための特許文献6、7、8の研磨液では、白金やルテニウムの層が研磨できるとされているが、同様の研磨液によりパラジウム層を研磨しても研磨が充分に進行しないことが判明した。さらに、特許文献9の研磨液では、白金が研磨できるとされているが、同様の研磨液によりパラジウム層を研磨しても研磨が充分に進行しないことが判明した。【0017】そこで、本発明は、少なくともパラジウム層の研磨速度を、従来のCMP研磨液を用いた場合よりも向上させることができるCMP研磨液及び研磨方法を提供することを目的とする。【0018】また、ワイヤボンディング実装方式において、ボンディングパッドにパラジウム層とニッケル層とを併用することが検討されている。さらに、フリップチップ実装方式において、パラジウム層と絶縁膜層との間にニッケル層がアンダーバリアメタル層として設けられる場合がある。これらの場合、パラジウム層を研磨できるだけでなくニッケル層も研磨できるCMP研磨液が必要とされる。【0019】そこで、本発明は、少なくともパラジウム層及びニッケル層の研磨速度を、従来のCMP研磨液を用いた場合よりも向上させることができるCMP研磨液及び研磨方法を提供することを目的とする。【0020】また、フリップチップ実装方式において、パラジウム層と絶縁膜層との間に、タンタル層、ルテニウム層、チタン層、コバルト層等の金属層が下地金属層として設けられる場合がある。この場合、パラジウム層を研磨できるだけでなく、下地金属層として用いられる金属層も研磨できるCMP研磨液が必要とされる。【0021】そこで、本発明は、少なくともパラジウム層と下地金属層として用いられる金属層とを研磨できると共に、パラジウム層の研磨速度を、従来のCMP研磨液を用いた場合よりも向上させることができるCMP研磨液及び研磨方法を提供することを目的とする。
【課題を解決するための手段】【0022】本発明は、第8、11、12及び13族からなる群より選択される少なくとも一種の金属を含む金属塩と、1,2,4-トリアゾールと、リン酸類と、酸化剤と、砥粒とを含有する、CMP研磨液を提供する。【0023】本発明のCMP研磨液によれば、少なくともパラジウム層の研磨速度を、従来のCMP研磨液を用いた場合よりも向上させることが可能であり、所望の研磨速度で研磨することができる。このような特性は、国際公開第2003/038883号パンフレットや国際公開第2000/039844号パンフレット等において具体的に開示されているCMP研磨液を使用した場合と比較しても格段に優れるものである。【0024】また、本発明のCMP研磨液によれば、パラジウム層の研磨速度と共にニッケル層の研磨速度を、金属塩を含まない従来のCMP研磨液と比較して向上させることができる。さらに、本発明のCMP研磨液によれば、パラジウム層の研磨速度を従来のCMP研磨液を用いた場合よりも向上させることができると共に、下地金属層として用いられる金属層(例えばルテニウム層、タンタル層、チタン層及びコバルト層)を良好な研磨速度で研磨することができる。【0025】なお、本発明において、特に断りがない限り、「パラジウム層」とは、パラジウムからなる層の他、パラジウムを含有する金属(例えばパラジウム合金、その他のパラジウム化合物)からなる層を含むものとする。【0026】本発明において、特に断りがない限り、「ニッケル層」とは、ニッケルからなる層の他、ニッケルを含有する金属(例えばニッケル合金、その他のニッケル化合物)からなる層を含むものとする。【0027】本発明において、特に断りがない限り、「ルテニウム層」とは、ルテニウムからなる層の他、ルテニウムを含有する金属(例えばルテニウム合金、その他のルテニウム化合物)からなる層を含むものとする。【0028】本発明において、特に断りがない限り、「タンタル層」とは、タンタルからなる層の他、タンタルを含有する金属(例えば窒化タンタル、タンタル合金、その他のタンタル化合物)からなる層を含むものとする。【0029】本発明において、特に断りがない限り、「チタン層」とは、チタンからなる層の他、チタンを含有する金属(例えば窒化チタン、チタン合金、その他のチタン化合物)からなる層を含むものとする。【0030】本発明において、特に断りがない限り、「コバルト層」とは、コバルトからなる層の他、コバルトを含有する金属(例えば窒化コバルト、コバルト合金、その他のコバルト化合物)からなる層を含むものとする。【0031】本発明において、特に断りがない限り、「M合金(Mは金属名を示す)」とは、合金中の金属Mの存在割合が50mol%以上である合金をいう。例えば、「パラジウム合金」とは、合金中のパラジウムの存在割合が50mol%以上である合金をいう。【0032】本発明のCMP研磨液は、酸化剤として過酸化水素、過ヨウ素酸、過ヨウ素酸塩、ヨウ素酸塩、臭素酸塩及び過硫酸塩からなる群より選択される少なくとも一種を含有することが好ましい。これにより、パラジウム層及びニッケル層に対する研磨速度を更に向上させることができると共に、下地金属層として用いられる金属層に対する良好な研磨速度を得ることができる。【0033】砥粒は、アルミナ、シリカ、ジルコニア、チタニア及びセリアからなる群より選択される少なくとも一種を含むことが好ましい。【0034】砥粒の含有量は、CMP研磨液の全質量基準で0.1~10質量%であることが好ましい。CMP研磨液中の砥粒の含有量をこのような範囲とすることで、削り取り作用を高く保持すると同時に、粒子が凝集沈降することを抑制することができる。【0035】本発明のCMP研磨液は、パラジウム層を研磨するためのCMP研磨液であってもよい。【0036】本発明は、パラジウム層を有する基板の当該パラジウム層と研磨布との間にCMP研磨液を供給しながら、少なくともパラジウム層を研磨布で研磨する工程を備え、CMP研磨液が、第8、11、12及び13族からなる群より選択される少なくとも一種の金属を含む金属塩と、1,2,4-トリアゾールと、リン酸類と、酸化剤と、砥粒とを含有する、研磨方法を提供する。【0037】本発明の研磨方法によれば、少なくともパラジウム層の研磨速度を、従来のCMP研磨液を用いた場合よりも向上させることが可能であり、所望の研磨速度で研磨することができる。【0038】また、本発明の研磨方法によれば、パラジウム層の研磨速度と共にニッケル層の研磨速度を、金属塩を含まない従来のCMP研磨液と比較して向上させることができる。さらに、本発明の研磨方法によれば、パラジウム層の研磨速度を従来のCMP研磨液を用いた場合よりも向上させることができると共に、下地金属層として用いられる金属層を良好な研磨速度で研磨することができる。そのため、本発明の研磨方法によれば、パラジウム層に加えてニッケル層、下地金属層を有する基板におけるこれらの層を、前記CMP研磨液を用いて一つの研磨工程で研磨することができる。【0039】本発明の研磨方法において、CMP研磨液は、酸化剤として過酸化水素、過ヨウ素酸、過ヨウ素酸塩、ヨウ素酸塩、臭素酸塩及び過硫酸塩からなる群より選択される少なくとも一種を含有することが好ましい。【0040】本発明の研磨方法において、砥粒は、アルミナ、シリカ、ジルコニア、チタニア及びセリアからなる群より選択される少なくとも一種を含むことが好ましい。【0041】本発明の研磨方法において、砥粒の含有量は、CMP研磨液の全質量基準で0.1~10質量%であることが好ましい。CMP研磨液中の砥粒の含有量をこのような範囲とすることで、削り取り作用を高く保持すると同時に、粒子が凝集沈降することを抑制することができる。
【発明の効果】【0042】本発明によれば、少なくともパラジウム層の研磨速度を、従来のCMP研磨液を用いた場合よりも向上させることが可能であり、所望の研磨速度で研磨することが可能なCMP研磨液及び研磨方法を提供することができる。【0043】また、本発明によれば、少なくともパラジウム層及びニッケル層の研磨速度を、従来のCMP研磨液を用いた場合よりも向上させることが可能なCMP研磨液及び研磨方法を提供することができる。【0044】また、本発明によれば、少なくともパラジウム層と下地金属層として用いられる金属層とを研磨できると共に、パラジウム層の研磨速度を、従来のCMP研磨液を用いた場合よりも向上させることが可能なCMP研磨液及び研磨方法を提供することができる。
【発明を実施するための形態】【0046】以下に、本発明を実施するための形態について詳細に説明する。本実施形態のCMP研磨液は、金属塩と、1,2,4-トリアゾールと、リン酸類と、酸化剤と、砥粒とを含有する。【0047】(金属塩)金属塩は、第8、11、12及び13族からなる群より選択される少なくとも一種の金属を含む金属塩である。金属塩としては、第8及び13族からなる群より選択される少なくとも一種の金属を含む金属塩が好ましい。また、これらの金属塩は水和物であっても構わない。金属塩はCMP研磨液中で電離し、金属イオンを生じ、これがパラジウム層、ニッケル層の研磨速度を向上させる効果を有する。このため、金属塩はCMP研磨液に溶解していることが好ましい。【0048】第8族の金属を含む金属塩としては、鉄を含む金属塩が好ましい。鉄を含む金属塩としては、例えば、塩化鉄(II)、塩化鉄(III)、硝酸鉄(II)、硝酸鉄(III)、硫酸鉄(II)、硫酸鉄(III)、酢酸鉄(II)、乳酸鉄(II)、しゅう酸鉄(II)、しゅう酸鉄(III)、ヘキサシアノ鉄(II)酸カリウム、ヘキサシアノ鉄(III)酸カリウムがより好ましく、硝酸鉄(II)、硫酸鉄(II)が更に好ましい。【0049】第11族の金属を含む金属塩としては、銅及び銀からなる群より選択される少なくとも一種の金属を含む金属塩が好ましい。銅を含む金属塩としては、例えば、塩化銅(I)、塩化銅(II)、硝酸銅(II)、硫酸銅(II)、酢酸銅(II)、乳酸銅(II)、しゅう酸銅(II)、酒石酸銅(II)、安息香酸銅(II)がより好ましく、硝酸銅(II)、硫酸銅(II)が更に好ましい。銀を含む金属塩としては、例えば、塩化銀、硝酸銀、硫酸銀がより好ましく、硝酸銀が更に好ましい。【0050】第12族の金属を含む金属塩としては、亜鉛を含む金属塩が好ましい。亜鉛を含む金属塩としては、例えば、塩化亜鉛、硝酸亜鉛、硫酸亜鉛、酢酸亜鉛、乳酸亜鉛がより好ましく、硝酸亜鉛、硫酸亜鉛、酢酸亜鉛が更に好ましい。【0051】第13族の金属を含む金属塩としては、アルミニウム及びガリウムからなる群より選択される少なくとも一種の金属を含む金属塩が好ましい。アルミニウムを含む金属塩としては、例えば、塩化アルミニウム、硝酸アルミニウム、硫酸アルミニウム、酢酸アルミニウム、乳酸アルミニウム、カリウムアルミニウムミョウバン、アンモニウムアルミニウムミョウバン、アルミン酸カリウム、アルミン酸ナトリウムがより好ましく、硝酸アルミニウム、硫酸アルミニウム、乳酸アルミニウム、カリウムアルミニウムミョウバン、アンモニウムアルミニウムミョウバン、アルミン酸カリウムが更に好ましい。ガリウムを含む金属塩としては、例えば、塩化ガリウム、硝酸ガリウム、硫酸ガリウムがより好ましく、硝酸ガリウムが更に好ましい。【0052】前記金属塩の中でも、水に溶解できるものが好ましい。また、前記金属塩の中でも、金属層に対する腐食を防止できる点で、塩化物、ナトリウム塩以外の金属塩が好ましい。前記金属塩は、1種類を単独で用いても2種類以上を混合して用いてもよい。なお、後述するリン酸類としてCMP研磨液がリン酸金属塩を含有する場合、第8、11、12及び13族からなる群より選択される少なくとも一種の金属を含む金属塩としては、リン酸金属塩以外の金属塩が好ましく用いられる。【0053】金属塩の含有量は、金属イオンがCMP研磨液1kgにつき1×10-5~10molとなる量が好ましい。この金属イオンの含有量が1×10-5mol以上であれば、パラジウム層及びニッケル層に対する更に良好な研磨速度を得ることができる。このような観点から、金属イオンの含有量の下限値は、5×10-5mol以上であることがより好ましく、1×10-4mol以上であることが更に好ましく、2×10-4mol以上であることが極めて好ましい。また、金属イオンの含有量が10mol以下であれば、金属イオンの含有量に対してパラジウム層及びニッケル層の研磨速度が飽和することを抑制することができる。このような観点から、金属イオンの含有量の上限値は、8mol以下であることがより好ましく、6mol以下であることが更に好ましく、5mol以下であることが極めて好ましい。【0054】(1,2,4-トリアゾール)CMP研磨液は、錯化剤として1,2,4-トリアゾールを含有する。錯化剤は、金属に配位し錯体を形成することができる物質である。1,2,4-トリアゾールは、後述するリン酸類と共に、パラジウムに対して錯体を形成すると考えられ、ここで形成された錯体が研磨されやすいために良好な研磨速度が得られるものと推定される。また、含窒素化合物であればパラジウムと錯体を形成できると考えられるが、本発明者らの検討によれば、1,2,4-トリアゾール以外の化合物では、パラジウム層に対する研磨速度を向上させることはできない。例えば、1,2,4-トリアゾールに代えて、構造の類似する1,2,3-トリアゾールや、3-アミノ-1,2,4-トリアゾールを使用しても、パラジウム層に対する良好な研磨速度を得ることは難しい。【0055】1,2,4-トリアゾールの含有量は、CMP研磨液の全質量基準で0.001~20質量%であることが好ましい。この含有量が0.001質量%以上であれば、CMPによるパラジウム層の研磨速度が更に向上する傾向がある。このような観点から、1,2,4-トリアゾールの含有量の下限値は、0.01質量%以上であることがより好ましく、0.05質量%以上であることが更に好ましい。また、1,2,4-トリアゾールの含有量が20質量%以下であれば、1,2,4-トリアゾールの含有量に対してパラジウム層の研磨速度が飽和することが抑制される傾向がある。このような観点から、1,2,4-トリアゾールの含有量の上限値は、15質量%以下であることがより好ましく、12質量%以下であることが更に好ましく、10質量%以下であることが極めて好ましい。【0056】(リン酸類)CMP研磨液は、リン酸類を含有する。リン酸類は、後述する酸化剤によって酸化された金属を、錯化及び/又は溶解することによって金属層の研磨を促進すると考えられ、パラジウムに対する酸化金属溶解剤としての機能を有するものと推定される。【0057】パラジウムに対する酸化金属溶解剤としての機能を有する化合物としては、種々の無機酸、有機酸等が考えられるが、本発明者らの検討によれば、リン酸類以外の酸では、パラジウム層に対する良好な研磨速度を得ることは難しい。【0058】リン酸類とは、リン酸及びリン酸骨格をもつ他の類似化合物群(リン酸、亜リン酸及び次亜リン酸、並びにこれらの縮合体)を示し、これらの塩も包含する。リン酸類の具体例としては、リン酸、次リン酸、亜リン酸、次亜リン酸、ピロリン酸、トリメタリン酸、テトラメタリン酸、テトラメタリン酸、ヘキサメタリン酸、ピロ亜リン酸、ポリリン酸、トリポリリン酸等が挙げられる。リン酸類の塩の例としては、リン酸鉄(II)、リン酸銅(II)、リン酸亜鉛、リン酸アルミニウム等のリン酸金属塩のように、陽イオンとリン酸類の陰イオンとの塩が挙げられる。陽イオンとしては、例えば、リチウム、ナトリウム、カリウム、ルビジウム、セシウム、ベリリウム、マグネシウム、カルシウム、ストロンチウム、バリウム、チタン、ジルコニウム、バナジウム、クロム、マンガン、鉄、コバルト、ニッケル、銅、銀、パラジウム、亜鉛、アルミニウム、ガリウム、錫、アンモニウム等のイオンが挙げられる。リン酸類の陰イオンとしては、例えば、リン酸イオン、亜リン酸イオン、次亜リン酸イオン、ピロリン酸イオン、ピロ亜リン酸イオン、トリメタリン酸イオン、テトラメタリン酸イオン、ヘキサメタリン酸イオン、ポリリン酸イオン、トリポリリン酸イオン、その他の縮合リン酸イオンが挙げられる。リン酸類の塩は、1個の金属と2個の水素を有する第一塩、2個の金属と1個の水素を有する第二塩、3個の金属を有する第三塩のいずれでもよく、酸性塩、アルカリ性塩、中性塩のいずれでもよい。これらのリン酸類は、1種類を単独で用いても2種類以上を混合して用いてもよい。【0059】リン酸類の含有量は、CMP研磨液の全質量基準で0.001~20質量%であることが好ましい。リン酸類の含有量が0.001質量%以上であれば、CMPによるパラジウム層、ニッケル層、下地金属層の研磨速度が更に向上する傾向がある。このような観点から、リン酸類の含有量の下限値は、0.01質量%以上であることがより好ましく、0.02質量%以上であることが更に好ましい。また、リン酸類の含有量が20質量%以下であれば、リン酸類の含有量に対してパラジウム層の研磨速度が飽和することが抑制される傾向がある。このような観点から、リン酸類の含有量の上限値は、15質量%以下であることがより好ましく、10質量%以下であることが更に好ましい。【0060】なお、リン酸類は、上述のとおり陽イオンとリン酸類の陰イオンとの塩でもよく、その陽イオンは金属イオンでもよい。この場合、リン酸塩の陽イオンとしての金属イオンも、パラジウム層の研磨速度に寄与する金属イオンとなり得る。従って、リン酸類として第8、11、12及び13族からなる群より選択される少なくとも一種の金属の金属塩を使用する場合は、リン酸類の含有量と金属イオンの含有量とがそれぞれ前記の範囲を満たすことが好ましい。【0061】(酸化剤)CMP研磨液に含まれる酸化剤は、基体に層を形成する等のために用いられる金属に対する酸化剤である。酸化剤としては、金属を酸化しうる酸化剤として知られているものが使用できる。酸化剤としては、具体的には、過酸化水素(H2O2)、過ヨウ素酸、過ヨウ素酸塩、ヨウ素酸塩、臭素酸塩及び過硫酸塩からなる群より選択される少なくとも一種が好ましく、その中でも過酸化水素が更に良好な研磨速度が得られる点でより好ましい。過ヨウ素酸塩、ヨウ素酸塩、臭素酸塩及び過硫酸塩としては、アンモニウム塩、カリウム塩が挙げられる。これらの酸化剤は、1種類を単独で又は2種類以上混合して用いることができる。【0062】基体(基板)が、集積回路用素子を含むシリコン基板である場合は、アルカリ金属、アルカリ土類金属、ハロゲン化物等による汚染を抑制する観点から、不揮発成分を含まない酸化剤が好ましい。但し、適用対象の基体が、半導体素子を含まないガラス基板等である場合は、不揮発成分を含む酸化剤であっても差し支えない。【0063】酸化剤の含有量は、CMP研磨液の全質量基準で0.05~20質量%であることが好ましい。酸化剤の含有量が0.05質量%以上であれば、金属が充分に酸化されてパラジウム層の研磨速度が更に向上する傾向があり、また、ニッケル層や下地金属層も研磨する必要がある場合は、それらの研磨速度も更に向上する傾向がある。このような観点から、酸化剤の含有量は、0.1質量%以上であることがより好ましい。また、酸化剤の含有量が20質量%以下であれば、研磨面に荒れが生じにくくなる傾向がある。このような観点から、酸化剤の含有量は、15質量%以下であることがより好ましく、10質量%以下であることが更に好ましい。【0064】(砥粒)砥粒としては、具体的には、ヒュームドシリカ、コロイダルシリカ等のシリカ、ヒュームドアルミナ、遷移アルミナ等のアルミナ、ジルコニア、チタニア、セリアなどが挙げられ、中でもアルミナ及びシリカが好ましく、研磨速度を高速に保ちながら研磨傷の発生を抑制できる点で、シリカがより好ましく、コロイダルシリカが更に好ましい。これらの砥粒は、1種類を単独で又は2種類以上混合して用いることができる。【0065】砥粒の含有量は、CMP研磨液の全質量基準で0.1~10質量%であることが好ましい。砥粒の含有量が0.1質量%以上であれば、物理的な削り取り作用が更に向上し、CMPによる研磨速度が更に大きくなる傾向がある。このような観点から、砥粒の含有量は、0.2質量%以上であることがより好ましい。また、砥粒の含有量が10質量%以下であれば、粒子が凝集沈降することを抑制できる傾向にある。このような観点から、砥粒の含有量は、8質量%以下であることがより好ましい。また、CMP研磨液が10質量%を超える量の砥粒を含有しても、含有量に見合うほど研磨速度が増加しない傾向がある。このような現象は、パラジウム層の研磨速度において顕著に見られる傾向がある。【0066】砥粒の平均一次粒子径は、平坦性及び研磨後に被研磨面に残る傷の発生を抑制できる点で、300nm以下であることが好ましく、200nm以下であることがより好ましく、150nm以下であることが更に好ましく、100nm以下であることが極めて好ましい。また、平均一次粒子径の下限としては、特に制限はないが、物理的な削り取り作用を更に向上させることができる点で、1nm以上であることが好ましく、3nm以上であることがより好ましく、5nm以上であることが更に好ましい。【0067】「平均一次粒子径」とは、BET比表面積から算出できる粒子の平均直径をいい、ガス吸着法による吸着比表面積(BET比表面積という、以下同じ)の測定から、以下の式(1)により算出される。D1=6/(ρ×V)・・・(1)式(1)において、D1は平均一次粒子径(単位:m)、ρは粒子の密度(単位:kg/m3)、Vは粒子のBET比表面積(単位:m2/g)を示す。【0068】より具体的には、まず砥粒を真空凍結乾燥機で乾燥し、この残分を乳鉢(磁性、100ml)で細かく砕いて測定用試料とする。次に、ユアサアイオニクス(株)製のBET比表面積測定装置(製品名オートソーブ6)を用いて、測定用試料のBET比表面積Vを測定し、上記式(1)より一次粒子径D1(単位:m)を算出する。なお、粒子がコロイダルシリカの場合には粒子の密度ρは、「ρ=2200(kg/m3)」である。従って、BET比表面積V(m2/g)を測定することにより、以下の式(2)に基づき一次粒子径D1を求めることができる。D1=2.727×10-6/V(m)=2727/V(nm)・・・(2)【0069】砥粒の平均二次粒子径は、5~500nmであることが好ましい。平坦性が向上する点で、平均二次粒子径の上限値は、300nm以下であることがより好ましく、200nm以下であることが更に好ましく、100nm以下であることが極めて好ましい。また、砥粒によるメカニカルな反応層(酸化層)の除去能力を充分に確保でき、研磨速度が更に速くなる点で、平均二次粒子径の下限値は、7nm以上であることがより好ましい。【0070】「平均二次粒子径」とは、CMP研磨液中の砥粒の平均二次粒子径をいい、例えば、光回折散乱式粒度分布計(例えば、COULTERElectronics社製の「COULTERN4SD」)を用いて測定することができる。【0071】(金属防食剤)CMP研磨液は、金属防食剤を更に含有することもできる。金属防食剤は、金属層のエッチングを抑止し、ディッシングに対する特性を向上させる化合物である。【0072】金属防食剤としては、具体的には例えば、イミン、アゾール(但し、1,2,4-トリアゾールを除く)及びメルカプタンを挙げることができる。上記の金属防食剤の中でも、金属層のエッチング速度の抑制と金属層の研磨速度の向上とを更に高度に両立できる観点から、含窒素環状化合物が好適である。これらの金属防食剤は、1種類を単独で又は2種類以上を組み合わせて使用することができる。【0073】イミンは、具体的には、ジチゾン、クプロイン(2,2’-ビキノリン)、ネオクプロイン(2,9-ジメチル-1,10-フェナントロリン)、バソクプロイン(2,9-ジメチル-4,7-ジフェニル-1,10-フェナントロリン)、キュペラゾン(ビスシクロヘキサノンオキサリルヒドラゾン)等を挙げることができる。【0074】アゾールは、具体的には、ベンズイミダゾール-2-チオール、トリアジンジチオール、トリアジントリチオール、2-[2-(ベンゾチアゾリル)]チオプロピオン酸、2-[2-(ベンゾチアゾリル)]チオブチル酸、2-メルカプトベンゾチアゾール、1,2,3-トリアゾール、2-アミノ-1H-1,2,4-トリアゾール、3-アミノ-1H-1,2,4-トリアゾール、3,5-ジアミノ-1H-1,2,4-トリアゾール、ベンゾトリアゾール、1-ヒドロキシベンゾトリアゾール、1-ジヒドロキシプロピルベンゾトリアゾール、2,3-ジカルボキシプロピルベンゾトリアゾール、4-ヒドロキシベンゾトリアゾール、4-カルボキシル-1H-ベンゾトリアゾール、4-カルボキシル-1H-ベンゾトリアゾールメチルエステル、4-カルボキシル-1H-ベンゾトリアゾールブチルエステル、4-カルボキシル-1H-ベンゾトリアゾールオクチルエステル、5-ヘキシルベンゾトリアゾール、[1,2,3-ベンゾトリアゾリル-1-メチル][1,2,4-トリアゾリル-1-メチル][2-エチルヘキシル]アミン、トリルトリアゾール、ナフトトリアゾール、ビス[(1-ベンゾトリアゾリル)メチル]ホスホン酸、テトラゾール、5-アミノ-テトラゾール、5-メチル-テトラゾール、1-メチル-5-メルカプトテトラゾール、1-N,N-ジメチルアミノエチル-5-テトラゾール等を挙げることができる。【0075】メルカプタンは、具体的には、ノニルメルカプタン、ドデシルメルカプタン等を挙げることができる。【0076】CMP研磨液が金属防食剤を含有する場合、金属防食剤の含有量は、1,2,4-トリアゾールとリン酸類とによる研磨速度の向上効果を損なわない範囲であることが好ましく、エッチングの抑制と研磨速度の向上とを更に高度に両立する観点から、CMP研磨液の全質量基準で0.005~2.0質量%であることが好ましい。金属防食剤の含有量は、より高いエッチング性能を得ることができる点で、0.01質量%以上であることがより好ましく、0.02質量%以上であることが更に好ましい。また、金属防食剤の含有量は、好適な研磨速度を得やすくなる点で、1.0質量%以下であることがより好ましく、0.5質量%以下であることが更に好ましい。【0077】(水溶性ポリマ)CMP研磨液は、研磨後の平坦性を向上できる点で、水溶性ポリマを更に含有することができる。前記の観点から、水溶性ポリマの重量平均分子量は、500以上であることが好ましく、1500以上であることがより好ましく、5000以上であることが更に好ましい。水溶性ポリマの重量平均分子量は、特に制限されないが、優れた溶解性の観点から、500万以下が好ましい。一方、重量平均分子量が500未満では、高い研磨速度が発現しにくい傾向にある。【0078】なお、重量平均分子量は、ゲルパーミエーションクロマトグラフィー法(GPC)により標準ポリスチレンの検量線を用いて測定することができ、より具体的には下記のような条件で測定することができる。使用機器:日立L-6000型〔株式会社日立製作所製〕カラム:ゲルパックGL-R420+ゲルパックGL-R430+ゲルパックGL-R440〔日立化成工業株式会社製計3本〕溶離液:テトラヒドロフラン測定温度:40℃流量:1.75ml/min.検出器:L-3300RI〔株式会社日立製作所製〕【0079】重量平均分子量が500以上の水溶性ポリマとしては、CMP研磨液の成分の溶解性が低下せず、直ちに砥粒が凝集しなければ特に制限はないが、具体的には、多糖類、ポリカルボン酸化合物、ビニルポリマ、ポリエーテル等を挙げることができる。これらは1種類を単独で又は2種類以上を混合して用いることができる。なお、水溶性ポリマは、単一のモノマーからなるホモポリマーであってもよく、2つ以上のモノマーからなる共重合体(コポリマー)であってもよい。【0080】上記水溶性ポリマとして使用する多糖類としては、例えばアルギン酸、ペクチン酸、カルボキシメチルセルロース、寒天、カードラン及びプルラン等を挙げることができる。【0081】また、上記水溶性ポリマとして使用するポリカルボン酸化合物としては、例えば、ポリアクリル酸化合物及びその塩、ポリカルボン酸及びその塩、ポリカルボン酸エステル及びその塩、及びこれらの共重合体を挙げることができる。【0082】なお、上記「ポリアクリル酸化合物」とは、アクリル酸骨格を有する単量体を含む原料を重合して得られる高分子化合物として定義され、アクリル酸骨格を有する単量体のホモポリマーでもよいし、複数のアクリル酸骨格を有する単量体の共重合体(コポリマー)でもよい。また、アクリル酸骨格を有する単量体と、他の重合可能な単量体との共重合体でもよい。【0083】前記「ポリアクリル酸化合物」とその塩の具体例としては、ポリアクリル酸、ポリアクリル酸アンモニウム塩、ポリアクリル酸ナトリウム塩、ポリメタクリル酸、ポリメタクリル酸アンモニウム塩、ポリメタクリル酸ナトリウム塩、ポリアクリルアミド、アミノポリアクリルアミド等のホモポリマー、アクリル酸-メタクリル酸共重合体、アクリル酸-アクリル酸エステル共重合体、アクリル酸-アクリルアミド共重合体等のコポリマー、これらコポリマーのアンモニウム塩などが挙げられる。なお、「ポリアクリル酸化合物」が塩である場合、全てのカルボン酸が塩を形成してもよいし、一部のカルボン酸のみが塩を形成してもよい。【0084】ポリカルボン酸及びその塩の具体例としては、ポリアスパラギン酸、ポリグルタミン酸、ポリリシン、ポリリンゴ酸、ポリアミド酸、ポリアミド酸アンモニウム塩、ポリアミド酸ナトリウム塩、ポリマレイン酸、ポリイタコン酸、ポリフマル酸、ポリ(p-スチレンカルボン酸)及びポリグリオキシル酸等が挙げられる。【0085】更に、上記水溶性ポリマとして使用するビニルポリマとしては、例えばポリビニルアルコール、ポリビニルピロリドン及びポリアクロレイン等を挙げることができる。また、上記水溶性ポリマとして使用するポリエーテルとしては、ポリエチレングリコール等を挙げることができる。【0086】上記水溶性ポリマの化合物を使用するときは、適用する基体が半導体集積回路用シリコン基板等の場合は、アルカリ金属、アルカリ土類金属、ハロゲン化物等による汚染を抑制する観点から、酸又はそのアンモニウム塩が望ましい。但し、基体がガラス基板等である場合はその限りではない。【0087】CMP研磨液が水溶性ポリマを含有する場合、水溶性ポリマの含有量は、CMP研磨液の全質量基準で5質量%以下であることが好ましく、2質量%以下であることがより好ましい。この含有量が5質量%以下であると、砥粒の凝集を抑えることができる。【0088】(水)CMP研磨液は、水を含むことができる。水としては、特に制限されないが、脱イオン水、イオン交換水、超純水等が好ましい。CMP研磨液における水の含有量は、上記含有成分の含有量の残部でよい。なお、CMP研磨液は、必要に応じて水以外の溶媒、例えばエタノール、グリコール、アセトン、エステル等の極性溶媒等を更に含有してもよい。【0089】(pH)CMP研磨液のpHは、パラジウム層のCMP研磨速度を更に向上させることができるという観点から、1以上12以下であることが好ましい。所定のCMP研磨速度を確保できる傾向があり、更に実用的なCMP研磨液となり得ることから、CMP研磨液のpHの上限は、6以下であることがより好ましく、5以下であることが更に好ましく、4以下であることが極めて好ましく、3未満であることが特に好ましい。【0090】CMP研磨液のpHは、pHメーター(例えば、電気化学計器株式会社製、型番PHL-40)で測定することができる。pHの測定値としては、標準緩衝液(フタル酸塩pH緩衝液、pH:4.01(25℃);中性りん酸塩pH緩衝液、pH6.86(25℃))を用いて、2点校正した後、電極をCMP研磨液に入れて、2分以上経過して安定した後の値を採用することができる。【0091】(CMP研磨液の調製及び使用方法)上述した各成分を組み合わせて含有するCMP研磨液は、例えば、(A)通常タイプ、(B)濃縮タイプ、及び(C)2液タイプに分類でき、タイプによってそれぞれ調製法及び使用法が相違する。(A)通常タイプは、研磨時に希釈等の前処理をせずに酸化剤を添加するだけでそのまま使用できる研磨液である。(B)濃縮タイプは、保管や輸送の利便性を考慮し、(A)通常タイプと比較して含有成分を濃縮した研磨液である。(C)2液タイプは、保管時や輸送時には一定の成分を含む液Aと、他の成分を含む液Bとに分けた状態としておき、使用に際してこれらの液A及び液Bを混合して使用する研磨液である。【0092】(A)通常タイプは、金属塩、1,2,4-トリアゾール、リン酸類、砥粒及び必要に応じてその他の成分を、主な分散媒である水に溶解又は分散させることによって得ることができる。例えば、研磨液100質量部に対して、金属塩の含有量0.005質量部、1,2,4-トリアゾールの含有量0.5質量部、リン酸類の含有量5質量部、砥粒の含有量10質量部となる研磨液900gを調製するには、研磨液全量900gを基準として1,2,4-トリアゾール5g、リン酸50g、金属塩0.05g、砥粒100g、残部が水となるように配合量を調整すればよい。使用に際しては酸化剤(例えば過酸化水素)を事前に添加する。酸化剤の含有量を3質量部とするときは、研磨液900gに対して30質量%過酸化水素水100gを混合し、調整すればよい。【0093】(B)濃縮タイプは、使用直前に含有成分が所望の含有量となるように水で希釈され、酸化剤が添加される。希釈後には、(A)通常タイプと同程度の液状特性(例えばpHや砥粒の粒径等)及び研磨特性(例えばパラジウム膜の研磨速度)が得られるまで、任意の時間にわたって攪拌を行ってもよい。このような(B)濃縮タイプでは、濃縮の度合いに応じて容積が小さくなるため、保管及び輸送にかかるコストを減らすことができる。【0094】濃縮倍率は、1.5倍以上が好ましく、2倍以上がより好ましく、3倍以上が更に好ましく、5倍以上が極めて好ましい。濃縮倍率が1.5倍以上であると、1.5倍未満の場合と比較して保管及び輸送に関するメリットが得られやすい傾向にある。他方、濃縮倍率は、40倍以下が好ましく、20倍以下がより好ましく、15倍以下が更に好ましい。濃縮倍率が40倍以下であると、40倍を超える場合と比較して砥粒の凝集を抑制しやすい傾向にある。【0095】(B)濃縮タイプの研磨液を使用する際には、水による希釈の前後でpHが変化する。そこで、(A)通常タイプと同じpHの研磨液を(B)濃縮タイプから調製するには、水との混合によるpH上昇を考慮に入れ、濃縮タイプの研磨液のpHを予め低めに設定しておけばよい。例えば、二酸化炭素が溶解した水(pH:約5.6)を使用し、pH4.0の(B)濃縮タイプの研磨液を10倍に希釈した場合、希釈後の研磨液はpHが4.3程度にまで上昇する。【0096】(C)2液タイプは、液A及び液Bを適切に分けることで、(B)濃縮タイプと比較して砥粒の凝集を回避できるという利点がある。ここで、液A及び液Bにそれぞれ含有せしめる成分は任意である。例えば、砥粒と酸等とを含むスラリを液Aとし、他方、金属イオンと必要に応じて配合される他の成分とを含む溶液を液Bとすることができる。この場合、液Aにおける砥粒の分散性を高めるため、任意の酸又はアルカリを液Aに配合し、pH調整を行ってもよい。【0097】(C)2液タイプの研磨液は、混合すると、砥粒の凝集等によって研磨特性が比較的短時間で低下する傾向にある成分の組み合わせの場合に有用である。なお、保管及び輸送にかかるコスト削減の観点から、液A及び液Bの少なくとも一つを濃縮タイプとしてもよい。この場合、研磨液を使用する際に、液Aと液Bと水と酸化剤とを混合すればよい。液A又は液Bの濃縮倍率、pHは任意であり、最終的な混合物が液状特性及び研磨特性の点で(A)通常タイプの研磨液と同程度にできればよい。【0098】(研磨方法)以上説明したCMP研磨液を用いることで、基板の研磨が可能となる。すなわち、本実施形態の研磨方法は、パラジウム層を有する基板の当該パラジウム層側に研磨布を対向配置し、パラジウム層と研磨布との間にCMP研磨液を供給しながら、少なくともパラジウム層を研磨布で研磨する工程を備える。本実施形態の研磨方法は、パラジウム層を研磨する工程を備えていればよく、パラジウム層と共にニッケル層や下地金属層を同時に研磨してもよく、パラジウム層とニッケル層や下地金属層とを逐次的に研磨してもよい。パラジウム層と共にニッケル層や下地金属層を同時に研磨する場合には、パラジウム層と共にニッケル層や下地金属層が被研磨面に露出していればよい。【0099】本実施形態の研磨方法を適用するに当たり、基板の裏面(被研磨面と反対の面)に所定の圧力を加えて基板の被研磨面を研磨定盤の研磨布に押し付けた状態で、被研磨面と研磨布との間にCMP研磨液を供給しながら、基板と研磨定盤とを相対的に動かすことによって被研磨面を研磨することが好ましい。【0100】研磨装置としては、例えば、回転数を変更可能なモータ等が取り付けてあり、研磨布(パッド)を貼り付け可能な定盤と、基板を保持するホルダーとを有する一般的な研磨装置が使用できる。研磨布としては、一般的な不織布、発泡ポリウレタン、多孔質フッ素樹脂等が使用できる。研磨条件としては、基板が飛び出さないように定盤の回転速度を、200rpm以下の低回転にすることが好ましい。【0101】基板へ加える圧力(研磨圧力)は、4~100kPaであることが好ましく、基板面内の均一性及びパターンの平坦性の見地から、6~60kPaであることがより好ましい。前記CMP研磨液を用いることにより、低い研磨圧力において高い研磨速度でパラジウム層を研磨することができる。低い研磨圧力で研磨が可能であるということは、研磨層の剥離、チッピング、小片化、クラッキング等の防止や、パターンの平坦性の観点から重要である。【0102】研磨している間、研磨布には、CMP研磨液をポンプ等で連続的に供給することができる。この供給量としては、研磨布の表面が常にCMP研磨液で覆われる量であることが好ましい。研磨終了後の基板は、流水中で良く洗浄後、スピンドライヤ等を用いて基板上に付着した水滴を払い落としてから乾燥させることが好ましい。【0103】研磨されるパラジウム層は、パラジウムを含む層であればよい。パラジウム層中のパラジウム含有量は、パラジウム層の全質量に対し、通常40~100質量%、好ましくは60~100質量%の範囲である。【0104】本実施形態のCMP研磨液の効果が最も発揮される基板は、パラジウム層を有する基板である。シリコンウエハ等の半導体ウエハ上に、少なくとも絶縁膜層(層間絶縁膜)、ニッケル層、パラジウム層がこの順に形成された基板に対しても、本実施形態のCMP研磨液を好適に使用することができる。なお、絶縁膜層とニッケル層の間には、下地金属層が形成されていてもよい。【0105】下地金属層は、絶縁膜層へ導電性物質が拡散することを防ぐ層である。下地金属層を形成する材料としては、タンタル、タンタル合金、窒化タンタル等のタンタル化合物;ルテニウム、ルテニウム合金、窒化ルテニウム等のルテニウム化合物;チタン、チタン合金、窒化チタン等のチタン化合物;コバルト、コバルト合金、窒化コバルト等のコバルト化合物;タングステン、タングステン合金、窒化タングステン等のタングステン化合物などが挙げられる。下地金属層は、これらの1種からなる単層構造であってもよく、2種以上の層からなる積層構造であってもよい。【0106】絶縁膜層は、SiO2膜及びSiN膜等の無機絶縁膜、オルガノシリケートグラス及び全芳香環系Low-k膜等のLow-k膜から選択される少なくとも1種が挙げられる。【0107】以下、CMP研磨液を用いる研磨方法を、図面を参照しながら説明する。図1は、突起電極を有する基板の製造方法の第1実施形態を示す断面図であり、この製造方法の工程の一部に前記研磨方法が適用される。【0108】図1(a)に示す基板10は、シリコン基板(シリコンウエハ)1と、シリコン基板1上に形成された凹凸面を有する絶縁膜層2と、絶縁膜層2の凹凸面に沿って配置されて凹凸面を被覆するアンダーバリアメタル層3とを備えている。なお、このアンダーバリアメタル層3がパラジウム層に相当する。このような基板10のアンダーバリアメタル層3を、CMP研磨液を用いて研磨する。すなわち、アンダーバリアメタル層3と研磨布との間に、金属塩、1,2,4-トリアゾール、リン酸類、酸化剤及び砥粒を少なくとも含有するCMP研磨液を供給しながら、絶縁膜層2の凸部上に形成されたアンダーバリアメタル層3を研磨布で研磨する。【0109】このような研磨により、絶縁膜層2の凸部上に形成されたアンダーバリアメタル層3が除去されて、絶縁膜層2の凸部が露出する。図1(b)は、このような研磨で得られる基板を示す断面図である。【0110】次に、絶縁膜層2の凹部上に形成されたアンダーバリアメタル層3が露出するように、アンダーバリアメタル層3が除去された絶縁膜層2の凸部上に電解メッキ法等の方法によりレジストパターン4を形成する。図1(c)は、レジストパターン4が形成された基板を示す断面図である。【0111】次に、レジストパターン4が形成された基板における凹部に、突起電極5を形成し、絶縁膜層2の表面から突出させる。図1(d)は、突起電極5が形成された基板を示す断面図である。最後に、レジストパターン4を除去することにより、シリコン基板1上に突起電極5が形成された基板を得ることができる。図1(e)は、このようにして得られた突起電極5を有する基板を示す断面図である。なお、突起電極5としては、一般的に金、銀、銅、ニッケルや半田等の材料が使用される。【0112】図2は、突起電極を有する基板の製造方法の第2実施形態を示す断面図であり、この製造方法の工程の一部においても前記研磨方法が適用される。但し、図2においては、研磨前の基板20(図2(a))と、最終的に得られる突起電極を有する基板(図2(b))のみを示しており、この間のCMP研磨、レジストパターン形成、突起電極形成、レジストパターン除去の各工程は、第1実施形態と同様に行なわれる。【0113】図2(a)に示す基板20は、シリコン基板1と、シリコン基板1上に形成された凹凸面を有する絶縁膜層2と、絶縁膜層2の凹凸面に沿って配置されて凹凸面を被覆する下地金属層6と、絶縁膜層2の凹凸面に沿って下地金属層6上に形成されたアンダーバリアメタル層3と、を備えている。なお、このアンダーバリアメタル層3がパラジウム層に相当する。なお、下地金属層6は、絶縁膜層2へのアンダーバリアメタル層3の成分の拡散抑制や、絶縁膜層2とアンダーバリアメタル層3の密着性向上を目的として形成される。【0114】このような基板20のアンダーバリアメタル層3及び下地金属層6を、CMP研磨液を用いて研磨する。すなわち、基板20と研磨布の間に、金属塩、1,2,4-トリアゾール、リン酸類、酸化剤及び砥粒を少なくとも含有するCMP研磨液を供給しながら、基板20を研磨布で研磨して、絶縁膜層2の凸部上に形成されたアンダーバリアメタル層3及び下地金属層6を研磨布で研磨する。このような研磨により、絶縁膜層2の凸部上に形成されたアンダーバリアメタル層3及び下地金属層6が除去されて、絶縁膜層2の凸部が露出する。そして、このようにして得られた基板に対して、第1実施形態と同様に、レジストパターン形成、突起電極形成、レジストパターン除去を行なうことで、図2(b)に示す、シリコン基板1上に突起電極5が形成された基板を得ることができる。【0115】図3は、突起電極を有する基板の製造方法の第3実施形態を示す断面図であり、この製造方法の工程の一部においても前記研磨方法が適用される。但し、図3においては、研磨方法適用前の基板30(図3(a))と、最終的に得られる突起電極を有する基板(図3(b))のみを示しており、この間のCMP研磨、レジストパターン形成、突起電極形成、レジストパターン除去の各工程は、第1実施形態と同様に行なわれる。【0116】図3(a)に示す基板30は、シリコン基板1と、シリコン基板1上に形成された凹凸面を有する絶縁膜層2と、絶縁膜層2の凹凸面に沿って配置されて凹凸面を被覆する下地金属層6と、絶縁膜層2の凹凸面に沿って下地金属層6上に形成された第1のアンダーバリアメタル層3aと、第1のアンダーバリアメタル層3a上に形成された第2のアンダーバリアメタル層3bと、を備えている。なお、この第1のアンダーバリアメタル層3a又は第2のアンダーバリアメタル層3bがパラジウム層に相当する。第1のアンダーバリアメタル層3a、第2のアンダーバリアメタル層3bのうちパラジウム層とは異なる層は、例えばニッケル層である。【0117】このような基板30の第1のアンダーバリアメタル層3a、第2のアンダーバリアメタル層3b及び下地金属層6を、CMP研磨液を用いて研磨する。すなわち、基板30と研磨布の間に、金属塩、1,2,4-トリアゾール、リン酸類、酸化剤及び砥粒を少なくとも含有するCMP研磨液を供給しながら、基板30を研磨布で研磨して、絶縁膜層2の凸部上に形成された第1のアンダーバリアメタル層3a、第2のアンダーバリアメタル層3b及び下地金属層6を研磨布で研磨する。このような研磨により、絶縁膜層2の凸部上に形成された第1のアンダーバリアメタル層3a、第2のアンダーバリアメタル層3b及び下地金属層6が除去されて、絶縁膜層2の凸部が露出する。そして、このようにして得られた基板に対して、第1実施形態と同様に、レジストパターン形成、突起電極形成、レジストパターン除去を行なうことで、図3(b)に示す、シリコン基板1上に突起電極5が形成された基板を得ることができる。【0118】図3における第1のアンダーバリアメタル層をニッケル層とし、第2のアンダーバリアメタル層をパラジウム層とした例(アンダーバリアメタルが2層からなる構造)を図4に示す。【0119】図4(a)に示す基板40は、シリコン基板11上に設けられた絶縁膜層12の凹凸面上に、下地金属層13、ニッケル層14及びパラジウム層15がこの順に形成されてなるものである。CMP研磨液を用いて、下地金属層13、ニッケル層14及びパラジウム層15を研磨し、図4(b)に示すように、絶縁膜層12の凸部を露出させることができる。【0120】CMP研磨液を用いる研磨方法の他の例としては、基板40における絶縁膜層12の凸部上に存在するパラジウム層15を研磨してニッケル層14を露出させる第1の研磨工程と、絶縁膜層12の凸部上に存在するニッケル層14及び下地金属層13と、絶縁膜層12の凹部の一部を埋め込んでいるパラジウム層15とを研磨して、絶縁膜層12の凸部を露出させる第2の研磨工程とを含む研磨方法が挙げられる。第1及び第2の研磨工程のうち少なくとも第1の研磨工程でCMP研磨液を用いることができる。【実施例】【0121】以下、実施例により本発明を説明する。なお、本発明はこれらの実施例に限定されるものではない。【0122】<実験1>(CMP研磨液作製方法)実施例1~15及び比較例1~15で用いるCMP研磨液は、CMP研磨液の全質量基準で、砥粒としてコロイダルシリカ(平均一次粒子径15nm、平均二次粒子径43nm、固形分(砥粒含有量に相当)20質量%:扶桑化学工業株式会社製PL-3[商品名])を10質量%、金属塩として表1,2に示す化合物を0~0.088質量%(金属分として50ppm。なお、ppmとは質量比である。以下同じ。)、酸化金属溶解剤として表1,2に示す化合物を0~5質量%、錯化剤として表1,2に示す化合物を0~0.5質量%、酸化剤として30%過酸化水素水を10質量%、残部に純水を含有するように調製した。砥粒以外の含有成分を純水に溶解し、ここに砥粒を混合、撹拌してCMP研磨液を調製した。これらのCMP研磨液を用いて下記の研磨条件で被研磨基板の研磨を行った。【0123】比較例16で用いるCMP研磨液は、CMP研磨液の全質量基準で、1.5mmol/kgの亜鉛を含む硫酸亜鉛(亜鉛として96ppm)と、α-アルミナ約60質量%及びヒュームドアルミナ約40質量%を含むアルミナ砥粒3質量%とを含有し、残部に純水を含有するように調製した。比較例16で用いるCMP研磨液は、砥粒以外の含有成分を純水に溶解し、硝酸でpHを3に調整した後、砥粒が均一に分散するまで5分撹拌して調製した。【0124】(液状特性評価:pH測定)測定温度:25±5℃測定装置:電気化学計器株式会社製、型番PHL-40測定方法:標準緩衝液(フタル酸塩pH緩衝液、pH:4.01(25℃);中性りん酸塩pH緩衝液、pH6.86(25℃))を用いて2点校正した後、電極を研磨液に入れて、2分以上経過して安定した後のpHを上記測定装置により測定した。【0125】(CMP研磨条件)研磨装置:Mirra(APPLIEDMATERIALS社製)CMP研磨液流量:200mL/分被研磨基板:厚さ0.3μmのパラジウム層をシリコン基板上にスパッタ法で形成した基板研磨布:独立気泡を持つ発泡ポリウレタン樹脂(ローム・アンド・ハース・ジャパン株式会社製、型番IC1000)研磨圧力:29.4kPa(4psi)基板と研磨定盤との相対速度:36m/分研磨時間:1分洗浄:CMP処理後、超音波水による洗浄を行った後、スピンドライヤで乾燥させた。【0126】(研磨品評価項目)研磨速度:前記条件で研磨及び洗浄したパラジウム層の研磨速度(パラジウム研磨速度:PdRR)を次式より求めた。(PdRR)=(研磨前後でのパラジウム層の膜厚差(nm))/(研磨時間(分))研磨前後でのパラジウム層の膜厚差は、パラジウム層の電気抵抗値から換算して求めた。【0127】実施例1~15及び比較例1~15におけるCMP研磨液のpH及びパラジウム研磨速度を表1及び表2に示す。【0128】【表1】【0129】【表2】【0130】また、比較例16におけるCMP研磨液のpHは3.0であり、パラジウム研磨速度は4nm/分であった。【0131】以下、表1及び表2に示す結果について詳しく説明する。実施例1では、CMP研磨液の作製において、金属塩を硝酸鉄(II)・9水和物0.026質量%(鉄として50ppm、CMP研磨液1kg当たり9.0×10-4mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例1のパラジウム研磨速度は78nm/分であり、比較例1~15より高い値を示した。【0132】実施例2では、CMP研磨液の作製において、金属塩を硫酸鉄(II)・7水和物0.025質量%(鉄として50ppm、CMP研磨液1kg当たり9.0×10-4mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例2のパラジウム研磨速度は87nm/分であり、比較例1~15より高い値を示した。【0133】実施例3では、CMP研磨液の作製において、金属塩を硝酸銅・3水和物0.019質量%(銅として50ppm、CMP研磨液1kg当たり7.9×10-4mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例3のパラジウム研磨速度は70nm/分であり、比較例1~15より高い値を示した。【0134】実施例4では、CMP研磨液の作製において、金属塩を硫酸銅0.013質量%(銅として50ppm、CMP研磨液1kg当たり7.9×10-4mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例4のパラジウム研磨速度は67nm/分であり、比較例1~15より高い値を示した。【0135】実施例5では、CMP研磨液の作製において、金属塩を硝酸銀0.008質量%(銀として50ppm、CMP研磨液1kg当たり4.6×10-4mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例5のパラジウム研磨速度は73nm/分であり、比較例1~15より高い値を示した。【0136】実施例6では、CMP研磨液の作製において、金属塩を硝酸亜鉛・6水和物0.023質量%(亜鉛として50ppm、CMP研磨液1kg当たり7.6×10-4mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例6のパラジウム研磨速度は73nm/分であり、比較例1~15より高い値を示した。【0137】実施例7では、CMP研磨液の作製において、金属塩を硫酸亜鉛0.013質量%(亜鉛として50ppm、CMP研磨液1kg当たり7.6×10-4mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例7のパラジウム研磨速度は74nm/分であり、比較例1~15より高い値を示した。【0138】実施例8では、CMP研磨液の作製において、金属塩を酢酸亜鉛0.014質量%(亜鉛として50ppm、CMP研磨液1kg当たり7.6×10-4mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例8のパラジウム研磨速度は72nm/分であり、比較例1~15より高い値を示した。【0139】実施例9では、CMP研磨液の作製において、金属塩を硝酸アルミニウム・9水和物0.070質量%(アルミニウムとして50ppm、CMP研磨液1kg当たり1.9×10-3mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例9のパラジウム研磨速度は85nm/分であり、比較例1~15より高い値を示した。【0140】実施例10では、CMP研磨液の作製において、金属塩を硫酸アルミニウム0.058質量%(アルミニウムとして50ppm、CMP研磨液1kg当たり1.9×10-3mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例10のパラジウム研磨速度は72nm/分であり、比較例1~15より高い値を示した。【0141】実施例11では、CMP研磨液の作製において、金属塩を乳酸アルミニウム0.055質量%(アルミニウムとして50ppm、CMP研磨液1kg当たり1.9×10-3mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例11のパラジウム研磨速度は86nm/分であり、比較例1~15より高い値を示した。【0142】実施例12では、CMP研磨液の作製において、金属塩をカリウムアルミニウムミョウバン0.088質量%(アルミニウムとして50ppm、CMP研磨液1kg当たり1.9×10-3mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例12のパラジウム研磨速度は68nm/分であり、比較例1~15より高い値を示した。【0143】実施例13では、CMP研磨液の作製において、金属塩をアンモニウムアルミニウムミョウバン0.084質量%(アルミニウムとして50ppm、CMP研磨液1kg当たり1.9×10-3mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例13のパラジウム研磨速度は70nm/分であり、比較例1~15より高い値を示した。【0144】実施例14では、CMP研磨液の作製において、金属塩をアルミン酸カリウム0.018質量%(アルミニウムとして50ppm、CMP研磨液1kg当たり1.9×10-3mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例14のパラジウム研磨速度は71nm/分であり、比較例1~15より高い値を示した。【0145】実施例15では、CMP研磨液の作製において、金属塩を硝酸ガリウム・n水和物0.022質量%(ガリウムとして50ppm、CMP研磨液1kg当たり7.2×10-4mol)、酸化金属溶解剤をリン酸5質量%、錯化剤を1,2,4-トリアゾール0.5質量%とした。実施例15のパラジウム研磨速度は87nm/分であり、比較例1~15より高い値を示した。【0146】比較例11及び13では、CMP研磨液が酸化金属溶解剤としてリン酸を含有するが、パラジウム研磨速度は非常に低いことが確認された。また、比較例1のように、リン酸に加えて錯化剤として1,2,4-トリアゾールを含有することにより、パラジウム研磨速度が向上するものの、実施例1~15より低い値であった。【0147】また、比較例16で用いたCMP研磨液は、特許文献9において亜鉛イオンを含む実施例3Fに準ずるCMP研磨液である。比較例16では、パラジウム研磨速度は、実施例1~15より低い値であった。【0148】一方、実施例1~15では、比較例1で用いられているリン酸、1,2,4-トリアゾールに加えて、特定の金属塩を含有することにより、更にパラジウム研磨速度を向上させることができた。【0149】<実験2>実施例7、実施例11及び比較例11で使用したCMP研磨液を用いて下記所定の基板を研磨し、本発明の研磨液がパラジウム以外の金属を研磨できることを確認した。被研磨基板を下記の各基板に変えた以外は実験1と同様にして研磨を行い、研磨速度を求めた。【0150】ニッケル基板:厚さ0.3μmのニッケル層をシリコン基板上に形成した基板タンタル基板:厚さ0.3μmの窒化タンタル層をシリコン基板上に形成した基板チタン基板:厚さ0.3μmのチタン層をシリコン基板上に形成した基板コバルト基板:厚さ0.3μmのコバルト層をシリコン基板上に形成した基板ルテニウム基板:厚さ0.3μmのルテニウム層をシリコン基板上に形成した基板【0151】各基板に対する研磨速度の測定結果を表3に示す。実施例7、実施例11及び比較例11で使用したCMP研磨液を用いた評価結果を、それぞれ実施例7-2、実施例11-2及び比較例11-2と示す。なお、表3において、NiRRはニッケル層の研磨速度を、TaNRRは窒化タンタル層の研磨速度を、TiRRはチタン層の研磨速度を、CoRRはコバルト層の研磨速度を、RuRRはルテニウム層の研磨速度を、それぞれ示す。【0152】【表3】【0153】表3から明らかなように、ニッケル層の研磨速度は、金属塩を含まない状態でも所定の研磨速度を有するが、金属塩を含むことにより更に向上した。また、ルテニウム層の研磨速度は、金属塩を含むことにより若干向上した。また、窒化タンタル層、チタン層及びコバルト層の研磨速度は、金属塩を含まない状態でも所定の研磨速度を有し、金属塩を添加しても殆ど変化しなかった。【0154】また、実施例1~6、8~10、12~15のCMP研磨液を用いて、ニッケル層をシリコン基板上に形成した基板と、窒化タンタル層をシリコン基板上に形成した基板とをそれぞれ研磨したところ、優れた研磨速度で研磨を行うことができた。これにより、本発明のCMP研磨液であれば、パラジウム層に加えて、ニッケル層等のアンダーバリアメタル層や窒化タンタル層等の下地金属層を良好に研磨可能であることが確認された。したがって、本発明のCMP研磨液であれば、図4(a)に示すような下地金属層13、ニッケル層14及びパラジウム層15を有する基板を研磨して図4(b)に示すような構造を得る工程に使用することができる。
A
2012034331
2011000245
20110104
null
null
20120216
2012034331
20120216
null
null
5162677
20121221
null
null
null
マッシュルーム構造を有する装置
392026693
株式会社エヌ・ティ・ティ・ドコモ
100070150
伊東 忠彦
丸山 珠美,古野 辰男,小田 恭弘,沈 紀▲ユン▼,大矢 智之
2010043572,20100226,JP,2010156254,20100708,JP
8
H01Q 15/14 (20060101)
H01Q 15/14 Z
14
null
null
null
1
70
5J020
5J020 AA03 ,5J020 BA01 ,5J020 DA03 ,5J020 DA04
【課題】多数のマッシュルーム構造を有する装置に利用可能な構造であって、パッチサイズの所定のレンジに対して、反射位相のレンジが広い構造を提供すること。【解決手段】複数個のマッシュルーム構造を有する装置が使用される。複数個のマッシュルーム構造の各々は、接地プレートと、接地プレートに対して平行に距離を隔てて設けられた第1パッチと、接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、第2パッチは、少なくとも第1パッチと容量結合する無給電素子である。【選択図】図2A
【請求項1】複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子である、装置。【請求項2】前記複数個のうち所定数個のマッシュルーム構造が、ある線に沿って並べられ、前記複数個のうち別の所定数個のマッシュルーム構造が、別の線に沿って並べられ、前記ある線に沿っているマッシュルーム構造の第1パッチと、前記別の線に沿っているマッシュルーム構造の第1パッチとの間の隙間が、前記ある線及び別の線に沿って徐々に変化している、請求項1記載の装置。【請求項3】ある線に沿って並べられている所定数個のマッシュルーム構造のうち、隣接するマッシュルーム構造の第1パッチ同士の間の隙間が、前記ある線に沿って徐々に変化している、請求項1記載の装置。【請求項4】前記隙間を決める隣接する第1パッチの内の一方の端から、該一方の第1パッチの基準線までの距離が、隣接する他方の第1パッチの端から、該他方の第1パッチの基準線までの距離に等しく、複数のマッシュルーム構造に対する基準線間の距離が一定に保たれている、請求項3記載の装置。【請求項5】前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造各々の第1パッチは、互いに等しいサイズであり、前記第1及び第2のマッシュルーム構造の第1パッチ同士の中心間距離は、前記第2及び第3のマッシュルーム構造の第1パッチ同士の中心間距離と異なる、請求項3記載の装置。【請求項6】前記ある線に沿って隣接している第1及び第2のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線と、前記ある線に沿って隣接している第2及び第3のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線との間の距離が、前記ある線に沿って並んでいる複数のマッシュルーム構造に対して一定に保たれている、請求項3記載の装置。【請求項7】前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造のうち、前記第1及び第2のマッシュルーム構造の各々から反射される電波の位相差が、前記第2及び第3のマッシュルーム構造の各々から反射される電波の位相差に等しい、請求項2ないし6の何れか1項に記載の装置。【請求項8】少なくとも前記ある線に沿って並べられた前記所定数個のマッシュルーム構造を含むアレイが、同一平面内に複数個反復的に並べられている、請求項1ないし7の何れか1項に記載の装置。【請求項9】前記接地プレート、前記第1パッチ及び前記第2パッチに対して平行に距離を隔てて設けられ、無給電素子として機能する1つ以上のパッチをさらに有する、請求項1ないし8の何れか1項に記載の装置。【請求項10】第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記第1群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子であり、前記第2群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第2群に属するあるマッシュルーム構造における接地プレート及びパッチ間の距離は、前記第2群に属する別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる、装置。【請求項11】当該装置がさらに第3群の複数のマッシュルーム構造を有し、前記第3群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、請求項10記載の装置。【請求項12】前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の1層が、前記第2群のマッシュルーム構造における接地プレート及びパッチをなす2層の内の1層と同一平面に設けられ、前記3層の内の別の1層が、前記2層の内の別の1層と同一平面に設けられている、請求項10又は11に記載の装置。【請求項13】第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記第1群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子であり、前記第2群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第2群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【請求項14】前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の1層が、前記第2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の1層と同一平面に設けられ、前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の別の1層が、前記第2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の別の1層と同一平面に設けられている、請求項13記載の装置。
【請求項1】複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子である、装置。【請求項10】第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記第1群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子であり、前記第2群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第2群に属するあるマッシュルーム構造における接地プレート及びパッチ間の距離は、前記第2群に属する別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる、装置。【請求項13】第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記第1群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子であり、前記第2群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第2群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。
【技術分野】【0001】本発明は、マッシュルーム構造を有する装置に関連する。このような装置は、電波を特定の方向に反射させるリフレクタだけでなく、電波を送受信する際のアンテナや、特定の周波数を減衰させるフィルタ等にも使用可能である。
【背景技術】【0002】移動通信において、電波の経路に建物等の障害物が存在すると、受信レベルが劣化してしまう。このため、その建物と同程度以上の高所に反射板(リフレクタ)を設け、電波が届きにくい場所に反射波を送る技術がある。反射板により電波を反射する際、垂直面内における電波の入射角が比較的小さかった場合、反射板は電波を所望方向に向けることが困難になってしまう(図1)。一般に、電波の入射角と反射角は等しいからである。この問題に対処するため、地面を覗き込むように反射板を傾斜させることが考えられる。そのようにすると、反射板に対する入射角及び反射角を大きくすることができ、到来波を所望方向に向けることができる。しかしながら、電波を遮るような建物と同程度に高い場所の反射板を、地面側に傾けて設置することは、安全性の観点からは好ましくない。このような観点から、電波の入射角が比較的小さかったとしても、所望方向に反射波を向けることが可能なリフレクタが望まれている。【0003】そのようなリフレクタとして、半波長程度の素子を周期的に並べた構造が存在するが、そのような構造は、かなり大型になってしまう。これに対して、半波長よりも小さな素子を多数並べたリフレクトアレイが近年注目されている。そのようなリフレクトアレイの一例は、マッシュルーム構造を有するリフレクトアレイである。【0004】マッシュルーム構造を用いたリフレクトアレイは、等価回路におけるインダクタンスLとキャパシタンスCとを調整して共振周波数を調整することで、反射位相を制御し、電波が反射する方向を制御する。共振周波数を調整する方法としては、ビアの位置をパッチの中心からずらす方法(これについては、非特許文献1参照。)、パッチのサイズを変える方法(これについては、非特許文献2参照。)、バラクタダイオードを用いて電圧を変更する方法(これについては、非特許文献3参照。)等がある。
【発明が解決しようとする課題】【0006】多数の素子を用いて所望の方向に電波を向けるリフレクトアレイを実現するには、所定の反射位相を与える素子を整列させる必要がある。理想的には、パッチサイズのような何らかの構造パラメータの所定のレンジに対して、反射位相が、-πラジアンから+πラジアンまでの全範囲(2πラジアン=360度)にわたって変化することが望ましい。【0007】しかしながら、上記の何れの方法を用いたとしても、所与の周波数における反射位相のレンジは広範囲にわたるものではない、という問題がある。【0008】本発明の課題は、多数のマッシュルーム構造を有する装置に利用可能な構造であって、パッチサイズのような構造パラメータの所定のレンジに対して、反射位相のレンジが広い構造を提供することである。
【課題を解決するための手段】【0009】開示される発明の一形態は、複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子である、装置である。
【発明の効果】【0010】開示される発明によれば、多数のマッシュルーム構造を有する装置に利用可能な構造であって、パッチサイズのような構造パラメータの所定のレンジに対して、反射位相のレンジが広い構造を提供することができる。
【発明を実施するための形態】【0012】以下の観点から、本発明を説明する。【0013】1.概要2.第1構造2.1マッシュルーム構造2.2リフレクトアレイ2.2.1反射角45度のリフレクトアレイ2.2.2反射角70度のリフレクトアレイ2.3第1パッチ及び第2パッチの相互関係2.4より一般的な多層マッシュルーム構造3.第2構造4.第3構造5.変形例5.1パッチ配列5.2垂直制御5.3第1構造を利用した場合(反射角45度)5.4第1構造を利用した場合(反射角70度)5.5第2構造を利用した場合(反射角45度)5.6改良された第2構造による垂直制御5.7ビアなし構造6.製造方法7.組み合わせ構造7.1組み合わせ方7.2第2構造と第3構造の組み合わせ7.3水平制御45度(その1)7.4水平制御45度(その2)7.5垂直制御45度7.6改良された第2構造と第3構造の組み合わせ【実施例1】【0014】<1.概要>リフレクトアレイの反射位相は、共振周波数において0になり、共振周波数は等価回路におけるインダクタンスL及びキャパシタンスCにより調整できる。したがって、所与の周波数における反射位相は、インダクタンスL及び/又はキャパシタンスCを調整することで制御できる。後述の実施例による第1構造は、キャパシタンスに着目している。【0015】第1構造によるリフレクトアレイは、1つの地板(接地プレート)と、該地板に配列された複数のマッシュルーム構造と、該マッシュルーム構造の上に配列された無給電アレイによって形成される。無給電アレイの働きにより、マッシュルーム構造を近似する並列共振モデルのキャパシタンスの値を例えば2倍にすることができる。すなわち、隣接するマッシュルーム構造間のギャップ(第1パッチ同士の隙間)によるキャパシタンスに加えて、第2パッチ同士の隙間に生じるキャパシタンスにより、全体のキャパシタンスを増やすことができる。キャパシタンスは、隣接する第1パッチ同士の隙間及び/又は隣接する第2パッチ同士の隙間のサイズを変えることにより制御できる。したがって、第1及び第2パッチのサイズ(すなわち、ギャップのサイズ)を変化させることで、キャパシタンスを制御できる範囲が広くなり、ひいては反射位相が変化する範囲を広くすることができる。【0016】後述の実施例による第2構造は、インダクタンスに着目している。マッシュルーム構造のインダクタンスLは、接地プレートからパッチまでの距離(ビアホールの長さ)tに近似的に比例する。したがって、接地プレート及びパッチ間の距離が異なるマッシュルーム構造は、反射位相に対しても異なる動作を行う。接地プレート及びパッチ間の距離tが異なるマッシュルームを組み合わせることで、ある距離又は厚みの場合には実現できなかった反射位相を実現できるようになる。【0017】後述の実施例による第3構造は、第1構造と同様にキャパシタンスに着目しているが、第1構造とは異なり、複数のパッチが並列には配置されていない。その代わり、より大きなキャパシタンスを得るため、隣接するマッシュルーム構造のパッチ同士は、同一平面内で隙間を空けるだけでなく、互いに異なる平面に存在することが許容される(距離を隔てて重なることが許容される)。これにより、製造限界等に起因して実現できなかったキャパシタンスを達成できるようになり、ひいては反射位相のレンジを拡大できる。【0018】<2.第1構造><<2.1マッシュルーム構造>>図2Aは、本実施例において使用可能なマッシュルーム構造を示す。図2Aには2つのマッシュルーム構造が示されている。このようなマッシュルーム構造の素子を多数並べることで、リフレクトアレイを形成することができる。ただし、本発明はリフレクトアレイに限定されず、アンテナやフィルタ等のような他の用途にも使用可能である。【0019】図2Aには、接地プレート21、ビアホール22、第1パッチ23及び第2パッチ24が示されている。【0020】接地プレート21は、多数のマッシュルーム構造に対して共通の電位を供給する導体である。図2AにおけるΔx及びΔyは、隣接するマッシュルーム構造におけるビアホール間のx軸方向の間隔及びy軸方向の間隔に等しい。Δx及びΔyは、マッシュルーム構造1つ分に対応する接地プレート21のサイズを表す。一般に、接地プレート21は、多数のマッシュルーム構造が並んだアレイと同程度に大きい。【0021】ビアホール22は、接地プレート21と第1パッチ23とを電気的に短絡するために設けられる。第1パッチ23は、x軸方向にWxの長さを有し、y軸方向にWyの長さを有する。第1パッチ23は、接地プレート21に対して平行に距離tを隔てて設けられ、ビアホール22を介して接地プレート21に短絡される。【0022】第2パッチ24も接地プレート21に対して平行に設けられるが、第1パッチ23よりもさらに距離を隔てて設けられる。第1パッチ23は接地プレート21に電気的に結合されている。しかしながら、第2パッチ24は接地プレート21に電気的には接続されていない無給電素子である。左側の第1パッチ23及び右側の第1パッチ23は容量結合している。同様に、左側の第2パッチ24及び右側の第2パッチ24も容量結合している。さらに、並列的に配置された第1パッチ23及び第2パッチ24も容量結合している。後述するように、第2パッチ24は、第1パッチ23と接地プレート21との間に設けられてもよい。【0023】一例として、第1パッチ23は、接地プレート21から1.6mm隔てて設けられ、第1パッチ23及び第2パッチ24の間には、誘電率が4.4であり、厚みが0.8mmであり、tanδが0.018の誘電体層が設けられている。【0024】なお、図示の例においてパッチは、第1及び第2の2つしか示されていないが、3つ以上のパッチが用意されてもよい。例えば、第2パッチ24に対してさらに距離を隔てた無給電素子である第3パッチが用意されてもよい。【0025】図3は、図2Aに示したマッシュルーム構造を二次元的に並べた場合の概略平面図を示す。このように、マッシュルーム構造を一定の規則にしたがって多数並べることで、例えばリフレクトアレイを形成することができる。リフレクトアレイの場合、紙面に垂直な方向(z軸)から電波が到来し、xz面内でz軸に対して角度αを有する方向に電波が反射する。【0026】図4は、図3の個々のマッシュルーム構造の配置を説明するための図を示す。線pに沿って一列に並んだ4つの第1パッチ23と、その列に隣接して線qに沿って並んだ4つの第1パッチ23とが右側に示されている。左側は、第1パッチ23上に距離を隔てて設けられる第2パッチ24を示す。パッチの数は任意である。図2A、図3、図4に示す例において、第1パッチ23及び第2パッチ24は、同じサイズを有するが、このことは本発明に必須でなく、異なるサイズが使用されてもよい。しかしながら、マッシュルーム構造の容量を約2倍にすること等の観点からは、第1パッチ23及び第2パッチ24は、同じサイズであることが望ましい。【0027】本実施例では、線pに沿っているマッシュルーム構造の第1パッチ23と、別の線qに沿っているマッシュルーム構造の第1パッチ23との間の隙間(ギャップ)が、線p及びqに沿って徐々に変化している。【0028】図3及び図4に示す例の場合、紙面の上下方向(例えば、図4における線p)に沿って並んでいる或る素子(マッシュルーム構造)による反射波と、その線に沿ってその素子に隣接する素子による反射波は、互いに所定の量だけ位相がずれている。そのような性質を有する素子を多数並べることで、リフレクトアレイを形成することができる。【0029】図5は、x軸方向に並んだマッシュルーム構造M1~MNに対して、z軸∞方向から電波が到来し、反射される様子を模式的に示す。反射波は、入射方向(z軸方向)に対して角度αをなすとする。ビアホール間の間隔がΔxであったとすると、隣接する素子による反射波の位相差Δφ及び反射角αは次式を満たす。【0030】Δφ=k・Δx・sinαα=sin-1[(λΔφ)/(2πΔx)]ただし、kは波数であり、2π/λに等しい。λは電波の波長である。波長に比べて十分大きなリフレクトアレイを構成するには、N個のマッシュルーム構造M1~MNの全体による反射位相差N・Δφが、360度(2πラジアン)になるように、隣接する素子同士の位相差Δφを設定したものを繰り返し並べるとよい。例えば、N=20の場合、Δφ=360/20=18度である。したがって、隣接する素子との反射位相差が18度であるように素子を設計し、それらを20個並べたものを繰り返し並べることにより、角度αの方向に電波を反射するリフレクトアレイを実現することができる。【0031】図6は、図2A、図3、図4に示すマッシュルーム構造の等価回路を示す。図6左側に示されるように、線pに沿って並ぶマッシュルーム構造の第1パッチ23と、線qに沿って並ぶマッシュルーム構造の第1パッチ23との間のギャップに起因して、キャパシタンスCが存在する。同様に、マッシュルーム構造の第2パッチ24に起因して、キャパシタンスC'が存在する。さらに、線pに沿って並ぶマッシュルーム構造のビアホール22、及び線qに沿って並ぶマッシュルーム構造のビアホール22に起因して、インダクタンスLが存在する。したがって、隣接するマッシュルーム構造の等価回路は、図6右側に示されるような回路になる。すなわち、等価回路において、インダクタンスLと、キャパシタンスCと、別のキャパシタンスC'とは、並列に接続されている。キャパシタンスC、インダクタンスL、表面インピーダンスZs及び反射係数Γは、次のように表すことができる。【0032】【数式1】数式(1)において、ε0は真空の誘電率を表し、εrは第1パッチ同士の間に介在する材料の比誘電率を表す。Δyはy軸方向のビアホール間隔を表す。Wyはy軸方向の第1パッチの長さを表す。したがって、Δy-Wyは、隣接する第1パッチ同士の隙間(ギャップ)の大きさを表す。このため、arccosh関数の引数は、ビアホール間隔Δyとギャップとの比率を表す。数式(2)において、μはビアホール同士の間に介在する材料の透磁率を表し、tは第1パッチ23の高さ(接地プレート21から第1パッチ23までの距離)を表す。数式(3)において、ωは角周波数を表し、jは虚数単位を表す。簡明化のためC'=Cとしているが、このことは必須ではない。数式(4)において、ηは自由空間インピーダンスを表し、Φは位相差を表す。【0033】図7は、マッシュルーム構造の第1パッチのサイズWyと反射位相との関係を示す。ただし、この場合のマッシュルーム構造は、図2Aの構造とは異なり、第2パッチ24が設けられていない従来のマッシュルーム構造である。すなわち、接地プレートに対して第1パッチが距離tを隔てて設けられているだけの構造である。図7には、3種類の距離tの各々について、第1パッチのサイズWyと反射位相との関係を表すグラフが示されている。t16は、距離tが1.6mmである場合のグラフを表す。t24は、距離tが2.4mmである場合のグラフを表す。t32は、距離tが3.2mmである場合のグラフを表す。なお、隣接するビアホール同士の間隔Δyは2.4mmである。【0034】グラフt16の場合、第1パッチのサイズWyが0.5mmから1.9mmに変化する場合、反射位相は140度から120度に緩慢にしか減少していないが、サイズWyが1.9mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、0度程度になる。【0035】グラフt24の場合も同様に、第1パッチのサイズWyが0.5mmから1.6mmに変化する場合、反射位相は120度から90に緩慢にしか減少していないが、サイズWyが1.6mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、-90度程度に達する。【0036】グラフt32の場合、第1パッチのサイズWyが0.5mmから2.3mmに変化する場合、反射位相は100度から-120度に徐々に減少している。【0037】このように、従来構造の場合、第1パッチWyを0.5mmから2.3mmまで変化させたとしても、反射位相の調整可能な範囲は、最も大きなt32の場合でさえ、+100度~-120度の高々220度程度でしかない。【0038】図8は、図2Aに示されるようなマッシュルーム構造の第1パッチのサイズWyと反射位相との関係を示す。接地プレート21に対して第1パッチ23が距離tを隔てて設けられ、さらに第2パッチ24も設けられている。図8には、3種類の距離tの各々について、第1パッチのサイズWyと反射位相との関係を表すグラフが示されている。t08は、距離tが0.8mmである場合のグラフを表す。t16は、距離tが1.6mmである場合のグラフを表す。t24は、距離tが2.4mmである場合のグラフを表す。なお、隣接するビアホール同士の間隔Δyは2.4mmである。【0039】グラフt08の場合、第1パッチのサイズWyが0.5mmから1.8mmに変化する場合、反射位相は160度から150度に僅かにしか減少していないが、サイズWyが1.8mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、10度程度になる。【0040】グラフt16の場合、第1パッチのサイズWyが0.5mmから1.7mmに変化する場合、反射位相は135度から60に緩慢にしか減少していないが、サイズWyが1.7mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、-150度程度に達する。【0041】グラフt24の場合、第1パッチのサイズWyが0.5mmから2.3mmに変化する場合、反射位相は100度から-150に徐々に減少している。【0042】このように、本実施例の第1構造において、第1パッチWyを0.5mmから2.3mmまで変化させた場合、反射位相の調整可能な範囲は、最も大きなt16の場合、+135度~-150度のように285度にも及ぶ。本実施例によれば、図2Aに示されるように第1パッチ23に加えて第2パッチ24を設けることで、反射位相の調整可能な範囲を拡大することができる。【0043】<<2.2リフレクトアレイ>>図5を参照しながら説明したように、隣接する素子との反射位相差が所定値であるように素子を設計し、それらを並べることで、角度αの方向に電波を反射するリフレクトアレイを実現することができる。例えば、18度ずつ反射位相差が異なる20個の素子を並べることで、リフレクトアレイが形成されてもよい。このようなリフレクトアレイを形成する場合、図7や図8のようなパッチサイズと反射位相差の相互関係に基づいて、素子のサイズが決定される。【0044】従来構造でリフレクトアレイを設計する場合、図7のグラフt32を参照しながら設計が行われる。例えば、反射位相0度の素子のパッチサイズWyは、1.9mmであり、反射位相+18度の素子のパッチサイズWyは1.8mmであり、反射位相+36度の素子のパッチサイズWyは1.7mmであること等が判明する。第1パッチの高さtとして、3.2mmの場合を選んだのは、それが最も広い反射位相のレンジを示したからである。このようにして割り出されたサイズのパッチを並べることで、リフレクトアレイを実現することができる。この場合、第1パッチWyを0.5mmから2.3mmまで変化させたとしても、位相差の最大値は高々220度である。位相差の最大値は理想的には360度(=2πラジアン)である。その結果、所望の位相差を実現する素子全てをリフレクトアレイに設けることはできず、リフレクトアレイの特性は、理想的なものから幾分逸脱したものになる。【0045】本実施例の第1構造によりリフレクトアレイを設計する場合、図8のグラフt16を参照しながら設計が行われる。例えば、反射位相0度の素子のパッチサイズWyは、1.9mmであり、反射位相+18度の素子のパッチサイズWyは1.75mmであり、反射位相+36度の素子のパッチサイズWyは1.7mmであること等が判明する。第1パッチの高さtとして、1.6mmの場合を選んだのは、それが最も広い反射位相のレンジを示したからである。このようにして割り出されたパッチサイズのパッチを並べることで、リフレクトアレイを実現することができる。この場合、第1パッチWyを0.5mmから2.3mmまで変化させた場合、位相差の最大値は285度にも及び、理想的な360度(=2πラジアン)に近づく。その結果、所望の位相差を実現する素子を、より多くリフレクトアレイに設けることができ、リフレクトアレイの特性は、理想的なものに近づく。後述するように、所定の条件の下で45度の方向に反射するリフレクトアレイを実現する際、反射位相差が18度ずつ異なる素子が、理想的には20個必要になる。本実施例の場合、実際にこのうち14個(20個の7割)も作成できた。これに対して従来構造の場合、位相差の最大値が高々220度であるため、理論的には220度÷18度≒12.2から最大でも12個しか作成することができず、実用的に作成できるのは4個程度にとどまる。【0046】<<2.2.1反射角45度のリフレクトアレイ>>図9は、第1構造を利用したリフレクトアレイの部分断面図を示す。リフレクトアレイは、L1層、L2層及びL3層の3つの導電層と、各導電層間の誘電体層とを有する。一例として、導電層は例えば銅を含む材料で構成されている。また、誘電体層は、比誘電率が4.4であり、tanδが0.018である材料で構成されている。L1層及びL2層間には0.8mmの厚さの誘電体層が介在している。L2層及びL3層間には1.6mmの厚さの誘電体層が介在している。L1層は図2Aにおける第2パッチ24に対応する。L2層は図2Aにおける第1パッチ23に対応する。L3層は接地プレート21に対応する。したがって、L2層及びL3層間の貫通孔はビアホール22に対応する。【0047】図10は、L1層、L2層及びL3層の平面図を概略的に示す。図2Aに示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。図示の例の場合、y軸方向に伸びる7列の帯の1つは、14×130個の素子を含んでいる。素子間の間隔は2.4mmである。図示のリフレクトアレイは、電波を入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、y軸方向に伸びる1つの帯(列)は、x軸方向の両端で反射位相が2π変化するように設計されている。理想的には20個の素子により、反射位相が2π変化することが望ましいが、製造上の制約等の理由により14個の素子が使用されている。このため、x軸方向の1周期48mm(=2.4×20)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図10及び図11において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。帯又は列を複数個並べてサイズを適宜調整できることは、水平方向(x軸方向)に電波を反射させる用途だけでなく、後述するような垂直方向に電波を反射させる用途にも応用可能である。第1構造だけでなく、後述の第2構造、第3構造さらには組み合わせ構造にも応用可能である。【0048】図11は図10のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行に関し、x軸方向に14個の素子が並んでいる。A部はL2層の一部なので、14個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これらx軸方向に並んでいる14個の素子の各々は、隣接する素子と所定の位相差(18度=360度/20)を有するように設計されている。【0049】図12は、それら14個の素子の寸法(パッチサイズWy)と反射位相の具体的な数値例を示す。図中、「設計位相」は、理想的な設計値を示し、「実際の位相」は、実現できた実際の位相を示す。図13は、FR4基板を用いて作成されたマッシュルーム構造の素子に関する具体的な数値例を示す。図12及び図13に示される数値例は、電界が図10のy軸方向を向いて、Z軸方向から入射する電波を、偏波面に対して横方向、すなわち図10のx軸の方向に、45度の角度で反射させる、水平制御の観点から決定されている。【0050】図14は、従来構造及び本実施例の第1構造によるリフレクトアレイ(グラフA、B)各々に対する特性比較例(水平制御の遠方散乱界の比較例)を示す。いずれのリフレクトアレイも、電波の到来方向に対して、水平-45度の方向に電波を反射するように設計されている。この場合において、電波の周波数は8.8GHz(=c/λ)であり、隣接する素子同士の反射位相差Δφは18度(=360/20)であり、素子間の寸法Δxは2.4mmであるとする。この場合、反射角αは、図5を参照しながら説明したように、α=arcsin[(λΔφ)/(2πΔx)]=arcsin(λ8.8GHz・18度/(2π・2.4mm))≒45.21度となる。このため、グラフAもBも-45度において大きなピークを示している。-45度以外の方向に反射する電波は、不要反射波である。グラフAにより示されているように、従来構造の場合、-45度だけでなく、0度、+45度、60度等の方向にも大きな反射が生じている。さらに、+70度ないし+150度にかけて比較的高いレベルの反射も観測されている。これに対して、グラフBに示されるように、本実施例の第1構造の場合、0度、+45度、+60度、+70度ないし+150度等における不要反射波は、かなり抑制されていることが分かる。【0051】図15は、図14のグラフB(本実施例の場合のグラフ)に関する遠方放射界を極座標形式で示す。【0052】図16は、本実施例の第1構造を使用したリフレクトアレイによる反射波の等位相面を示す。x軸に沿って14個の素子(第1構造のマッシュルーム構造)が並んでおり、z軸方向から電波が到来し、z軸に対して、ZX面上にθ=-45度の方向に電波が反射している。等位相面の法線は、z軸に対して-45度の方向を向いており、この方向に反射波が適切に進んでいることが分かる。【0053】<<2.2.2反射角70度のリフレクトアレイ>>図10~図16(図13を除く)に示した数値例は、入射方向に対して水平45度の方向に反射させる観点から選ばれていた。本実施例は、45度に限定されず、任意の方向に電波を反射するリフレクトアレイを形成できる。【0054】図17は、入射方向に対して水平70度の方向に反射させるリフレクトアレイにおける導電層L1層~L3層を示す。L1層、L2層及びL3層の層構造は、図9に示したものと同じである。この例の場合、y軸方向に伸びる9列の帯の1つは、11×128個の素子を含んでいる。素子間の間隔は2.4mmである。隣接する素子同士の反射位相差は、24度であるように設計されている。すなわち、y軸方向に伸びる1つの帯(列)は、x軸方向における両端で反射位相が2π変化するように設計されている。理想的には15個の素子により、反射位相が2π変化することが望ましいが、設計上の制約などの理由により11個の素子が使用されている。このため、x軸方向の1周期36mm(=2.4×15)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図17及び図18において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0055】図18は図17のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行に関し、x軸方向に11個の素子が並んでいる。11個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これらx軸方向に並ぶ11個の素子の各々は、隣接する素子と所定の位相差(24度=360度/15)を有する。【0056】図19は、それら11個の素子の寸法(パッチサイズWy)と反射位相の具体的な数値例を示す。図中、「設計位相」は、理想的な設計値を示し、「使用パッチの位相」は、実現できた実際の位相を示す。なお、この設計例においても図13に示される数値が使用されている(ただし、x軸方向の1サイクル長は36mmである。)。【0057】<<2.3第1及び第2パッチの相互関係>>ところで、図2Aでは説明の簡明化のために第1パッチ23と、無給電素子の第2パッチ24のx方向及びy方向の寸法は同じであることを前提とした。しかしながら、このことは本実施例に必須ではなく、第1パッチ23の寸法と、無給電素子の第2パッチ24の寸法は異なってもよい。【0058】図20は、図2Aと同様に、第1パッチ23の上に第2パッチが設けられているマッシュルーム構造を、具体的な数値例とともに示す。図20には、第1及び第2パッチ間の寸法を変化させた場合、並びに第2パッチの面積を変化させた場合に、反射位相を従来よりもどの程度拡大できたかを示す表も示されている。表において、第1及び第2パッチ間の間隔が、0.4mmの場合と0.8mmの場合とが比較されている。また、第2パッチが第1パッチと同じサイズの場合(サイズ1倍)と、第2パッチが第1パッチを95%に縮小したものである場合(サイズ0.95倍)とが比較されている。表に示されているように、間隔を0.8mmとし、第2パッチを縮小しなかった場合(サイズ1倍)に、反射位相の拡大効果は最も大きくなった(+39.3度)。なお、反射位相の拡大効果は、基準となるマッシュルーム構造に対するものである。基準マッシュルーム構造とは、パッチが多層化されていない従来構造である図2Aでは第1パッチ23よりも第2パッチ24の方が、接地プレート21から遠く隔たっていたが、このことも本実施例に必須ではない。第1パッチ23よりも第2パッチ24の方が接地プレート21に近くてもよい。【0059】図21は、図2Aと同様に、第1パッチ23よりも第2パッチ24の方が、接地プレート21から遠く隔たっている場合の構造と、その構造に対するシミュレーション結果を示す。第1及び第2パッチの位置関係が逆の場合については、図22を参照しながら説明される。図21のシミュレーション結果は、パッチサイズWyが1.0mm、1.6mm、2.3mmである場合の各々について、基準マッシュルーム構造による反射位相と、本実施例の多層マッシュルーム構造による反射位相との比較例を示す。基準マッシュルーム構造の場合、パッチサイズWyが2.3mmの場合に、約167.4度の範囲にわたって反射位相を変えることができる。これに対して、本実施例による多層マッシュルーム構造の場合、パッチサイズWyが1.6mmの場合に、約179.7度の範囲にわたって反射位相を変えることができ、反射位相のレンジを約12.3度拡大できている。図21においてDSPAGで示される値(パッチの高さ又はビアの高さ)を3.2mmとし、第1及び第2パッチ間の距離Dsb-2の値を0.4mmとした場合において、無給電素子の第2パッチを第1パッチと同じサイズにした場合、ギャップを介して隣接する第1パッチ同士の間と、第1及び第2パッチ間との双方でキャパシタンスを増やす効果が認められた。これに対して、無給電素子の第2パッチを第1パッチの0.5倍のサイズにした場合、第1及び第2パッチ間でのみキャパシタンスを増やす効果が認められた。【0060】図22は、図2Aとは異なり、第1パッチ23よりも第2パッチ24の方が、接地プレート21に近い場合の構造と、その構造に対するシミュレーション結果を示す。図において、ビアホールが第2パッチを貫通しているが、電気的な接続はされておらず、給電はされていない。シミュレーション結果は、パッチサイズWyが1.0mm、1.6mm、2.3mmである場合の各々について、基準マッシュルーム構造による反射位相と、本実施例の多層マッシュルーム構造による反射位相との比較例を示す。このような構造で図示の寸法の場合、基準マッシュルーム構造による反射位相のレンジの方が、多層マッシュルーム構造の場合よりも広いことが分かった。図22においてDsとして示される値(第1及び第2パッチ間の距離)を0.4mmとし、第2パッチの面積が第1パッチの面積の何倍であるかを示す量SCを0.5とした場合、主に、第1及び第2パッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を3.2mmとし、SCを1.0とした場合、主に、ギャップを介して隣接するパッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を0.4mmとし、SCを1.0とした場合、ギャップを介して隣接する第1パッチ間と、第1及び第2パッチ間との双方でキャパシタンスを増やす効果が認められた。【0061】図23も、図2Aとは異なり、第1パッチ23よりも第2パッチ24の方が、接地プレート21に近い場合の構造と、その構造に対するシミュレーション結果を示す。シミュレーション結果は、パッチサイズWyが1.0mm、1.6mm、2.3mmである場合の各々について、基準マッシュルーム構造による反射位相と、本実施例の多層マッシュルーム構造による反射位相との比較例示す。基準マッシュルーム構造の場合、パッチサイズWyが2.3mmの場合に、約167.4度の範囲にわたって反射位相を変えることができる。これに対して、本実施例による多層マッシュルーム構造の場合、パッチサイズWyが1.6mmの場合に、約178.6度の範囲にわたって反射位相を変えることができ、反射位相のレンジを約11.2度拡大できた。図23においてDsとして示される値(第1及び第2パッチ間の距離)を0.4mmとし、第2パッチの面積が第1パッチの面積の何倍であるかを示す量SCを0.5とした場合、主に、第1及び第2パッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を3.2mmとし、SCを1.0とした場合、主に、ギャップを介して隣接するパッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を0.4mmとし、SCを1.0とした場合、ギャップを介して隣接するパッチ間と、第1及び第2パッチ間との双方でキャパシタンスを増やす効果が認められた。【0062】<2.4より一般的な多層マッシュルーム構造>図2A等に示されているマッシュルーム構造のパッチは、第1及び第2の2つしか備えていないが、上述したように、このことは本発明に必須ではない。接地プレート上に3つ以上のパッチが多層化されていてもよい。【0063】図2Bは、接地プレート上にn個のパッチL1、L2、L3、...Lnが並列的に多層化されているマッシュルーム構造を示す。最下位の層L0は接地プレートに対応する。図2Bに示されている構造は、図2Aに示されているマッシュルーム構造の代わりに使用可能である。後述する多の構造におけるマッシュルーム構造として使用されてもよい。図示の例では、各パッチのx軸方向及びy軸方向の寸法は、それぞれWx及びWyであるとして揃っているが、このことも必須ではない。適切な如何なるサイズが使用されてもよい。また、多層化されているパッチ間の間隔t、t1、t2、...も一律に揃っている必要はない。説明の便宜上、接地プレート上のパッチL1~Lnは全て同じサイズWx及びWyを有し、多層化されているパッチ間の間隔は互いに等しいものとする。したがって、同一平面内で隣接するパッチ同士の隙間(ギャップ)は、どの層においても等しい。【0064】図2Cは図2Bに示されるマッシュルーム構造の概略構造(左)及び等価回路図(右)を示す。同一平面内で互いにギャップを隔てて隣接するパッチによりキャパシタンスが生じる。この点は図2Aの構造と同じであり、そのようなキャパシタンスが、多層化されている層毎に得られる。図2Bの構造の場合、L1~Lnのn個の平面すなわちn個の層において、キャパシタンスが層毎に発生する。このため、等価回路は、図2C右側に示すような回路図になる。この場合、表面インピーダンスZsは、(jωL)/(1-nω2LC)として、近似的に取り扱うことができる。【0065】図2Dは、マッシュルーム構造のパッチ数(レイヤ数)が異なる様々な構造各々について、パッチサイズWy及び反射位相の関係をシミュレーションした結果を示す。図中、「1-Layer」とあるのは、接地プレート上に1層のパッチしか存在しない従来構造に対するシミュレーション結果を示す。従来構造の場合、表面インピーダンスZsは、(jωL)/(1-ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に1層のパッチしか存在しない構造を、有限要素法でシミュレーションした場合の結果が、丸印でプロットされている。図中、「2-Layer」は、接地プレート上に2層のパッチが存在する図2Aの構造に対するシミュレーション結果を示す。上述したように、この場合、表面インピーダンスZsは、(jωL)/(1-2ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に2層のパッチが存在する構造を、有限要素法でシミュレーションした場合の結果が、四角印でプロットされている。【0066】「3-Layer」は、接地プレート上に3層のパッチが存在する図2Bの構造に対するシミュレーション結果を示す。この場合、表面インピーダンスZsは、(jωL)/(1-3ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に3層のパッチが存在する構造を、有限要素法でシミュレーションした場合の結果が、逆三角印でプロットされている。【0067】「4-Layer」は、接地プレート上に4層のパッチが存在する図2Bの構造に対するシミュレーション結果を示す。この場合、表面インピーダンスZsは、(jωL)/(1-4ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に4層のパッチが存在する構造を、有限要素法でシミュレーションした場合の結果が、三角印でプロットされている。【0068】各グラフを参照するに、Zs=(jωL)/(1-nω2LC)に基づく実線と、有限要素法による計算結果は、比較的一致していることがわかる。これは、マッシュルーム構造のパッチをn層に多層化することで、容量が近似的にn倍に増えることを意味する。したがって、マッシュルーム構造のパッチを多層化することで、容量を制御することができる。【0069】図示の例によれば、多層化する層数(レイヤ数)が増えた場合、パッチサイズが大きくなるにつれて、Zsの計算式と有限要素法のシミュレーション結果との間のずれが大きくなっている。これは、マッシュルーム構造のレイヤ数が増えるにつれて、マッシュルーム構造全体を1つの集中素子として取り扱うことが妥当でなくなることを示す。したがって、レイヤ数が多い場合、及びパッチサイズが大きくい場合、Zsの理論式(Zs=(jωL)/(1-nω2LC))よりも、有限要素法等による実際のシミュレーション結果に基づいて設計することが好ましい。【0070】<3.第2構造>上記の第1構造は、無給電素子のパッチを付加してパッチを多層化することで、キャパシタンスCを増やしていた。本実施例の第2構造は、キャパシタンスCではなくインダクタンスLに着目する。【0071】図24は、第2構造で使用可能なマッシュルーム構造を示す。図24には、接地プレート121、ビアホール122、パッチ123が示されている。【0072】接地プレート121は、多数のマッシュルーム構造に対して共通の電位を供給する導体である。Δx及びΔyは、隣接するマッシュルーム構造におけるビアホール間のx軸方向の間隔及びy軸方向の間隔を示す。Δx及びΔyは、マッシュルーム構造1つ分に対応する接地プレート121のサイズを表す。一般に、接地プレート121は、多数のマッシュルーム構造が並んだアレイと同程度に大きい。【0073】ビアホール122は、接地プレート121とパッチ123とを電気的に短絡するために設けられる。パッチ123は、x軸方向にWxの長さを有し、y軸方向にWyの長さを有する。パッチ123は、接地プレート121に対して平行に距離tを隔てて設けられ、ビアホール122を介して接地プレート121に短絡される。一例として、パッチ123は、接地プレート121から1.6mm隔てて設けられている。【0074】図25は、x軸方向に並んだマッシュルーム構造M1~MNに対して、z軸∞方向から電波が到来し、反射される様子を模式的に示す。反射波は、入射方向(z軸方向)に対して角度αをなすとする。ビアホール間の間隔がΔxであったとすると、隣接するマッシュルーム構造(素子)による反射波の位相差Δφ及び反射角αは次式を満たす。【0075】Δφ=k・Δx・sinαα=arcsin[(λΔφ)/(2πΔx)]ただし、kは波数であり、2π/λに等しい。λは電波の波長である。N個のマッシュルーム構造M1~MNの全体による反射位相差N・Δφが、360度(2πラジアン)になるように、隣接する素子同士の位相差Δφが設定される。例えば、N=20の場合、Δφ=360/20=18度である。したがって、隣接する素子との反射位相差が18度であるように素子を設計し、それらを20個並べることで、角度αの方向に電波を反射するリフレクトアレイを実現することができる。【0076】図26は、図24に示すマッシュルーム構造の等価回路を示す。図26左側に示されるように、あるマッシュルーム構造のパッチ123と、y軸方向に隣接するマッシュルーム構造のパッチ123との間のギャップに起因して、キャパシタンスCが存在する。さらに、あるマッシュルーム構造のビアホール122及びy軸方向に隣接するマッシュルーム構造のビアホール122に起因して、インダクタンスLが存在する。したがって、隣接するマッシュルーム構造の等価回路は、図26右側に示されるような回路になる。すなわち、等価回路において、インダクタンスLとキャパシタンスCは、並列に接続されている。キャパシタンスC、インダクタンスL、表面インピーダンスZs及び反射係数Γは、次のように表すことができる。【0077】【数式2】数式(5)において、ε0は真空の誘電率を表し、εrはパッチ同士の間に介在する材料の比誘電率を表す。Δyはビアホール間の間隔を表す。Wyはパッチのサイズを表す。したがって、Δy-Wyは、ギャップの大きさを表す。数式(6)において、μはビアホール同士の間に介在する材料の透磁率を表し、tはビアホール122の高さ(接地プレート121からパッチ123までの距離)を表す。数式(7)において、ωは角周波数を表し、jは虚数単位を表す。数式(8)において、ηは自由空間インピーダンスを表し、Φは位相差を表す。【0078】上記の数式(5)を参照するに、インダクタンスLは、パッチ123の高さ(接地プレート121及びパッチ123間の距離)に比例している。したがって、図24に示されるようなマッシュルーム構造において、パッチ123の高さtを変えることで、インダクタンスL、すなわち共振周波数を変えることができる。【0079】図27は、図24に示すようなマッシュルーム構造のパッチのサイズWyと反射位相との関係を示す。図中、実線は理論値を示し、丸印でプロットされているものは有限要素法解析によるシミュレーション値を示す。図27には、4種類の高さtの各々について、パッチのサイズWyと反射位相との関係を表すグラフが示されている。t02は距離tが0.2mmである場合のグラフを表す。t08は距離tが0.8mmである場合のグラフを表す。t16は距離tが1.6mmである場合のグラフを表す。t24は距離tが2.4mmである場合のグラフを表す。ビアホール間隔Δyは、一例として2.4mmである。【0080】グラフt02の場合、パッチのサイズWyが0.5mmから2.3mmまで変化しても、反射位相は180度のままである。【0081】グラフt08の場合も、パッチのサイズWyが0.5mmから2.3mmまで変化しても、反射位相は162度のままである。【0082】グラフt16の場合、パッチのサイズWyが0.5mmから2.1mmに変化する場合、反射位相は144度から126度に緩慢にしか減少していないが、サイズWyが2.1mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、シミュレーション値(丸印)で54度及び理論値(実線)で0度に達する。【0083】グラフt24の場合、パッチのサイズWyが0.5mmから1.7mmに変化する場合、反射位相は117度から90度に緩慢にしか減少していないが、サイズWyが1.7mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、-90度に達する。【0084】このように、マッシュルーム構造におけるパッチの高さtが異なる場合、パッチのサイズを変えることで実現できる反射位相の範囲も変わる。したがって、マッシュルーム構造の素子を並べてリフレクトアレイを実現する場合、パッチ高さtが異なる構造を組み合わせることで、反射位相が適切に変化するマッシュルーム構造の列を実現でき、反射特性が優れたリフレクトアレイを実現することができる。【0085】本実施例の第2構造によるリフレクトアレイを設計する場合、図27のグラフt02、t08、t16、t24を参照し、所望の反射位相を実現するパッチサイズを決定する。例えば、t=2.4mmのグラフt24においてパッチサイズWyを2.2mmにすることで、反射位相0度の素子を実現し、t=2.4mmのグラフt24においてパッチサイズWyを2mmにすることで反射位相72度を実現し、t=1.6mmにおいてパッチサイズWyを1mmにすることで、反射位相144度を実現することができる。このようにして割り出されたパッチサイズのパッチを並べることで、リフレクトアレイを実現することができる。【0086】図28は、パッチ高さが異なるマッシュルーム構造が並んでいる様子を模試的に示す。図示の例では、パッチ高さとしてt1、t2及びt3の3種類がある。例えばt=t1のような特定のパッチ高さだけであった場合、反射位相が徐々に変化するマッシュルーム構造を十分な数だけ用意することができないかもしれない。しかしながら、t=t2及びt3のパッチ高さの構造も併用することで、設計の自由度が広がり、適切な反射位相の素子を実現しやすくなる。【0087】図28に示す例では、接地プレートからの高さが異なる複数のパッチが、同一平面に存在するように形成されている。しかしながら、このことは本発明に必須でなく、接地プレートからの高さが異なる複数のパッチは、同一平面に存在しなくてもよい。【0088】図29は、接地プレートからパッチまでの高さが異なる複数のマッシュルーム構造について、接地プレート121が共通に設けられている様子を示す。その代わり、全てのパッチ123が同一平面には存在していない。【0089】図30は、さらに別の例を示す。図28に示す例と同様に、接地プレートからの高さが異なる複数のパッチが、同一平面に存在するように形成されている。図28では接地プレートが多層に形成されていたのに対して、図30では、接地プレートは多層には形成されていない。言い換えれば、ある接地プレートの下側に、別の接地プレートが存在しないように、接地プレートが適宜除去されている。このような構造は、接地プレートに起因する不要な反射を抑制する観点から好ましい。【0090】<4.第3構造>上記の第1構造は、無給電パッチを付加して複数のパッチを互いに並列的に多層化することで、キャパシタンスCを増やしていた。本実施例の第3構造は、ギャップを規定するパッチ同士の位置関係を工夫することで、キャパシタンスCを増やす。第3構造においても図24に示されるようなマッシュルーム構造が使用されてよい。すなわち、接地プレート121に対して、距離tを隔ててパッチ123が設けられ、パッチ123はビアホール122を介して接地プレート121に短絡されている。隣接するマッシュルーム構造におけるビアホール間のx軸方向の間隔及びy軸方向の間隔は、それぞれΔx及びΔyである。パッチ123は、x軸方向にWxの長さを有し、y軸方向にWyの長さを有する。あるいは、第3構造において、図2Aや図2Bに示すようなマッシュルーム構造が使用されてもよい。その場合、パッチ123に加えて、第2パッチ24が設けられる。説明の簡明化のため、第3構造は、図24に示されるようなマッシュルーム構造を使用するものとする。【0091】図25を参照しながら説明したように、マッシュルーム構造の素子M1~MNをx軸方向に並べ、各素子による反射波の位相差が、ある関係を満たすようにすることで、反射波を所望の方向に向けることができる。【0092】図24に示すようなマッシュルーム構造の場合、等価回路は図26に示されるような回路であった。したがって、等価回路のキャパシタンスC、インダクタンスL、表面インピーダンスZs及び反射係数Γは、次のように表すことができる。【0093】【数式3】各数式における記号は第2構造において説明したとおりである。【0094】数式(5)を参照するに、Δy-Wyは、隣接するパッチ同士の隙間(ギャップ)の大きさを表す。したがって、arccosh関数の引数は、ビアホール間隔Δyと、ギャップとの比率を表す。【0095】図31は、図24に示されるようなマッシュルーム構造に対するキャパシタンスCと反射位相の関係を示すシミュレーション結果である。シミュレーションは、キャパシタンスとインダクタンスは独立に変化することを仮定して行われた。図示の例では、パッチ高さtの値が、0.4mm、0.8mm、1.2mm、1.6mm、2.4mm及び3.2mmである場合の各々について、キャパシタンスCと反射位相との関係のシミュレーション結果が示されている。図31から分かるように、+180度ないし-180度の全範囲にわたって反射位相を実現するには、キャパシタンスのレンジが広くなければならないことが分かる。【0096】上記の数式(5)によれば、マッシュルーム構造におけるキャパシタンスCは、ギャップ(Δy-Wy)が狭くなるにつれて、より大きな値になる。逆に言えば、キャパシタンスCを大きくするには、ギャップを狭くする必要がある。しかしながら、主に製造工程上の制約に起因して、非常に狭いギャップを高精度に製造することは容易ではない。例えば、0.1mm未満のギャップを高精度に製造することは容易でない。このため、このマッシュルーム構造を使用する従来技術の場合、大きなキャパシタンスの値を実現できない、という問題があった。【0097】図32は、本実施例の第3構造の概念図を示す。3つの平行な線p1~p3の各々に沿ってマッシュルーム構造が整列している。説明の便宜上、列数及びマッシュルーム構造数をそれぞれ3つにしているが、列数やマッシュルーム構造数が、実際にはさらに大きな値になることは当業者にとって明らかである。便宜上、線piに沿って整列しているパッチをpijと書くことにする。パッチp13及びp23は、最も広いギャップを隔てて隣接している。同様に、パッチp23及びp33も最も広いギャップを隔てて隣接している。このため、これらのパッチpi3(i=1~3)により形成されるキャパシタンスC3は、小さな値になる。パッチp12及びp22は、より狭いギャップを隔てて隣接している。同様に、パッチp22及びp32も狭いギャップを隔てて隣接している。このため、これらのパッチpi2(i=1~3)により形成されるキャパシタンスC2は、C3より大きな値になる。パッチpi1及びpi2(i=1~3)の各々は、同一平面内に設けられている。これに対して、パッチp11及びp21は、同一平面内ではなく、異なる平面内に位置し、距離を隔てて互いに一部重なっている。同様に、パッチp21及びp31も、同一平面内ではなく、異なる平面内に位置し、距離を隔てて互いに一部重なっている(パッチp11及びp31は同一平面内にある)。このため、これらのパッチpi1により形成されるキャパシタンスC1は、C2より大きな値になる。このように第3構造では、隣接する少なくとも一部のパッチ同士が距離を隔てて互いに重なることで、単に同一平面でギャップを形成していた場合よりも、大きなキャパシタンスを実現できるようにする。【0098】図33は、第3構造におけるパッチの位置関係を平面図(左側)及び断面図(右側)により示している。便宜上、7行3列の形式にパッチが並んでいるが、行数及び列数は任意である。従来の構造と同様に、第4行ないし第7行のパッチの場合、隣接する列のパッチ同士が同一平面内で隙間(ギャップ)を形成している。従来は、同一平面内におけるギャップを狭く形成する際の製造限界に起因して、例えば第4行ないし第7行のような位置関係のマッシュルーム構造のみを利用して、リフレクトアレイを形成せざるを得なかった。このため、さらに大きな容量に対応する反射位相が必要であったとしても、そのような反射位相をもたらすマッシュルーム構造を得ることはできなかった。例えば、図27において、パッチ長さWyは、2.3mmが上限になっている。パッチ同士の間隔Δyは、2.4mmであるので、パッチ長さWyが2.3mmの場合、ギャップは、Δy-Wy=0.1mmとなり、パッチ長さの上限は、実現可能なギャップの長さに対応する。【0099】これに対して、第1行ないし第3行のパッチの場合、隣接する列のパッチ同士は、同一平面にはない。図示の例の場合、第1行から第3行に属するパッチの内、第2列に属するパッチの高さは、第1列及び第3列に属するパッチの高さより高い。これにより、隣接する列のパッチ同士が、より大きな容量を形成することができる。隣接する列のパッチ同士は重なることが許容されるので、パッチ長さWyは、2Δy未満であれば、Δy以上でもよい。代替例として、第2列のパッチの高さが、第1及び第3列のパッチの高さより低くなっていてもよい。【0100】図27の右下側に示されているグラフOVは、重なりを許容することでパッチ長さWyを2.3mm以上に延長した場合のシミュレーション結果を示す。隣接するパッチに対して重なりを許容することで、従来の限界であった-90度を超えて、ほぼ-180度に達する反射位相を実現できることが分かる。このように第3構造によれば、達成可能な反射位相のレンジを拡大することができる。【0101】ところで、図32や図33に示されているように、隣接する列のパッチ同士の重なりを許容する場合、隣接するパッチの接地プレートからの距離(高さ)tは、厳密には同一でない。上記の数式(6)によれば、パッチの高さtは、インダクタンスLに影響する(L=μt)。したがって、あるパッチ高さtに関するパッチ長さWyと反射位相の関係を示すグラフ(例えば、t24)と、重なりを許容した場合のパッチ長さWyと反射位相の関係を示すグラフ(OV)は、厳密には連続的にならない。前提とするパッチ高さが厳密には異なり、それに応じて共振周波数が変わるからである。しかしながら、第3構造において、重なっているパッチ同士のパッチ高さの相違が、比較的小さい場合、図27に示されるように、グラフt24とグラフOVは、連続的になる。ただし、これらのグラフを連続的にすること(すなわち、隣接するパッチ高さの相違が無視できる程度に小さいこと)は、本実施例において必須ではない。グラフOVとして示されるグラフが、グラフt24から離れた位置にあったとしても、適切な反射位相が設計可能であればよいからである。【0102】<5.変形例><<5.1パッチ配列>>第1ないし第3構造における上記のパッチは、ビアが並んでいる線(図4のp、q、図33の列)に対して対称的に形成されていた。そして、y軸方向のパッチサイズWyをその線に沿って徐々に変えることで、広狭様々なギャップが形成されていた。しかしながら、このようなパッチの並べ方は本発明に必須ではなく、様々なパッチ配列が考えられる。【0103】例えば、図34Aに示すようにして、パッチ及びギャップが形成されてもよい。x軸方向にWxの長さを有するパッチp11、p12、p13、p14が、y軸方向に間隔Δyを隔てて並んでいる。第1のパッチp11は、y軸方向に2Wy1の長さを有する。第2のパッチp12は、y軸方向にWy1+Wy2の長さを有する。第3のパッチp13は、y軸方向にWy2+Wy3の長さを有する。第4のパッチp14は、y軸方向にWy3+Wy4の長さを有する。したがって、第1及び第2のパッチ間の隙間(ギャップ)は、Δy-2Wy1=gy1である。同様に、第2及び第3のパッチ間のギャップは、Δy-2Wy2=gy2である。第3及び第4のパッチ間のギャップは、Δy-2Wy3=gy3である。4つのパッチp11、p12、p13、p14は、それぞれ異なる寸法を有するが、パッチ同士の間の中心間距離は、全て等しい(Δy)。これらのパッチを用いてリフレクタアレイを作成する場合、図5及び図25において説明したように、隣接するパッチとの間で所定の位相差ΔΦを実現する必要がある。この位相差ΔΦは、電波の反射角α及びパッチの中心間距離Δyに対して次式を満たす必要がある。【0104】ΔΦ=k・Δy・sinαここで、kは波数を表す(k=2π/λ)。【0105】図35は、図34Aに示されるようなパッチ及びギャップを形成することで、リフレクトアレイを形成した場合の概念的な平面図を示す。図35に示されているパッチは、不図示のビアホールを介して接地プレートに接続されている。【0106】<<5.2垂直制御>>図3、図4、図11、図18及び図33の構造では、電界がy軸方向を向いてZ軸方向から入射する波は、電界の方向に対して横方向、すなわちx軸方向に反射する(水平制御)。これに対して、図34A、B及び図35の構造においては、電界がy軸方向を向いてZ軸方向から入射する波は、電界と同じ方向すなわちy軸方向に反射する(垂直制御)。言い換えれば、電波を反射させたい方向に沿って、素子同士の間の位相差を変化させることで(例えば、キャパシタンスC及び/又はインダクタンスLを変化させることで)、入射する電波を所望の方向に反射させることができる。説明の便宜上、z軸から入射した電波を、x軸方向に反射させる場合が水平制御と言及され、y軸方向に反射させる場合が垂直制御と言及されているが、水平及び垂直は便宜的な相対的な概念である。【0107】<<5.3第1構造を利用した場合(反射角45度)>>図36は、電波を反射するリフレクトアレイを形成する際、第1構造が使用されている様子を示す部分断面図を示す。図示の層構造は、図9において説明したものと同じである。ただし、図34A、B及び図35に示されるようなパッチ及びギャップの形成法が使用されている点が異なる。リフレクトアレイは、L1層、L2層及びL3層の3つの導電層と、各導電層間の誘電体層とを有する。一例として、導電層は例えば銅を含む材料で構成されている。また、誘電体層は、比誘電率が4.4であり、tanδが0.018である材料で構成されている。L1層及びL2層間には0.8mmの厚さの誘電体層が介在している。L2層及びL3層間には1.6mmの厚さの誘電体層が介在している。L1層は図2Aにおける第2パッチ24に対応する。L2層は図2Aにおける第1パッチ23に対応する。L3層は接地プレート21に対応する。したがって、L2層及びL3層間の貫通孔はビアホール22に対応する。【0108】図37は、L1層、L2層及びL3層の平面図を概略的に示す。図2Aに示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。この点は、図10と同じである。図示の例の場合、x軸方向に伸びる7列の帯の1つは、15×131個の素子を含んでいる。素子間の間隔は2.4mmである。図示のリフレクトアレイは、電界がy軸方向を向いてZ軸から入射する波をy軸方向、すなわち垂直方向に入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、x軸方向に伸びる1つの帯(列)は、帯のy軸方向における両端で反射位相が2π変化するように設計されている。理想的には20個の素子により、反射位相が2π変化することが望ましいが、製造上の制約等の理由により15個の素子が使用されている。このため、y軸方向の1周期48mm(=2.4×20)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図37及び図38において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0109】図38は図37のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの列(y軸方向)に関し、15個の素子が並んでいる。15個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これら15個の素子の各々は、隣接する素子と所定の位相差(18度=360度/20)を有する。【0110】図39は、y軸方向に用意する素子数を12個にした場合の数値例を示す。図39に示す数値例も、電波の入射方向に対して45度の角度で反射波を形成するためのものである。【0111】<<5.4第1構造を利用した場合(反射角70度)>>図37~図39に示した数値例は、電波を入射方向に対して45度の方向に反射させる観点から決定されていた。本実施例は、45度に限定されず、任意の方向に電波を反射するリフレクトアレイを形成できる。【0112】図40は、電波を入射方向に対して70度の方向に反射させるリフレクトアレイにおけるL1層、L2層及びL3層を示す。L1層、L2層及びL3層の層構造は、図9及び図36に示したものと同じである。この例の場合、x軸方向に伸びる9列の帯の1つは、12×129個の素子を含んでいる。素子間の間隔は2.4mmである。隣接する素子同士の反射位相差は、24度であるように設計されている。すなわち、x軸方向に伸びる1つの帯(列)は、y軸方向における両端で反射位相が2π変化するように設計されている。理想的には15個の素子により、反射位相が2π変化することが望ましいが、製造上の制約などの理由により12個の素子が使用されている。このため、y軸方向の1周期36mm(=2.4×15)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図40及び図41において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0113】図41は図40のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの列(y軸方向)に関し、12個の素子が並んでいる。12個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これら12個の素子の各々は、隣接する素子と所定の位相差(24度=360度/15)を有する。【0114】図42に示す数値例も、電波の入射方向に対して70度の角度で反射波を形成するためのものである。ただし、1つの列(y軸方向)に関して12個ではなく、11個の素子を並べることで、リフレクトアレイを形成した場合の数値例である。【0115】<<5.5第2構造を利用した場合(反射角45度)>>図36ないし図42に示した数値例は、電波を反射するリフレクトアレイが第1構造を用いて形成された場合の例である。以下、第2構造を用いて、電波を反射するリフレクトアレイを形成する例を説明する。【0116】図43は、マッシュルーム構造のパッチ高さtが4種類存在するリフレクトアレイの概略斜視図を示す。多数の素子の一部分しか描かれていないことに留意を要する。リフレクトアレイの全体的な平面図は、図35に示したものと同様である。【0117】図44は、層構造を示す断面図である。図示されているように、1層目ないし5層目の5つの層が、少なくとも一部に導電層を含む層として使用され、それらの間には誘電体層が介在している。一例として、誘電体層は、比誘電率が4.4であり、tanδが0.018であるFR4基板である。1層目と2層目は0.2mm隔たっている。1層目と3層目は0.8mm隔たっている。1層目と4層目は1.6mm隔たっている。1層目と5層目は2.4mm隔たっている。【0118】図45Aは、1層目ないし5層目における導電層の位置(影の付いた部分)を示す。1層目の場合、第1ないし第13の素子各々に対応する13個のパッチが示されている。図中、y軸方向に並ぶ13個の丸印はビアホールに対応する。便宜上、右から順に第1、第2、...第13の素子と言及する。図46Aは、1層目における13個のパッチのサイズを示す。2層目の場合、第1の素子に対応する場所に、長さPy1を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy1は2.4mmである。3層目の場合、第1及び第2の素子に対応する場所に、長さPy2を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy2は4.8mmである。4層目の場合、第1ないし第5の素子に対応する場所に、長さPy3を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy3は12mmである。5層目の場合、第1ないし第13の全素子に対応する場所に、長さPy4を有する導電層が設けられている。一例としてPy4は31.2mmである。【0119】<<5.6改良された第2構造による垂直制御>>第2構造の等価回路を示す図26を参照しながら説明したように、隣接するマッシュルーム構造同士の間には、近似的にL=μtの大きさのインダクタンスが発生する。Lはインダクタンスを示し、μは材料の透磁率を示し、tはビアの高さを示す。この場合、隣接するマッシュルーム構造のビアの高さはともに等しい。図28にはビアの高さが異なるマッシュルーム構造が並べられている。実線の左回りの矢印で示されているインダクタンスL1、L3、L5については、それぞれμ×t1、μ×t2、μ×t3の大きさの値になることが予想される。しかしながら、破線の左回りの矢印で示されているインダクタンスL2、L4の場合、接地プレートに段差があり、隣接するビアの高さが相違している。このため、この付近で発生するインダクタンスを、透磁率μとビアの高さtの積により近似することは適切でなくなってしまう。同様なことは、図29及び図30におけるL2、L4についても当てはまる。インダクタンスを透磁率とビアの高さの積で近似できないことは、マッシュルーム構造を多数並べてリフレクタ等を作成する際に、設計を困難にしてしまう。ビアの高さが複数種類存在する第2構造により、垂直制御(図34A-D)を行う場合、この不都合は特に顕著になる。【0120】図45Bは、上記の問題に対処するように改良された第2構造を用いて垂直制御を行う場合の平面図及び断面図を示す。図34Aに示されるようなパッチ配列が使用されているが、他の配列法が使用されてもよい。1層目ないし5層目に示されている太い線分は、その部分が導電性の材料であることを示す。1層目における導電性の材料は、パッチを構成する。2層目ないし5層目は接地プレートを構成する。各層を横切るように、5つのビアがパッチ各々に対して存在している。ビアと接地プレートが交わっている部分は、電気的に接続されている。図中、C1、C2、C3、C4はパッチ同士の間に発生するキャパシタンスを示す。図28においては「EX」で示されているように、接地プレートの端(又は縁)は、ビアを超えて延在し、隣接する素子同士の中間に位置している。これに対して、図45Bに示す例の場合、接地プレートの端は、ビアを超えて延在しておらず、ビアの位置において終端されている。これにより、L1、L2、L3、L4のどのインダクタンスについても、隣接するビアの高さは等しく、発生するインダクタンスは、透磁率とビアの高さの積により適切に近似できる。なお、接地プレートの端はビアの位置において実質的に終端されていればよく、製造工程等の都合により接地プレートの端がビアを僅かに超えていてもよい。【0121】<5.6ビアなし構造>上記の様々なマッシュルーム構造やパッチ配列において、1つ以上のパッチの内の1つと、接地プレートは、ビアホールを介して電気的に接続又は短絡されていた。しかしながら、このことは、リフレクトアレイを実現する場合には必須ではない。マッシュルーム構造がリフレクタアレイとして使用され、入射波を所望の方向に反射させる際、ビアホールは、直接的には作用していないからである。ただし、ビアホールの高さ(パッチ高さ)tは、インダクタンスL(=μt)に関連し、インダクタンスLはマッシュルーム構造の共振周波数ωに影響するので、ビアホールの有無は、パッチの寸法やギャップ等を設計する際には必ず考慮しなければならない。逆に、ビアホールを設けないこととし、接地プレート及び1つ以上のパッチ同士の容量等に基づいて、パッチ及びリフレクタアレイを設計することも可能である。【0122】例えば、第1構造によるマッシュルーム構造は、パッチを多層化することで容量を制御できるので(C→nC)、ビアホールが存在しなかったとしても、入射波を適切に反射させることはできる(図46B)。【0123】第2構造によるマッシュルーム構造の場合、パッチ及び接地プレート間の距離を変えるとインダクタンスLが変化することに着目していた(L=μt)。したがって、ビアホールが存在しなかった場合、上記の議論のようなインダクタンスは得られない。しかしながら、第2構造においてビアホールが存在しなかった場合に、パッチ及び接地プレート間の容量をさらに考慮して設計することが考えられる(図46C)。パッチ及び接地プレート間の容量は、近似的にそれらの間の距離に反比例する。したがって、隣接するパッチ同士の隙間に起因する容量に加えて、パッチ及び接地プレート間の距離に依存する容量をも考慮することで、隣接するパッチ同士の反射位相差に相応しいパッチを設計することができる。【0124】第3構造によるマッシュルーム構造は、パッチ同士の重なりを許容することで容量を制御しているので、第1構造の場合と同様に、ビアホールが存在しなかったとしても、入射波を適切に反射させることができる(図46D)。【0125】図46B-Dにおいて、隣接するパッチ同士の間隔は、図示の便宜上等間隔であるように描かれているが、このことは本発明に必須ではなく、パッチ同士の間隔は、具体的な製品用途に応じて様々に設定される。図46Eは、上記の第2構造において、ビアがなく、パッチ同士の間隔が均等ではない様子を強調して示している。パッチ同士の間隔が均等であってもなくてもよいことは、第2構造だけでなく、第1及び第3構造についても当てはまる。【0126】さらに、水平制御(x方向に反射させる制御)及び垂直制御(y方向に反射させる制御)を行う際にも、ビアがないマッシュルーム構造を使用することができる。【0127】図34Bは、ビアがないマッシュルーム構造を用いて垂直制御を行う場合のパッチ配列例を示す。ただし、図34Bに示すパッチ配列法は、ビアがあるマッシュルーム構造についても適用可能である。図示の例場合、4つのパッチp11、p12、p13、p14は、すべて同じ寸法を有する。すなわち、x軸方向にWx及びy軸方向に2Wyのサイズをそれぞれが有する。この点、隣接するパッチのサイズが異なっている図34Aに示される配列法と異なる。ただし、図34Bに示すパッチ配列法の場合、隣接するパッチ同士の中心間距離は、同一ではない。第1のパッチp11と第2のパッチp12との間の中心間距離Δy1は、Δy1=Wy+gy1+Wy=2Wy+gy1である。第2のパッチp12と第3のパッチp13との間の中心間距離Δy2は、Δy2=Wy+gy2+Wy=2Wy+gy2である。第3のパッチp13と第4のパッチp14との間の中心間距離Δy3は、Δy3=Wy+gy3+Wy=2Wy+gy3である。パッチ同士の間の隙間は、図34Aのパッチ配列と同様に、gy1、gy2、gy3、...のように変化している。【0128】図34Bに示すパッチ配列例の場合、4つのパッチp11、p12、p13、p14は、すべて同じ寸法を有するが、パッチ同士の中心間距離は、場所によって異なる。これらのパッチを用いてリフレクタアレイを作成する場合も、図5及び図25において説明したように、隣接するパッチとの間で所定の位相差ΔΦを実現する必要がある。この位相差ΔΦは、電波の反射角α及びパッチの中心間距離Δyiに対して次式を満たす必要がある。【0129】ΔΦ=k・Δyi・sinαここで、kは波数を表し(k=2π/λ)、Δyiは、場所によって異なるパッチの中心間距離を表す(i=1,2,...)。【0130】図34Cは、ビアがないマッシュルーム構造を用いて垂直制御を行う場合の別のパッチ配列例を示す。図34Aと同様に、4つのパッチp12、p13、p14、p15は、それぞれ異なる寸法を有するが、パッチ同士の間の中心間距離は、全て等しい(Δy)。図34Aに示す例とは異なり、ビアは設けられていない。これらのパッチは、x軸方向にWxの長さを有する。第1のパッチp12は、y軸方向にWy1+Wy2の長さを有する。第2のパッチp13は、y軸方向にWy2+Wy3の長さを有する。第3のパッチp14は、y軸方向にWy3+Wy4の長さを有する。第4のパッチp15は、y軸方向にWy4+Wy5の長さを有する。したがって、第1及び第2のパッチ間の隙間(ギャップ)は、Δy-2Wy2=gy2である。同様に、第2及び第3のパッチ間のギャップは、Δy-2Wy3=gy3である。第3及び第4のパッチ間のギャップは、Δy-2Wy4=gy4である。したがって、基準線同士の間の距離は、Δyに等しく、一定に保たれる。基準線の位置は、図34Aにおけるビアが設けられていた点(点を通る直線)に対応する。これらのパッチを用いてリフレクタアレイを作成する場合、図5及び図25において説明したように、隣接するパッチとの間で所定の位相差ΔΦを実現する必要がある。この位相差ΔΦは、電波の反射角α及びパッチ間隔Δyに対して次式を満たす必要がある。【0131】ΔΦ=k・Δy・sinαここで、kは波数を表す(k=2π/λ)。【0132】ところで、マッシュルーム構造にビアが有る場合、パッチの寸法を決める基点としてビアの位置を使用することができる。しかしながら、ビアが無いマッシュルーム構造の場合、そのような基点はない。【0133】図34Dは、ビアがないマッシュルーム構造を用いて垂直制御を行う場合の別のパッチ配列例を示す。図34Cと同様に、4つのパッチp12、p13、p14、p15は、それぞれ異なる寸法を有する。図示の例の場合、第1のパッチと隣接する第2のパッチと間のギャップ(隙間)を二等分した中心線と、第2のパッチと隣接する第3のパッチとの間のギャップを二等分した中心線との間の距離が、全て等しく設定されている(Δy)。一般に、i番目のパッチと(i+1)番目のパッチとの間のギャップは、gyiとして表現され、ギャップを二等分した中心は、Giとして表現される。i番目のパッチのy軸方向の寸法Wyiは、Δy-(gyi-1)/2-gyi/2として算出される。例えば、Wy2=Δy-gy1/2-gy2/2として算出される。このようにギャップの中心を基点とすることで、ビアが無い場合のパッチの寸法を簡易に算出することができる。【0134】<6.製造方法>第1ないし第3構造及び変形例の構造は、当該技術分野で既知の適切な如何なる方法で製造されてもよい。何れの構造を製造する場合にも、金属層と誘電体層とが積層された構造が基礎になる。例えば、表裏に銅の導電層が形成されているプリント基板(例えば、誘電率が4.4であるガラスエポキシ基板(FR4))を2枚重ねてプレスすることで、金属層が3層存在する構造が得られる。この場合において、プリプレグのような樹脂基板を複数枚重ねることで、所望の厚みの誘電体層を形成することができる。【0135】例えば、最下位の金属層を接地プレートとし、中間の金属層を第1パッチとし、最上位の金属層を第2パッチとすることで、図2Aに示されるような第1構造のマッシュルーム構造を製造してもよい。【0136】また、最下位の金属層及び最上位の金属層を第1のマッシュルーム構造に使用し、中間の金属層及び最上位の金属層を第2のマッシュルーム構造に使用することで、図28及び図30に示すような第2構造を製造してもよい。最上位の金属層及び最下位の金属層を第1のマッシュルーム構造に使用し、中間の金属層及び最下位の金属層を第2のマッシュルーム構造に使用することで、図29に示すような第2構造を製造してもよい。【0137】また、隣接するパッチが重ならないマッシュルーム構造について、最上位及び中間(又は中間及び最下位)の金属層を使用する一方、隣接するパッチが重なるマッシュルーム構造について、最上位、中間及び最下位の金属層を使用することで、図32及び図33に示すような第3構造を製造してもよい。【0138】<7.組み合わせ構造><<7.1組み合わせ方>>上記の第1ないし第3構造及び変形例の構造は、単独で使用されてもよいし、組み合わせて使用されてもよい。第1構造、第2構造、第3構造及び変形例等の項目の区分けは本発明に本質的ではなく、2以上の項目に記載された事項が必要に応じて組み合わせて使用されてよいし、ある項目に記載された事項が、別の項目に記載された事項に(矛盾しない限り)適用されてよい。概して、第1構造は、無給電素子を付加して複数のパッチを並列的に多層化することでキャパシタンスを増やしている。第2構造はパッチ高さを複数種類用意することでインダクタンスを調整している。第3構造は隣接するパッチが重なることを許容することでキャパシタンスを増やしている。したがって、第1構造、第2構造及び第3構造のうち2つ以上を組み合わせることで、キャパシタンス及び/又はインダクタンスをさらに変化させ、反射位相のレンジをさらに拡大できるようになる。【0139】例えば、図47の上側に示されるように、1つのアレイが2つの領域R1、R2に区分けされ、領域R1及びR2のそれぞれにおいて異なる構造が使用されてもよい。アレイは、x軸方向にNx個及びy軸方向にNy個のマッシュルーム構造を含む。マッシュルーム構造は、図2Aの構造でもよいし、図24の構造でもよい。アレイをx軸方向及び/又はy軸方向に反復することで、所望の大きさのリフレクトアレイを実現できる。【0140】図47においてR1及びR2を形成する構造として、第1構造と第2構造、第1構造と第3構造、第2構造と第3構造の組み合わせ、及び第1-3構造全ての組み合わせが考えられる。さらには、図47の下側に示されるように、1つのアレイが3つの領域R1、R2及びR3に区分けされ、これらの領域の少なくとも2つが異なる構造を使用してもよい。3つの領域が全て異なる構造を使用してもよい。アレイ内における領域の分け方は、図示のものに限定されず、適切な如何なる仕方で分けられてもよい。【0141】さらに、図47に示したように領域毎に異なる構造を使用するだけでなく、1つのマッシュルーム構造における組み合わせも考えられる。【0142】図48は、パッチを多層化する第1構造と、パッチ高さが異なるものを併用する第2構造との組み合わせを示す。これは、キャパシタンス及びインダクタンスの双方を調整する観点から好ましい。【0143】図49Aは、パッチを多層化する第1構造と、隣接するパッチの重なりを許容する第3構造との組み合わせを示す。これは、キャパシタンスをさらに大きくする観点から好ましい。第2構造と第3構造を組み合わせることや、第1ないし第3構造全てを組み合わせることも可能である。【0144】一例として、図49Bは、ビアがない第1構造と第2構造を組み合わせた構造を示す。また、図49Cは、ビアがない第2構造と第3構造を組み合わせた構造を示す。このように様々な構造が可能である。【0145】<<7.2第2構造と第3構造の組み合わせ>>第2構造と第3構造の組み合わせについて、説明する。【0146】図50は、1つのアレイの中で、紙面右側の第2構造の領域と紙面左側の第3構造の領域とを組み合わせた場合の様子を示す。第2構造におけるパッチ高さ又はビア高さtについては、2.4mm、1.6mm及び0.1(又は0.2)mmの選択肢がある。第3構造におけるパッチ高さは、2.3mm及び2.4mm(又は2.2mm及び2.4mm)である。したがって、図示の構造は、以下の構造に分解して考えることができる。【0147】(A)基板の厚みtが0.1mmのマッシュルーム構造、(B)基板の厚みtが0.2mmのマッシュルーム構造、(C)基板の厚みtが1.6mmのマッシュルーム構造、(D)基板の厚みtが2.4mmのマッシュルーム構造、(E)基板の厚みtが2.3mm及び2.4mmで重なりを許容したマッシュルーム構造及び(F)基板の厚みtが2.2mm及び2.4mmで重なりを許容したマッシュルーム構造。【0148】図51ないし図54は、上記の(A)ないし(D)の各構造に対するシミュレーション結果を示す。図55は、(A)ないし(D)に加えて、(E)及び(F)の各構造に対するシミュレーション結果を示す。概してこれらは図27を参照しながら説明したものに対応する。図56は、(A)ないし(F)に加えて、基板の厚みtが0.8mmのマッシュルーム構造についてのシミュレーション結果も示している。図57は、図55及び図56に関し、(E)及び(F)の構造をシミュレーションする場合のモデルを示す。【0149】<<7.3水平制御45度(その1)>>図58は、第2構造及び第3構造の組み合わせによるリフレクトアレイの平面図を示す。このリフレクトアレイは、図56に示されるようなパッチサイズWy、反射位相及び基板厚みtの相互関係にしたがって、作成されたものである。構造の詳細については、後述する。概して、x軸方向に沿って左から7つのマッシュルーム構造により第3構造が形成されている。第3構造は、パッチ高さが2.4mmのマッシュルーム構造と、パッチ高さが2.3mmのマッシュルーム構造との重なりを許容することで形成されている。パッチ高さが2.4mmの8つのマッシュルーム構造と、パッチ高さが1.6mmの3つのマッシュルーム構造と、パッチ高さが0.8mmのマッシュルーム構造とで第2構造が形成されている。そして、図中右端の位置に2.4mm幅の金属板が設けられている。この金属板とパッチの隙間は0.05mmである。金属板は、0.1mmの厚みのマッシュルーム構造の代わりに使用されている。図51に示されるように、基板の厚みが0.1mmのマッシュルーム構造は、パッチサイズWyによらず、ほぼ180度の反射位相をもたらすので、金属板で代用できる。また、パッチ間のx方向における隙間は0.1mmである。【0150】図59は、図58に示される各素子の具体的な寸法を示す。「設計位相」とは設計上求められる理想的な位相であり、「位相」の欄に示される数値が実際に実現される位相である。これらの数値は、リフレクトアレイが、入射波に対して、-45度の方向に反射を形成するように設計されている。【0151】図60は、x軸方向に沿って並ぶ素子各々による反射位相の値を示す。これらの値はz=λ/2(半波長)における値である。概して、-300度から+60度に至るほぼ360度の全範囲にわたって、各素子に反射位相を適切に設定できていることが分かる。【0152】図61は、シミュレーションにおける解析モデルを示し、このモデルをz軸方向から見たものが、図58に相当する。【0153】図62は、図56に示されるグラフの内、図58及び図61のシミュレーションのモデルに使用された基板(t=0.8mm、1.6mm、2.4mm、2.3&2.4mm)に関するグラフを示す。さらに、図62には、金属板に対応する点も示されている。【0154】図63は、上記のようにして形成されたリフレクトアレイの遠方放射界を示す。リフレクトアレイは、入射波に対して、-45度の方向に反射を形成するように、上記の数値を利用して設計されている。図63に示されているように、約-45度の方向に反射波が適切に向いていることが分かる。さらに、2層マッシュルーム構造だけによる場合の指向性(図15)と比較して、不要方向への放射がかなり抑制されていることが分かる。【0155】図64は、第2構造及び第3構造を組み合わせたリフレクトアレイによる反射波の等位相面を示す。x軸に沿って約20個の素子(第2又は第3構造のマッシュルーム構造)が並んでおり、電波の到来方向であるz軸に対して-45度の方向に電波が反射している。等位相面の法線は、z軸に対して-45度の方向を向いており、この方向に反射波が適切に進んでいることが分かる。【0156】図58に部分的に示されているリフレクトアレイの構造を詳細に説明する。【0157】図65は、第2構造の領域と第3構造の領域とを含むリフレクトアレイの層構造を示す。紙面の左右方向に19個のビアホールが並び、便宜的な番号が右から順に付けられている。ビアホールの各々は1つの素子(マッシュルーム構造)に対応する。5つの導電層が誘電体層を介して積層され、最上位層から順にL1層、L2層、L3層、L4層及びL5層として示されている。導電層は例えば銅を含む材料で形成されてもよい。誘電体層は、FR4基板やガラスエポキシ樹脂基板等により形成されてもよい。一例として、ビアホールの直径は、0.5mmである。【0158】1番目の素子は、マッシュルーム構造ではなく、金属板により形成されている。1番目の素子をマッシュルーム構造で構成する場合、基板の厚み(ビアホールの高さ)が0.1mmであることを要する。しかしながら、このように薄い基板を用いて形成されるマッシュルーム構造の反射位相は、図51に示されているように、パッチサイズによらずほぼ180度であるので、1番目の素子は金属板で代用できる。2番目の素子は、L1層をパッチとし、L3層を接地プレートとしている。3番目ないし5番目の素子は、L1層をパッチとし、L4層を接地プレートとしている。6番目ないし13番目の素子は、L1層をパッチとし、L5層を接地プレートとしている。14番目ないし20番目の素子は、第3構造によるものである。この場合、L1層及びL2層が、一部重なっている2つのパッチに対応する。L5層は、これら13番目ないし20番目の素子における接地プレートである。一例として、L1層及びL2層間の距離は0.1mmであり、L1層及びL3層間、L3層及びL4層、そしてL4層及びL5層間は、それぞれ0.8mmである。また、ビアの直径は0.5mmである。【0159】図66は、L1層及びL2層の平面図を概略的に示す。図67は、L3層、L4層及びL5層の平面図を概略的に示す。図24に示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。図示の例の場合、y軸方向に伸びる7列の帯の1つは、20×130個の素子を含んでいる。図中の数字は寸法(ミリメートル)の一例であり、素子間の間隔は2.4mmである。図示のリフレクトアレイは、電界がy軸方向の偏波をx軸方向(水平方向)に入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、y軸方向に伸びる1つの帯(列)は、x軸方向における両端で反射位相が2π変化するように設計されている。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図66ないし図73において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0160】図68は図66のL1層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行(x軸方向)に関し、20個の素子に対応する部分が示されている。20個の素子に対応する部分の内、第2ないし第20の素子に対応する部分の矩形の1つ1つは、Wx及びWyのサイズを有するパッチ123(図24)に対応する。1番目の素子(右側)は金属板で代用されている。これらx軸方向に並ぶ素子の各々は、隣接する素子同士との間で所定の位相差(18度=360度/20)を有する。図示のパッチサイズの数値は、図59に示しているものに対応する。【0161】図69は図66のL1層において「A部」及び「A'部」として示されている領域(帯又は列の一部)を詳細に示す。【0162】図70は図66のL2層において「B部」及び「B'部」として示されている領域(帯又は列の一部)を詳細に示す。x軸方向に沿う1つの行に着目すると、左から7つのパッチが並んでいる。これらは、パッチ同士の重なりが許容される第3構造において、L1層のパッチと重なるL2層のパッチに対応する。【0163】図71は図67のL3層において「C部」として示されている領域(帯又は列の一部)を詳細に示す。図65に示されているように、L3層は、1番目及び2番目の素子に対する接地プレートを提供する。この接地プレートが、図71の右側に示されている。【0164】図72は図67のL4層において「D部」として示されている領域(帯又は列の一部)を詳細に示す。図65に示されているように、L4層は、3番目ないし5番目の素子に対する接地プレートを提供する。この接地プレートが、図72の右側に示されている。【0165】図73は図67のL5層において「E部」として示されている領域(帯又は列の一部)を詳細に示す。図65に示されているように、L5層は、6番目ないし20番目の素子に対する接地プレートを提供する。この接地プレートが、図73に示されている。【0166】<<7.4水平制御45度(その2)>>図74も、図58と同様に、第2構造及び第3構造の組み合わせを含むリフレクトアレイの構成例を示す。ただし、図中左側の第3構造におけるビアの高さが2.4mmと2.2mmの組み合わせである点、及び右側の第2構造において、金属板ではなく厚みが0.2mmの基板を使用している点が主に異なる。これに応じて、各素子の寸法は、図75に示されるように、図59におけるものと若干異なる。【0167】図76は、図56に示されるグラフの内、図74のシミュレーションのモデルに使用された基板(t=0.8mm、1.6mm、2.4mm、2.2&2.4mm)に関するグラフを示す。【0168】図77は、上記のようにして形成されたリフレクトアレイの遠方放射界を示す。リフレクトアレイは、入射波に対して、-45度の方向に反射を形成するように、上記の数値を利用して設計されている。図77に示されているように、約-45度の方向に反射波が適切に向いていることが分かる。さらに、2層マッシュルーム構造だけによる場合の指向性(図15)と比較して、不要方向への放射がかなり抑制されていることが分かる。【0169】図78は、第2構造及び第3構造を組み合わせたリフレクトアレイによる反射波の等位相面を示す。x軸に沿って約20個の素子(第2又は第3構造のマッシュルーム構造)が並んでおり、電波の到来方向であるz軸に対して-45度の方向に電波が反射している。等位相面の法線は、z軸に対して-45度の方向を向いており、この方向に反射波が適切に進んでいることが分かる。【0170】図74に部分的に示されているリフレクトアレイの構造を詳細に説明する。【0171】図79は、第2構造の領域と第3構造の領域とを含むリフレクトアレイの層構造を示す。概して図65と同様であるが、1番目の素子がマッシュルーム構造として設けられている点、及びL1層及びL2層が、1番目の素子と、14番目ないし20番目の素子とで共通している点、L1層及びL2層間の距離が0.2mmである点が、主に異なる。【0172】1番目の素子は、L1層をパッチとし、L2層を接地プレートとしている。2番目の素子は、L1層をパッチとし、L3層を接地プレートとしている。3番目ないし5番目の素子は、L1層をパッチとし、L4層を接地プレートとしている。6番目ないし13番目の素子は、L1層をパッチとし、L5層を接地プレートとしている。14番目ないし20番目の素子は、第3構造によるものである。この場合、L1層及びL2層が、一部重なっている2つのパッチに対応する。L5層は、これら13番目ないし20番目の素子における接地プレートである。一例として、L1層及びL2層間の距離は0.2mmであり、L1層及びL3層間、L3層及びL4層、そしてL4層及びL5層間は、それぞれ0.8mmである。また、ビアの直径は0.5mmである。【0173】上述したように、L1層及びL2層は、1番目の素子と、14番目ないし20番目の素子とで共通している。これは、1番目の素子のL1層と14番目ないし20番目の素子のL1層とが、同じ基板上に形成できることを意味する。さらに、1番目の素子のL2層と14番目ないし20番目の素子のL2層も、同じ基板上に形成できる。これにより、リフレクトアレイの構造の簡易化及び製造工程の簡易化等を図ることができる。図示の例では、L1層及びL2層が双方の構造で共通しているが、第2構造及び第3構造において、L1層ないしL5層のうち、(可能であるならば)どの層が共通していてもよい。このように、異なる構造を組み合わせる場合において、複数の導電層のうちの1つ以上を共通にすることは、第2及び第3構造間だけでなく、他の構造間で行われてもよい。例えば、第1構造と第2構造を組み合わせた構造、第1構造と第3構造を組み合わせた構造において、L1層ないしL5層の内の1つ以上が共通していてもよい。【0174】図80は、L1層及びL2層の平面図を概略的に示す。図81は、L3層、L4層及びL5層の平面図を概略的に示す。図24に示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。図示の例の場合、y軸方向に伸びる7列の帯の1つは、20×130個の素子を含んでいる。図中の数字は寸法(ミリメートル)の一例であり、素子間の間隔は2.4mmである。図示のリフレクトアレイは、電界がx軸方向の偏波をx軸方向(垂直方向)に入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、Y軸方向に伸びる20個分の素子間隔(2.4mm×20)は、20個分の素子間隔の両端で反射位相が2π変化するように設計されている。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図80ないし図87において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0175】図82は図80のL1層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行(x軸方向)に関し、20個の素子に対応する部分が示されている。20個の素子に対応する部分に含まれている矩形の1つ1つは、Wx及びWyのサイズを有するパッチ123(図24)に対応する。これらの素子の各々は、隣接する素子同士との間で所定の位相差(18度=360度/20)を有する。図示のパッチサイズの数値は、図75に示しているものに対応する。【0176】図83は図80のL1層において「A部」及び「A'部」として示されている領域(帯又は列の一部)を詳細に示す。【0177】図84は図80のL2層において「B部」及び「B'部」として示されている領域(帯又は列の一部)を詳細に示す。x軸方向に沿う1つの行に着目すると、左から7つのパッチが並んでいる。これらは、パッチ同士の重なりが許容される第3構造において、L1層のパッチと重なるL2層のパッチに対応する。【0178】図85は図81のL3層において「C部」として示されている領域(帯又は列の一部)を詳細に示す。図79に示されているように、L3層は、1番目及び2番目の素子に対する接地プレートを提供する。この接地プレートが、図85の右側に示されている。【0179】図86は図81のL4層において「D部」として示されている領域(帯又は列の一部)を詳細に示す。図79に示されているように、L4層は、3番目ないし5番目の素子に対する接地プレートを提供する。この接地プレートが、図86の右側に示されている。【0180】図87は図81のL5層において「E部」として示されている領域(帯又は列の一部)を詳細に示す。図79に示されているように、L5層は、6番目ないし20番目の素子に対する接地プレートを提供する。この接地プレートが、図87に示されている。【0181】<<7.5垂直制御45度>>図58ないし図87では、電界に対して水平方向に反射させる観点から、リフレクトアレイの構造及びシミュレーション例が説明されてきた。しかしながら、第2構造及び第3構造を組み合わせたリフレクトアレイは、電界に対して垂直方向に反射させるように設計することもできる。【0182】図88は、マッシュルーム構造のパッチ高さtが4種類存在する第2構造と、隣接するパッチ同士の重なりを許容する第3構造とを有するリフレクトアレイの概略斜視図を示す。多数の素子の一部分しか描かれていないことに留意を要する。【0183】図89は、層構造を示す断面図である。図示されているように、1層目ないし5層目の5つの層が、少なくとも一部に導電層を含む層として使用され、それらの間には誘電体層が介在している。一例として、誘電体層は、比誘電率が4.4であり、tanδが0.018であるFR4基板である。1層目と2層目は0.2mm隔たっている。1層目と3層目は0.8mm隔たっている。1層目と4層目は1.6mm隔たっている。1層目と5層目は2.4mm隔たっている。【0184】図90は、1層目ないし5層目における導電層の位置(影の付いた部分)を示す。図中、y軸方向に並ぶ20個の丸印はビアホールに対応する。便宜上、右から順に第1、第2、...第20の素子と言及する。1層目の場合、第1ないし第20の素子各々に対応するパッチが示されている。第13ないし第20の素子は、パッチ同士の重なりを許容しているので、パッチ高さが異なるもの(第14、第16、第18、第20)は1層目には現れていない。2層目の場合、第1の素子に対応する場所に、長さPy1を有する導電層が設けられ、かつ第14、第16、第18及び第20の素子のパッチが設けられている。他の場所において導電層は設けられていない。一例としてPy1は2.4mmである。図91は、1層目及び2層目における20個のパッチのサイズを示す。3層目の場合、第1及び第2の素子に対応する場所に、長さPy2を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy2は4.8mmである。4層目の場合、第1ないし第5の素子に対応する場所に、長さPy3を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy3は12mmである。5層目の場合、第1ないし第13の全素子に対応する場所に、長さPy4を有する導電層が設けられている。一例としてPy4は31.2mmである。【0185】図92は、上記のようにして形成されたリフレクトアレイの遠方放射界を示す。リフレクトアレイは、入射波に対して、-45度の方向に反射を形成するように、上記の数値を利用して設計されている。図92に示されているように、約-45度の方向に反射波が適切に向いていることが分かる(図示の例の場合、-43度の方向に18.55dBの反射波が得られている。)。【0186】<<7.6改良された第2構造と第3構造の組み合わせ>>「5.6改良された第2構造による垂直制御」のセクションにおいて説明したように、第2構造において発生するインダクタンスを正確に規定する観点からは、接地プレートがビアの位置において実質的に終端していることが好ましい。以下の説明において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0187】図93は、改良された第2構造の領域と第3構造の領域とを含むリフレクトアレイの層構造を示す。図示されているように、1層目ないし5層目の5つの層が、少なくとも一部に導電層を含む層として使用され、それらの間には誘電体層が介在している。一例として、誘電体層は、比誘電率が4.4であり、tanδが0.018であるFR4基板である。図示の層構造は、概して、図79、図89等の構造と同様であるが、3層目及び4層目において「EX'」として示されているように、接地プレートがビアの位置で実質的に終端している点が大きく異なる。図79、図89等の構造の場合、接地プレートの端がビアの位置で実質的に終端しておらず、隣接する素子同士の間に接地プレートの端が存在し、接地プレートの段差が形成されている。なお、製造工程上の理由により、「EX'」で示されている部分において、接地プレートの端がビアを少しだけ超えて延在しているが、これは、素子同士の間で発生するインダクタンスに実質的な影響を及ぼすものではない。【0188】図94Aは、図93に示すL1層の平面図を示す。図示の構造の場合、図93に示す20個の素子が並んでいる構造(約48mm)が、y軸方向に2回反復され、x軸方向に40回反復されているが、素子(ビア)の数、y軸方向の反復数及びx軸方向の反復数は単なる一例に過ぎず、適切な如何なる数値が使用されてもよい。図94Bは、図94Aに示すL1層の「A部」を詳細に示す。【0189】図95Aは、図93に示すL2層の平面図を示す。図95Bは、図95Aに示すL2層の「B部」を詳細に示す。「B部」は「A部」の下側に位置する。L2層ないしL5層は接地プレートを構成する。図95A、図95Bに示されているように、接地プレートの端又は縁は、ビアの位置において終端されている。【0190】図96Aは、図93に示すL3層の平面図を示す。図96Bは、図96Aに示すL3層の「C部」を詳細に示す。「C部」は「A部」及び「B部」の下側に位置する。図96A、図96Bに示されているように、接地プレートの端又は縁は、ビアの位置において終端されている。【0191】図97Aは、図93に示すL4層の平面図を示す。図97Bは、図97Aに示すL4層の「D部」を詳細に示す。「D部」は「A部」、「B部」及び「C部」の下側に位置する。図97A、図97Bに示されているように、接地プレートの端又は縁は、ビアの位置において終端されている。【0192】図98Aは、図93に示すL5層の平面図を示す。図98Bは、図98Aに示すL5層の「E部」を詳細に示す。「E部」は「A部」、「B部」、「C部」及び「D部」の下側に位置する。【0193】次に、改良された第2構造と第3構造の組み合わせについてのシミュレーション結果を示す。シミュレーションでは、図99A及び図99Bに示されるような垂直制御を行う2つの構造が比較された。何れの構造も、改良された第2構造を使用し、接地プレートはビアの位置において終端している。しかしながら、パッチの設計が異なっている。図99Aの構造は、図34Aに示されるように、隣接するパッチが同じサイズを有する。これに対して、図99Bの構造は、図34Bに示されるように、ビアを中心として対称的なパッチが使用されている。【0194】図99Cは、2つの構造各々の遠方放射界のシミュレーション結果を示す。電場がy軸方向を向いている電波がz軸∞方向から到来し、-45度の方向に反射されるように、図99A、Bの構造は設計されている。ビームの大きさ又は強度は、所望方向(-45度)における値により規格化されている。何れの構造も、所望方向に大きな反射ビームを形成している。+45度付近において、図99Bの構造は、比較的大きな不要反射ビームを形成している。これに対して、図99Aの構造は、そのような不要反射ビームを適切に抑制できている。さらに、0度方向の鏡面反射ビームについても、図99Aの構造は、図99Bの構造よりも不要反射ビームを小さく抑制できる。したがって、垂直制御の場合、図99Bの構造よりも、図99Aの構造の方が好ましい。【0195】次に、接地プレートがビアの位置で終端していることが、ビアの高さが異なる構造を使用して垂直制御及び水平制御を行う場合にどのように影響するかを説明する。【0196】図100Aは、第2構造を含む構造により垂直制御を行う構造を示す。パッチの長さを図100Aに示すように、所望のLC共振の得られるLとCの対をy軸方向に配列することが可能である。上述のように、値の異なるLとCの組み合わせを配列する場合、接地プレートはビアの位置において終端していることが望ましい。図100Aには、概略平面図と、x軸方向の断面図及びy軸方向の断面図が示されている。y軸方向に沿って、パッチの層である1層目と、4つの接地プレート(2層目ないし5層目)とが存在し、「EX」として示されているように、接地プレートの2層目、3層目及び4層目の端は、隣接する素子同士の間にある。このため、y軸方向に並ぶ素子において、適切な値のインダクタンスを発生させることが困難になってしまう。x軸方向に並ぶ素子同士の間にもインダクタンスは発生する。しかしながら、電場がy軸方向を向いている電波を所望方向に反射させる場合、y軸方向に並ぶ素子同士により発生するインダクタンスの方が重要である。このため、上述したように、接地プレートの端がビアの位置において終端するように、改善すべきである。【0197】図100Bは、第2構造を含む構造により水平制御を行う構造を示す。水平制御の場合、図100Bのように、所望のLC共振の得られるLとCの対をx軸方向に配列することが可能である。図100Bにも、概略平面図と、x軸方向の断面図及びy軸方向の断面図が示されている。水平制御の場合、x軸方向の断面に複数の接地プレートが現れる。x軸方向に沿って、パッチの層である1層目と、3つの接地プレート(2層目ないし4層目)とが存在し、「EX」として示されているように、2層目及び3層目の接地プレートの端は、隣接する素子同士の間にある。このため、x軸方向において、適切な値のインダクタンスを発生させることは困難になってしまう。しかしながら、上述したように、電場がy軸方向の電波を反射させる場合、y軸方向に並ぶ素子同士により発生するインダクタンスの方が重要である。y軸方向沿って並ぶ素子の場合、隣接する素子のビアの高さは同じなので、発生するインダクタンスLは、透磁率μとビアの高さtの積(L=μt)により想定される値になる。このため、水平制御の場合は、垂直制御の場合よりも、接地プレートの段差の影響は深刻ではない。すなわち、x軸方向の断面図に示されているように、接地プレートがビアの位置において終端していなくても、y軸方向の断面図に示されているように、ギャップをはさむビアどうしの地板はつながっているため、所望のインダクタンスL1、L2、L3を得ることができる。ただし、当然ではあるが、図100Bの構造においても、x軸方向に延びる接地プレートが、ビアの位置において終端するようにすることで、設計どおりの動作をさらに期待することができる。【0198】以上本発明は特定の実施例を参照しながら説明されてきたが、それらは単なる例示に過ぎず、当業者は様々な変形例、修正例、代替例、置換例等を理解するであろう。発明の理解を促すため具体的な数値例を用いて説明がなされたが、特に断りのない限り、それらの数値は単なる一例に過ぎず適切な如何なる値が使用されてもよい。発明の理解を促すため具体的な数式を用いて説明がなされたが、特に断りのない限り、それらの数式は単なる一例に過ぎず適切な如何なる数式が使用されてもよい。実施例又は項目の区分けは本発明に本質的ではなく、2以上の項目に記載された事項が必要に応じて組み合わせて使用されてよいし、ある項目に記載された事項が、別の実施例又は項目に記載された事項に(矛盾しない限り)適用されてよい。本発明は上記実施例に限定されず、本発明の精神から逸脱することなく、様々な変形例、修正例、代替例、置換例等が本発明に包含される。【0199】以下、本発明により教示される手段を例示的に列挙する。【0200】(M1)複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子である、装置。【0201】(M2)前記複数個のうち所定数個のマッシュルーム構造が、ある線に沿って並べられ、前記複数個のうち別の所定数個のマッシュルーム構造が、別の線に沿って並べられ、前記ある線に沿っているマッシュルーム構造の第1パッチと、前記別の線に沿っているマッシュルーム構造の第1パッチとの間の隙間が、前記ある線及び別の線に沿って徐々に変化している、M1記載の装置。【0202】(M3)ある線に沿って並べられている所定数個のマッシュルーム構造のうち、隣接するマッシュルーム構造の第1パッチ同士の間の隙間が、前記ある線に沿って徐々に変化している、M1記載の装置。【0203】(M4)前記隙間を決める隣接する第1パッチの内の一方の端から、該一方の第1パッチの基準線までの距離が、隣接する他方の第1パッチの端から、該他方の第1パッチの基準線までの距離に等しく、複数のマッシュルーム構造に対する基準線間の距離が一定に保たれている、M3記載の装置。【0204】(M5)前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造各々の第1パッチは、互いに等しいサイズであり、前記第1及び第2のマッシュルーム構造の第1パッチ同士の中心間距離は、前記第2及び第3のマッシュルーム構造の第1パッチ同士の中心間距離と異なる、M3記載の装置。【0205】(M6)前記ある線に沿って隣接している第1及び第2のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線と、前記ある線に沿って隣接している第2及び第3のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線との間の距離が、前記ある線に沿って並んでいる複数のマッシュルーム構造に対して一定に保たれている、M3記載の装置。【0206】(M7)前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造のうち、前記第1及び第2のマッシュルーム構造の各々から反射される電波の位相差が、前記第2及び第3のマッシュルーム構造の各々から反射される電波の位相差に等しい、M2ないしM6の何れか1項に記載の装置。【0207】(M8)少なくとも前記ある線に沿って並べられた前記所定数個のマッシュルーム構造を含むアレイが、同一平面内に複数個反復的に並べられている、M1ないしM7の何れか1項に記載の装置。【0208】(M9)前記接地プレート、前記第1パッチ及び前記第2パッチに対して平行に距離を隔てて設けられ、無給電素子として機能する1つ以上のパッチをさらに有する、M1ないしM8の何れか1項に記載の装置。【0209】(A1)複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、あるマッシュルーム構造における接地プレート及びパッチ間の距離は、別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる、装置。【0210】(A2)前記あるマッシュルーム構造におけるパッチと、前記別のマッシュルーム構造におけるパッチとが、同一平面内に設けられている、A1記載の装置。【0211】(A3)前記あるマッシュルーム構造における接地プレートと、前記別のマッシュルーム構造における接地プレートは、多層構造には形成されていない、A2記載の装置。(A4)前記あるマッシュルーム構造における接地プレートと、前記別のマッシュルーム構造における接地プレートとが、同一平面内に設けられている、A1記載の装置。【0212】(A5)(A1)の装置において、(M2)~(M9)の特徴を備えた装置。【0213】(B1)複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【0214】(B2)(B1)の装置において、(M2)~(M9)の特徴を備えた装置。【0215】(C1)M+A第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記第1群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子であり、前記第2群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第2群に属するあるマッシュルーム構造における接地プレート及びパッチ間の距離は、前記第2群に属する別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる、装置。【0216】(C2)M+A+B当該装置がさらに第3群の複数のマッシュルーム構造を有し、前記第3群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、C1記載の装置。【0217】(C3)前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の1層が、前記第2群のマッシュルーム構造における接地プレート及びパッチをなす2層の内の1層と同一平面に設けられ、前記3層の内の別の1層が、前記2層の内の別の1層と同一平面に設けられている、C1又はC2に記載の装置。【0218】(C4)M+B第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記第1群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子であり、前記第2群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第2群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【0219】(C5)前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の1層が、前記第2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の1層と同一平面に設けられ、前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の別の1層が、前記第2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の別の1層と同一平面に設けられている、C4記載の装置。【0220】(C6)A+B第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記マッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第1群に属するあるマッシュルーム構造における接地プレート及びパッチ間の距離は、前記第1群に属する別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なり、前記第2群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【0221】(C7)前記第1群のマッシュルーム構造における接地プレート及びパッチをなす2層の内の1層が、前記2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の1層と同一平面に設けられ、前記2層の内の別の1層が、前記3層の内の別の1層と同一平面に設けられている、C6記載の装置。
A
2012034332
2011000246
20110104
null
null
20120216
2012034332
20120216
null
null
5236754
20130405
null
null
null
マッシュルーム構造を有する装置
392026693
株式会社エヌ・ティ・ティ・ドコモ
100070150
伊東 忠彦
丸山 珠美,古野 辰男,小田 恭弘,沈 紀▲ユン▼,大矢 智之
2010043573,20100226,JP,2010156255,20100708,JP
8
H01Q 15/14 (20060101), H01P 1/00 (20060101)
H01Q 15/14 Z ,H01P 1/00 Z
14
null
null
null
0
70
5J011,5J020
5J011 CA24,5J020 AA03 ,5J020 BA15 ,5J020 BA17 ,5J020 DA01
【課題】多数のマッシュルーム構造を有する装置に利用可能な構造であって、パッチサイズの所定のレンジに対して、反射位相のレンジが広い構造を提供すること。【解決手段】複数個のマッシュルーム構造を有する装置が使用される。複数個のマッシュルーム構造の各々は、接地プレートと、接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、あるマッシュルーム構造における接地プレート及びパッチ間の距離は、別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる。【選択図】図28
【請求項1】複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、あるマッシュルーム構造における接地プレート及びパッチ間の距離は、別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる、装置。【請求項2】前記あるマッシュルーム構造におけるパッチと、前記別のマッシュルーム構造におけるパッチとが、同一平面内に設けられている、請求項1記載の装置。【請求項3】前記あるマッシュルーム構造における接地プレートと、前記別のマッシュルーム構造における接地プレートは、多層構造には形成されていない、請求項2記載の装置。【請求項4】前記あるマッシュルーム構造における接地プレートと、前記別のマッシュルーム構造における接地プレートとが、同一平面内に設けられている、請求項1記載の装置。【請求項5】前記複数個のうち所定数個のマッシュルーム構造が、ある線に沿って並べられ、前記複数個のうち別の所定数個のマッシュルーム構造が、別の線に沿って並べられ、前記ある線に沿っているマッシュルーム構造のパッチと、前記別の線に沿っているマッシュルーム構造のパッチとの間の隙間が、前記ある線及び別の線に沿って徐々に変化している、請求項1記載の装置。【請求項6】ある線に沿って並べられている所定数個のマッシュルーム構造のうち、隣接するマッシュルーム構造のパッチ同士の間の隙間が、前記ある線に沿って徐々に変化している、請求項1記載の装置。【請求項7】前記隙間を決める隣接する第1パッチの内の一方の端から、該一方の第1パッチの基準線までの距離が、隣接する他方の第1パッチの端から、該他方の第1パッチの基準線までの距離に等しく、複数のマッシュルーム構造に対する基準線間の距離が一定に保たれている、請求項6記載の装置。【請求項8】前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造各々のパッチは、互いに等しいサイズであり、前記第1及び第2のマッシュルーム構造のパッチ同士の中心間距離は、前記第2及び第3のマッシュルーム構造のパッチ同士の中心間距離と異なる、請求項6記載の装置。【請求項9】前記ある線に沿って隣接している第1及び第2のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線と、前記ある線に沿って隣接している第2及び第3のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線との間の距離が、前記ある線に沿って並んでいる複数のマッシュルーム構造に対して一定に保たれている、請求項6記載の装置。【請求項10】前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造のうち、前記第1及び第2のマッシュルーム構造の各々から反射される電波の位相差が、前記第2及び第3のマッシュルーム構造の各々から反射される電波の位相差に等しい、請求項5ないし9の何れか1項に記載の装置。【請求項11】少なくとも前記ある線に沿って並べられた前記所定数個のマッシュルーム構造を含むアレイが、同一平面内に複数個反復的に並べられている、請求項1ないし10の何れか1項に記載の装置。【請求項12】前記接地プレート及び前記パッチに対して平行に距離を隔てて設けられ、無給電素子として機能する1つ以上のパッチをさらに有する、請求項1ないし11の何れか1項に記載の装置。【請求項13】第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記マッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第1群に属するあるマッシュルーム構造における接地プレート及びパッチ間の距離は、前記第1群に属する別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なり、前記第2群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【請求項14】前記第1群のマッシュルーム構造における接地プレート及びパッチをなす2層の内の1層が、前記2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の1層と同一平面に設けられ、前記2層の内の別の1層が、前記3層の内の別の1層と同一平面に設けられている、請求項13記載の装置。
【請求項1】複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、あるマッシュルーム構造における接地プレート及びパッチ間の距離は、別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる、装置。【請求項13】第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記マッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第1群に属するあるマッシュルーム構造における接地プレート及びパッチ間の距離は、前記第1群に属する別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なり、前記第2群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。
【技術分野】【0001】本発明は、マッシュルーム構造を有する装置に関連する。このような装置は、電波を特定の方向に反射させるリフレクタだけでなく、電波を送受信する際のアンテナや、特定の周波数を減衰させるフィルタ等にも使用可能である。
【背景技術】【0002】移動通信において、電波の経路に建物等の障害物が存在すると、受信レベルが劣化してしまう。このため、その建物と同程度以上の高所に反射板(リフレクタ)を設け、電波が届きにくい場所に反射波を送る技術がある。反射板により電波を反射する際、垂直面内における電波の入射角が比較的小さかった場合、反射板は電波を所望方向に向けることが困難になってしまう(図1)。一般に、電波の入射角と反射角は等しいからである。この問題に対処するため、地面を覗き込むように反射板を傾斜させることが考えられる。そのようにすると、反射板に対する入射角及び反射角を大きくすることができ、到来波を所望方向に向けることができる。しかしながら、電波を遮るような建物と同程度に高い場所の反射板を、地面側に傾けて設置することは、安全性の観点からは好ましくない。このような観点から、電波の入射角が比較的小さかったとしても、所望方向に反射波を向けることが可能なリフレクタが望まれている。【0003】そのようなリフレクタとして、半波長程度の素子を周期的に並べた構造が存在するが、そのような構造は、かなり大型になってしまう。これに対して、半波長よりも小さな素子を多数並べたリフレクトアレイが近年注目されている。そのようなリフレクトアレイの一例は、マッシュルーム構造を有するリフレクトアレイである。【0004】マッシュルーム構造を用いたリフレクトアレイは、インダクタンスLとキャパシタンスCとを調整することで、共振周波数を調整し、これにより反射位相を制御して電波が反射する方向を制御する。共振周波数を調整する方法としては、ビアの位置をパッチの中心からずらす方法(これについては、非特許文献1参照。)、パッチのサイズを変える方法(これについては、非特許文献2参照。)、バラクタダイオードを用いて電圧を変更する方法(これについては、非特許文献3参照。)等がある。
【発明が解決しようとする課題】【0006】多数の素子を用いて所望の方向に電波を向けるリフレクトアレイを実現するには、所定の反射位相を与える素子を整列させる必要がある。理想的には、パッチサイズのような何らかの構造パラメータの所定のレンジに対して、反射位相が、-πラジアンから+πラジアンまでの全範囲(2πラジアン=360度)を網羅できることが望ましい。【0007】しかしながら、上記の何れの方法を用いたとしても、所与の周波数における反射位相は広範囲にわたるものではない、という問題がある。【0008】本発明の課題は、多数のマッシュルーム構造を有する装置に利用可能な構造であって、パッチサイズのような構造パラメータの所定のレンジに対して、反射位相のレンジが広い構造を提供することである。
【課題を解決するための手段】【0009】開示される発明の一形態は、複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、あるマッシュルーム構造における接地プレート及びパッチ間の距離は、別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる、装置である。
【発明の効果】【0010】開示される発明によれば、多数のマッシュルーム構造を有する装置に利用可能な構造であって、パッチサイズのような構造パラメータの所定のレンジに対して、反射位相のレンジが広い構造を提供することができる。
【発明を実施するための形態】【0012】以下の観点から、本発明を説明する。【0013】1.概要2.第1構造2.1マッシュルーム構造2.2リフレクトアレイ2.2.1反射角45度のリフレクトアレイ2.2.2反射角70度のリフレクトアレイ2.3第1パッチ及び第2パッチの相互関係2.4より一般的な多層マッシュルーム構造3.第2構造4.第3構造5.変形例5.1パッチ配列5.2垂直制御5.3第1構造を利用した場合(反射角45度)5.4第1構造を利用した場合(反射角70度)5.5第2構造を利用した場合(反射角45度)5.6改良された第2構造による垂直制御5.7ビアなし構造6.製造方法7.組み合わせ構造7.1組み合わせ方7.2第2構造と第3構造の組み合わせ7.3水平制御45度(その1)7.4水平制御45度(その2)7.5垂直制御45度7.6改良された第2構造と第3構造の組み合わせ【実施例1】【0014】<1.概要>リフレクトアレイの反射位相は、共振周波数において0になり、共振周波数は等価回路におけるインダクタンスL及びキャパシタンスCにより調整できる。したがって、所与の周波数における反射位相は、インダクタンスL及び/又はキャパシタンスCを調整することで制御できる。後述の実施例による第1構造は、キャパシタンスに着目している。【0015】第1構造によるリフレクトアレイは、1つの地板(接地プレート)と、該地板に配列された複数のマッシュルーム構造と、該マッシュルーム構造の上に配列された無給電アレイによって形成される。無給電アレイの働きにより、マッシュルーム構造を近似する並列共振モデルのキャパシタンスの値を例えば2倍にすることができる。すなわち、隣接するマッシュルーム構造間のギャップ(第1パッチ同士の隙間)によるキャパシタンスに加えて、第2パッチ同士の隙間に生じるキャパシタンスにより、全体のキャパシタンスを増やすことができる。キャパシタンスは、隣接する第1パッチ同士の隙間及び/又は隣接する第2パッチ同士の隙間のサイズを変えることにより制御できる。したがって、第1及び第2パッチのサイズ(すなわち、ギャップのサイズ)を変化させることで、キャパシタンスを制御できる範囲が広くなり、ひいては反射位相が変化する範囲を広くすることができる。【0016】後述の実施例による第2構造は、インダクタンスに着目している。マッシュルーム構造のインダクタンスLは、接地プレートからパッチまでの距離(ビアホールの長さ)tに近似的に比例する。したがって、接地プレート及びパッチ間の距離が異なるマッシュルーム構造は、反射位相に対しても異なる動作を行う。接地プレート及びパッチ間の距離tが異なるマッシュルームを組み合わせることで、ある距離又は厚みの場合には実現できなかった反射位相を実現できるようになる。【0017】後述の実施例による第3構造は、第1構造と同様にキャパシタンスに着目しているが、第1構造とは異なり、複数のパッチが並列には配置されていない。その代わり、より大きなキャパシタンスを得るため、隣接するマッシュルーム構造のパッチ同士は、同一平面内で隙間を空けるだけでなく、互いに異なる平面に存在することが許容される(距離を隔てて重なることが許容される)。これにより、製造限界等に起因して実現できなかったキャパシタンスを達成できるようになり、ひいては反射位相のレンジを拡大できる。【0018】<2.第1構造><<2.1マッシュルーム構造>>図2Aは、本実施例において使用可能なマッシュルーム構造を示す。図2Aには2つのマッシュルーム構造が示されている。このようなマッシュルーム構造の素子を多数並べることで、リフレクトアレイを形成することができる。ただし、本発明はリフレクトアレイに限定されず、アンテナやフィルタ等のような他の用途にも使用可能である。【0019】図2Aには、接地プレート21、ビアホール22、第1パッチ23及び第2パッチ24が示されている。【0020】接地プレート21は、多数のマッシュルーム構造に対して共通の電位を供給する導体である。図2AにおけるΔx及びΔyは、隣接するマッシュルーム構造におけるビアホール間のx軸方向の間隔及びy軸方向の間隔に等しい。Δx及びΔyは、マッシュルーム構造1つ分に対応する接地プレート21のサイズを表す。一般に、接地プレート21は、多数のマッシュルーム構造が並んだアレイと同程度に大きい。【0021】ビアホール22は、接地プレート21と第1パッチ23とを電気的に短絡するために設けられる。第1パッチ23は、x軸方向にWxの長さを有し、y軸方向にWyの長さを有する。第1パッチ23は、接地プレート21に対して平行に距離tを隔てて設けられ、ビアホール22を介して接地プレート21に短絡される。【0022】第2パッチ24も接地プレート21に対して平行に設けられるが、第1パッチ23よりもさらに距離を隔てて設けられる。第1パッチ23は接地プレート21に電気的に結合されている。しかしながら、第2パッチ24は接地プレート21に電気的には接続されていない無給電素子である。左側の第1パッチ23及び右側の第1パッチ23は容量結合している。同様に、左側の第2パッチ24及び右側の第2パッチ24も容量結合している。さらに、並列的に配置された第1パッチ23及び第2パッチ24も容量結合している。後述するように、第2パッチ24は、第1パッチ23と接地プレート21との間に設けられてもよい。【0023】一例として、第1パッチ23は、接地プレート21から1.6mm隔てて設けられ、第1パッチ23及び第2パッチ24の間には、誘電率が4.4であり、厚みが0.8mmであり、tanδが0.018の誘電体層が設けられている。【0024】なお、図示の例においてパッチは、第1及び第2の2つしか示されていないが、3つ以上のパッチが用意されてもよい。例えば、第2パッチ24に対してさらに距離を隔てた無給電素子である第3パッチが用意されてもよい。【0025】図3は、図2Aに示したマッシュルーム構造を二次元的に並べた場合の概略平面図を示す。このように、マッシュルーム構造を一定の規則にしたがって多数並べることで、例えばリフレクトアレイを形成することができる。リフレクトアレイの場合、紙面に垂直な方向(z軸)から電波が到来し、xz面内でz軸に対して角度αを有する方向に電波が反射する。【0026】図4は、図3の個々のマッシュルーム構造の配置を説明するための図を示す。線pに沿って一列に並んだ4つの第1パッチ23と、その列に隣接して線qに沿って並んだ4つの第1パッチ23とが右側に示されている。左側は、第1パッチ23上に距離を隔てて設けられる第2パッチ24を示す。パッチの数は任意である。図2A、図3、図4に示す例において、第1パッチ23及び第2パッチ24は、同じサイズを有するが、このことは本発明に必須でなく、異なるサイズが使用されてもよい。しかしながら、マッシュルーム構造の容量を約2倍にすること等の観点からは、第1パッチ23及び第2パッチ24は、同じサイズであることが望ましい。【0027】本実施例では、線pに沿っているマッシュルーム構造の第1パッチ23と、別の線qに沿っているマッシュルーム構造の第1パッチ23との間の隙間(ギャップ)が、線p及びqに沿って徐々に変化している。【0028】図3及び図4に示す例の場合、紙面の上下方向(例えば、図4における線p)に沿って並んでいる或る素子(マッシュルーム構造)による反射波と、その線に沿ってその素子に隣接する素子による反射波は、互いに所定の量だけ位相がずれている。そのような性質を有する素子を多数並べることで、リフレクトアレイを形成することができる。【0029】図5は、x軸方向に並んだマッシュルーム構造M1~MNに対して、z軸∞方向から電波が到来し、反射される様子を模式的に示す。反射波は、入射方向(z軸方向)に対して角度αをなすとする。ビアホール間の間隔がΔxであったとすると、隣接する素子による反射波の位相差Δφ及び反射角αは次式を満たす。【0030】Δφ=k・Δx・sinαα=sin-1[(λΔφ)/(2πΔx)]ただし、kは波数であり、2π/λに等しい。λは電波の波長である。波長に比べて十分大きなリフレクトアレイを構成するには、N個のマッシュルーム構造M1~MNの全体による反射位相差N・Δφが、360度(2πラジアン)になるように、隣接する素子同士の位相差Δφを設定したものを繰り返し並べるとよい。例えば、N=20の場合、Δφ=360/20=18度である。したがって、隣接する素子との反射位相差が18度であるように素子を設計し、それらを20個並べたものを繰り返し並べることにより、角度αの方向に電波を反射するリフレクトアレイを実現することができる。【0031】図6は、図2A、図3、図4に示すマッシュルーム構造の等価回路を示す。図6左側に示されるように、線pに沿って並ぶマッシュルーム構造の第1パッチ23と、線qに沿って並ぶマッシュルーム構造の第1パッチ23との間のギャップに起因して、キャパシタンスCが存在する。同様に、マッシュルーム構造の第2パッチ24に起因して、キャパシタンスC'が存在する。さらに、線pに沿って並ぶマッシュルーム構造のビアホール22、及び線qに沿って並ぶマッシュルーム構造のビアホール22に起因して、インダクタンスLが存在する。したがって、隣接するマッシュルーム構造の等価回路は、図6右側に示されるような回路になる。すなわち、等価回路において、インダクタンスLと、キャパシタンスCと、別のキャパシタンスC'とは、並列に接続されている。キャパシタンスC、インダクタンスL、表面インピーダンスZs及び反射係数Γは、次のように表すことができる。【0032】【数式1】数式(1)において、ε0は真空の誘電率を表し、εrは第1パッチ同士の間に介在する材料の比誘電率を表す。Δyはy軸方向のビアホール間隔を表す。Wyはy軸方向の第1パッチの長さを表す。したがって、Δy-Wyは、隣接する第1パッチ同士の隙間(ギャップ)の大きさを表す。このため、arccosh関数の引数は、ビアホール間隔Δyとギャップとの比率を表す。数式(2)において、μはビアホール同士の間に介在する材料の透磁率を表し、tは第1パッチ23の高さ(接地プレート21から第1パッチ23までの距離)を表す。数式(3)において、ωは角周波数を表し、jは虚数単位を表す。簡明化のためC'=Cとしているが、このことは必須ではない。数式(4)において、ηは自由空間インピーダンスを表し、Φは位相差を表す。【0033】図7は、マッシュルーム構造の第1パッチのサイズWyと反射位相との関係を示す。ただし、この場合のマッシュルーム構造は、図2Aの構造とは異なり、第2パッチ24が設けられていない従来のマッシュルーム構造である。すなわち、接地プレートに対して第1パッチが距離tを隔てて設けられているだけの構造である。図7には、3種類の距離tの各々について、第1パッチのサイズWyと反射位相との関係を表すグラフが示されている。t16は、距離tが1.6mmである場合のグラフを表す。t24は、距離tが2.4mmである場合のグラフを表す。t32は、距離tが3.2mmである場合のグラフを表す。なお、隣接するビアホール同士の間隔Δyは2.4mmである。【0034】グラフt16の場合、第1パッチのサイズWyが0.5mmから1.9mmに変化する場合、反射位相は140度から120度に緩慢にしか減少していないが、サイズWyが1.9mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、0度程度になる。【0035】グラフt24の場合も同様に、第1パッチのサイズWyが0.5mmから1.6mmに変化する場合、反射位相は120度から90に緩慢にしか減少していないが、サイズWyが1.6mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、-90度程度に達する。【0036】グラフt32の場合、第1パッチのサイズWyが0.5mmから2.3mmに変化する場合、反射位相は100度から-120度に徐々に減少している。【0037】このように、従来構造の場合、第1パッチWyを0.5mmから2.3mmまで変化させたとしても、反射位相の調整可能な範囲は、最も大きなt32の場合でさえ、+100度~-120度の高々220度程度でしかない。【0038】図8は、図2Aに示されるようなマッシュルーム構造の第1パッチのサイズWyと反射位相との関係を示す。接地プレート21に対して第1パッチ23が距離tを隔てて設けられ、さらに第2パッチ24も設けられている。図8には、3種類の距離tの各々について、第1パッチのサイズWyと反射位相との関係を表すグラフが示されている。t08は、距離tが0.8mmである場合のグラフを表す。t16は、距離tが1.6mmである場合のグラフを表す。t24は、距離tが2.4mmである場合のグラフを表す。なお、隣接するビアホール同士の間隔Δyは2.4mmである。【0039】グラフt08の場合、第1パッチのサイズWyが0.5mmから1.8mmに変化する場合、反射位相は160度から150度に僅かにしか減少していないが、サイズWyが1.8mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、10度程度になる。【0040】グラフt16の場合、第1パッチのサイズWyが0.5mmから1.7mmに変化する場合、反射位相は135度から60に緩慢にしか減少していないが、サイズWyが1.7mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、-150度程度に達する。【0041】グラフt24の場合、第1パッチのサイズWyが0.5mmから2.3mmに変化する場合、反射位相は100度から-150に徐々に減少している。【0042】このように、本実施例の第1構造において、第1パッチWyを0.5mmから2.3mmまで変化させた場合、反射位相の調整可能な範囲は、最も大きなt16の場合、+135度~-150度のように285度にも及ぶ。本実施例によれば、図2Aに示されるように第1パッチ23に加えて第2パッチ24を設けることで、反射位相の調整可能な範囲を拡大することができる。【0043】<<2.2リフレクトアレイ>>図5を参照しながら説明したように、隣接する素子との反射位相差が所定値であるように素子を設計し、それらを並べることで、角度αの方向に電波を反射するリフレクトアレイを実現することができる。例えば、18度ずつ反射位相差が異なる20個の素子を並べることで、リフレクトアレイが形成されてもよい。このようなリフレクトアレイを形成する場合、図7や図8のようなパッチサイズと反射位相差の相互関係に基づいて、素子のサイズが決定される。【0044】従来構造でリフレクトアレイを設計する場合、図7のグラフt32を参照しながら設計が行われる。例えば、反射位相0度の素子のパッチサイズWyは、1.9mmであり、反射位相+18度の素子のパッチサイズWyは1.8mmであり、反射位相+36度の素子のパッチサイズWyは1.7mmであること等が判明する。第1パッチの高さtとして、3.2mmの場合を選んだのは、それが最も広い反射位相のレンジを示したからである。このようにして割り出されたサイズのパッチを並べることで、リフレクトアレイを実現することができる。この場合、第1パッチWyを0.5mmから2.3mmまで変化させたとしても、位相差の最大値は高々220度である。位相差の最大値は理想的には360度(=2πラジアン)である。その結果、所望の位相差を実現する素子全てをリフレクトアレイに設けることはできず、リフレクトアレイの特性は、理想的なものから幾分逸脱したものになる。【0045】本実施例の第1構造によりリフレクトアレイを設計する場合、図8のグラフt16を参照しながら設計が行われる。例えば、反射位相0度の素子のパッチサイズWyは、1.9mmであり、反射位相+18度の素子のパッチサイズWyは1.75mmであり、反射位相+36度の素子のパッチサイズWyは1.7mmであること等が判明する。第1パッチの高さtとして、1.6mmの場合を選んだのは、それが最も広い反射位相のレンジを示したからである。このようにして割り出されたパッチサイズのパッチを並べることで、リフレクトアレイを実現することができる。この場合、第1パッチWyを0.5mmから2.3mmまで変化させた場合、位相差の最大値は285度にも及び、理想的な360度(=2πラジアン)に近づく。その結果、所望の位相差を実現する素子を、より多くリフレクトアレイに設けることができ、リフレクトアレイの特性は、理想的なものに近づく。後述するように、所定の条件の下で45度の方向に反射するリフレクトアレイを実現する際、反射位相差が18度ずつ異なる素子が、理想的には20個必要になる。本実施例の場合、実際にこのうち14個(20個の7割)も作成できた。これに対して従来構造の場合、位相差の最大値が高々220度であるため、理論的には220度÷18度≒12.2から最大でも12個しか作成することができず、実用的に作成できるのは4個程度にとどまる。【0046】<<2.2.1反射角45度のリフレクトアレイ>>図9は、第1構造を利用したリフレクトアレイの部分断面図を示す。リフレクトアレイは、L1層、L2層及びL3層の3つの導電層と、各導電層間の誘電体層とを有する。一例として、導電層は例えば銅を含む材料で構成されている。また、誘電体層は、比誘電率が4.4であり、tanδが0.018である材料で構成されている。L1層及びL2層間には0.8mmの厚さの誘電体層が介在している。L2層及びL3層間には1.6mmの厚さの誘電体層が介在している。L1層は図2Aにおける第2パッチ24に対応する。L2層は図2Aにおける第1パッチ23に対応する。L3層は接地プレート21に対応する。したがって、L2層及びL3層間の貫通孔はビアホール22に対応する。【0047】図10は、L1層、L2層及びL3層の平面図を概略的に示す。図2Aに示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。図示の例の場合、y軸方向に伸びる7列の帯の1つは、14×130個の素子を含んでいる。素子間の間隔は2.4mmである。図示のリフレクトアレイは、電波を入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、y軸方向に伸びる1つの帯(列)は、x軸方向の両端で反射位相が2π変化するように設計されている。理想的には20個の素子により、反射位相が2π変化することが望ましいが、製造上の制約等の理由により14個の素子が使用されている。このため、x軸方向の1周期48mm(=2.4×20)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図10及び図11において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。帯又は列を複数個並べてサイズを適宜調整できることは、水平方向(x軸方向)に電波を反射させる用途だけでなく、後述するような垂直方向に電波を反射させる用途にも応用可能である。第1構造だけでなく、後述の第2構造、第3構造さらには組み合わせ構造にも応用可能である。【0048】図11は図10のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行に関し、x軸方向に14個の素子が並んでいる。A部はL2層の一部なので、14個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これらx軸方向に並んでいる14個の素子の各々は、隣接する素子と所定の位相差(18度=360度/20)を有するように設計されている。【0049】図12は、それら14個の素子の寸法(パッチサイズWy)と反射位相の具体的な数値例を示す。図中、「設計位相」は、理想的な設計値を示し、「実際の位相」は、実現できた実際の位相を示す。図13は、FR4基板を用いて作成されたマッシュルーム構造の素子に関する具体的な数値例を示す。図12及び図13に示される数値例は、電界が図10のy軸方向を向いて、Z軸方向から入射する電波を、偏波面に対して横方向、すなわち図10のx軸の方向に、45度の角度で反射させる、水平制御の観点から決定されている。【0050】図14は、従来構造及び本実施例の第1構造によるリフレクトアレイ(グラフA、B)各々に対する特性比較例(水平制御の遠方散乱界の比較例)を示す。いずれのリフレクトアレイも、電波の到来方向に対して、水平-45度の方向に電波を反射するように設計されている。この場合において、電波の周波数は8.8GHz(=c/λ)であり、隣接する素子同士の反射位相差Δφは18度(=360/20)であり、素子間の寸法Δxは2.4mmであるとする。この場合、反射角αは、図5を参照しながら説明したように、α=arcsin[(λΔφ)/(2πΔx)]=arcsin(λ8.8GHz・18度/(2π・2.4mm))≒45.21度となる。このため、グラフAもBも-45度において大きなピークを示している。-45度以外の方向に反射する電波は、不要反射波である。グラフAにより示されているように、従来構造の場合、-45度だけでなく、0度、+45度、60度等の方向にも大きな反射が生じている。さらに、+70度ないし+150度にかけて比較的高いレベルの反射も観測されている。これに対して、グラフBに示されるように、本実施例の第1構造の場合、0度、+45度、+60度、+70度ないし+150度等における不要反射波は、かなり抑制されていることが分かる。【0051】図15は、図14のグラフB(本実施例の場合のグラフ)に関する遠方放射界を極座標形式で示す。【0052】図16は、本実施例の第1構造を使用したリフレクトアレイによる反射波の等位相面を示す。x軸に沿って14個の素子(第1構造のマッシュルーム構造)が並んでおり、z軸方向から電波が到来し、z軸に対して、ZX面上にθ=-45度の方向に電波が反射している。等位相面の法線は、z軸に対して-45度の方向を向いており、この方向に反射波が適切に進んでいることが分かる。【0053】<<2.2.2反射角70度のリフレクトアレイ>>図10~図16(図13を除く)に示した数値例は、入射方向に対して水平45度の方向に反射させる観点から選ばれていた。本実施例は、45度に限定されず、任意の方向に電波を反射するリフレクトアレイを形成できる。【0054】図17は、入射方向に対して水平70度の方向に反射させるリフレクトアレイにおける導電層L1層~L3層を示す。L1層、L2層及びL3層の層構造は、図9に示したものと同じである。この例の場合、y軸方向に伸びる9列の帯の1つは、11×128個の素子を含んでいる。素子間の間隔は2.4mmである。隣接する素子同士の反射位相差は、24度であるように設計されている。すなわち、y軸方向に伸びる1つの帯(列)は、x軸方向における両端で反射位相が2π変化するように設計されている。理想的には15個の素子により、反射位相が2π変化することが望ましいが、設計上の制約などの理由により11個の素子が使用されている。このため、x軸方向の1周期36mm(=2.4×15)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図17及び図18において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0055】図18は図17のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行に関し、x軸方向に11個の素子が並んでいる。11個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これらx軸方向に並ぶ11個の素子の各々は、隣接する素子と所定の位相差(24度=360度/15)を有する。【0056】図19は、それら11個の素子の寸法(パッチサイズWy)と反射位相の具体的な数値例を示す。図中、「設計位相」は、理想的な設計値を示し、「使用パッチの位相」は、実現できた実際の位相を示す。なお、この設計例においても図13に示される数値が使用されている(ただし、x軸方向の1サイクル長は36mmである。)。【0057】<<2.3第1及び第2パッチの相互関係>>ところで、図2Aでは説明の簡明化のために第1パッチ23と、無給電素子の第2パッチ24のx方向及びy方向の寸法は同じであることを前提とした。しかしながら、このことは本実施例に必須ではなく、第1パッチ23の寸法と、無給電素子の第2パッチ24の寸法は異なってもよい。【0058】図20は、図2Aと同様に、第1パッチ23の上に第2パッチが設けられているマッシュルーム構造を、具体的な数値例とともに示す。図20には、第1及び第2パッチ間の寸法を変化させた場合、並びに第2パッチの面積を変化させた場合に、反射位相を従来よりもどの程度拡大できたかを示す表も示されている。表において、第1及び第2パッチ間の間隔が、0.4mmの場合と0.8mmの場合とが比較されている。また、第2パッチが第1パッチと同じサイズの場合(サイズ1倍)と、第2パッチが第1パッチを95%に縮小したものである場合(サイズ0.95倍)とが比較されている。表に示されているように、間隔を0.8mmとし、第2パッチを縮小しなかった場合(サイズ1倍)に、反射位相の拡大効果は最も大きくなった(+39.3度)。なお、反射位相の拡大効果は、基準となるマッシュルーム構造に対するものである。基準マッシュルーム構造とは、パッチが多層化されていない従来構造である図2Aでは第1パッチ23よりも第2パッチ24の方が、接地プレート21から遠く隔たっていたが、このことも本実施例に必須ではない。第1パッチ23よりも第2パッチ24の方が接地プレート21に近くてもよい。【0059】図21は、図2Aと同様に、第1パッチ23よりも第2パッチ24の方が、接地プレート21から遠く隔たっている場合の構造と、その構造に対するシミュレーション結果を示す。第1及び第2パッチの位置関係が逆の場合については、図22を参照しながら説明される。図21のシミュレーション結果は、パッチサイズWyが1.0mm、1.6mm、2.3mmである場合の各々について、基準マッシュルーム構造による反射位相と、本実施例の多層マッシュルーム構造による反射位相との比較例を示す。基準マッシュルーム構造の場合、パッチサイズWyが2.3mmの場合に、約167.4度の範囲にわたって反射位相を変えることができる。これに対して、本実施例による多層マッシュルーム構造の場合、パッチサイズWyが1.6mmの場合に、約179.7度の範囲にわたって反射位相を変えることができ、反射位相のレンジを約12.3度拡大できている。図21においてDSPAGで示される値(パッチの高さ又はビアの高さ)を3.2mmとし、第1及び第2パッチ間の距離Dsb-2の値を0.4mmとした場合において、無給電素子の第2パッチを第1パッチと同じサイズにした場合、ギャップを介して隣接する第1パッチ同士の間と、第1及び第2パッチ間との双方でキャパシタンスを増やす効果が認められた。これに対して、無給電素子の第2パッチを第1パッチの0.5倍のサイズにした場合、第1及び第2パッチ間でのみキャパシタンスを増やす効果が認められた。【0060】図22は、図2Aとは異なり、第1パッチ23よりも第2パッチ24の方が、接地プレート21に近い場合の構造と、その構造に対するシミュレーション結果を示す。図において、ビアホールが第2パッチを貫通しているが、電気的な接続はされておらず、給電はされていない。シミュレーション結果は、パッチサイズWyが1.0mm、1.6mm、2.3mmである場合の各々について、基準マッシュルーム構造による反射位相と、本実施例の多層マッシュルーム構造による反射位相との比較例を示す。このような構造で図示の寸法の場合、基準マッシュルーム構造による反射位相のレンジの方が、多層マッシュルーム構造の場合よりも広いことが分かった。図22においてDsとして示される値(第1及び第2パッチ間の距離)を0.4mmとし、第2パッチの面積が第1パッチの面積の何倍であるかを示す量SCを0.5とした場合、主に、第1及び第2パッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を3.2mmとし、SCを1.0とした場合、主に、ギャップを介して隣接するパッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を0.4mmとし、SCを1.0とした場合、ギャップを介して隣接する第1パッチ間と、第1及び第2パッチ間との双方でキャパシタンスを増やす効果が認められた。【0061】図23も、図2Aとは異なり、第1パッチ23よりも第2パッチ24の方が、接地プレート21に近い場合の構造と、その構造に対するシミュレーション結果を示す。シミュレーション結果は、パッチサイズWyが1.0mm、1.6mm、2.3mmである場合の各々について、基準マッシュルーム構造による反射位相と、本実施例の多層マッシュルーム構造による反射位相との比較例示す。基準マッシュルーム構造の場合、パッチサイズWyが2.3mmの場合に、約167.4度の範囲にわたって反射位相を変えることができる。これに対して、本実施例による多層マッシュルーム構造の場合、パッチサイズWyが1.6mmの場合に、約178.6度の範囲にわたって反射位相を変えることができ、反射位相のレンジを約11.2度拡大できた。図23においてDsとして示される値(第1及び第2パッチ間の距離)を0.4mmとし、第2パッチの面積が第1パッチの面積の何倍であるかを示す量SCを0.5とした場合、主に、第1及び第2パッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を3.2mmとし、SCを1.0とした場合、主に、ギャップを介して隣接するパッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を0.4mmとし、SCを1.0とした場合、ギャップを介して隣接するパッチ間と、第1及び第2パッチ間との双方でキャパシタンスを増やす効果が認められた。【0062】<2.4より一般的な多層マッシュルーム構造>図2A等に示されているマッシュルーム構造のパッチは、第1及び第2の2つしか備えていないが、上述したように、このことは本発明に必須ではない。接地プレート上に3つ以上のパッチが多層化されていてもよい。【0063】図2Bは、接地プレート上にn個のパッチL1、L2、L3、...Lnが並列的に多層化されているマッシュルーム構造を示す。最下位の層L0は接地プレートに対応する。図2Bに示されている構造は、図2Aに示されているマッシュルーム構造の代わりに使用可能である。後述する多の構造におけるマッシュルーム構造として使用されてもよい。図示の例では、各パッチのx軸方向及びy軸方向の寸法は、それぞれWx及びWyであるとして揃っているが、このことも必須ではない。適切な如何なるサイズが使用されてもよい。また、多層化されているパッチ間の間隔t、t1、t2、...も一律に揃っている必要はない。説明の便宜上、接地プレート上のパッチL1~Lnは全て同じサイズWx及びWyを有し、多層化されているパッチ間の間隔は互いに等しいものとする。したがって、同一平面内で隣接するパッチ同士の隙間(ギャップ)は、どの層においても等しい。【0064】図2Cは図2Bに示されるマッシュルーム構造の概略構造(左)及び等価回路図(右)を示す。同一平面内で互いにギャップを隔てて隣接するパッチによりキャパシタンスが生じる。この点は図2Aの構造と同じであり、そのようなキャパシタンスが、多層化されている層毎に得られる。図2Bの構造の場合、L1~Lnのn個の平面すなわちn個の層において、キャパシタンスが層毎に発生する。このため、等価回路は、図2C右側に示すような回路図になる。この場合、表面インピーダンスZsは、(jωL)/(1-nω2LC)として、近似的に取り扱うことができる。【0065】図2Dは、マッシュルーム構造のパッチ数(レイヤ数)が異なる様々な構造各々について、パッチサイズWy及び反射位相の関係をシミュレーションした結果を示す。図中、「1-Layer」とあるのは、接地プレート上に1層のパッチしか存在しない従来構造に対するシミュレーション結果を示す。従来構造の場合、表面インピーダンスZsは、(jωL)/(1-ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に1層のパッチしか存在しない構造を、有限要素法でシミュレーションした場合の結果が、丸印でプロットされている。図中、「2-Layer」は、接地プレート上に2層のパッチが存在する図2Aの構造に対するシミュレーション結果を示す。上述したように、この場合、表面インピーダンスZsは、(jωL)/(1-2ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に2層のパッチが存在する構造を、有限要素法でシミュレーションした場合の結果が、四角印でプロットされている。【0066】「3-Layer」は、接地プレート上に3層のパッチが存在する図2Bの構造に対するシミュレーション結果を示す。この場合、表面インピーダンスZsは、(jωL)/(1-3ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に3層のパッチが存在する構造を、有限要素法でシミュレーションした場合の結果が、逆三角印でプロットされている。【0067】「4-Layer」は、接地プレート上に4層のパッチが存在する図2Bの構造に対するシミュレーション結果を示す。この場合、表面インピーダンスZsは、(jωL)/(1-4ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に4層のパッチが存在する構造を、有限要素法でシミュレーションした場合の結果が、三角印でプロットされている。【0068】各グラフを参照するに、Zs=(jωL)/(1-nω2LC)に基づく実線と、有限要素法による計算結果は、比較的一致していることがわかる。これは、マッシュルーム構造のパッチをn層に多層化することで、容量が近似的にn倍に増えることを意味する。したがって、マッシュルーム構造のパッチを多層化することで、容量を制御することができる。【0069】図示の例によれば、多層化する層数(レイヤ数)が増えた場合、パッチサイズが大きくなるにつれて、Zsの計算式と有限要素法のシミュレーション結果との間のずれが大きくなっている。これは、マッシュルーム構造のレイヤ数が増えるにつれて、マッシュルーム構造全体を1つの集中素子として取り扱うことが妥当でなくなることを示す。したがって、レイヤ数が多い場合、及びパッチサイズが大きくい場合、Zsの理論式(Zs=(jωL)/(1-nω2LC))よりも、有限要素法等による実際のシミュレーション結果に基づいて設計することが好ましい。【0070】<3.第2構造>上記の第1構造は、無給電素子のパッチを付加してパッチを多層化することで、キャパシタンスCを増やしていた。本実施例の第2構造は、キャパシタンスCではなくインダクタンスLに着目する。【0071】図24は、第2構造で使用可能なマッシュルーム構造を示す。図24には、接地プレート121、ビアホール122、パッチ123が示されている。【0072】接地プレート121は、多数のマッシュルーム構造に対して共通の電位を供給する導体である。Δx及びΔyは、隣接するマッシュルーム構造におけるビアホール間のx軸方向の間隔及びy軸方向の間隔を示す。Δx及びΔyは、マッシュルーム構造1つ分に対応する接地プレート121のサイズを表す。一般に、接地プレート121は、多数のマッシュルーム構造が並んだアレイと同程度に大きい。【0073】ビアホール122は、接地プレート121とパッチ123とを電気的に短絡するために設けられる。パッチ123は、x軸方向にWxの長さを有し、y軸方向にWyの長さを有する。パッチ123は、接地プレート121に対して平行に距離tを隔てて設けられ、ビアホール122を介して接地プレート121に短絡される。一例として、パッチ123は、接地プレート121から1.6mm隔てて設けられている。【0074】図25は、x軸方向に並んだマッシュルーム構造M1~MNに対して、z軸∞方向から電波が到来し、反射される様子を模式的に示す。反射波は、入射方向(z軸方向)に対して角度αをなすとする。ビアホール間の間隔がΔxであったとすると、隣接するマッシュルーム構造(素子)による反射波の位相差Δφ及び反射角αは次式を満たす。【0075】Δφ=k・Δx・sinαα=arcsin[(λΔφ)/(2πΔx)]ただし、kは波数であり、2π/λに等しい。λは電波の波長である。N個のマッシュルーム構造M1~MNの全体による反射位相差N・Δφが、360度(2πラジアン)になるように、隣接する素子同士の位相差Δφが設定される。例えば、N=20の場合、Δφ=360/20=18度である。したがって、隣接する素子との反射位相差が18度であるように素子を設計し、それらを20個並べることで、角度αの方向に電波を反射するリフレクトアレイを実現することができる。【0076】図26は、図24に示すマッシュルーム構造の等価回路を示す。図26左側に示されるように、あるマッシュルーム構造のパッチ123と、y軸方向に隣接するマッシュルーム構造のパッチ123との間のギャップに起因して、キャパシタンスCが存在する。さらに、あるマッシュルーム構造のビアホール122及びy軸方向に隣接するマッシュルーム構造のビアホール122に起因して、インダクタンスLが存在する。したがって、隣接するマッシュルーム構造の等価回路は、図26右側に示されるような回路になる。すなわち、等価回路において、インダクタンスLとキャパシタンスCは、並列に接続されている。キャパシタンスC、インダクタンスL、表面インピーダンスZs及び反射係数Γは、次のように表すことができる。【0077】【数式2】数式(5)において、ε0は真空の誘電率を表し、εrはパッチ同士の間に介在する材料の比誘電率を表す。Δyはビアホール間の間隔を表す。Wyはパッチのサイズを表す。したがって、Δy-Wyは、ギャップの大きさを表す。数式(6)において、μはビアホール同士の間に介在する材料の透磁率を表し、tはビアホール122の高さ(接地プレート121からパッチ123までの距離)を表す。数式(7)において、ωは角周波数を表し、jは虚数単位を表す。数式(8)において、ηは自由空間インピーダンスを表し、Φは位相差を表す。【0078】上記の数式(5)を参照するに、インダクタンスLは、パッチ123の高さ(接地プレート121及びパッチ123間の距離)に比例している。したがって、図24に示されるようなマッシュルーム構造において、パッチ123の高さtを変えることで、インダクタンスL、すなわち共振周波数を変えることができる。【0079】図27は、図24に示すようなマッシュルーム構造のパッチのサイズWyと反射位相との関係を示す。図中、実線は理論値を示し、丸印でプロットされているものは有限要素法解析によるシミュレーション値を示す。図27には、4種類の高さtの各々について、パッチのサイズWyと反射位相との関係を表すグラフが示されている。t02は距離tが0.2mmである場合のグラフを表す。t08は距離tが0.8mmである場合のグラフを表す。t16は距離tが1.6mmである場合のグラフを表す。t24は距離tが2.4mmである場合のグラフを表す。ビアホール間隔Δyは、一例として2.4mmである。【0080】グラフt02の場合、パッチのサイズWyが0.5mmから2.3mmまで変化しても、反射位相は180度のままである。【0081】グラフt08の場合も、パッチのサイズWyが0.5mmから2.3mmまで変化しても、反射位相は162度のままである。【0082】グラフt16の場合、パッチのサイズWyが0.5mmから2.1mmに変化する場合、反射位相は144度から126度に緩慢にしか減少していないが、サイズWyが2.1mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、シミュレーション値(丸印)で54度及び理論値(実線)で0度に達する。【0083】グラフt24の場合、パッチのサイズWyが0.5mmから1.7mmに変化する場合、反射位相は117度から90度に緩慢にしか減少していないが、サイズWyが1.7mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、-90度に達する。【0084】このように、マッシュルーム構造におけるパッチの高さtが異なる場合、パッチのサイズを変えることで実現できる反射位相の範囲も変わる。したがって、マッシュルーム構造の素子を並べてリフレクトアレイを実現する場合、パッチ高さtが異なる構造を組み合わせることで、反射位相が適切に変化するマッシュルーム構造の列を実現でき、反射特性が優れたリフレクトアレイを実現することができる。【0085】本実施例の第2構造によるリフレクトアレイを設計する場合、図27のグラフt02、t08、t16、t24を参照し、所望の反射位相を実現するパッチサイズを決定する。例えば、t=2.4mmのグラフt24においてパッチサイズWyを2.2mmにすることで、反射位相0度の素子を実現し、t=2.4mmのグラフt24においてパッチサイズWyを2mmにすることで反射位相72度を実現し、t=1.6mmにおいてパッチサイズWyを1mmにすることで、反射位相144度を実現することができる。このようにして割り出されたパッチサイズのパッチを並べることで、リフレクトアレイを実現することができる。【0086】図28は、パッチ高さが異なるマッシュルーム構造が並んでいる様子を模試的に示す。図示の例では、パッチ高さとしてt1、t2及びt3の3種類がある。例えばt=t1のような特定のパッチ高さだけであった場合、反射位相が徐々に変化するマッシュルーム構造を十分な数だけ用意することができないかもしれない。しかしながら、t=t2及びt3のパッチ高さの構造も併用することで、設計の自由度が広がり、適切な反射位相の素子を実現しやすくなる。【0087】図28に示す例では、接地プレートからの高さが異なる複数のパッチが、同一平面に存在するように形成されている。しかしながら、このことは本発明に必須でなく、接地プレートからの高さが異なる複数のパッチは、同一平面に存在しなくてもよい。【0088】図29は、接地プレートからパッチまでの高さが異なる複数のマッシュルーム構造について、接地プレート121が共通に設けられている様子を示す。その代わり、全てのパッチ123が同一平面には存在していない。【0089】図30は、さらに別の例を示す。図28に示す例と同様に、接地プレートからの高さが異なる複数のパッチが、同一平面に存在するように形成されている。図28では接地プレートが多層に形成されていたのに対して、図30では、接地プレートは多層には形成されていない。言い換えれば、ある接地プレートの下側に、別の接地プレートが存在しないように、接地プレートが適宜除去されている。このような構造は、接地プレートに起因する不要な反射を抑制する観点から好ましい。【0090】<4.第3構造>上記の第1構造は、無給電パッチを付加して複数のパッチを互いに並列的に多層化することで、キャパシタンスCを増やしていた。本実施例の第3構造は、ギャップを規定するパッチ同士の位置関係を工夫することで、キャパシタンスCを増やす。第3構造においても図24に示されるようなマッシュルーム構造が使用されてよい。すなわち、接地プレート121に対して、距離tを隔ててパッチ123が設けられ、パッチ123はビアホール122を介して接地プレート121に短絡されている。隣接するマッシュルーム構造におけるビアホール間のx軸方向の間隔及びy軸方向の間隔は、それぞれΔx及びΔyである。パッチ123は、x軸方向にWxの長さを有し、y軸方向にWyの長さを有する。あるいは、第3構造において、図2Aや図2Bに示すようなマッシュルーム構造が使用されてもよい。その場合、パッチ123に加えて、第2パッチ24が設けられる。説明の簡明化のため、第3構造は、図24に示されるようなマッシュルーム構造を使用するものとする。【0091】図25を参照しながら説明したように、マッシュルーム構造の素子M1~MNをx軸方向に並べ、各素子による反射波の位相差が、ある関係を満たすようにすることで、反射波を所望の方向に向けることができる。【0092】図24に示すようなマッシュルーム構造の場合、等価回路は図26に示されるような回路であった。したがって、等価回路のキャパシタンスC、インダクタンスL、表面インピーダンスZs及び反射係数Γは、次のように表すことができる。【0093】【数式3】各数式における記号は第2構造において説明したとおりである。【0094】数式(5)を参照するに、Δy-Wyは、隣接するパッチ同士の隙間(ギャップ)の大きさを表す。したがって、arccosh関数の引数は、ビアホール間隔Δyと、ギャップとの比率を表す。【0095】図31は、図24に示されるようなマッシュルーム構造に対するキャパシタンスCと反射位相の関係を示すシミュレーション結果である。シミュレーションは、キャパシタンスとインダクタンスは独立に変化することを仮定して行われた。図示の例では、パッチ高さtの値が、0.4mm、0.8mm、1.2mm、1.6mm、2.4mm及び3.2mmである場合の各々について、キャパシタンスCと反射位相との関係のシミュレーション結果が示されている。図31から分かるように、+180度ないし-180度の全範囲にわたって反射位相を実現するには、キャパシタンスのレンジが広くなければならないことが分かる。【0096】上記の数式(5)によれば、マッシュルーム構造におけるキャパシタンスCは、ギャップ(Δy-Wy)が狭くなるにつれて、より大きな値になる。逆に言えば、キャパシタンスCを大きくするには、ギャップを狭くする必要がある。しかしながら、主に製造工程上の制約に起因して、非常に狭いギャップを高精度に製造することは容易ではない。例えば、0.1mm未満のギャップを高精度に製造することは容易でない。このため、このマッシュルーム構造を使用する従来技術の場合、大きなキャパシタンスの値を実現できない、という問題があった。【0097】図32は、本実施例の第3構造の概念図を示す。3つの平行な線p1~p3の各々に沿ってマッシュルーム構造が整列している。説明の便宜上、列数及びマッシュルーム構造数をそれぞれ3つにしているが、列数やマッシュルーム構造数が、実際にはさらに大きな値になることは当業者にとって明らかである。便宜上、線piに沿って整列しているパッチをpijと書くことにする。パッチp13及びp23は、最も広いギャップを隔てて隣接している。同様に、パッチp23及びp33も最も広いギャップを隔てて隣接している。このため、これらのパッチpi3(i=1~3)により形成されるキャパシタンスC3は、小さな値になる。パッチp12及びp22は、より狭いギャップを隔てて隣接している。同様に、パッチp22及びp32も狭いギャップを隔てて隣接している。このため、これらのパッチpi2(i=1~3)により形成されるキャパシタンスC2は、C3より大きな値になる。パッチpi1及びpi2(i=1~3)の各々は、同一平面内に設けられている。これに対して、パッチp11及びp21は、同一平面内ではなく、異なる平面内に位置し、距離を隔てて互いに一部重なっている。同様に、パッチp21及びp31も、同一平面内ではなく、異なる平面内に位置し、距離を隔てて互いに一部重なっている(パッチp11及びp31は同一平面内にある)。このため、これらのパッチpi1により形成されるキャパシタンスC1は、C2より大きな値になる。このように第3構造では、隣接する少なくとも一部のパッチ同士が距離を隔てて互いに重なることで、単に同一平面でギャップを形成していた場合よりも、大きなキャパシタンスを実現できるようにする。【0098】図33は、第3構造におけるパッチの位置関係を平面図(左側)及び断面図(右側)により示している。便宜上、7行3列の形式にパッチが並んでいるが、行数及び列数は任意である。従来の構造と同様に、第4行ないし第7行のパッチの場合、隣接する列のパッチ同士が同一平面内で隙間(ギャップ)を形成している。従来は、同一平面内におけるギャップを狭く形成する際の製造限界に起因して、例えば第4行ないし第7行のような位置関係のマッシュルーム構造のみを利用して、リフレクトアレイを形成せざるを得なかった。このため、さらに大きな容量に対応する反射位相が必要であったとしても、そのような反射位相をもたらすマッシュルーム構造を得ることはできなかった。例えば、図27において、パッチ長さWyは、2.3mmが上限になっている。パッチ同士の間隔Δyは、2.4mmであるので、パッチ長さWyが2.3mmの場合、ギャップは、Δy-Wy=0.1mmとなり、パッチ長さの上限は、実現可能なギャップの長さに対応する。【0099】これに対して、第1行ないし第3行のパッチの場合、隣接する列のパッチ同士は、同一平面にはない。図示の例の場合、第1行から第3行に属するパッチの内、第2列に属するパッチの高さは、第1列及び第3列に属するパッチの高さより高い。これにより、隣接する列のパッチ同士が、より大きな容量を形成することができる。隣接する列のパッチ同士は重なることが許容されるので、パッチ長さWyは、2Δy未満であれば、Δy以上でもよい。代替例として、第2列のパッチの高さが、第1及び第3列のパッチの高さより低くなっていてもよい。【0100】図27の右下側に示されているグラフOVは、重なりを許容することでパッチ長さWyを2.3mm以上に延長した場合のシミュレーション結果を示す。隣接するパッチに対して重なりを許容することで、従来の限界であった-90度を超えて、ほぼ-180度に達する反射位相を実現できることが分かる。このように第3構造によれば、達成可能な反射位相のレンジを拡大することができる。【0101】ところで、図32や図33に示されているように、隣接する列のパッチ同士の重なりを許容する場合、隣接するパッチの接地プレートからの距離(高さ)tは、厳密には同一でない。上記の数式(6)によれば、パッチの高さtは、インダクタンスLに影響する(L=μt)。したがって、あるパッチ高さtに関するパッチ長さWyと反射位相の関係を示すグラフ(例えば、t24)と、重なりを許容した場合のパッチ長さWyと反射位相の関係を示すグラフ(OV)は、厳密には連続的にならない。前提とするパッチ高さが厳密には異なり、それに応じて共振周波数が変わるからである。しかしながら、第3構造において、重なっているパッチ同士のパッチ高さの相違が、比較的小さい場合、図27に示されるように、グラフt24とグラフOVは、連続的になる。ただし、これらのグラフを連続的にすること(すなわち、隣接するパッチ高さの相違が無視できる程度に小さいこと)は、本実施例において必須ではない。グラフOVとして示されるグラフが、グラフt24から離れた位置にあったとしても、適切な反射位相が設計可能であればよいからである。【0102】<5.変形例><<5.1パッチ配列>>第1ないし第3構造における上記のパッチは、ビアが並んでいる線(図4のp、q、図33の列)に対して対称的に形成されていた。そして、y軸方向のパッチサイズWyをその線に沿って徐々に変えることで、広狭様々なギャップが形成されていた。しかしながら、このようなパッチの並べ方は本発明に必須ではなく、様々なパッチ配列が考えられる。【0103】例えば、図34Aに示すようにして、パッチ及びギャップが形成されてもよい。x軸方向にWxの長さを有するパッチp11、p12、p13、p14が、y軸方向に間隔Δyを隔てて並んでいる。第1のパッチp11は、y軸方向に2Wy1の長さを有する。第2のパッチp12は、y軸方向にWy1+Wy2の長さを有する。第3のパッチp13は、y軸方向にWy2+Wy3の長さを有する。第4のパッチp14は、y軸方向にWy3+Wy4の長さを有する。したがって、第1及び第2のパッチ間の隙間(ギャップ)は、Δy-2Wy1=gy1である。同様に、第2及び第3のパッチ間のギャップは、Δy-2Wy2=gy2である。第3及び第4のパッチ間のギャップは、Δy-2Wy3=gy3である。4つのパッチp11、p12、p13、p14は、それぞれ異なる寸法を有するが、パッチ同士の間の中心間距離は、全て等しい(Δy)。これらのパッチを用いてリフレクタアレイを作成する場合、図5及び図25において説明したように、隣接するパッチとの間で所定の位相差ΔΦを実現する必要がある。この位相差ΔΦは、電波の反射角α及びパッチの中心間距離Δyに対して次式を満たす必要がある。【0104】ΔΦ=k・Δy・sinαここで、kは波数を表す(k=2π/λ)。【0105】図35は、図34Aに示されるようなパッチ及びギャップを形成することで、リフレクトアレイを形成した場合の概念的な平面図を示す。図35に示されているパッチは、不図示のビアホールを介して接地プレートに接続されている。【0106】<<5.2垂直制御>>図3、図4、図11、図18及び図33の構造では、電界がy軸方向を向いてZ軸方向から入射する波は、電界の方向に対して横方向、すなわちx軸方向に反射する(水平制御)。これに対して、図34A、B及び図35の構造においては、電界がy軸方向を向いてZ軸方向から入射する波は、電界と同じ方向すなわちy軸方向に反射する(垂直制御)。言い換えれば、電波を反射させたい方向に沿って、素子同士の間の位相差を変化させることで(例えば、キャパシタンスC及び/又はインダクタンスLを変化させることで)、入射する電波を所望の方向に反射させることができる。説明の便宜上、z軸から入射した電波を、x軸方向に反射させる場合が水平制御と言及され、y軸方向に反射させる場合が垂直制御と言及されているが、水平及び垂直は便宜的な相対的な概念である。【0107】<<5.3第1構造を利用した場合(反射角45度)>>図36は、電波を反射するリフレクトアレイを形成する際、第1構造が使用されている様子を示す部分断面図を示す。図示の層構造は、図9において説明したものと同じである。ただし、図34A、B及び図35に示されるようなパッチ及びギャップの形成法が使用されている点が異なる。リフレクトアレイは、L1層、L2層及びL3層の3つの導電層と、各導電層間の誘電体層とを有する。一例として、導電層は例えば銅を含む材料で構成されている。また、誘電体層は、比誘電率が4.4であり、tanδが0.018である材料で構成されている。L1層及びL2層間には0.8mmの厚さの誘電体層が介在している。L2層及びL3層間には1.6mmの厚さの誘電体層が介在している。L1層は図2Aにおける第2パッチ24に対応する。L2層は図2Aにおける第1パッチ23に対応する。L3層は接地プレート21に対応する。したがって、L2層及びL3層間の貫通孔はビアホール22に対応する。【0108】図37は、L1層、L2層及びL3層の平面図を概略的に示す。図2Aに示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。この点は、図10と同じである。図示の例の場合、x軸方向に伸びる7列の帯の1つは、15×131個の素子を含んでいる。素子間の間隔は2.4mmである。図示のリフレクトアレイは、電界がy軸方向を向いてZ軸から入射する波をy軸方向、すなわち垂直方向に入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、x軸方向に伸びる1つの帯(列)は、帯のy軸方向における両端で反射位相が2π変化するように設計されている。理想的には20個の素子により、反射位相が2π変化することが望ましいが、製造上の制約等の理由により15個の素子が使用されている。このため、y軸方向の1周期48mm(=2.4×20)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図37及び図38において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0109】図38は図37のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの列(y軸方向)に関し、15個の素子が並んでいる。15個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これら15個の素子の各々は、隣接する素子と所定の位相差(18度=360度/20)を有する。【0110】図39は、y軸方向に用意する素子数を12個にした場合の数値例を示す。図39に示す数値例も、電波の入射方向に対して45度の角度で反射波を形成するためのものである。【0111】<<5.4第1構造を利用した場合(反射角70度)>>図37~図39に示した数値例は、電波を入射方向に対して45度の方向に反射させる観点から決定されていた。本実施例は、45度に限定されず、任意の方向に電波を反射するリフレクトアレイを形成できる。【0112】図40は、電波を入射方向に対して70度の方向に反射させるリフレクトアレイにおけるL1層、L2層及びL3層を示す。L1層、L2層及びL3層の層構造は、図9及び図36に示したものと同じである。この例の場合、x軸方向に伸びる9列の帯の1つは、12×129個の素子を含んでいる。素子間の間隔は2.4mmである。隣接する素子同士の反射位相差は、24度であるように設計されている。すなわち、x軸方向に伸びる1つの帯(列)は、y軸方向における両端で反射位相が2π変化するように設計されている。理想的には15個の素子により、反射位相が2π変化することが望ましいが、製造上の制約などの理由により12個の素子が使用されている。このため、y軸方向の1周期36mm(=2.4×15)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図40及び図41において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0113】図41は図40のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの列(y軸方向)に関し、12個の素子が並んでいる。12個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これら12個の素子の各々は、隣接する素子と所定の位相差(24度=360度/15)を有する。【0114】図42に示す数値例も、電波の入射方向に対して70度の角度で反射波を形成するためのものである。ただし、1つの列(y軸方向)に関して12個ではなく、11個の素子を並べることで、リフレクトアレイを形成した場合の数値例である。【0115】<<5.5第2構造を利用した場合(反射角45度)>>図36ないし図42に示した数値例は、電波を反射するリフレクトアレイが第1構造を用いて形成された場合の例である。以下、第2構造を用いて、電波を反射するリフレクトアレイを形成する例を説明する。【0116】図43は、マッシュルーム構造のパッチ高さtが4種類存在するリフレクトアレイの概略斜視図を示す。多数の素子の一部分しか描かれていないことに留意を要する。リフレクトアレイの全体的な平面図は、図35に示したものと同様である。【0117】図44は、層構造を示す断面図である。図示されているように、1層目ないし5層目の5つの層が、少なくとも一部に導電層を含む層として使用され、それらの間には誘電体層が介在している。一例として、誘電体層は、比誘電率が4.4であり、tanδが0.018であるFR4基板である。1層目と2層目は0.2mm隔たっている。1層目と3層目は0.8mm隔たっている。1層目と4層目は1.6mm隔たっている。1層目と5層目は2.4mm隔たっている。【0118】図45Aは、1層目ないし5層目における導電層の位置(影の付いた部分)を示す。1層目の場合、第1ないし第13の素子各々に対応する13個のパッチが示されている。図中、y軸方向に並ぶ13個の丸印はビアホールに対応する。便宜上、右から順に第1、第2、...第13の素子と言及する。図46Aは、1層目における13個のパッチのサイズを示す。2層目の場合、第1の素子に対応する場所に、長さPy1を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy1は2.4mmである。3層目の場合、第1及び第2の素子に対応する場所に、長さPy2を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy2は4.8mmである。4層目の場合、第1ないし第5の素子に対応する場所に、長さPy3を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy3は12mmである。5層目の場合、第1ないし第13の全素子に対応する場所に、長さPy4を有する導電層が設けられている。一例としてPy4は31.2mmである。【0119】<<5.6改良された第2構造による垂直制御>>第2構造の等価回路を示す図26を参照しながら説明したように、隣接するマッシュルーム構造同士の間には、近似的にL=μtの大きさのインダクタンスが発生する。Lはインダクタンスを示し、μは材料の透磁率を示し、tはビアの高さを示す。この場合、隣接するマッシュルーム構造のビアの高さはともに等しい。図28にはビアの高さが異なるマッシュルーム構造が並べられている。実線の左回りの矢印で示されているインダクタンスL1、L3、L5については、それぞれμ×t1、μ×t2、μ×t3の大きさの値になることが予想される。しかしながら、破線の左回りの矢印で示されているインダクタンスL2、L4の場合、接地プレートに段差があり、隣接するビアの高さが相違している。このため、この付近で発生するインダクタンスを、透磁率μとビアの高さtの積により近似することは適切でなくなってしまう。同様なことは、図29及び図30におけるL2、L4についても当てはまる。インダクタンスを透磁率とビアの高さの積で近似できないことは、マッシュルーム構造を多数並べてリフレクタ等を作成する際に、設計を困難にしてしまう。ビアの高さが複数種類存在する第2構造により、垂直制御(図34A-D)を行う場合、この不都合は特に顕著になる。図45Bは、上記の問題に対処するように改良された第2構造を用いて垂直制御を行う場合の平面図及び断面図を示す。図34Aに示されるようなパッチ配列が使用されているが、他の配列法が使用されてもよい。1層目ないし5層目に示されている太い線分は、その部分が導電性の材料であることを示す。1層目における導電性の材料は、パッチを構成する。2層目ないし5層目は接地プレートを構成する。各層を横切るように、5つのビアがパッチ各々に対して存在している。ビアと接地プレートが交わっている部分は、電気的に接続されている。図中、C1、C2、C3、C4はパッチ同士の間に発生するキャパシタンスを示す。図28においては「EX」で示されているように、接地プレートの端(又は縁)は、ビアを超えて延在し、隣接する素子同士の中間に位置している。これに対して、図45Bに示す例の場合、接地プレートの端は、ビアを超えて延在しておらず、ビアの位置において終端されている。これにより、L1、L2、L3、L4のどのインダクタンスについても、隣接するビアの高さは等しく、発生するインダクタンスは、透磁率とビアの高さの積により適切に近似できる。なお、接地プレートの端はビアの位置において実質的に終端されていればよく、製造工程等の都合により接地プレートの端がビアを僅かに超えていてもよい。<5.6ビアなし構造>上記の様々なマッシュルーム構造やパッチ配列において、1つ以上のパッチの内の1つと、接地プレートは、ビアホールを介して電気的に接続又は短絡されていた。しかしながら、このことは、リフレクトアレイを実現する場合には必須ではない。マッシュルーム構造がリフレクタアレイとして使用され、入射波を所望の方向に反射させる際、ビアホールは、直接的には作用していないからである。ただし、ビアホールの高さ(パッチ高さ)tは、インダクタンスL(=μt)に関連し、インダクタンスLはマッシュルーム構造の共振周波数ωに影響するので、ビアホールの有無は、パッチの寸法やギャップ等を設計する際には必ず考慮しなければならない。逆に、ビアホールを設けないこととし、接地プレート及び1つ以上のパッチ同士の容量等に基づいて、パッチ及びリフレクタアレイを設計することも可能である。【0120】例えば、第1構造によるマッシュルーム構造は、パッチを多層化することで容量を制御できるので(C→nC)、ビアホールが存在しなかったとしても、入射波を適切に反射させることはできる(図46B)。【0121】第2構造によるマッシュルーム構造の場合、パッチ及び接地プレート間の距離を変えるとインダクタンスLが変化することに着目していた(L=μt)。したがって、ビアホールが存在しなかった場合、上記の議論のようなインダクタンスは得られない。しかしながら、第2構造においてビアホールが存在しなかった場合に、パッチ及び接地プレート間の容量をさらに考慮して設計することが考えられる(図46C)。パッチ及び接地プレート間の容量は、近似的にそれらの間の距離に反比例する。したがって、隣接するパッチ同士の隙間に起因する容量に加えて、パッチ及び接地プレート間の距離に依存する容量をも考慮することで、隣接するパッチ同士の反射位相差に相応しいパッチを設計することができる。【0122】第3構造によるマッシュルーム構造は、パッチ同士の重なりを許容することで容量を制御しているので、第1構造の場合と同様に、ビアホールが存在しなかったとしても、入射波を適切に反射させることができる(図46D)。【0123】図46B-Dにおいて、隣接するパッチ同士の間隔は、図示の便宜上等間隔であるように描かれているが、このことは本発明に必須ではなく、パッチ同士の間隔は、具体的な製品用途に応じて様々に設定される。図46Eは、上記の第2構造において、ビアがなく、パッチ同士の間隔が均等ではない様子を強調して示している。パッチ同士の間隔が均等であってもなくてもよいことは、第2構造だけでなく、第1及び第3構造についても当てはまる。【0124】さらに、水平制御(x方向に反射させる制御)及び垂直制御(y方向に反射させる制御)を行う際にも、ビアがないマッシュルーム構造を使用することができる。【0125】図34Bは、ビアがないマッシュルーム構造を用いて垂直制御を行う場合のパッチ配列例を示す。ただし、図34Bに示すパッチ配列法は、ビアがあるマッシュルーム構造についても適用可能である。図示の例場合、4つのパッチp11、p12、p13、p14は、すべて同じ寸法を有する。すなわち、x軸方向にWx及びy軸方向に2Wyのサイズをそれぞれが有する。この点、隣接するパッチのサイズが異なっている図34Aに示される配列法と異なる。ただし、図34Bに示すパッチ配列法の場合、隣接するパッチ同士の中心間距離は、同一ではない。第1のパッチp11と第2のパッチp12との間の中心間距離Δy1は、Δy1=Wy+gy1+Wy=2Wy+gy1である。第2のパッチp12と第3のパッチp13との間の中心間距離Δy2は、Δy2=Wy+gy2+Wy=2Wy+gy2である。第3のパッチp13と第4のパッチp14との間の中心間距離Δy3は、Δy3=Wy+gy3+Wy=2Wy+gy3である。パッチ同士の間の隙間は、図34Aのパッチ配列と同様に、gy1、gy2、gy3、...のように変化している。【0126】図34Bに示すパッチ配列例の場合、4つのパッチp11、p12、p13、p14は、すべて同じ寸法を有するが、パッチ同士の中心間距離は、場所によって異なる。これらのパッチを用いてリフレクタアレイを作成する場合も、図5及び図25において説明したように、隣接するパッチとの間で所定の位相差ΔΦを実現する必要がある。この位相差ΔΦは、電波の反射角α及びパッチの中心間距離Δyiに対して次式を満たす必要がある。【0127】ΔΦ=k・Δyi・sinαここで、kは波数を表し(k=2π/λ)、Δyiは、場所によって異なるパッチの中心間距離を表す(i=1,2,...)。【0128】図34Cは、ビアがないマッシュルーム構造を用いて垂直制御を行う場合の別のパッチ配列例を示す。図34Aと同様に、4つのパッチp12、p13、p14、p15は、それぞれ異なる寸法を有するが、パッチ同士の間の中心間距離は、全て等しい(Δy)。図34Aに示す例とは異なり、ビアは設けられていない。これらのパッチは、x軸方向にWxの長さを有する。第1のパッチp12は、y軸方向にWy1+Wy2の長さを有する。第2のパッチp13は、y軸方向にWy2+Wy3の長さを有する。第3のパッチp14は、y軸方向にWy3+Wy4の長さを有する。第4のパッチp15は、y軸方向にWy4+Wy5の長さを有する。したがって、第1及び第2のパッチ間の隙間(ギャップ)は、Δy-2Wy2=gy2である。同様に、第2及び第3のパッチ間のギャップは、Δy-2Wy3=gy3である。第3及び第4のパッチ間のギャップは、Δy-2Wy4=gy4である。したがって、基準線同士の間の距離は、Δyに等しく、一定に保たれる。基準線の位置は、図34Aにおけるビアが設けられていた点(点を通る直線)に対応する。これらのパッチを用いてリフレクタアレイを作成する場合、図5及び図25において説明したように、隣接するパッチとの間で所定の位相差ΔΦを実現する必要がある。この位相差ΔΦは、電波の反射角α及びパッチ間隔Δyに対して次式を満たす必要がある。【0129】ΔΦ=k・Δy・sinαここで、kは波数を表す(k=2π/λ)。【0130】ところで、マッシュルーム構造にビアが有る場合、パッチの寸法を決める基点としてビアの位置を使用することができる。しかしながら、ビアが無いマッシュルーム構造の場合、そのような基点はない。【0131】図34Dは、ビアがないマッシュルーム構造を用いて垂直制御を行う場合の別のパッチ配列例を示す。図34Cと同様に、4つのパッチp12、p13、p14、p15は、それぞれ異なる寸法を有する。図示の例の場合、第1のパッチと隣接する第2のパッチと間のギャップ(隙間)を二等分した中心線と、第2のパッチと隣接する第3のパッチとの間のギャップを二等分した中心線との間の距離が、全て等しく設定されている(Δy)。一般に、i番目のパッチと(i+1)番目のパッチとの間のギャップは、gyiとして表現され、ギャップを二等分した中心は、Giとして表現される。i番目のパッチのy軸方向の寸法Wyiは、Δy-(gyi-1)/2-gyi/2として算出される。例えば、Wy2=Δy-gy1/2-gy2/2として算出される。このようにギャップの中心を基点とすることで、ビアが無い場合のパッチの寸法を簡易に算出することができる。【0132】<6.製造方法>第1ないし第3構造及び変形例の構造は、当該技術分野で既知の適切な如何なる方法で製造されてもよい。何れの構造を製造する場合にも、金属層と誘電体層とが積層された構造が基礎になる。例えば、表裏に銅の導電層が形成されているプリント基板(例えば、誘電率が4.4であるガラスエポキシ基板(FR4))を2枚重ねてプレスすることで、金属層が3層存在する構造が得られる。この場合において、プリプレグのような樹脂基板を複数枚重ねることで、所望の厚みの誘電体層を形成することができる。【0133】例えば、最下位の金属層を接地プレートとし、中間の金属層を第1パッチとし、最上位の金属層を第2パッチとすることで、図2Aに示されるような第1構造のマッシュルーム構造を製造してもよい。【0134】また、最下位の金属層及び最上位の金属層を第1のマッシュルーム構造に使用し、中間の金属層及び最上位の金属層を第2のマッシュルーム構造に使用することで、図28及び図30に示すような第2構造を製造してもよい。最上位の金属層及び最下位の金属層を第1のマッシュルーム構造に使用し、中間の金属層及び最下位の金属層を第2のマッシュルーム構造に使用することで、図29に示すような第2構造を製造してもよい。【0135】また、隣接するパッチが重ならないマッシュルーム構造について、最上位及び中間(又は中間及び最下位)の金属層を使用する一方、隣接するパッチが重なるマッシュルーム構造について、最上位、中間及び最下位の金属層を使用することで、図32及び図33に示すような第3構造を製造してもよい。【0136】<7.組み合わせ構造><<7.1組み合わせ方>>上記の第1ないし第3構造及び変形例の構造は、単独で使用されてもよいし、組み合わせて使用されてもよい。第1構造、第2構造、第3構造及び変形例等の項目の区分けは本発明に本質的ではなく、2以上の項目に記載された事項が必要に応じて組み合わせて使用されてよいし、ある項目に記載された事項が、別の項目に記載された事項に(矛盾しない限り)適用されてよい。概して、第1構造は、無給電素子を付加して複数のパッチを並列的に多層化することでキャパシタンスを増やしている。第2構造はパッチ高さを複数種類用意することでインダクタンスを調整している。第3構造は隣接するパッチが重なることを許容することでキャパシタンスを増やしている。したがって、第1構造、第2構造及び第3構造のうち2つ以上を組み合わせることで、キャパシタンス及び/又はインダクタンスをさらに変化させ、反射位相のレンジをさらに拡大できるようになる。【0137】例えば、図47の上側に示されるように、1つのアレイが2つの領域R1、R2に区分けされ、領域R1及びR2のそれぞれにおいて異なる構造が使用されてもよい。アレイは、x軸方向にNx個及びy軸方向にNy個のマッシュルーム構造を含む。マッシュルーム構造は、図2Aの構造でもよいし、図24の構造でもよい。アレイをx軸方向及び/又はy軸方向に反復することで、所望の大きさのリフレクトアレイを実現できる。【0138】図47においてR1及びR2を形成する構造として、第1構造と第2構造、第1構造と第3構造、第2構造と第3構造の組み合わせ、及び第1-3構造全ての組み合わせが考えられる。さらには、図47の下側に示されるように、1つのアレイが3つの領域R1、R2及びR3に区分けされ、これらの領域の少なくとも2つが異なる構造を使用してもよい。3つの領域が全て異なる構造を使用してもよい。アレイ内における領域の分け方は、図示のものに限定されず、適切な如何なる仕方で分けられてもよい。【0139】さらに、図47に示したように領域毎に異なる構造を使用するだけでなく、1つのマッシュルーム構造における組み合わせも考えられる。【0140】図48は、パッチを多層化する第1構造と、パッチ高さが異なるものを併用する第2構造との組み合わせを示す。これは、キャパシタンス及びインダクタンスの双方を調整する観点から好ましい。【0141】図49Aは、パッチを多層化する第1構造と、隣接するパッチの重なりを許容する第3構造との組み合わせを示す。これは、キャパシタンスをさらに大きくする観点から好ましい。第2構造と第3構造を組み合わせることや、第1ないし第3構造全てを組み合わせることも可能である。【0142】一例として、図49Bは、ビアがない第1構造と第2構造を組み合わせた構造を示す。また、図49Cは、ビアがない第2構造と第3構造を組み合わせた構造を示す。このように様々な構造が可能である。【0143】<<7.2第2構造と第3構造の組み合わせ>>第2構造と第3構造の組み合わせについて、説明する。【0144】図50は、1つのアレイの中で、紙面右側の第2構造の領域と紙面左側の第3構造の領域とを組み合わせた場合の様子を示す。第2構造におけるパッチ高さ又はビア高さtについては、2.4mm、1.6mm及び0.1(又は0.2)mmの選択肢がある。第3構造におけるパッチ高さは、2.3mm及び2.4mm(又は2.2mm及び2.4mm)である。したがって、図示の構造は、以下の構造に分解して考えることができる。【0145】(A)基板の厚みtが0.1mmのマッシュルーム構造、(B)基板の厚みtが0.2mmのマッシュルーム構造、(C)基板の厚みtが1.6mmのマッシュルーム構造、(D)基板の厚みtが2.4mmのマッシュルーム構造、(E)基板の厚みtが2.3mm及び2.4mmで重なりを許容したマッシュルーム構造及び(F)基板の厚みtが2.2mm及び2.4mmで重なりを許容したマッシュルーム構造。【0146】図51ないし図54は、上記の(A)ないし(D)の各構造に対するシミュレーション結果を示す。図55は、(A)ないし(D)に加えて、(E)及び(F)の各構造に対するシミュレーション結果を示す。概してこれらは図27を参照しながら説明したものに対応する。図56は、(A)ないし(F)に加えて、基板の厚みtが0.8mmのマッシュルーム構造についてのシミュレーション結果も示している。図57は、図55及び図56に関し、(E)及び(F)の構造をシミュレーションする場合のモデルを示す。【0147】<<7.3水平制御45度(その1)>>図58は、第2構造及び第3構造の組み合わせによるリフレクトアレイの平面図を示す。このリフレクトアレイは、図56に示されるようなパッチサイズWy、反射位相及び基板厚みtの相互関係にしたがって、作成されたものである。構造の詳細については、後述する。概して、x軸方向に沿って左から7つのマッシュルーム構造により第3構造が形成されている。第3構造は、パッチ高さが2.4mmのマッシュルーム構造と、パッチ高さが2.3mmのマッシュルーム構造との重なりを許容することで形成されている。パッチ高さが2.4mmの8つのマッシュルーム構造と、パッチ高さが1.6mmの3つのマッシュルーム構造と、パッチ高さが0.8mmのマッシュルーム構造とで第2構造が形成されている。そして、図中右端の位置に2.4mm幅の金属板が設けられている。この金属板とパッチの隙間は0.05mmである。金属板は、0.1mmの厚みのマッシュルーム構造の代わりに使用されている。図51に示されるように、基板の厚みが0.1mmのマッシュルーム構造は、パッチサイズWyによらず、ほぼ180度の反射位相をもたらすので、金属板で代用できる。また、パッチ間のx方向における隙間は0.1mmである。【0148】図59は、図58に示される各素子の具体的な寸法を示す。「設計位相」とは設計上求められる理想的な位相であり、「位相」の欄に示される数値が実際に実現される位相である。これらの数値は、リフレクトアレイが、入射波に対して、-45度の方向に反射を形成するように設計されている。【0149】図60は、x軸方向に沿って並ぶ素子各々による反射位相の値を示す。これらの値はz=λ/2(半波長)における値である。概して、-300度から+60度に至るほぼ360度の全範囲にわたって、各素子に反射位相を適切に設定できていることが分かる。【0150】図61は、シミュレーションにおける解析モデルを示し、このモデルをz軸方向から見たものが、図58に相当する。【0151】図62は、図56に示されるグラフの内、図58及び図61のシミュレーションのモデルに使用された基板(t=0.8mm、1.6mm、2.4mm、2.3&2.4mm)に関するグラフを示す。さらに、図62には、金属板に対応する点も示されている。【0152】図63は、上記のようにして形成されたリフレクトアレイの遠方放射界を示す。リフレクトアレイは、入射波に対して、-45度の方向に反射を形成するように、上記の数値を利用して設計されている。図63に示されているように、約-45度の方向に反射波が適切に向いていることが分かる。さらに、2層マッシュルーム構造だけによる場合の指向性(図15)と比較して、不要方向への放射がかなり抑制されていることが分かる。【0153】図64は、第2構造及び第3構造を組み合わせたリフレクトアレイによる反射波の等位相面を示す。x軸に沿って約20個の素子(第2又は第3構造のマッシュルーム構造)が並んでおり、電波の到来方向であるz軸に対して-45度の方向に電波が反射している。等位相面の法線は、z軸に対して-45度の方向を向いており、この方向に反射波が適切に進んでいることが分かる。【0154】図58に部分的に示されているリフレクトアレイの構造を詳細に説明する。【0155】図65は、第2構造の領域と第3構造の領域とを含むリフレクトアレイの層構造を示す。紙面の左右方向に19個のビアホールが並び、便宜的な番号が右から順に付けられている。ビアホールの各々は1つの素子(マッシュルーム構造)に対応する。5つの導電層が誘電体層を介して積層され、最上位層から順にL1層、L2層、L3層、L4層及びL5層として示されている。導電層は例えば銅を含む材料で形成されてもよい。誘電体層は、FR4基板やガラスエポキシ樹脂基板等により形成されてもよい。一例として、ビアホールの直径は、0.5mmである。【0156】1番目の素子は、マッシュルーム構造ではなく、金属板により形成されている。1番目の素子をマッシュルーム構造で構成する場合、基板の厚み(ビアホールの高さ)が0.1mmであることを要する。しかしながら、このように薄い基板を用いて形成されるマッシュルーム構造の反射位相は、図51に示されているように、パッチサイズによらずほぼ180度であるので、1番目の素子は金属板で代用できる。2番目の素子は、L1層をパッチとし、L3層を接地プレートとしている。3番目ないし5番目の素子は、L1層をパッチとし、L4層を接地プレートとしている。6番目ないし13番目の素子は、L1層をパッチとし、L5層を接地プレートとしている。14番目ないし20番目の素子は、第3構造によるものである。この場合、L1層及びL2層が、一部重なっている2つのパッチに対応する。L5層は、これら13番目ないし20番目の素子における接地プレートである。一例として、L1層及びL2層間の距離は0.1mmであり、L1層及びL3層間、L3層及びL4層、そしてL4層及びL5層間は、それぞれ0.8mmである。また、ビアの直径は0.5mmである。【0157】図66は、L1層及びL2層の平面図を概略的に示す。図67は、L3層、L4層及びL5層の平面図を概略的に示す。図24に示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。図示の例の場合、y軸方向に伸びる7列の帯の1つは、20×130個の素子を含んでいる。図中の数字は寸法(ミリメートル)の一例であり、素子間の間隔は2.4mmである。図示のリフレクトアレイは、電界がy軸方向の偏波をx軸方向(水平方向)に入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、y軸方向に伸びる1つの帯(列)は、x軸方向における両端で反射位相が2π変化するように設計されている。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図66ないし図73において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0158】図68は図66のL1層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行(x軸方向)に関し、20個の素子に対応する部分が示されている。20個の素子に対応する部分の内、第2ないし第20の素子に対応する部分の矩形の1つ1つは、Wx及びWyのサイズを有するパッチ123(図24)に対応する。1番目の素子(右側)は金属板で代用されている。これらx軸方向に並ぶ素子の各々は、隣接する素子同士との間で所定の位相差(18度=360度/20)を有する。図示のパッチサイズの数値は、図59に示しているものに対応する。【0159】図69は図66のL1層において「A部」及び「A'部」として示されている領域(帯又は列の一部)を詳細に示す。【0160】図70は図66のL2層において「B部」及び「B'部」として示されている領域(帯又は列の一部)を詳細に示す。x軸方向に沿う1つの行に着目すると、左から7つのパッチが並んでいる。これらは、パッチ同士の重なりが許容される第3構造において、L1層のパッチと重なるL2層のパッチに対応する。【0161】図71は図67のL3層において「C部」として示されている領域(帯又は列の一部)を詳細に示す。図65に示されているように、L3層は、1番目及び2番目の素子に対する接地プレートを提供する。この接地プレートが、図71の右側に示されている。【0162】図72は図67のL4層において「D部」として示されている領域(帯又は列の一部)を詳細に示す。図65に示されているように、L4層は、3番目ないし5番目の素子に対する接地プレートを提供する。この接地プレートが、図72の右側に示されている。【0163】図73は図67のL5層において「E部」として示されている領域(帯又は列の一部)を詳細に示す。図65に示されているように、L5層は、6番目ないし20番目の素子に対する接地プレートを提供する。この接地プレートが、図73に示されている。【0164】<<7.4水平制御45度(その2)>>図74も、図58と同様に、第2構造及び第3構造の組み合わせを含むリフレクトアレイの構成例を示す。ただし、図中左側の第3構造におけるビアの高さが2.4mmと2.2mmの組み合わせである点、及び右側の第2構造において、金属板ではなく厚みが0.2mmの基板を使用している点が主に異なる。これに応じて、各素子の寸法は、図75に示されるように、図59におけるものと若干異なる。【0165】図76は、図56に示されるグラフの内、図74のシミュレーションのモデルに使用された基板(t=0.8mm、1.6mm、2.4mm、2.2&2.4mm)に関するグラフを示す。【0166】図77は、上記のようにして形成されたリフレクトアレイの遠方放射界を示す。リフレクトアレイは、入射波に対して、-45度の方向に反射を形成するように、上記の数値を利用して設計されている。図77に示されているように、約-45度の方向に反射波が適切に向いていることが分かる。さらに、2層マッシュルーム構造だけによる場合の指向性(図15)と比較して、不要方向への放射がかなり抑制されていることが分かる。【0167】図78は、第2構造及び第3構造を組み合わせたリフレクトアレイによる反射波の等位相面を示す。x軸に沿って約20個の素子(第2又は第3構造のマッシュルーム構造)が並んでおり、電波の到来方向であるz軸に対して-45度の方向に電波が反射している。等位相面の法線は、z軸に対して-45度の方向を向いており、この方向に反射波が適切に進んでいることが分かる。【0168】図74に部分的に示されているリフレクトアレイの構造を詳細に説明する。【0169】図79は、第2構造の領域と第3構造の領域とを含むリフレクトアレイの層構造を示す。概して図65と同様であるが、1番目の素子がマッシュルーム構造として設けられている点、及びL1層及びL2層が、1番目の素子と、14番目ないし20番目の素子とで共通している点、L1層及びL2層間の距離が0.2mmである点が、主に異なる。【0170】1番目の素子は、L1層をパッチとし、L2層を接地プレートとしている。2番目の素子は、L1層をパッチとし、L3層を接地プレートとしている。3番目ないし5番目の素子は、L1層をパッチとし、L4層を接地プレートとしている。6番目ないし13番目の素子は、L1層をパッチとし、L5層を接地プレートとしている。14番目ないし20番目の素子は、第3構造によるものである。この場合、L1層及びL2層が、一部重なっている2つのパッチに対応する。L5層は、これら13番目ないし20番目の素子における接地プレートである。一例として、L1層及びL2層間の距離は0.2mmであり、L1層及びL3層間、L3層及びL4層、そしてL4層及びL5層間は、それぞれ0.8mmである。また、ビアの直径は0.5mmである。【0171】上述したように、L1層及びL2層は、1番目の素子と、14番目ないし20番目の素子とで共通している。これは、1番目の素子のL1層と14番目ないし20番目の素子のL1層とが、同じ基板上に形成できることを意味する。さらに、1番目の素子のL2層と14番目ないし20番目の素子のL2層も、同じ基板上に形成できる。これにより、リフレクトアレイの構造の簡易化及び製造工程の簡易化等を図ることができる。図示の例では、L1層及びL2層が双方の構造で共通しているが、第2構造及び第3構造において、L1層ないしL5層のうち、(可能であるならば)どの層が共通していてもよい。このように、異なる構造を組み合わせる場合において、複数の導電層のうちの1つ以上を共通にすることは、第2及び第3構造間だけでなく、他の構造間で行われてもよい。例えば、第1構造と第2構造を組み合わせた構造、第1構造と第3構造を組み合わせた構造において、L1層ないしL5層の内の1つ以上が共通していてもよい。【0172】図80は、L1層及びL2層の平面図を概略的に示す。図81は、L3層、L4層及びL5層の平面図を概略的に示す。図24に示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。図示の例の場合、y軸方向に伸びる7列の帯の1つは、20×130個の素子を含んでいる。図中の数字は寸法(ミリメートル)の一例であり、素子間の間隔は2.4mmである。図示のリフレクトアレイは、電界がx軸方向の偏波をx軸方向(垂直方向)に入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、Y軸方向に伸びる20個分の素子間隔(2.4mm×20)は、20個分の素子間隔の両端で反射位相が2π変化するように設計されている。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図80ないし図87において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0173】図82は図80のL1層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行(x軸方向)に関し、20個の素子に対応する部分が示されている。20個の素子に対応する部分に含まれている矩形の1つ1つは、Wx及びWyのサイズを有するパッチ123(図24)に対応する。これらの素子の各々は、隣接する素子同士との間で所定の位相差(18度=360度/20)を有する。図示のパッチサイズの数値は、図75に示しているものに対応する。【0174】図83は図80のL1層において「A部」及び「A'部」として示されている領域(帯又は列の一部)を詳細に示す。【0175】図84は図80のL2層において「B部」及び「B'部」として示されている領域(帯又は列の一部)を詳細に示す。x軸方向に沿う1つの行に着目すると、左から7つのパッチが並んでいる。これらは、パッチ同士の重なりが許容される第3構造において、L1層のパッチと重なるL2層のパッチに対応する。【0176】図85は図81のL3層において「C部」として示されている領域(帯又は列の一部)を詳細に示す。図79に示されているように、L3層は、1番目及び2番目の素子に対する接地プレートを提供する。この接地プレートが、図85の右側に示されている。【0177】図86は図81のL4層において「D部」として示されている領域(帯又は列の一部)を詳細に示す。図79に示されているように、L4層は、3番目ないし5番目の素子に対する接地プレートを提供する。この接地プレートが、図86の右側に示されている。【0178】図87は図81のL5層において「E部」として示されている領域(帯又は列の一部)を詳細に示す。図79に示されているように、L5層は、6番目ないし20番目の素子に対する接地プレートを提供する。この接地プレートが、図87に示されている。【0179】<<7.5垂直制御45度>>図58ないし図87では、電界に対して水平方向に反射させる観点から、リフレクトアレイの構造及びシミュレーション例が説明されてきた。しかしながら、第2構造及び第3構造を組み合わせたリフレクトアレイは、電界に対して垂直方向に反射させるように設計することもできる。【0180】図88は、マッシュルーム構造のパッチ高さtが4種類存在する第2構造と、隣接するパッチ同士の重なりを許容する第3構造とを有するリフレクトアレイの概略斜視図を示す。多数の素子の一部分しか描かれていないことに留意を要する。【0181】図89は、層構造を示す断面図である。図示されているように、1層目ないし5層目の5つの層が、少なくとも一部に導電層を含む層として使用され、それらの間には誘電体層が介在している。一例として、誘電体層は、比誘電率が4.4であり、tanδが0.018であるFR4基板である。1層目と2層目は0.2mm隔たっている。1層目と3層目は0.8mm隔たっている。1層目と4層目は1.6mm隔たっている。1層目と5層目は2.4mm隔たっている。【0182】図90は、1層目ないし5層目における導電層の位置(影の付いた部分)を示す。図中、y軸方向に並ぶ20個の丸印はビアホールに対応する。便宜上、右から順に第1、第2、...第20の素子と言及する。1層目の場合、第1ないし第20の素子各々に対応するパッチが示されている。第13ないし第20の素子は、パッチ同士の重なりを許容しているので、パッチ高さが異なるもの(第14、第16、第18、第20)は1層目には現れていない。2層目の場合、第1の素子に対応する場所に、長さPy1を有する導電層が設けられ、かつ第14、第16、第18及び第20の素子のパッチが設けられている。他の場所において導電層は設けられていない。一例としてPy1は2.4mmである。図91は、1層目及び2層目における20個のパッチのサイズを示す。3層目の場合、第1及び第2の素子に対応する場所に、長さPy2を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy2は4.8mmである。4層目の場合、第1ないし第5の素子に対応する場所に、長さPy3を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy3は12mmである。5層目の場合、第1ないし第13の全素子に対応する場所に、長さPy4を有する導電層が設けられている。一例としてPy4は31.2mmである。【0183】図92は、上記のようにして形成されたリフレクトアレイの遠方放射界を示す。リフレクトアレイは、入射波に対して、-45度の方向に反射を形成するように、上記の数値を利用して設計されている。図92に示されているように、約-45度の方向に反射波が適切に向いていることが分かる(図示の例の場合、-43度の方向に18.55dBの反射波が得られている。)。<<7.6改良された第2構造と第3構造の組み合わせ>>「5.6改良された第2構造による垂直制御」のセクションにおいて説明したように、第2構造において発生するインダクタンスを正確に規定する観点からは、接地プレートがビアの位置において実質的に終端していることが好ましい。以下の説明において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。図93は、改良された第2構造の領域と第3構造の領域とを含むリフレクトアレイの層構造を示す。図示されているように、1層目ないし5層目の5つの層が、少なくとも一部に導電層を含む層として使用され、それらの間には誘電体層が介在している。一例として、誘電体層は、比誘電率が4.4であり、tanδが0.018であるFR4基板である。図示の層構造は、概して、図79、図89等の構造と同様であるが、3層目及び4層目において「EX'」として示されているように、接地プレートがビアの位置で実質的に終端している点が大きく異なる。図79、図89等の構造の場合、接地プレートの端がビアの位置で実質的に終端しておらず、隣接する素子同士の間に接地プレートの端が存在し、接地プレートの段差が形成されている。なお、製造工程上の理由により、「EX'」で示されている部分において、接地プレートの端がビアを少しだけ超えて延在しているが、これは、素子同士の間で発生するインダクタンスに実質的な影響を及ぼすものではない。図94Aは、図93に示すL1層の平面図を示す。図示の構造の場合、図93に示す20個の素子が並んでいる構造(約48mm)が、y軸方向に2回反復され、x軸方向に40回反復されているが、素子(ビア)の数、y軸方向の反復数及びx軸方向の反復数は単なる一例に過ぎず、適切な如何なる数値が使用されてもよい。図94Bは、図94Aに示すL1層の「A部」を詳細に示す。図95Aは、図93に示すL2層の平面図を示す。図95Bは、図95Aに示すL2層の「B部」を詳細に示す。「B部」は「A部」の下側に位置する。L2層ないしL5層は接地プレートを構成する。図95A、図95Bに示されているように、接地プレートの端又は縁は、ビアの位置において終端されている。図96Aは、図93に示すL3層の平面図を示す。図96Bは、図96Aに示すL3層の「C部」を詳細に示す。「C部」は「A部」及び「B部」の下側に位置する。図96A、図96Bに示されているように、接地プレートの端又は縁は、ビアの位置において終端されている。図97Aは、図93に示すL4層の平面図を示す。図97Bは、図97Aに示すL4層の「D部」を詳細に示す。「D部」は「A部」、「B部」及び「C部」の下側に位置する。図97A、図97Bに示されているように、接地プレートの端又は縁は、ビアの位置において終端されている。図98Aは、図93に示すL5層の平面図を示す。図98Bは、図98Aに示すL5層の「E部」を詳細に示す。「E部」は「A部」、「B部」、「C部」及び「D部」の下側に位置する。次に、改良された第2構造と第3構造の組み合わせについてのシミュレーション結果を示す。シミュレーションでは、図99A及び図99Bに示されるような垂直制御を行う2つの構造が比較された。何れの構造も、改良された第2構造を使用し、接地プレートはビアの位置において終端している。しかしながら、パッチの設計が異なっている。図99Aの構造は、図34Aに示されるように、隣接するパッチが同じサイズを有する。これに対して、図99Bの構造は、図34Bに示されるように、ビアを中心として対称的なパッチが使用されている。図99Cは、2つの構造各々の遠方放射界のシミュレーション結果を示す。電場がy軸方向を向いている電波がz軸∞方向から到来し、-45度の方向に反射されるように、図99A、Bの構造は設計されている。ビームの大きさ又は強度は、所望方向(-45度)における値により規格化されている。何れの構造も、所望方向に大きな反射ビームを形成している。+45度付近において、図99Bの構造は、比較的大きな不要反射ビームを形成している。これに対して、図99Aの構造は、そのような不要反射ビームを適切に抑制できている。さらに、0度方向の鏡面反射ビームについても、図99Aの構造は、図99Bの構造よりも不要反射ビームを小さく抑制できる。したがって、垂直制御の場合、図99Bの構造よりも、図99Aの構造の方が好ましい。次に、接地プレートがビアの位置で終端していることが、ビアの高さが異なる構造を使用して垂直制御及び水平制御を行う場合にどのように影響するかを説明する。図100Aは、第2構造を含む構造により垂直制御を行う構造を示す。パッチの長さを図100Aに示すように、所望のLC共振の得られるLとCの対をy軸方向に配列することが可能である。上述のように、値の異なるLとCの組み合わせを配列する場合、接地プレートはビアの位置において終端していることが望ましい。図100Aには、概略平面図と、x軸方向の断面図及びy軸方向の断面図が示されている。y軸方向に沿って、パッチの層である1層目と、4つの接地プレート(2層目ないし5層目)とが存在し、「EX」として示されているように、接地プレートの2層目、3層目及び4層目の端は、隣接する素子同士の間にある。このため、y軸方向に並ぶ素子において、適切な値のインダクタンスを発生させることが困難になってしまう。x軸方向に並ぶ素子同士の間にもインダクタンスは発生する。しかしながら、電場がy軸方向を向いている電波を所望方向に反射させる場合、y軸方向に並ぶ素子同士により発生するインダクタンスの方が重要である。このため、上述したように、接地プレートの端がビアの位置において終端するように、改善すべきである。図100Bは、第2構造を含む構造により水平制御を行う構造を示す。水平制御の場合、図100Bのように、所望のLC共振の得られるLとCの対をx軸方向に配列することが可能である。図100Bにも、概略平面図と、x軸方向の断面図及びy軸方向の断面図が示されている。水平制御の場合、x軸方向の断面に複数の接地プレートが現れる。x軸方向に沿って、パッチの層である1層目と、3つの接地プレート(2層目ないし4層目)とが存在し、「EX」として示されているように、2層目及び3層目の接地プレートの端は、隣接する素子同士の間にある。このため、x軸方向において、適切な値のインダクタンスを発生させることは困難になってしまう。しかしながら、上述したように、電場がy軸方向の電波を反射させる場合、y軸方向に並ぶ素子同士により発生するインダクタンスの方が重要である。y軸方向沿って並ぶ素子の場合、隣接する素子のビアの高さは同じなので、発生するインダクタンスLは、透磁率μとビアの高さtの積(L=μt)により想定される値になる。このため、水平制御の場合は、垂直制御の場合よりも、接地プレートの段差の影響は深刻ではない。すなわち、x軸方向の断面図に示されているように、接地プレートがビアの位置において終端していなくても、y軸方向の断面図に示されているように、ギャップをはさむビアどうしの地板はつながっているため、所望のインダクタンスL1、L2、L3を得ることができる。ただし、当然ではあるが、図100Bの構造においても、x軸方向に延びる接地プレートが、ビアの位置において終端するようにすることで、設計どおりの動作をさらに期待することができる。【0184】以上本発明は特定の実施例を参照しながら説明されてきたが、それらは単なる例示に過ぎず、当業者は様々な変形例、修正例、代替例、置換例等を理解するであろう。発明の理解を促すため具体的な数値例を用いて説明がなされたが、特に断りのない限り、それらの数値は単なる一例に過ぎず適切な如何なる値が使用されてもよい。発明の理解を促すため具体的な数式を用いて説明がなされたが、特に断りのない限り、それらの数式は単なる一例に過ぎず適切な如何なる数式が使用されてもよい。実施例又は項目の区分けは本発明に本質的ではなく、2以上の項目に記載された事項が必要に応じて組み合わせて使用されてよいし、ある項目に記載された事項が、別の実施例又は項目に記載された事項に(矛盾しない限り)適用されてよい。本発明は上記実施例に限定されず、本発明の精神から逸脱することなく、様々な変形例、修正例、代替例、置換例等が本発明に包含される。【0185】以下、本発明により教示される手段を例示的に列挙する。【0186】(M1)複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子である、装置。【0187】(M2)前記複数個のうち所定数個のマッシュルーム構造が、ある線に沿って並べられ、前記複数個のうち別の所定数個のマッシュルーム構造が、別の線に沿って並べられ、前記ある線に沿っているマッシュルーム構造の第1パッチと、前記別の線に沿っているマッシュルーム構造の第1パッチとの間の隙間が、前記ある線及び別の線に沿って徐々に変化している、M1記載の装置。【0188】(M3)ある線に沿って並べられている所定数個のマッシュルーム構造のうち、隣接するマッシュルーム構造の第1パッチ同士の間の隙間が、前記ある線に沿って徐々に変化している、M1記載の装置。【0189】(M4)前記隙間を決める隣接する第1パッチの内の一方の端から、該一方の第1パッチの基準線までの距離が、隣接する他方の第1パッチの端から、該他方の第1パッチの基準線までの距離に等しく、複数のマッシュルーム構造に対する基準線間の距離が一定に保たれている、M3記載の装置。【0190】(M5)前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造各々の第1パッチは、互いに等しいサイズであり、前記第1及び第2のマッシュルーム構造の第1パッチ同士の中心間距離は、前記第2及び第3のマッシュルーム構造の第1パッチ同士の中心間距離と異なる、M3記載の装置。【0191】(M6)前記ある線に沿って隣接している第1及び第2のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線と、前記ある線に沿って隣接している第2及び第3のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線との間の距離が、前記ある線に沿って並んでいる複数のマッシュルーム構造に対して一定に保たれている、M3記載の装置。【0192】(M7)前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造のうち、前記第1及び第2のマッシュルーム構造の各々から反射される電波の位相差が、前記第2及び第3のマッシュルーム構造の各々から反射される電波の位相差に等しい、M2ないしM6の何れか1項に記載の装置。【0193】(M8)少なくとも前記ある線に沿って並べられた前記所定数個のマッシュルーム構造を含むアレイが、同一平面内に複数個反復的に並べられている、M1ないしM7の何れか1項に記載の装置。【0194】(M9)前記接地プレート、前記第1パッチ及び前記第2パッチに対して平行に距離を隔てて設けられ、無給電素子として機能する1つ以上のパッチをさらに有する、M1ないしM8の何れか1項に記載の装置。【0195】(A1)複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、あるマッシュルーム構造における接地プレート及びパッチ間の距離は、別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる、装置。【0196】(A2)前記あるマッシュルーム構造におけるパッチと、前記別のマッシュルーム構造におけるパッチとが、同一平面内に設けられている、A1記載の装置。【0197】(A3)前記あるマッシュルーム構造における接地プレートと、前記別のマッシュルーム構造における接地プレートは、多層構造には形成されていない、A2記載の装置。(A4)前記あるマッシュルーム構造における接地プレートと、前記別のマッシュルーム構造における接地プレートとが、同一平面内に設けられている、A1記載の装置。【0198】(A5)(A1)の装置において、(M2)~(M9)の特徴を備えた装置。【0199】(B1)複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【0200】(B2)(B1)の装置において、(M2)~(M9)の特徴を備えた装置。【0201】(C1)M+A第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記第1群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子であり、前記第2群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第2群に属するあるマッシュルーム構造における接地プレート及びパッチ間の距離は、前記第2群に属する別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる、装置。【0202】(C2)M+A+B当該装置がさらに第3群の複数のマッシュルーム構造を有し、前記第3群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、C1記載の装置。【0203】(C3)前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の1層が、前記第2群のマッシュルーム構造における接地プレート及びパッチをなす2層の内の1層と同一平面に設けられ、前記3層の内の別の1層が、前記2層の内の別の1層と同一平面に設けられている、C1又はC2に記載の装置。【0204】(C4)M+B第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記第1群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子であり、前記第2群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第2群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【0205】(C5)前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の1層が、前記第2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の1層と同一平面に設けられ、前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の別の1層が、前記第2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の別の1層と同一平面に設けられている、C4記載の装置。【0206】(C6)A+B第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記マッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第1群に属するあるマッシュルーム構造における接地プレート及びパッチ間の距離は、前記第1群に属する別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なり、前記第2群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【0207】(C7)前記第1群のマッシュルーム構造における接地プレート及びパッチをなす2層の内の1層が、前記2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の1層と同一平面に設けられ、前記2層の内の別の1層が、前記3層の内の別の1層と同一平面に設けられている、C6記載の装置。
A
2012034333
2011000247
20110104
null
null
20120216
2012034333
20120216
null
null
5162678
20121221
null
null
null
マッシュルーム構造を有する装置
392026693
株式会社エヌ・ティ・ティ・ドコモ
100070150
伊東 忠彦
丸山 珠美,古野 辰男,小田 恭弘,沈 紀▲ユン▼,大矢 智之
2010043574,20100226,JP,2010156256,20100708,JP
8
H01Q 15/14 (20060101)
H01Q 15/14 Z
9
null
null
null
0
69
5J020
5J020 AA03 ,5J020 BA04 ,5J020 BA16 ,5J020 BD03 ,5J020 DA03
【課題】多数のマッシュルーム構造を有する装置に利用可能な構造であって、パッチサイズの所定のレンジに対して、反射位相のレンジが広い構造を提供すること。【解決手段】複数個のマッシュルーム構造を有する装置が使用される。複数個のマッシュルーム構造の各々は、接地プレートと、接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる。【選択図】図32
【請求項1】複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【請求項2】前記複数個のうち所定数個のマッシュルーム構造が、ある線に沿って並べられ、前記複数個のうち別の所定数個のマッシュルーム構造が、別の線に沿って並べられ、前記ある線に沿っているマッシュルーム構造のパッチと、前記別の線に沿っているマッシュルーム構造のパッチとの間の隙間が、前記ある線及び別の線に沿って徐々に変化している、請求項1記載の装置。【請求項3】ある線に沿って並べられている所定数個のマッシュルーム構造のうち、隣接するマッシュルーム構造のパッチ同士の間の隙間が、前記ある線に沿って徐々に変化している、請求項1記載の装置。【請求項4】前記隙間を決める隣接する第1パッチの内の一方の端から、該一方の第1パッチの基準線までの距離が、隣接する他方の第1パッチの端から、該他方の第1パッチの基準線までの距離に等しく、複数のマッシュルーム構造に対する基準線間の距離が一定に保たれている、請求項3記載の装置。【請求項5】前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造各々のパッチは、互いに等しいサイズであり、前記第1及び第2のマッシュルーム構造のパッチ同士の中心間距離は、前記第2及び第3のマッシュルーム構造のパッチ同士の中心間距離と異なる、請求項3記載の装置。【請求項6】前記ある線に沿って隣接している第1及び第2のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線と、前記ある線に沿って隣接している第2及び第3のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線との間の距離が、前記ある線に沿って並んでいる複数のマッシュルーム構造に対して一定に保たれている、請求項3記載の装置。【請求項7】前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造のうち、前記第1及び第2のマッシュルーム構造の各々から反射される電波の位相差が、前記第2及び第3のマッシュルーム構造の各々から反射される電波の位相差に等しい、請求項2ないし6の何れか1項に記載の装置。【請求項8】少なくとも前記ある線に沿って並べられた前記所定数個のマッシュルーム構造を含むアレイが、同一平面内に複数個反復的に並べられている、請求項1ないし7の何れか1項に記載の装置。【請求項9】前記接地プレート及び前記パッチに対して平行に距離を隔てて設けられ、無給電素子として機能する1つ以上のパッチをさらに有する、請求項1ないし8何れか1項に記載の装置。
【請求項1】複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。
【技術分野】【0001】本発明は、マッシュルーム構造を有する装置に関連する。このような装置は、電波を特定の方向に反射させるリフレクタだけでなく、電波を送受信する際のアンテナや、特定の周波数を減衰させるフィルタ等にも使用可能である。
【背景技術】【0002】移動通信において、電波の経路に建物等の障害物が存在すると、受信レベルが劣化してしまう。このため、その建物と同程度以上の高所に反射板(リフレクタ)を設け、電波が届きにくい場所に反射波を送る技術がある。反射板により電波を反射する際、垂直面内における電波の入射角が比較的小さかった場合、反射板は電波を所望方向に向けることが困難になってしまう(図1)。一般に、電波の入射角と反射角は等しいからである。この問題に対処するため、地面を覗き込むように反射板を傾斜させることが考えられる。そのようにすると、反射板に対する入射角及び反射角を大きくすることができ、到来波を所望方向に向けることができる。しかしながら、電波を遮るような建物と同程度に高い場所の反射板を、地面側に傾けて設置することは、安全性の観点からは好ましくない。このような観点から、電波の入射角が比較的小さかったとしても、所望方向に反射波を向けることが可能なリフレクタが望まれている。【0003】そのようなリフレクタとして、半波長程度の素子を周期的に並べた構造が存在するが、そのような構造は、かなり大型になってしまう。これに対して、半波長よりも小さな素子を多数並べたリフレクトアレイが近年注目されている。そのようなリフレクトアレイの一例は、マッシュルーム構造を有するリフレクトアレイである。【0004】マッシュルーム構造を用いたリフレクトアレイは、インダクタンスLとキャパシタンスCとを調整することで、共振周波数を調整し、これにより反射位相を制御して電波が反射する方向を制御する。共振周波数を調整する方法としては、ビアの位置をパッチの中心からずらす方法(これについては、非特許文献1参照。)、パッチのサイズを変える方法(これについては、非特許文献2参照。)、バラクタダイオードを用いて電圧を変更する方法(これについては、非特許文献3参照。)等がある。
【発明が解決しようとする課題】【0006】多数の素子を用いて所望の方向に電波を向けるリフレクトアレイを実現するには、所定の反射位相を与える素子を整列させる必要がある。理想的には、パッチサイズのような何らかの構造パラメータの所定のレンジに対して、反射位相が、-πラジアンから+πラジアンまでの全範囲(2πラジアン=360度)を網羅できることが望ましい。【0007】しかしながら、上記の何れの方法を用いたとしても、所与の周波数における反射位相は広範囲にわたるものではない、という問題がある。【0008】本発明の課題は、多数のマッシュルーム構造を有する装置に利用可能な構造であって、パッチサイズのような構造パラメータの所定のレンジに対して、反射位相のレンジが広い構造を提供することである。
【課題を解決するための手段】【0009】開示される発明の一形態は、複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置である。
【発明の効果】【0010】開示される発明によれば、多数のマッシュルーム構造を有する装置に利用可能な構造であって、パッチサイズのような構造パラメータの所定のレンジに対して、反射位相のレンジが広い構造を提供することができる。
【発明を実施するための形態】【0012】以下の観点から、本発明を説明する。【0013】1.概要2.第1構造2.1マッシュルーム構造2.2リフレクトアレイ2.2.1反射角45度のリフレクトアレイ2.2.2反射角70度のリフレクトアレイ2.3第1パッチ及び第2パッチの相互関係2.4より一般的な多層マッシュルーム構造3.第2構造4.第3構造5.変形例5.1パッチ配列5.2垂直制御5.3第1構造を利用した場合(反射角45度)5.4第1構造を利用した場合(反射角70度)5.5第2構造を利用した場合(反射角45度)5.6改良された第2構造による垂直制御5.7ビアなし構造6.製造方法7.組み合わせ構造7.1組み合わせ方7.2第2構造と第3構造の組み合わせ7.3水平制御45度(その1)7.4水平制御45度(その2)7.5垂直制御45度7.6改良された第2構造と第3構造の組み合わせ【実施例1】【0014】<1.概要>リフレクトアレイの反射位相は、共振周波数において0になり、共振周波数は等価回路におけるインダクタンスL及びキャパシタンスCにより調整できる。したがって、所与の周波数における反射位相は、インダクタンスL及び/又はキャパシタンスCを調整することで制御できる。後述の実施例による第1構造は、キャパシタンスに着目している。【0015】第1構造によるリフレクトアレイは、1つの地板(接地プレート)と、該地板に配列された複数のマッシュルーム構造と、該マッシュルーム構造の上に配列された無給電アレイによって形成される。無給電アレイの働きにより、マッシュルーム構造を近似する並列共振モデルのキャパシタンスの値を例えば2倍にすることができる。すなわち、隣接するマッシュルーム構造間のギャップ(第1パッチ同士の隙間)によるキャパシタンスに加えて、第2パッチ同士の隙間に生じるキャパシタンスにより、全体のキャパシタンスを増やすことができる。キャパシタンスは、隣接する第1パッチ同士の隙間及び/又は隣接する第2パッチ同士の隙間のサイズを変えることにより制御できる。したがって、第1及び第2パッチのサイズ(すなわち、ギャップのサイズ)を変化させることで、キャパシタンスを制御できる範囲が広くなり、ひいては反射位相が変化する範囲を広くすることができる。【0016】後述の実施例による第2構造は、インダクタンスに着目している。マッシュルーム構造のインダクタンスLは、接地プレートからパッチまでの距離(ビアホールの長さ)tに近似的に比例する。したがって、接地プレート及びパッチ間の距離が異なるマッシュルーム構造は、反射位相に対しても異なる動作を行う。接地プレート及びパッチ間の距離tが異なるマッシュルームを組み合わせることで、ある距離又は厚みの場合には実現できなかった反射位相を実現できるようになる。【0017】後述の実施例による第3構造は、第1構造と同様にキャパシタンスに着目しているが、第1構造とは異なり、複数のパッチが並列には配置されていない。その代わり、より大きなキャパシタンスを得るため、隣接するマッシュルーム構造のパッチ同士は、同一平面内で隙間を空けるだけでなく、互いに異なる平面に存在することが許容される(距離を隔てて重なることが許容される)。これにより、製造限界等に起因して実現できなかったキャパシタンスを達成できるようになり、ひいては反射位相のレンジを拡大できる。【0018】<2.第1構造><<2.1マッシュルーム構造>>図2Aは、本実施例において使用可能なマッシュルーム構造を示す。図2Aには2つのマッシュルーム構造が示されている。このようなマッシュルーム構造の素子を多数並べることで、リフレクトアレイを形成することができる。ただし、本発明はリフレクトアレイに限定されず、アンテナやフィルタ等のような他の用途にも使用可能である。【0019】図2Aには、接地プレート21、ビアホール22、第1パッチ23及び第2パッチ24が示されている。【0020】接地プレート21は、多数のマッシュルーム構造に対して共通の電位を供給する導体である。図2AにおけるΔx及びΔyは、隣接するマッシュルーム構造におけるビアホール間のx軸方向の間隔及びy軸方向の間隔に等しい。Δx及びΔyは、マッシュルーム構造1つ分に対応する接地プレート21のサイズを表す。一般に、接地プレート21は、多数のマッシュルーム構造が並んだアレイと同程度に大きい。【0021】ビアホール22は、接地プレート21と第1パッチ23とを電気的に短絡するために設けられる。第1パッチ23は、x軸方向にWxの長さを有し、y軸方向にWyの長さを有する。第1パッチ23は、接地プレート21に対して平行に距離tを隔てて設けられ、ビアホール22を介して接地プレート21に短絡される。【0022】第2パッチ24も接地プレート21に対して平行に設けられるが、第1パッチ23よりもさらに距離を隔てて設けられる。第1パッチ23は接地プレート21に電気的に結合されている。しかしながら、第2パッチ24は接地プレート21に電気的には接続されていない無給電素子である。左側の第1パッチ23及び右側の第1パッチ23は容量結合している。同様に、左側の第2パッチ24及び右側の第2パッチ24も容量結合している。さらに、並列的に配置された第1パッチ23及び第2パッチ24も容量結合している。後述するように、第2パッチ24は、第1パッチ23と接地プレート21との間に設けられてもよい。【0023】一例として、第1パッチ23は、接地プレート21から1.6mm隔てて設けられ、第1パッチ23及び第2パッチ24の間には、誘電率が4.4であり、厚みが0.8mmであり、tanδが0.018の誘電体層が設けられている。【0024】なお、図示の例においてパッチは、第1及び第2の2つしか示されていないが、3つ以上のパッチが用意されてもよい。例えば、第2パッチ24に対してさらに距離を隔てた無給電素子である第3パッチが用意されてもよい。【0025】図3は、図2Aに示したマッシュルーム構造を二次元的に並べた場合の概略平面図を示す。このように、マッシュルーム構造を一定の規則にしたがって多数並べることで、例えばリフレクトアレイを形成することができる。リフレクトアレイの場合、紙面に垂直な方向(z軸)から電波が到来し、xz面内でz軸に対して角度αを有する方向に電波が反射する。【0026】図4は、図3の個々のマッシュルーム構造の配置を説明するための図を示す。線pに沿って一列に並んだ4つの第1パッチ23と、その列に隣接して線qに沿って並んだ4つの第1パッチ23とが右側に示されている。左側は、第1パッチ23上に距離を隔てて設けられる第2パッチ24を示す。パッチの数は任意である。図2A、図3、図4に示す例において、第1パッチ23及び第2パッチ24は、同じサイズを有するが、このことは本発明に必須でなく、異なるサイズが使用されてもよい。しかしながら、マッシュルーム構造の容量を約2倍にすること等の観点からは、第1パッチ23及び第2パッチ24は、同じサイズであることが望ましい。【0027】本実施例では、線pに沿っているマッシュルーム構造の第1パッチ23と、別の線qに沿っているマッシュルーム構造の第1パッチ23との間の隙間(ギャップ)が、線p及びqに沿って徐々に変化している。【0028】図3及び図4に示す例の場合、紙面の上下方向(例えば、図4における線p)に沿って並んでいる或る素子(マッシュルーム構造)による反射波と、その線に沿ってその素子に隣接する素子による反射波は、互いに所定の量だけ位相がずれている。そのような性質を有する素子を多数並べることで、リフレクトアレイを形成することができる。【0029】図5は、x軸方向に並んだマッシュルーム構造M1~MNに対して、z軸∞方向から電波が到来し、反射される様子を模式的に示す。反射波は、入射方向(z軸方向)に対して角度αをなすとする。ビアホール間の間隔がΔxであったとすると、隣接する素子による反射波の位相差Δφ及び反射角αは次式を満たす。【0030】Δφ=k・Δx・sinαα=sin-1[(λΔφ)/(2πΔx)]ただし、kは波数であり、2π/λに等しい。λは電波の波長である。波長に比べて十分大きなリフレクトアレイを構成するには、N個のマッシュルーム構造M1~MNの全体による反射位相差N・Δφが、360度(2πラジアン)になるように、隣接する素子同士の位相差Δφを設定したものを繰り返し並べるとよい。例えば、N=20の場合、Δφ=360/20=18度である。したがって、隣接する素子との反射位相差が18度であるように素子を設計し、それらを20個並べたものを繰り返し並べることにより、角度αの方向に電波を反射するリフレクトアレイを実現することができる。【0031】図6は、図2A、図3、図4に示すマッシュルーム構造の等価回路を示す。図6左側に示されるように、線pに沿って並ぶマッシュルーム構造の第1パッチ23と、線qに沿って並ぶマッシュルーム構造の第1パッチ23との間のギャップに起因して、キャパシタンスCが存在する。同様に、マッシュルーム構造の第2パッチ24に起因して、キャパシタンスC'が存在する。さらに、線pに沿って並ぶマッシュルーム構造のビアホール22、及び線qに沿って並ぶマッシュルーム構造のビアホール22に起因して、インダクタンスLが存在する。したがって、隣接するマッシュルーム構造の等価回路は、図6右側に示されるような回路になる。すなわち、等価回路において、インダクタンスLと、キャパシタンスCと、別のキャパシタンスC'とは、並列に接続されている。キャパシタンスC、インダクタンスL、表面インピーダンスZs及び反射係数Γは、次のように表すことができる。【0032】【数式1】数式(1)において、ε0は真空の誘電率を表し、εrは第1パッチ同士の間に介在する材料の比誘電率を表す。Δyはy軸方向のビアホール間隔を表す。Wyはy軸方向の第1パッチの長さを表す。したがって、Δy-Wyは、隣接する第1パッチ同士の隙間(ギャップ)の大きさを表す。このため、arccosh関数の引数は、ビアホール間隔Δyとギャップとの比率を表す。数式(2)において、μはビアホール同士の間に介在する材料の透磁率を表し、tは第1パッチ23の高さ(接地プレート21から第1パッチ23までの距離)を表す。数式(3)において、ωは角周波数を表し、jは虚数単位を表す。簡明化のためC'=Cとしているが、このことは必須ではない。数式(4)において、ηは自由空間インピーダンスを表し、Φは位相差を表す。【0033】図7は、マッシュルーム構造の第1パッチのサイズWyと反射位相との関係を示す。ただし、この場合のマッシュルーム構造は、図2Aの構造とは異なり、第2パッチ24が設けられていない従来のマッシュルーム構造である。すなわち、接地プレートに対して第1パッチが距離tを隔てて設けられているだけの構造である。図7には、3種類の距離tの各々について、第1パッチのサイズWyと反射位相との関係を表すグラフが示されている。t16は、距離tが1.6mmである場合のグラフを表す。t24は、距離tが2.4mmである場合のグラフを表す。t32は、距離tが3.2mmである場合のグラフを表す。なお、隣接するビアホール同士の間隔Δyは2.4mmである。【0034】グラフt16の場合、第1パッチのサイズWyが0.5mmから1.9mmに変化する場合、反射位相は140度から120度に緩慢にしか減少していないが、サイズWyが1.9mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、0度程度になる。【0035】グラフt24の場合も同様に、第1パッチのサイズWyが0.5mmから1.6mmに変化する場合、反射位相は120度から90に緩慢にしか減少していないが、サイズWyが1.6mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、-90度程度に達する。【0036】グラフt32の場合、第1パッチのサイズWyが0.5mmから2.3mmに変化する場合、反射位相は100度から-120度に徐々に減少している。【0037】このように、従来構造の場合、第1パッチWyを0.5mmから2.3mmまで変化させたとしても、反射位相の調整可能な範囲は、最も大きなt32の場合でさえ、+100度~-120度の高々220度程度でしかない。【0038】図8は、図2Aに示されるようなマッシュルーム構造の第1パッチのサイズWyと反射位相との関係を示す。接地プレート21に対して第1パッチ23が距離tを隔てて設けられ、さらに第2パッチ24も設けられている。図8には、3種類の距離tの各々について、第1パッチのサイズWyと反射位相との関係を表すグラフが示されている。t08は、距離tが0.8mmである場合のグラフを表す。t16は、距離tが1.6mmである場合のグラフを表す。t24は、距離tが2.4mmである場合のグラフを表す。なお、隣接するビアホール同士の間隔Δyは2.4mmである。【0039】グラフt08の場合、第1パッチのサイズWyが0.5mmから1.8mmに変化する場合、反射位相は160度から150度に僅かにしか減少していないが、サイズWyが1.8mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、10度程度になる。【0040】グラフt16の場合、第1パッチのサイズWyが0.5mmから1.7mmに変化する場合、反射位相は135度から60に緩慢にしか減少していないが、サイズWyが1.7mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、-150度程度に達する。【0041】グラフt24の場合、第1パッチのサイズWyが0.5mmから2.3mmに変化する場合、反射位相は100度から-150に徐々に減少している。【0042】このように、本実施例の第1構造において、第1パッチWyを0.5mmから2.3mmまで変化させた場合、反射位相の調整可能な範囲は、最も大きなt16の場合、+135度~-150度のように285度にも及ぶ。本実施例によれば、図2Aに示されるように第1パッチ23に加えて第2パッチ24を設けることで、反射位相の調整可能な範囲を拡大することができる。【0043】<<2.2リフレクトアレイ>>図5を参照しながら説明したように、隣接する素子との反射位相差が所定値であるように素子を設計し、それらを並べることで、角度αの方向に電波を反射するリフレクトアレイを実現することができる。例えば、18度ずつ反射位相差が異なる20個の素子を並べることで、リフレクトアレイが形成されてもよい。このようなリフレクトアレイを形成する場合、図7や図8のようなパッチサイズと反射位相差の相互関係に基づいて、素子のサイズが決定される。【0044】従来構造でリフレクトアレイを設計する場合、図7のグラフt32を参照しながら設計が行われる。例えば、反射位相0度の素子のパッチサイズWyは、1.9mmであり、反射位相+18度の素子のパッチサイズWyは1.8mmであり、反射位相+36度の素子のパッチサイズWyは1.7mmであること等が判明する。第1パッチの高さtとして、3.2mmの場合を選んだのは、それが最も広い反射位相のレンジを示したからである。このようにして割り出されたサイズのパッチを並べることで、リフレクトアレイを実現することができる。この場合、第1パッチWyを0.5mmから2.3mmまで変化させたとしても、位相差の最大値は高々220度である。位相差の最大値は理想的には360度(=2πラジアン)である。その結果、所望の位相差を実現する素子全てをリフレクトアレイに設けることはできず、リフレクトアレイの特性は、理想的なものから幾分逸脱したものになる。【0045】本実施例の第1構造によりリフレクトアレイを設計する場合、図8のグラフt16を参照しながら設計が行われる。例えば、反射位相0度の素子のパッチサイズWyは、1.9mmであり、反射位相+18度の素子のパッチサイズWyは1.75mmであり、反射位相+36度の素子のパッチサイズWyは1.7mmであること等が判明する。第1パッチの高さtとして、1.6mmの場合を選んだのは、それが最も広い反射位相のレンジを示したからである。このようにして割り出されたパッチサイズのパッチを並べることで、リフレクトアレイを実現することができる。この場合、第1パッチWyを0.5mmから2.3mmまで変化させた場合、位相差の最大値は285度にも及び、理想的な360度(=2πラジアン)に近づく。その結果、所望の位相差を実現する素子を、より多くリフレクトアレイに設けることができ、リフレクトアレイの特性は、理想的なものに近づく。後述するように、所定の条件の下で45度の方向に反射するリフレクトアレイを実現する際、反射位相差が18度ずつ異なる素子が、理想的には20個必要になる。本実施例の場合、実際にこのうち14個(20個の7割)も作成できた。これに対して従来構造の場合、位相差の最大値が高々220度であるため、理論的には220度÷18度≒12.2から最大でも12個しか作成することができず、実用的に作成できるのは4個程度にとどまる。【0046】<<2.2.1反射角45度のリフレクトアレイ>>図9は、第1構造を利用したリフレクトアレイの部分断面図を示す。リフレクトアレイは、L1層、L2層及びL3層の3つの導電層と、各導電層間の誘電体層とを有する。一例として、導電層は例えば銅を含む材料で構成されている。また、誘電体層は、比誘電率が4.4であり、tanδが0.018である材料で構成されている。L1層及びL2層間には0.8mmの厚さの誘電体層が介在している。L2層及びL3層間には1.6mmの厚さの誘電体層が介在している。L1層は図2Aにおける第2パッチ24に対応する。L2層は図2Aにおける第1パッチ23に対応する。L3層は接地プレート21に対応する。したがって、L2層及びL3層間の貫通孔はビアホール22に対応する。【0047】図10は、L1層、L2層及びL3層の平面図を概略的に示す。図2Aに示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。図示の例の場合、y軸方向に伸びる7列の帯の1つは、14×130個の素子を含んでいる。素子間の間隔は2.4mmである。図示のリフレクトアレイは、電波を入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、y軸方向に伸びる1つの帯(列)は、x軸方向の両端で反射位相が2π変化するように設計されている。理想的には20個の素子により、反射位相が2π変化することが望ましいが、製造上の制約等の理由により14個の素子が使用されている。このため、x軸方向の1周期48mm(=2.4×20)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図10及び図11において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。帯又は列を複数個並べてサイズを適宜調整できることは、水平方向(x軸方向)に電波を反射させる用途だけでなく、後述するような垂直方向に電波を反射させる用途にも応用可能である。第1構造だけでなく、後述の第2構造、第3構造さらには組み合わせ構造にも応用可能である。【0048】図11は図10のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行に関し、x軸方向に14個の素子が並んでいる。A部はL2層の一部なので、14個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これらx軸方向に並んでいる14個の素子の各々は、隣接する素子と所定の位相差(18度=360度/20)を有するように設計されている。【0049】図12は、それら14個の素子の寸法(パッチサイズWy)と反射位相の具体的な数値例を示す。図中、「設計位相」は、理想的な設計値を示し、「実際の位相」は、実現できた実際の位相を示す。図13は、FR4基板を用いて作成されたマッシュルーム構造の素子に関する具体的な数値例を示す。図12及び図13に示される数値例は、電界が図10のy軸方向を向いて、Z軸方向から入射する電波を、偏波面に対して横方向、すなわち図10のx軸の方向に、45度の角度で反射させる、水平制御の観点から決定されている。【0050】図14は、従来構造及び本実施例の第1構造によるリフレクトアレイ(グラフA、B)各々に対する特性比較例(水平制御の遠方散乱界の比較例)を示す。いずれのリフレクトアレイも、電波の到来方向に対して、水平-45度の方向に電波を反射するように設計されている。この場合において、電波の周波数は8.8GHz(=c/λ)であり、隣接する素子同士の反射位相差Δφは18度(=360/20)であり、素子間の寸法Δxは2.4mmであるとする。この場合、反射角αは、図5を参照しながら説明したように、α=arcsin[(λΔφ)/(2πΔx)]=arcsin(λ8.8GHz・18度/(2π・2.4mm))≒45.21度となる。このため、グラフAもBも-45度において大きなピークを示している。-45度以外の方向に反射する電波は、不要反射波である。グラフAにより示されているように、従来構造の場合、-45度だけでなく、0度、+45度、60度等の方向にも大きな反射が生じている。さらに、+70度ないし+150度にかけて比較的高いレベルの反射も観測されている。これに対して、グラフBに示されるように、本実施例の第1構造の場合、0度、+45度、+60度、+70度ないし+150度等における不要反射波は、かなり抑制されていることが分かる。【0051】図15は、図14のグラフB(本実施例の場合のグラフ)に関する遠方放射界を極座標形式で示す。【0052】図16は、本実施例の第1構造を使用したリフレクトアレイによる反射波の等位相面を示す。x軸に沿って14個の素子(第1構造のマッシュルーム構造)が並んでおり、z軸方向から電波が到来し、z軸に対して、ZX面上にθ=-45度の方向に電波が反射している。等位相面の法線は、z軸に対して-45度の方向を向いており、この方向に反射波が適切に進んでいることが分かる。【0053】<<2.2.2反射角70度のリフレクトアレイ>>図10~図16(図13を除く)に示した数値例は、入射方向に対して水平45度の方向に反射させる観点から選ばれていた。本実施例は、45度に限定されず、任意の方向に電波を反射するリフレクトアレイを形成できる。【0054】図17は、入射方向に対して水平70度の方向に反射させるリフレクトアレイにおける導電層L1層~L3層を示す。L1層、L2層及びL3層の層構造は、図9に示したものと同じである。この例の場合、y軸方向に伸びる9列の帯の1つは、11×128個の素子を含んでいる。素子間の間隔は2.4mmである。隣接する素子同士の反射位相差は、24度であるように設計されている。すなわち、y軸方向に伸びる1つの帯(列)は、x軸方向における両端で反射位相が2π変化するように設計されている。理想的には15個の素子により、反射位相が2π変化することが望ましいが、設計上の制約などの理由により11個の素子が使用されている。このため、x軸方向の1周期36mm(=2.4×15)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図17及び図18において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0055】図18は図17のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行に関し、x軸方向に11個の素子が並んでいる。11個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これらx軸方向に並ぶ11個の素子の各々は、隣接する素子と所定の位相差(24度=360度/15)を有する。【0056】図19は、それら11個の素子の寸法(パッチサイズWy)と反射位相の具体的な数値例を示す。図中、「設計位相」は、理想的な設計値を示し、「使用パッチの位相」は、実現できた実際の位相を示す。なお、この設計例においても図13に示される数値が使用されている(ただし、x軸方向の1サイクル長は36mmである。)。【0057】<<2.3第1及び第2パッチの相互関係>>ところで、図2Aでは説明の簡明化のために第1パッチ23と、無給電素子の第2パッチ24のx方向及びy方向の寸法は同じであることを前提とした。しかしながら、このことは本実施例に必須ではなく、第1パッチ23の寸法と、無給電素子の第2パッチ24の寸法は異なってもよい。【0058】図20は、図2Aと同様に、第1パッチ23の上に第2パッチが設けられているマッシュルーム構造を、具体的な数値例とともに示す。図20には、第1及び第2パッチ間の寸法を変化させた場合、並びに第2パッチの面積を変化させた場合に、反射位相を従来よりもどの程度拡大できたかを示す表も示されている。表において、第1及び第2パッチ間の間隔が、0.4mmの場合と0.8mmの場合とが比較されている。また、第2パッチが第1パッチと同じサイズの場合(サイズ1倍)と、第2パッチが第1パッチを95%に縮小したものである場合(サイズ0.95倍)とが比較されている。表に示されているように、間隔を0.8mmとし、第2パッチを縮小しなかった場合(サイズ1倍)に、反射位相の拡大効果は最も大きくなった(+39.3度)。なお、反射位相の拡大効果は、基準となるマッシュルーム構造に対するものである。基準マッシュルーム構造とは、パッチが多層化されていない従来構造である図2Aでは第1パッチ23よりも第2パッチ24の方が、接地プレート21から遠く隔たっていたが、このことも本実施例に必須ではない。第1パッチ23よりも第2パッチ24の方が接地プレート21に近くてもよい。【0059】図21は、図2Aと同様に、第1パッチ23よりも第2パッチ24の方が、接地プレート21から遠く隔たっている場合の構造と、その構造に対するシミュレーション結果を示す。第1及び第2パッチの位置関係が逆の場合については、図22を参照しながら説明される。図21のシミュレーション結果は、パッチサイズWyが1.0mm、1.6mm、2.3mmである場合の各々について、基準マッシュルーム構造による反射位相と、本実施例の多層マッシュルーム構造による反射位相との比較例を示す。基準マッシュルーム構造の場合、パッチサイズWyが2.3mmの場合に、約167.4度の範囲にわたって反射位相を変えることができる。これに対して、本実施例による多層マッシュルーム構造の場合、パッチサイズWyが1.6mmの場合に、約179.7度の範囲にわたって反射位相を変えることができ、反射位相のレンジを約12.3度拡大できている。図21においてDSPAGで示される値(パッチの高さ又はビアの高さ)を3.2mmとし、第1及び第2パッチ間の距離Dsb-2の値を0.4mmとした場合において、無給電素子の第2パッチを第1パッチと同じサイズにした場合、ギャップを介して隣接する第1パッチ同士の間と、第1及び第2パッチ間との双方でキャパシタンスを増やす効果が認められた。これに対して、無給電素子の第2パッチを第1パッチの0.5倍のサイズにした場合、第1及び第2パッチ間でのみキャパシタンスを増やす効果が認められた。【0060】図22は、図2Aとは異なり、第1パッチ23よりも第2パッチ24の方が、接地プレート21に近い場合の構造と、その構造に対するシミュレーション結果を示す。図において、ビアホールが第2パッチを貫通しているが、電気的な接続はされておらず、給電はされていない。シミュレーション結果は、パッチサイズWyが1.0mm、1.6mm、2.3mmである場合の各々について、基準マッシュルーム構造による反射位相と、本実施例の多層マッシュルーム構造による反射位相との比較例を示す。このような構造で図示の寸法の場合、基準マッシュルーム構造による反射位相のレンジの方が、多層マッシュルーム構造の場合よりも広いことが分かった。図22においてDsとして示される値(第1及び第2パッチ間の距離)を0.4mmとし、第2パッチの面積が第1パッチの面積の何倍であるかを示す量SCを0.5とした場合、主に、第1及び第2パッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を3.2mmとし、SCを1.0とした場合、主に、ギャップを介して隣接するパッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を0.4mmとし、SCを1.0とした場合、ギャップを介して隣接する第1パッチ間と、第1及び第2パッチ間との双方でキャパシタンスを増やす効果が認められた。【0061】図23も、図2Aとは異なり、第1パッチ23よりも第2パッチ24の方が、接地プレート21に近い場合の構造と、その構造に対するシミュレーション結果を示す。シミュレーション結果は、パッチサイズWyが1.0mm、1.6mm、2.3mmである場合の各々について、基準マッシュルーム構造による反射位相と、本実施例の多層マッシュルーム構造による反射位相との比較例示す。基準マッシュルーム構造の場合、パッチサイズWyが2.3mmの場合に、約167.4度の範囲にわたって反射位相を変えることができる。これに対して、本実施例による多層マッシュルーム構造の場合、パッチサイズWyが1.6mmの場合に、約178.6度の範囲にわたって反射位相を変えることができ、反射位相のレンジを約11.2度拡大できた。図23においてDsとして示される値(第1及び第2パッチ間の距離)を0.4mmとし、第2パッチの面積が第1パッチの面積の何倍であるかを示す量SCを0.5とした場合、主に、第1及び第2パッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を3.2mmとし、SCを1.0とした場合、主に、ギャップを介して隣接するパッチ間において、キャパシタンスを増やす効果が認められた。Dsの値を0.4mmとし、SCを1.0とした場合、ギャップを介して隣接するパッチ間と、第1及び第2パッチ間との双方でキャパシタンスを増やす効果が認められた。【0062】<2.4より一般的な多層マッシュルーム構造>図2A等に示されているマッシュルーム構造のパッチは、第1及び第2の2つしか備えていないが、上述したように、このことは本発明に必須ではない。接地プレート上に3つ以上のパッチが多層化されていてもよい。【0063】図2Bは、接地プレート上にn個のパッチL1、L2、L3、...Lnが並列的に多層化されているマッシュルーム構造を示す。最下位の層L0は接地プレートに対応する。図2Bに示されている構造は、図2Aに示されているマッシュルーム構造の代わりに使用可能である。後述する多の構造におけるマッシュルーム構造として使用されてもよい。図示の例では、各パッチのx軸方向及びy軸方向の寸法は、それぞれWx及びWyであるとして揃っているが、このことも必須ではない。適切な如何なるサイズが使用されてもよい。また、多層化されているパッチ間の間隔t、t1、t2、...も一律に揃っている必要はない。説明の便宜上、接地プレート上のパッチL1~Lnは全て同じサイズWx及びWyを有し、多層化されているパッチ間の間隔は互いに等しいものとする。したがって、同一平面内で隣接するパッチ同士の隙間(ギャップ)は、どの層においても等しい。【0064】図2Cは図2Bに示されるマッシュルーム構造の概略構造(左)及び等価回路図(右)を示す。同一平面内で互いにギャップを隔てて隣接するパッチによりキャパシタンスが生じる。この点は図2Aの構造と同じであり、そのようなキャパシタンスが、多層化されている層毎に得られる。図2Bの構造の場合、L1~Lnのn個の平面すなわちn個の層において、キャパシタンスが層毎に発生する。このため、等価回路は、図2C右側に示すような回路図になる。この場合、表面インピーダンスZsは、(jωL)/(1-nω2LC)として、近似的に取り扱うことができる。【0065】図2Dは、マッシュルーム構造のパッチ数(レイヤ数)が異なる様々な構造各々について、パッチサイズWy及び反射位相の関係をシミュレーションした結果を示す。図中、「1-Layer」とあるのは、接地プレート上に1層のパッチしか存在しない従来構造に対するシミュレーション結果を示す。従来構造の場合、表面インピーダンスZsは、(jωL)/(1-ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に1層のパッチしか存在しない構造を、有限要素法でシミュレーションした場合の結果が、丸印でプロットされている。図中、「2-Layer」は、接地プレート上に2層のパッチが存在する図2Aの構造に対するシミュレーション結果を示す。上述したように、この場合、表面インピーダンスZsは、(jωL)/(1-2ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に2層のパッチが存在する構造を、有限要素法でシミュレーションした場合の結果が、四角印でプロットされている。【0066】「3-Layer」は、接地プレート上に3層のパッチが存在する図2Bの構造に対するシミュレーション結果を示す。この場合、表面インピーダンスZsは、(jωL)/(1-3ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に3層のパッチが存在する構造を、有限要素法でシミュレーションした場合の結果が、逆三角印でプロットされている。【0067】「4-Layer」は、接地プレート上に4層のパッチが存在する図2Bの構造に対するシミュレーション結果を示す。この場合、表面インピーダンスZsは、(jωL)/(1-4ω2LC)として、近似的に取り扱うことができる。この表面インピーダンスZsに基づいて、反射位相を計算した場合のグラフが、図中、実線で表現されている。これに対して、そのような数式によらず、接地プレート上に4層のパッチが存在する構造を、有限要素法でシミュレーションした場合の結果が、三角印でプロットされている。【0068】各グラフを参照するに、Zs=(jωL)/(1-nω2LC)に基づく実線と、有限要素法による計算結果は、比較的一致していることがわかる。これは、マッシュルーム構造のパッチをn層に多層化することで、容量が近似的にn倍に増えることを意味する。したがって、マッシュルーム構造のパッチを多層化することで、容量を制御することができる。【0069】図示の例によれば、多層化する層数(レイヤ数)が増えた場合、パッチサイズが大きくなるにつれて、Zsの計算式と有限要素法のシミュレーション結果との間のずれが大きくなっている。これは、マッシュルーム構造のレイヤ数が増えるにつれて、マッシュルーム構造全体を1つの集中素子として取り扱うことが妥当でなくなることを示す。したがって、レイヤ数が多い場合、及びパッチサイズが大きくい場合、Zsの理論式(Zs=(jωL)/(1-nω2LC))よりも、有限要素法等による実際のシミュレーション結果に基づいて設計することが好ましい。【0070】<3.第2構造>上記の第1構造は、無給電素子のパッチを付加してパッチを多層化することで、キャパシタンスCを増やしていた。本実施例の第2構造は、キャパシタンスCではなくインダクタンスLに着目する。【0071】図24は、第2構造で使用可能なマッシュルーム構造を示す。図24には、接地プレート121、ビアホール122、パッチ123が示されている。【0072】接地プレート121は、多数のマッシュルーム構造に対して共通の電位を供給する導体である。Δx及びΔyは、隣接するマッシュルーム構造におけるビアホール間のx軸方向の間隔及びy軸方向の間隔を示す。Δx及びΔyは、マッシュルーム構造1つ分に対応する接地プレート121のサイズを表す。一般に、接地プレート121は、多数のマッシュルーム構造が並んだアレイと同程度に大きい。【0073】ビアホール122は、接地プレート121とパッチ123とを電気的に短絡するために設けられる。パッチ123は、x軸方向にWxの長さを有し、y軸方向にWyの長さを有する。パッチ123は、接地プレート121に対して平行に距離tを隔てて設けられ、ビアホール122を介して接地プレート121に短絡される。一例として、パッチ123は、接地プレート121から1.6mm隔てて設けられている。【0074】図25は、x軸方向に並んだマッシュルーム構造M1~MNに対して、z軸∞方向から電波が到来し、反射される様子を模式的に示す。反射波は、入射方向(z軸方向)に対して角度αをなすとする。ビアホール間の間隔がΔxであったとすると、隣接するマッシュルーム構造(素子)による反射波の位相差Δφ及び反射角αは次式を満たす。【0075】Δφ=k・Δx・sinαα=arcsin[(λΔφ)/(2πΔx)]ただし、kは波数であり、2π/λに等しい。λは電波の波長である。N個のマッシュルーム構造M1~MNの全体による反射位相差N・Δφが、360度(2πラジアン)になるように、隣接する素子同士の位相差Δφが設定される。例えば、N=20の場合、Δφ=360/20=18度である。したがって、隣接する素子との反射位相差が18度であるように素子を設計し、それらを20個並べることで、角度αの方向に電波を反射するリフレクトアレイを実現することができる。【0076】図26は、図24に示すマッシュルーム構造の等価回路を示す。図26左側に示されるように、あるマッシュルーム構造のパッチ123と、y軸方向に隣接するマッシュルーム構造のパッチ123との間のギャップに起因して、キャパシタンスCが存在する。さらに、あるマッシュルーム構造のビアホール122及びy軸方向に隣接するマッシュルーム構造のビアホール122に起因して、インダクタンスLが存在する。したがって、隣接するマッシュルーム構造の等価回路は、図26右側に示されるような回路になる。すなわち、等価回路において、インダクタンスLとキャパシタンスCは、並列に接続されている。キャパシタンスC、インダクタンスL、表面インピーダンスZs及び反射係数Γは、次のように表すことができる。【0077】【数式2】数式(5)において、ε0は真空の誘電率を表し、εrはパッチ同士の間に介在する材料の比誘電率を表す。Δyはビアホール間の間隔を表す。Wyはパッチのサイズを表す。したがって、Δy-Wyは、ギャップの大きさを表す。数式(6)において、μはビアホール同士の間に介在する材料の透磁率を表し、tはビアホール122の高さ(接地プレート121からパッチ123までの距離)を表す。数式(7)において、ωは角周波数を表し、jは虚数単位を表す。数式(8)において、ηは自由空間インピーダンスを表し、Φは位相差を表す。【0078】上記の数式(5)を参照するに、インダクタンスLは、パッチ123の高さ(接地プレート121及びパッチ123間の距離)に比例している。したがって、図24に示されるようなマッシュルーム構造において、パッチ123の高さtを変えることで、インダクタンスL、すなわち共振周波数を変えることができる。【0079】図27は、図24に示すようなマッシュルーム構造のパッチのサイズWyと反射位相との関係を示す。図中、実線は理論値を示し、丸印でプロットされているものは有限要素法解析によるシミュレーション値を示す。図27には、4種類の高さtの各々について、パッチのサイズWyと反射位相との関係を表すグラフが示されている。t02は距離tが0.2mmである場合のグラフを表す。t08は距離tが0.8mmである場合のグラフを表す。t16は距離tが1.6mmである場合のグラフを表す。t24は距離tが2.4mmである場合のグラフを表す。ビアホール間隔Δyは、一例として2.4mmである。【0080】グラフt02の場合、パッチのサイズWyが0.5mmから2.3mmまで変化しても、反射位相は180度のままである。【0081】グラフt08の場合も、パッチのサイズWyが0.5mmから2.3mmまで変化しても、反射位相は162度のままである。【0082】グラフt16の場合、パッチのサイズWyが0.5mmから2.1mmに変化する場合、反射位相は144度から126度に緩慢にしか減少していないが、サイズWyが2.1mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、シミュレーション値(丸印)で54度及び理論値(実線)で0度に達する。【0083】グラフt24の場合、パッチのサイズWyが0.5mmから1.7mmに変化する場合、反射位相は117度から90度に緩慢にしか減少していないが、サイズWyが1.7mmより大きくなると、反射位相は急激に減少し、サイズWyが2.3mmの場合に、反射位相は、-90度に達する。【0084】このように、マッシュルーム構造におけるパッチの高さtが異なる場合、パッチのサイズを変えることで実現できる反射位相の範囲も変わる。したがって、マッシュルーム構造の素子を並べてリフレクトアレイを実現する場合、パッチ高さtが異なる構造を組み合わせることで、反射位相が適切に変化するマッシュルーム構造の列を実現でき、反射特性が優れたリフレクトアレイを実現することができる。【0085】本実施例の第2構造によるリフレクトアレイを設計する場合、図27のグラフt02、t08、t16、t24を参照し、所望の反射位相を実現するパッチサイズを決定する。例えば、t=2.4mmのグラフt24においてパッチサイズWyを2.2mmにすることで、反射位相0度の素子を実現し、t=2.4mmのグラフt24においてパッチサイズWyを2mmにすることで反射位相72度を実現し、t=1.6mmにおいてパッチサイズWyを1mmにすることで、反射位相144度を実現することができる。このようにして割り出されたパッチサイズのパッチを並べることで、リフレクトアレイを実現することができる。【0086】図28は、パッチ高さが異なるマッシュルーム構造が並んでいる様子を模試的に示す。図示の例では、パッチ高さとしてt1、t2及びt3の3種類がある。例えばt=t1のような特定のパッチ高さだけであった場合、反射位相が徐々に変化するマッシュルーム構造を十分な数だけ用意することができないかもしれない。しかしながら、t=t2及びt3のパッチ高さの構造も併用することで、設計の自由度が広がり、適切な反射位相の素子を実現しやすくなる。【0087】図28に示す例では、接地プレートからの高さが異なる複数のパッチが、同一平面に存在するように形成されている。しかしながら、このことは本発明に必須でなく、接地プレートからの高さが異なる複数のパッチは、同一平面に存在しなくてもよい。【0088】図29は、接地プレートからパッチまでの高さが異なる複数のマッシュルーム構造について、接地プレート121が共通に設けられている様子を示す。その代わり、全てのパッチ123が同一平面には存在していない。【0089】図30は、さらに別の例を示す。図28に示す例と同様に、接地プレートからの高さが異なる複数のパッチが、同一平面に存在するように形成されている。図28では接地プレートが多層に形成されていたのに対して、図30では、接地プレートは多層には形成されていない。言い換えれば、ある接地プレートの下側に、別の接地プレートが存在しないように、接地プレートが適宜除去されている。このような構造は、接地プレートに起因する不要な反射を抑制する観点から好ましい。【0090】<4.第3構造>上記の第1構造は、無給電パッチを付加して複数のパッチを互いに並列的に多層化することで、キャパシタンスCを増やしていた。本実施例の第3構造は、ギャップを規定するパッチ同士の位置関係を工夫することで、キャパシタンスCを増やす。第3構造においても図24に示されるようなマッシュルーム構造が使用されてよい。すなわち、接地プレート121に対して、距離tを隔ててパッチ123が設けられ、パッチ123はビアホール122を介して接地プレート121に短絡されている。隣接するマッシュルーム構造におけるビアホール間のx軸方向の間隔及びy軸方向の間隔は、それぞれΔx及びΔyである。パッチ123は、x軸方向にWxの長さを有し、y軸方向にWyの長さを有する。あるいは、第3構造において、図2Aや図2Bに示すようなマッシュルーム構造が使用されてもよい。その場合、パッチ123に加えて、第2パッチ24が設けられる。説明の簡明化のため、第3構造は、図24に示されるようなマッシュルーム構造を使用するものとする。【0091】図25を参照しながら説明したように、マッシュルーム構造の素子M1~MNをx軸方向に並べ、各素子による反射波の位相差が、ある関係を満たすようにすることで、反射波を所望の方向に向けることができる。【0092】図24に示すようなマッシュルーム構造の場合、等価回路は図26に示されるような回路であった。したがって、等価回路のキャパシタンスC、インダクタンスL、表面インピーダンスZs及び反射係数Γは、次のように表すことができる。【0093】【数式3】各数式における記号は第2構造において説明したとおりである。【0094】数式(5)を参照するに、Δy-Wyは、隣接するパッチ同士の隙間(ギャップ)の大きさを表す。したがって、arccosh関数の引数は、ビアホール間隔Δyと、ギャップとの比率を表す。【0095】図31は、図24に示されるようなマッシュルーム構造に対するキャパシタンスCと反射位相の関係を示すシミュレーション結果である。シミュレーションは、キャパシタンスとインダクタンスは独立に変化することを仮定して行われた。図示の例では、パッチ高さtの値が、0.4mm、0.8mm、1.2mm、1.6mm、2.4mm及び3.2mmである場合の各々について、キャパシタンスCと反射位相との関係のシミュレーション結果が示されている。図31から分かるように、+180度ないし-180度の全範囲にわたって反射位相を実現するには、キャパシタンスのレンジが広くなければならないことが分かる。【0096】上記の数式(5)によれば、マッシュルーム構造におけるキャパシタンスCは、ギャップ(Δy-Wy)が狭くなるにつれて、より大きな値になる。逆に言えば、キャパシタンスCを大きくするには、ギャップを狭くする必要がある。しかしながら、主に製造工程上の制約に起因して、非常に狭いギャップを高精度に製造することは容易ではない。例えば、0.1mm未満のギャップを高精度に製造することは容易でない。このため、このマッシュルーム構造を使用する従来技術の場合、大きなキャパシタンスの値を実現できない、という問題があった。【0097】図32は、本実施例の第3構造の概念図を示す。3つの平行な線p1~p3の各々に沿ってマッシュルーム構造が整列している。説明の便宜上、列数及びマッシュルーム構造数をそれぞれ3つにしているが、列数やマッシュルーム構造数が、実際にはさらに大きな値になることは当業者にとって明らかである。便宜上、線piに沿って整列しているパッチをpijと書くことにする。パッチp13及びp23は、最も広いギャップを隔てて隣接している。同様に、パッチp23及びp33も最も広いギャップを隔てて隣接している。このため、これらのパッチpi3(i=1~3)により形成されるキャパシタンスC3は、小さな値になる。パッチp12及びp22は、より狭いギャップを隔てて隣接している。同様に、パッチp22及びp32も狭いギャップを隔てて隣接している。このため、これらのパッチpi2(i=1~3)により形成されるキャパシタンスC2は、C3より大きな値になる。パッチpi1及びpi2(i=1~3)の各々は、同一平面内に設けられている。これに対して、パッチp11及びp21は、同一平面内ではなく、異なる平面内に位置し、距離を隔てて互いに一部重なっている。同様に、パッチp21及びp31も、同一平面内ではなく、異なる平面内に位置し、距離を隔てて互いに一部重なっている(パッチp11及びp31は同一平面内にある)。このため、これらのパッチpi1により形成されるキャパシタンスC1は、C2より大きな値になる。このように第3構造では、隣接する少なくとも一部のパッチ同士が距離を隔てて互いに重なることで、単に同一平面でギャップを形成していた場合よりも、大きなキャパシタンスを実現できるようにする。【0098】図33は、第3構造におけるパッチの位置関係を平面図(左側)及び断面図(右側)により示している。便宜上、7行3列の形式にパッチが並んでいるが、行数及び列数は任意である。従来の構造と同様に、第4行ないし第7行のパッチの場合、隣接する列のパッチ同士が同一平面内で隙間(ギャップ)を形成している。従来は、同一平面内におけるギャップを狭く形成する際の製造限界に起因して、例えば第4行ないし第7行のような位置関係のマッシュルーム構造のみを利用して、リフレクトアレイを形成せざるを得なかった。このため、さらに大きな容量に対応する反射位相が必要であったとしても、そのような反射位相をもたらすマッシュルーム構造を得ることはできなかった。例えば、図27において、パッチ長さWyは、2.3mmが上限になっている。パッチ同士の間隔Δyは、2.4mmであるので、パッチ長さWyが2.3mmの場合、ギャップは、Δy-Wy=0.1mmとなり、パッチ長さの上限は、実現可能なギャップの長さに対応する。【0099】これに対して、第1行ないし第3行のパッチの場合、隣接する列のパッチ同士は、同一平面にはない。図示の例の場合、第1行から第3行に属するパッチの内、第2列に属するパッチの高さは、第1列及び第3列に属するパッチの高さより高い。これにより、隣接する列のパッチ同士が、より大きな容量を形成することができる。隣接する列のパッチ同士は重なることが許容されるので、パッチ長さWyは、2Δy未満であれば、Δy以上でもよい。代替例として、第2列のパッチの高さが、第1及び第3列のパッチの高さより低くなっていてもよい。【0100】図27の右下側に示されているグラフOVは、重なりを許容することでパッチ長さWyを2.3mm以上に延長した場合のシミュレーション結果を示す。隣接するパッチに対して重なりを許容することで、従来の限界であった-90度を超えて、ほぼ-180度に達する反射位相を実現できることが分かる。このように第3構造によれば、達成可能な反射位相のレンジを拡大することができる。【0101】ところで、図32や図33に示されているように、隣接する列のパッチ同士の重なりを許容する場合、隣接するパッチの接地プレートからの距離(高さ)tは、厳密には同一でない。上記の数式(6)によれば、パッチの高さtは、インダクタンスLに影響する(L=μt)。したがって、あるパッチ高さtに関するパッチ長さWyと反射位相の関係を示すグラフ(例えば、t24)と、重なりを許容した場合のパッチ長さWyと反射位相の関係を示すグラフ(OV)は、厳密には連続的にならない。前提とするパッチ高さが厳密には異なり、それに応じて共振周波数が変わるからである。しかしながら、第3構造において、重なっているパッチ同士のパッチ高さの相違が、比較的小さい場合、図27に示されるように、グラフt24とグラフOVは、連続的になる。ただし、これらのグラフを連続的にすること(すなわち、隣接するパッチ高さの相違が無視できる程度に小さいこと)は、本実施例において必須ではない。グラフOVとして示されるグラフが、グラフt24から離れた位置にあったとしても、適切な反射位相が設計可能であればよいからである。【0102】<5.変形例><<5.1パッチ配列>>第1ないし第3構造における上記のパッチは、ビアが並んでいる線(図4のp、q、図33の列)に対して対称的に形成されていた。そして、y軸方向のパッチサイズWyをその線に沿って徐々に変えることで、広狭様々なギャップが形成されていた。しかしながら、このようなパッチの並べ方は本発明に必須ではなく、様々なパッチ配列が考えられる。【0103】例えば、図34Aに示すようにして、パッチ及びギャップが形成されてもよい。x軸方向にWxの長さを有するパッチp11、p12、p13、p14が、y軸方向に間隔Δyを隔てて並んでいる。第1のパッチp11は、y軸方向に2Wy1の長さを有する。第2のパッチp12は、y軸方向にWy1+Wy2の長さを有する。第3のパッチp13は、y軸方向にWy2+Wy3の長さを有する。第4のパッチp14は、y軸方向にWy3+Wy4の長さを有する。したがって、第1及び第2のパッチ間の隙間(ギャップ)は、Δy-2Wy1=gy1である。同様に、第2及び第3のパッチ間のギャップは、Δy-2Wy2=gy2である。第3及び第4のパッチ間のギャップは、Δy-2Wy3=gy3である。4つのパッチp11、p12、p13、p14は、それぞれ異なる寸法を有するが、パッチ同士の間の中心間距離は、全て等しい(Δy)。これらのパッチを用いてリフレクタアレイを作成する場合、図5及び図25において説明したように、隣接するパッチとの間で所定の位相差ΔΦを実現する必要がある。この位相差ΔΦは、電波の反射角α及びパッチの中心間距離Δyに対して次式を満たす必要がある。【0104】ΔΦ=k・Δy・sinαここで、kは波数を表す(k=2π/λ)。【0105】図35は、図34Aに示されるようなパッチ及びギャップを形成することで、リフレクトアレイを形成した場合の概念的な平面図を示す。図35に示されているパッチは、不図示のビアホールを介して接地プレートに接続されている。【0106】<<5.2垂直制御>>図3、図4、図11、図18及び図33の構造では、電界がy軸方向を向いてZ軸方向から入射する波は、電界の方向に対して横方向、すなわちx軸方向に反射する(水平制御)。これに対して、図34A、B及び図35の構造においては、電界がy軸方向を向いてZ軸方向から入射する波は、電界と同じ方向すなわちy軸方向に反射する(垂直制御)。言い換えれば、電波を反射させたい方向に沿って、素子同士の間の位相差を変化させることで(例えば、キャパシタンスC及び/又はインダクタンスLを変化させることで)、入射する電波を所望の方向に反射させることができる。説明の便宜上、z軸から入射した電波を、x軸方向に反射させる場合が水平制御と言及され、y軸方向に反射させる場合が垂直制御と言及されているが、水平及び垂直は便宜的な相対的な概念である。【0107】<<5.3第1構造を利用した場合(反射角45度)>>図36は、電波を反射するリフレクトアレイを形成する際、第1構造が使用されている様子を示す部分断面図を示す。図示の層構造は、図9において説明したものと同じである。ただし、図34A、B及び図35に示されるようなパッチ及びギャップの形成法が使用されている点が異なる。リフレクトアレイは、L1層、L2層及びL3層の3つの導電層と、各導電層間の誘電体層とを有する。一例として、導電層は例えば銅を含む材料で構成されている。また、誘電体層は、比誘電率が4.4であり、tanδが0.018である材料で構成されている。L1層及びL2層間には0.8mmの厚さの誘電体層が介在している。L2層及びL3層間には1.6mmの厚さの誘電体層が介在している。L1層は図2Aにおける第2パッチ24に対応する。L2層は図2Aにおける第1パッチ23に対応する。L3層は接地プレート21に対応する。したがって、L2層及びL3層間の貫通孔はビアホール22に対応する。【0108】図37は、L1層、L2層及びL3層の平面図を概略的に示す。図2Aに示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。この点は、図10と同じである。図示の例の場合、x軸方向に伸びる7列の帯の1つは、15×131個の素子を含んでいる。素子間の間隔は2.4mmである。図示のリフレクトアレイは、電界がy軸方向を向いてZ軸から入射する波をy軸方向、すなわち垂直方向に入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、x軸方向に伸びる1つの帯(列)は、帯のy軸方向における両端で反射位相が2π変化するように設計されている。理想的には20個の素子により、反射位相が2π変化することが望ましいが、製造上の制約等の理由により15個の素子が使用されている。このため、y軸方向の1周期48mm(=2.4×20)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図37及び図38において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0109】図38は図37のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの列(y軸方向)に関し、15個の素子が並んでいる。15個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これら15個の素子の各々は、隣接する素子と所定の位相差(18度=360度/20)を有する。【0110】図39は、y軸方向に用意する素子数を12個にした場合の数値例を示す。図39に示す数値例も、電波の入射方向に対して45度の角度で反射波を形成するためのものである。【0111】<<5.4第1構造を利用した場合(反射角70度)>>図37~図39に示した数値例は、電波を入射方向に対して45度の方向に反射させる観点から決定されていた。本実施例は、45度に限定されず、任意の方向に電波を反射するリフレクトアレイを形成できる。【0112】図40は、電波を入射方向に対して70度の方向に反射させるリフレクトアレイにおけるL1層、L2層及びL3層を示す。L1層、L2層及びL3層の層構造は、図9及び図36に示したものと同じである。この例の場合、x軸方向に伸びる9列の帯の1つは、12×129個の素子を含んでいる。素子間の間隔は2.4mmである。隣接する素子同士の反射位相差は、24度であるように設計されている。すなわち、x軸方向に伸びる1つの帯(列)は、y軸方向における両端で反射位相が2π変化するように設計されている。理想的には15個の素子により、反射位相が2π変化することが望ましいが、製造上の制約などの理由により12個の素子が使用されている。このため、y軸方向の1周期36mm(=2.4×15)の中で、素子が形成されていない領域が存在する。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図40及び図41において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0113】図41は図40のL2層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの列(y軸方向)に関し、12個の素子が並んでいる。12個の矩形の1つ1つは、Wx及びWyのサイズを有する第1パッチ23(図2A)に対応する。これら12個の素子の各々は、隣接する素子と所定の位相差(24度=360度/15)を有する。【0114】図42に示す数値例も、電波の入射方向に対して70度の角度で反射波を形成するためのものである。ただし、1つの列(y軸方向)に関して12個ではなく、11個の素子を並べることで、リフレクトアレイを形成した場合の数値例である。【0115】<<5.5第2構造を利用した場合(反射角45度)>>図36ないし図42に示した数値例は、電波を反射するリフレクトアレイが第1構造を用いて形成された場合の例である。以下、第2構造を用いて、電波を反射するリフレクトアレイを形成する例を説明する。【0116】図43は、マッシュルーム構造のパッチ高さtが4種類存在するリフレクトアレイの概略斜視図を示す。多数の素子の一部分しか描かれていないことに留意を要する。リフレクトアレイの全体的な平面図は、図35に示したものと同様である。【0117】図44は、層構造を示す断面図である。図示されているように、1層目ないし5層目の5つの層が、少なくとも一部に導電層を含む層として使用され、それらの間には誘電体層が介在している。一例として、誘電体層は、比誘電率が4.4であり、tanδが0.018であるFR4基板である。1層目と2層目は0.2mm隔たっている。1層目と3層目は0.8mm隔たっている。1層目と4層目は1.6mm隔たっている。1層目と5層目は2.4mm隔たっている。【0118】図45Aは、1層目ないし5層目における導電層の位置(影の付いた部分)を示す。1層目の場合、第1ないし第13の素子各々に対応する13個のパッチが示されている。図中、y軸方向に並ぶ13個の丸印はビアホールに対応する。便宜上、右から順に第1、第2、...第13の素子と言及する。図46Aは、1層目における13個のパッチのサイズを示す。2層目の場合、第1の素子に対応する場所に、長さPy1を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy1は2.4mmである。3層目の場合、第1及び第2の素子に対応する場所に、長さPy2を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy2は4.8mmである。4層目の場合、第1ないし第5の素子に対応する場所に、長さPy3を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy3は12mmである。5層目の場合、第1ないし第13の全素子に対応する場所に、長さPy4を有する導電層が設けられている。一例としてPy4は31.2mmである。【0119】<<5.6改良された第2構造による垂直制御>>第2構造の等価回路を示す図26を参照しながら説明したように、隣接するマッシュルーム構造同士の間には、近似的にL=μtの大きさのインダクタンスが発生する。Lはインダクタンスを示し、μは材料の透磁率を示し、tはビアの高さを示す。この場合、隣接するマッシュルーム構造のビアの高さはともに等しい。図28にはビアの高さが異なるマッシュルーム構造が並べられている。実線の左回りの矢印で示されているインダクタンスL1、L3、L5については、それぞれμ×t1、μ×t2、μ×t3の大きさの値になることが予想される。しかしながら、破線の左回りの矢印で示されているインダクタンスL2、L4の場合、接地プレートに段差があり、隣接するビアの高さが相違している。このため、この付近で発生するインダクタンスを、透磁率μとビアの高さtの積により近似することは適切でなくなってしまう。同様なことは、図29及び図30におけるL2、L4についても当てはまる。インダクタンスを透磁率とビアの高さの積で近似できないことは、マッシュルーム構造を多数並べてリフレクタ等を作成する際に、設計を困難にしてしまう。ビアの高さが複数種類存在する第2構造により、垂直制御(図34A-D)を行う場合、この不都合は特に顕著になる。【0120】図45Bは、上記の問題に対処するように改良された第2構造を用いて垂直制御を行う場合の平面図及び断面図を示す。図34Aに示されるようなパッチ配列が使用されているが、他の配列法が使用されてもよい。1層目ないし5層目に示されている太い線分は、その部分が導電性の材料であることを示す。1層目における導電性の材料は、パッチを構成する。2層目ないし5層目は接地プレートを構成する。各層を横切るように、5つのビアがパッチ各々に対して存在している。ビアと接地プレートが交わっている部分は、電気的に接続されている。図中、C1、C2、C3、C4はパッチ同士の間に発生するキャパシタンスを示す。図28においては「EX」で示されているように、接地プレートの端(又は縁)は、ビアを超えて延在し、隣接する素子同士の中間に位置している。これに対して、図45Bに示す例の場合、接地プレートの端は、ビアを超えて延在しておらず、ビアの位置において終端されている。これにより、L1、L2、L3、L4のどのインダクタンスについても、隣接するビアの高さは等しく、発生するインダクタンスは、透磁率とビアの高さの積により適切に近似できる。なお、接地プレートの端はビアの位置において実質的に終端されていればよく、製造工程等の都合により接地プレートの端がビアを僅かに超えていてもよい。【0121】<5.6ビアなし構造>上記の様々なマッシュルーム構造やパッチ配列において、1つ以上のパッチの内の1つと、接地プレートは、ビアホールを介して電気的に接続又は短絡されていた。しかしながら、このことは、リフレクトアレイを実現する場合には必須ではない。マッシュルーム構造がリフレクタアレイとして使用され、入射波を所望の方向に反射させる際、ビアホールは、直接的には作用していないからである。ただし、ビアホールの高さ(パッチ高さ)tは、インダクタンスL(=μt)に関連し、インダクタンスLはマッシュルーム構造の共振周波数ωに影響するので、ビアホールの有無は、パッチの寸法やギャップ等を設計する際には必ず考慮しなければならない。逆に、ビアホールを設けないこととし、接地プレート及び1つ以上のパッチ同士の容量等に基づいて、パッチ及びリフレクタアレイを設計することも可能である。【0122】例えば、第1構造によるマッシュルーム構造は、パッチを多層化することで容量を制御できるので(C→nC)、ビアホールが存在しなかったとしても、入射波を適切に反射させることはできる(図46B)。【0123】第2構造によるマッシュルーム構造の場合、パッチ及び接地プレート間の距離を変えるとインダクタンスLが変化することに着目していた(L=μt)。したがって、ビアホールが存在しなかった場合、上記の議論のようなインダクタンスは得られない。しかしながら、第2構造においてビアホールが存在しなかった場合に、パッチ及び接地プレート間の容量をさらに考慮して設計することが考えられる(図46C)。パッチ及び接地プレート間の容量は、近似的にそれらの間の距離に反比例する。したがって、隣接するパッチ同士の隙間に起因する容量に加えて、パッチ及び接地プレート間の距離に依存する容量をも考慮することで、隣接するパッチ同士の反射位相差に相応しいパッチを設計することができる。【0124】第3構造によるマッシュルーム構造は、パッチ同士の重なりを許容することで容量を制御しているので、第1構造の場合と同様に、ビアホールが存在しなかったとしても、入射波を適切に反射させることができる(図46D)。【0125】図46B-Dにおいて、隣接するパッチ同士の間隔は、図示の便宜上等間隔であるように描かれているが、このことは本発明に必須ではなく、パッチ同士の間隔は、具体的な製品用途に応じて様々に設定される。図46Eは、上記の第2構造において、ビアがなく、パッチ同士の間隔が均等ではない様子を強調して示している。パッチ同士の間隔が均等であってもなくてもよいことは、第2構造だけでなく、第1及び第3構造についても当てはまる。【0126】さらに、水平制御(x方向に反射させる制御)及び垂直制御(y方向に反射させる制御)を行う際にも、ビアがないマッシュルーム構造を使用することができる。【0127】図34Bは、ビアがないマッシュルーム構造を用いて垂直制御を行う場合のパッチ配列例を示す。ただし、図34Bに示すパッチ配列法は、ビアがあるマッシュルーム構造についても適用可能である。図示の例場合、4つのパッチp11、p12、p13、p14は、すべて同じ寸法を有する。すなわち、x軸方向にWx及びy軸方向に2Wyのサイズをそれぞれが有する。この点、隣接するパッチのサイズが異なっている図34Aに示される配列法と異なる。ただし、図34Bに示すパッチ配列法の場合、隣接するパッチ同士の中心間距離は、同一ではない。第1のパッチp11と第2のパッチp12との間の中心間距離Δy1は、Δy1=Wy+gy1+Wy=2Wy+gy1である。第2のパッチp12と第3のパッチp13との間の中心間距離Δy2は、Δy2=Wy+gy2+Wy=2Wy+gy2である。第3のパッチp13と第4のパッチp14との間の中心間距離Δy3は、Δy3=Wy+gy3+Wy=2Wy+gy3である。パッチ同士の間の隙間は、図34Aのパッチ配列と同様に、gy1、gy2、gy3、...のように変化している。【0128】図34Bに示すパッチ配列例の場合、4つのパッチp11、p12、p13、p14は、すべて同じ寸法を有するが、パッチ同士の中心間距離は、場所によって異なる。これらのパッチを用いてリフレクタアレイを作成する場合も、図5及び図25において説明したように、隣接するパッチとの間で所定の位相差ΔΦを実現する必要がある。この位相差ΔΦは、電波の反射角α及びパッチの中心間距離Δyiに対して次式を満たす必要がある。【0129】ΔΦ=k・Δyi・sinαここで、kは波数を表し(k=2π/λ)、Δyiは、場所によって異なるパッチの中心間距離を表す(i=1,2,...)。【0130】図34Cは、ビアがないマッシュルーム構造を用いて垂直制御を行う場合の別のパッチ配列例を示す。図34Aと同様に、4つのパッチp12、p13、p14、p15は、それぞれ異なる寸法を有するが、パッチ同士の間の中心間距離は、全て等しい(Δy)。図34Aに示す例とは異なり、ビアは設けられていない。これらのパッチは、x軸方向にWxの長さを有する。第1のパッチp12は、y軸方向にWy1+Wy2の長さを有する。第2のパッチp13は、y軸方向にWy2+Wy3の長さを有する。第3のパッチp14は、y軸方向にWy3+Wy4の長さを有する。第4のパッチp15は、y軸方向にWy4+Wy5の長さを有する。したがって、第1及び第2のパッチ間の隙間(ギャップ)は、Δy-2Wy2=gy2である。同様に、第2及び第3のパッチ間のギャップは、Δy-2Wy3=gy3である。第3及び第4のパッチ間のギャップは、Δy-2Wy4=gy4である。したがって、基準線同士の間の距離は、Δyに等しく、一定に保たれる。基準線の位置は、図34Aにおけるビアが設けられていた点(点を通る直線)に対応する。これらのパッチを用いてリフレクタアレイを作成する場合、図5及び図25において説明したように、隣接するパッチとの間で所定の位相差ΔΦを実現する必要がある。この位相差ΔΦは、電波の反射角α及びパッチ間隔Δyに対して次式を満たす必要がある。【0131】ΔΦ=k・Δy・sinαここで、kは波数を表す(k=2π/λ)。【0132】ところで、マッシュルーム構造にビアが有る場合、パッチの寸法を決める基点としてビアの位置を使用することができる。しかしながら、ビアが無いマッシュルーム構造の場合、そのような基点はない。【0133】図34Dは、ビアがないマッシュルーム構造を用いて垂直制御を行う場合の別のパッチ配列例を示す。図34Cと同様に、4つのパッチp12、p13、p14、p15は、それぞれ異なる寸法を有する。図示の例の場合、第1のパッチと隣接する第2のパッチと間のギャップ(隙間)を二等分した中心線と、第2のパッチと隣接する第3のパッチとの間のギャップを二等分した中心線との間の距離が、全て等しく設定されている(Δy)。一般に、i番目のパッチと(i+1)番目のパッチとの間のギャップは、gyiとして表現され、ギャップを二等分した中心は、Giとして表現される。i番目のパッチのy軸方向の寸法Wyiは、Δy-(gyi-1)/2-gyi/2として算出される。例えば、Wy2=Δy-gy1/2-gy2/2として算出される。このようにギャップの中心を基点とすることで、ビアが無い場合のパッチの寸法を簡易に算出することができる。【0134】<6.製造方法>第1ないし第3構造及び変形例の構造は、当該技術分野で既知の適切な如何なる方法で製造されてもよい。何れの構造を製造する場合にも、金属層と誘電体層とが積層された構造が基礎になる。例えば、表裏に銅の導電層が形成されているプリント基板(例えば、誘電率が4.4であるガラスエポキシ基板(FR4))を2枚重ねてプレスすることで、金属層が3層存在する構造が得られる。この場合において、プリプレグのような樹脂基板を複数枚重ねることで、所望の厚みの誘電体層を形成することができる。【0135】例えば、最下位の金属層を接地プレートとし、中間の金属層を第1パッチとし、最上位の金属層を第2パッチとすることで、図2Aに示されるような第1構造のマッシュルーム構造を製造してもよい。【0136】また、最下位の金属層及び最上位の金属層を第1のマッシュルーム構造に使用し、中間の金属層及び最上位の金属層を第2のマッシュルーム構造に使用することで、図28及び図30に示すような第2構造を製造してもよい。最上位の金属層及び最下位の金属層を第1のマッシュルーム構造に使用し、中間の金属層及び最下位の金属層を第2のマッシュルーム構造に使用することで、図29に示すような第2構造を製造してもよい。【0137】また、隣接するパッチが重ならないマッシュルーム構造について、最上位及び中間(又は中間及び最下位)の金属層を使用する一方、隣接するパッチが重なるマッシュルーム構造について、最上位、中間及び最下位の金属層を使用することで、図32及び図33に示すような第3構造を製造してもよい。【0138】<7.組み合わせ構造><<7.1組み合わせ方>>上記の第1ないし第3構造及び変形例の構造は、単独で使用されてもよいし、組み合わせて使用されてもよい。第1構造、第2構造、第3構造及び変形例等の項目の区分けは本発明に本質的ではなく、2以上の項目に記載された事項が必要に応じて組み合わせて使用されてよいし、ある項目に記載された事項が、別の項目に記載された事項に(矛盾しない限り)適用されてよい。概して、第1構造は、無給電素子を付加して複数のパッチを並列的に多層化することでキャパシタンスを増やしている。第2構造はパッチ高さを複数種類用意することでインダクタンスを調整している。第3構造は隣接するパッチが重なることを許容することでキャパシタンスを増やしている。したがって、第1構造、第2構造及び第3構造のうち2つ以上を組み合わせることで、キャパシタンス及び/又はインダクタンスをさらに変化させ、反射位相のレンジをさらに拡大できるようになる。【0139】例えば、図47の上側に示されるように、1つのアレイが2つの領域R1、R2に区分けされ、領域R1及びR2のそれぞれにおいて異なる構造が使用されてもよい。アレイは、x軸方向にNx個及びy軸方向にNy個のマッシュルーム構造を含む。マッシュルーム構造は、図2Aの構造でもよいし、図24の構造でもよい。アレイをx軸方向及び/又はy軸方向に反復することで、所望の大きさのリフレクトアレイを実現できる。【0140】図47においてR1及びR2を形成する構造として、第1構造と第2構造、第1構造と第3構造、第2構造と第3構造の組み合わせ、及び第1-3構造全ての組み合わせが考えられる。さらには、図47の下側に示されるように、1つのアレイが3つの領域R1、R2及びR3に区分けされ、これらの領域の少なくとも2つが異なる構造を使用してもよい。3つの領域が全て異なる構造を使用してもよい。アレイ内における領域の分け方は、図示のものに限定されず、適切な如何なる仕方で分けられてもよい。【0141】さらに、図47に示したように領域毎に異なる構造を使用するだけでなく、1つのマッシュルーム構造における組み合わせも考えられる。【0142】図48は、パッチを多層化する第1構造と、パッチ高さが異なるものを併用する第2構造との組み合わせを示す。これは、キャパシタンス及びインダクタンスの双方を調整する観点から好ましい。【0143】図49Aは、パッチを多層化する第1構造と、隣接するパッチの重なりを許容する第3構造との組み合わせを示す。これは、キャパシタンスをさらに大きくする観点から好ましい。第2構造と第3構造を組み合わせることや、第1ないし第3構造全てを組み合わせることも可能である。【0144】一例として、図49Bは、ビアがない第1構造と第2構造を組み合わせた構造を示す。また、図49Cは、ビアがない第2構造と第3構造を組み合わせた構造を示す。このように様々な構造が可能である。【0145】<<7.2第2構造と第3構造の組み合わせ>>第2構造と第3構造の組み合わせについて、説明する。【0146】図50は、1つのアレイの中で、紙面右側の第2構造の領域と紙面左側の第3構造の領域とを組み合わせた場合の様子を示す。第2構造におけるパッチ高さ又はビア高さtについては、2.4mm、1.6mm及び0.1(又は0.2)mmの選択肢がある。第3構造におけるパッチ高さは、2.3mm及び2.4mm(又は2.2mm及び2.4mm)である。したがって、図示の構造は、以下の構造に分解して考えることができる。【0147】(A)基板の厚みtが0.1mmのマッシュルーム構造、(B)基板の厚みtが0.2mmのマッシュルーム構造、(C)基板の厚みtが1.6mmのマッシュルーム構造、(D)基板の厚みtが2.4mmのマッシュルーム構造、(E)基板の厚みtが2.3mm及び2.4mmで重なりを許容したマッシュルーム構造及び(F)基板の厚みtが2.2mm及び2.4mmで重なりを許容したマッシュルーム構造。【0148】図51ないし図54は、上記の(A)ないし(D)の各構造に対するシミュレーション結果を示す。図55は、(A)ないし(D)に加えて、(E)及び(F)の各構造に対するシミュレーション結果を示す。概してこれらは図27を参照しながら説明したものに対応する。図56は、(A)ないし(F)に加えて、基板の厚みtが0.8mmのマッシュルーム構造についてのシミュレーション結果も示している。図57は、図55及び図56に関し、(E)及び(F)の構造をシミュレーションする場合のモデルを示す。【0149】<<7.3水平制御45度(その1)>>図58は、第2構造及び第3構造の組み合わせによるリフレクトアレイの平面図を示す。このリフレクトアレイは、図56に示されるようなパッチサイズWy、反射位相及び基板厚みtの相互関係にしたがって、作成されたものである。構造の詳細については、後述する。概して、x軸方向に沿って左から7つのマッシュルーム構造により第3構造が形成されている。第3構造は、パッチ高さが2.4mmのマッシュルーム構造と、パッチ高さが2.3mmのマッシュルーム構造との重なりを許容することで形成されている。パッチ高さが2.4mmの8つのマッシュルーム構造と、パッチ高さが1.6mmの3つのマッシュルーム構造と、パッチ高さが0.8mmのマッシュルーム構造とで第2構造が形成されている。そして、図中右端の位置に2.4mm幅の金属板が設けられている。この金属板とパッチの隙間は0.05mmである。金属板は、0.1mmの厚みのマッシュルーム構造の代わりに使用されている。図51に示されるように、基板の厚みが0.1mmのマッシュルーム構造は、パッチサイズWyによらず、ほぼ180度の反射位相をもたらすので、金属板で代用できる。また、パッチ間のx方向における隙間は0.1mmである。【0150】図59は、図58に示される各素子の具体的な寸法を示す。「設計位相」とは設計上求められる理想的な位相であり、「位相」の欄に示される数値が実際に実現される位相である。これらの数値は、リフレクトアレイが、入射波に対して、-45度の方向に反射を形成するように設計されている。【0151】図60は、x軸方向に沿って並ぶ素子各々による反射位相の値を示す。これらの値はz=λ/2(半波長)における値である。概して、-300度から+60度に至るほぼ360度の全範囲にわたって、各素子に反射位相を適切に設定できていることが分かる。【0152】図61は、シミュレーションにおける解析モデルを示し、このモデルをz軸方向から見たものが、図58に相当する。【0153】図62は、図56に示されるグラフの内、図58及び図61のシミュレーションのモデルに使用された基板(t=0.8mm、1.6mm、2.4mm、2.3&2.4mm)に関するグラフを示す。さらに、図62には、金属板に対応する点も示されている。【0154】図63は、上記のようにして形成されたリフレクトアレイの遠方放射界を示す。リフレクトアレイは、入射波に対して、-45度の方向に反射を形成するように、上記の数値を利用して設計されている。図63に示されているように、約-45度の方向に反射波が適切に向いていることが分かる。さらに、2層マッシュルーム構造だけによる場合の指向性(図15)と比較して、不要方向への放射がかなり抑制されていることが分かる。【0155】図64は、第2構造及び第3構造を組み合わせたリフレクトアレイによる反射波の等位相面を示す。x軸に沿って約20個の素子(第2又は第3構造のマッシュルーム構造)が並んでおり、電波の到来方向であるz軸に対して-45度の方向に電波が反射している。等位相面の法線は、z軸に対して-45度の方向を向いており、この方向に反射波が適切に進んでいることが分かる。【0156】図58に部分的に示されているリフレクトアレイの構造を詳細に説明する。【0157】図65は、第2構造の領域と第3構造の領域とを含むリフレクトアレイの層構造を示す。紙面の左右方向に19個のビアホールが並び、便宜的な番号が右から順に付けられている。ビアホールの各々は1つの素子(マッシュルーム構造)に対応する。5つの導電層が誘電体層を介して積層され、最上位層から順にL1層、L2層、L3層、L4層及びL5層として示されている。導電層は例えば銅を含む材料で形成されてもよい。誘電体層は、FR4基板やガラスエポキシ樹脂基板等により形成されてもよい。一例として、ビアホールの直径は、0.5mmである。【0158】1番目の素子は、マッシュルーム構造ではなく、金属板により形成されている。1番目の素子をマッシュルーム構造で構成する場合、基板の厚み(ビアホールの高さ)が0.1mmであることを要する。しかしながら、このように薄い基板を用いて形成されるマッシュルーム構造の反射位相は、図51に示されているように、パッチサイズによらずほぼ180度であるので、1番目の素子は金属板で代用できる。2番目の素子は、L1層をパッチとし、L3層を接地プレートとしている。3番目ないし5番目の素子は、L1層をパッチとし、L4層を接地プレートとしている。6番目ないし13番目の素子は、L1層をパッチとし、L5層を接地プレートとしている。14番目ないし20番目の素子は、第3構造によるものである。この場合、L1層及びL2層が、一部重なっている2つのパッチに対応する。L5層は、これら13番目ないし20番目の素子における接地プレートである。一例として、L1層及びL2層間の距離は0.1mmであり、L1層及びL3層間、L3層及びL4層、そしてL4層及びL5層間は、それぞれ0.8mmである。また、ビアの直径は0.5mmである。【0159】図66は、L1層及びL2層の平面図を概略的に示す。図67は、L3層、L4層及びL5層の平面図を概略的に示す。図24に示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。図示の例の場合、y軸方向に伸びる7列の帯の1つは、20×130個の素子を含んでいる。図中の数字は寸法(ミリメートル)の一例であり、素子間の間隔は2.4mmである。図示のリフレクトアレイは、電界がy軸方向の偏波をx軸方向(水平方向)に入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、y軸方向に伸びる1つの帯(列)は、x軸方向における両端で反射位相が2π変化するように設計されている。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図66ないし図73において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0160】図68は図66のL1層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行(x軸方向)に関し、20個の素子に対応する部分が示されている。20個の素子に対応する部分の内、第2ないし第20の素子に対応する部分の矩形の1つ1つは、Wx及びWyのサイズを有するパッチ123(図24)に対応する。1番目の素子(右側)は金属板で代用されている。これらx軸方向に並ぶ素子の各々は、隣接する素子同士との間で所定の位相差(18度=360度/20)を有する。図示のパッチサイズの数値は、図59に示しているものに対応する。【0161】図69は図66のL1層において「A部」及び「A'部」として示されている領域(帯又は列の一部)を詳細に示す。【0162】図70は図66のL2層において「B部」及び「B'部」として示されている領域(帯又は列の一部)を詳細に示す。x軸方向に沿う1つの行に着目すると、左から7つのパッチが並んでいる。これらは、パッチ同士の重なりが許容される第3構造において、L1層のパッチと重なるL2層のパッチに対応する。【0163】図71は図67のL3層において「C部」として示されている領域(帯又は列の一部)を詳細に示す。図65に示されているように、L3層は、1番目及び2番目の素子に対する接地プレートを提供する。この接地プレートが、図71の右側に示されている。【0164】図72は図67のL4層において「D部」として示されている領域(帯又は列の一部)を詳細に示す。図65に示されているように、L4層は、3番目ないし5番目の素子に対する接地プレートを提供する。この接地プレートが、図72の右側に示されている。【0165】図73は図67のL5層において「E部」として示されている領域(帯又は列の一部)を詳細に示す。図65に示されているように、L5層は、6番目ないし20番目の素子に対する接地プレートを提供する。この接地プレートが、図73に示されている。【0166】<<7.4水平制御45度(その2)>>図74も、図58と同様に、第2構造及び第3構造の組み合わせを含むリフレクトアレイの構成例を示す。ただし、図中左側の第3構造におけるビアの高さが2.4mmと2.2mmの組み合わせである点、及び右側の第2構造において、金属板ではなく厚みが0.2mmの基板を使用している点が主に異なる。これに応じて、各素子の寸法は、図75に示されるように、図59におけるものと若干異なる。【0167】図76は、図56に示されるグラフの内、図74のシミュレーションのモデルに使用された基板(t=0.8mm、1.6mm、2.4mm、2.2&2.4mm)に関するグラフを示す。【0168】図77は、上記のようにして形成されたリフレクトアレイの遠方放射界を示す。リフレクトアレイは、入射波に対して、-45度の方向に反射を形成するように、上記の数値を利用して設計されている。図77に示されているように、約-45度の方向に反射波が適切に向いていることが分かる。さらに、2層マッシュルーム構造だけによる場合の指向性(図15)と比較して、不要方向への放射がかなり抑制されていることが分かる。【0169】図78は、第2構造及び第3構造を組み合わせたリフレクトアレイによる反射波の等位相面を示す。x軸に沿って約20個の素子(第2又は第3構造のマッシュルーム構造)が並んでおり、電波の到来方向であるz軸に対して-45度の方向に電波が反射している。等位相面の法線は、z軸に対して-45度の方向を向いており、この方向に反射波が適切に進んでいることが分かる。【0170】図74に部分的に示されているリフレクトアレイの構造を詳細に説明する。【0171】図79は、第2構造の領域と第3構造の領域とを含むリフレクトアレイの層構造を示す。概して図65と同様であるが、1番目の素子がマッシュルーム構造として設けられている点、及びL1層及びL2層が、1番目の素子と、14番目ないし20番目の素子とで共通している点、L1層及びL2層間の距離が0.2mmである点が、主に異なる。【0172】1番目の素子は、L1層をパッチとし、L2層を接地プレートとしている。2番目の素子は、L1層をパッチとし、L3層を接地プレートとしている。3番目ないし5番目の素子は、L1層をパッチとし、L4層を接地プレートとしている。6番目ないし13番目の素子は、L1層をパッチとし、L5層を接地プレートとしている。14番目ないし20番目の素子は、第3構造によるものである。この場合、L1層及びL2層が、一部重なっている2つのパッチに対応する。L5層は、これら13番目ないし20番目の素子における接地プレートである。一例として、L1層及びL2層間の距離は0.2mmであり、L1層及びL3層間、L3層及びL4層、そしてL4層及びL5層間は、それぞれ0.8mmである。また、ビアの直径は0.5mmである。【0173】上述したように、L1層及びL2層は、1番目の素子と、14番目ないし20番目の素子とで共通している。これは、1番目の素子のL1層と14番目ないし20番目の素子のL1層とが、同じ基板上に形成できることを意味する。さらに、1番目の素子のL2層と14番目ないし20番目の素子のL2層も、同じ基板上に形成できる。これにより、リフレクトアレイの構造の簡易化及び製造工程の簡易化等を図ることができる。図示の例では、L1層及びL2層が双方の構造で共通しているが、第2構造及び第3構造において、L1層ないしL5層のうち、(可能であるならば)どの層が共通していてもよい。このように、異なる構造を組み合わせる場合において、複数の導電層のうちの1つ以上を共通にすることは、第2及び第3構造間だけでなく、他の構造間で行われてもよい。例えば、第1構造と第2構造を組み合わせた構造、第1構造と第3構造を組み合わせた構造において、L1層ないしL5層の内の1つ以上が共通していてもよい。【0174】図80は、L1層及びL2層の平面図を概略的に示す。図81は、L3層、L4層及びL5層の平面図を概略的に示す。図24に示されるようなマッシュルーム構造により1つの素子が形成され、その素子が行列形式に配置されている。図示の例の場合、y軸方向に伸びる7列の帯の1つは、20×130個の素子を含んでいる。図中の数字は寸法(ミリメートル)の一例であり、素子間の間隔は2.4mmである。図示のリフレクトアレイは、電界がx軸方向の偏波をx軸方向(垂直方向)に入射方向に対して45度の角度で反射させるように設計されており、隣接する素子同士の反射位相差は18度であるように設計されている。すなわち、Y軸方向に伸びる20個分の素子間隔(2.4mm×20)は、20個分の素子間隔の両端で反射位相が2π変化するように設計されている。このような帯又は列を複数個反復的に並べることで、より大きなサイズのリフレクトアレイを実現できる。なお、図80ないし図87において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0175】図82は図80のL1層において「A部」として示されている領域(帯又は列の一部)を詳細に示す。1つの行(x軸方向)に関し、20個の素子に対応する部分が示されている。20個の素子に対応する部分に含まれている矩形の1つ1つは、Wx及びWyのサイズを有するパッチ123(図24)に対応する。これらの素子の各々は、隣接する素子同士との間で所定の位相差(18度=360度/20)を有する。図示のパッチサイズの数値は、図75に示しているものに対応する。【0176】図83は図80のL1層において「A部」及び「A'部」として示されている領域(帯又は列の一部)を詳細に示す。【0177】図84は図80のL2層において「B部」及び「B'部」として示されている領域(帯又は列の一部)を詳細に示す。x軸方向に沿う1つの行に着目すると、左から7つのパッチが並んでいる。これらは、パッチ同士の重なりが許容される第3構造において、L1層のパッチと重なるL2層のパッチに対応する。【0178】図85は図81のL3層において「C部」として示されている領域(帯又は列の一部)を詳細に示す。図79に示されているように、L3層は、1番目及び2番目の素子に対する接地プレートを提供する。この接地プレートが、図85の右側に示されている。【0179】図86は図81のL4層において「D部」として示されている領域(帯又は列の一部)を詳細に示す。図79に示されているように、L4層は、3番目ないし5番目の素子に対する接地プレートを提供する。この接地プレートが、図86の右側に示されている。【0180】図87は図81のL5層において「E部」として示されている領域(帯又は列の一部)を詳細に示す。図79に示されているように、L5層は、6番目ないし20番目の素子に対する接地プレートを提供する。この接地プレートが、図87に示されている。【0181】<<7.5垂直制御45度>>図58ないし図87では、電界に対して水平方向に反射させる観点から、リフレクトアレイの構造及びシミュレーション例が説明されてきた。しかしながら、第2構造及び第3構造を組み合わせたリフレクトアレイは、電界に対して垂直方向に反射させるように設計することもできる。【0182】図88は、マッシュルーム構造のパッチ高さtが4種類存在する第2構造と、隣接するパッチ同士の重なりを許容する第3構造とを有するリフレクトアレイの概略斜視図を示す。多数の素子の一部分しか描かれていないことに留意を要する。【0183】図89は、層構造を示す断面図である。図示されているように、1層目ないし5層目の5つの層が、少なくとも一部に導電層を含む層として使用され、それらの間には誘電体層が介在している。一例として、誘電体層は、比誘電率が4.4であり、tanδが0.018であるFR4基板である。1層目と2層目は0.2mm隔たっている。1層目と3層目は0.8mm隔たっている。1層目と4層目は1.6mm隔たっている。1層目と5層目は2.4mm隔たっている。【0184】図90は、1層目ないし5層目における導電層の位置(影の付いた部分)を示す。図中、y軸方向に並ぶ20個の丸印はビアホールに対応する。便宜上、右から順に第1、第2、...第20の素子と言及する。1層目の場合、第1ないし第20の素子各々に対応するパッチが示されている。第13ないし第20の素子は、パッチ同士の重なりを許容しているので、パッチ高さが異なるもの(第14、第16、第18、第20)は1層目には現れていない。2層目の場合、第1の素子に対応する場所に、長さPy1を有する導電層が設けられ、かつ第14、第16、第18及び第20の素子のパッチが設けられている。他の場所において導電層は設けられていない。一例としてPy1は2.4mmである。図91は、1層目及び2層目における20個のパッチのサイズを示す。3層目の場合、第1及び第2の素子に対応する場所に、長さPy2を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy2は4.8mmである。4層目の場合、第1ないし第5の素子に対応する場所に、長さPy3を有する導電層が設けられ、他の場所において導電層は設けられていない。一例としてPy3は12mmである。5層目の場合、第1ないし第13の全素子に対応する場所に、長さPy4を有する導電層が設けられている。一例としてPy4は31.2mmである。【0185】図92は、上記のようにして形成されたリフレクトアレイの遠方放射界を示す。リフレクトアレイは、入射波に対して、-45度の方向に反射を形成するように、上記の数値を利用して設計されている。図92に示されているように、約-45度の方向に反射波が適切に向いていることが分かる(図示の例の場合、-43度の方向に18.55dBの反射波が得られている。)。【0186】<<7.6改良された第2構造と第3構造の組み合わせ>>「5.6改良された第2構造による垂直制御」のセクションにおいて説明したように、第2構造において発生するインダクタンスを正確に規定する観点からは、接地プレートがビアの位置において実質的に終端していることが好ましい。以下の説明において、具体的な寸法の詳細は本発明に本質的ではないので伏せている。【0187】図93は、改良された第2構造の領域と第3構造の領域とを含むリフレクトアレイの層構造を示す。図示されているように、1層目ないし5層目の5つの層が、少なくとも一部に導電層を含む層として使用され、それらの間には誘電体層が介在している。一例として、誘電体層は、比誘電率が4.4であり、tanδが0.018であるFR4基板である。図示の層構造は、概して、図79、図89等の構造と同様であるが、3層目及び4層目において「EX'」として示されているように、接地プレートがビアの位置で実質的に終端している点が大きく異なる。図79、図89等の構造の場合、接地プレートの端がビアの位置で実質的に終端しておらず、隣接する素子同士の間に接地プレートの端が存在し、接地プレートの段差が形成されている。なお、製造工程上の理由により、「EX'」で示されている部分において、接地プレートの端がビアを少しだけ超えて延在しているが、これは、素子同士の間で発生するインダクタンスに実質的な影響を及ぼすものではない。【0188】図94Aは、図93に示すL1層の平面図を示す。図示の構造の場合、図93に示す20個の素子が並んでいる構造(約48mm)が、y軸方向に2回反復され、x軸方向に40回反復されているが、素子(ビア)の数、y軸方向の反復数及びx軸方向の反復数は単なる一例に過ぎず、適切な如何なる数値が使用されてもよい。図94Bは、図94Aに示すL1層の「A部」を詳細に示す。【0189】図95Aは、図93に示すL2層の平面図を示す。図95Bは、図95Aに示すL2層の「B部」を詳細に示す。「B部」は「A部」の下側に位置する。L2層ないしL5層は接地プレートを構成する。図95A、図95Bに示されているように、接地プレートの端又は縁は、ビアの位置において終端されている。【0190】図96Aは、図93に示すL3層の平面図を示す。図96Bは、図96Aに示すL3層の「C部」を詳細に示す。「C部」は「A部」及び「B部」の下側に位置する。図96A、図96Bに示されているように、接地プレートの端又は縁は、ビアの位置において終端されている。【0191】図97Aは、図93に示すL4層の平面図を示す。図97Bは、図97Aに示すL4層の「D部」を詳細に示す。「D部」は「A部」、「B部」及び「C部」の下側に位置する。図97A、図97Bに示されているように、接地プレートの端又は縁は、ビアの位置において終端されている。【0192】図98Aは、図93に示すL5層の平面図を示す。図98Bは、図98Aに示すL5層の「E部」を詳細に示す。「E部」は「A部」、「B部」、「C部」及び「D部」の下側に位置する。【0193】次に、改良された第2構造と第3構造の組み合わせについてのシミュレーション結果を示す。シミュレーションでは、図99A及び図99Bに示されるような垂直制御を行う2つの構造が比較された。何れの構造も、改良された第2構造を使用し、接地プレートはビアの位置において終端している。しかしながら、パッチの設計が異なっている。図99Aの構造は、図34Aに示されるように、隣接するパッチが同じサイズを有する。これに対して、図99Bの構造は、図34Bに示されるように、ビアを中心として対称的なパッチが使用されている。【0194】図99Cは、2つの構造各々の遠方放射界のシミュレーション結果を示す。電場がy軸方向を向いている電波がz軸∞方向から到来し、-45度の方向に反射されるように、図99A、Bの構造は設計されている。ビームの大きさ又は強度は、所望方向(-45度)における値により規格化されている。何れの構造も、所望方向に大きな反射ビームを形成している。+45度付近において、図99Bの構造は、比較的大きな不要反射ビームを形成している。これに対して、図99Aの構造は、そのような不要反射ビームを適切に抑制できている。さらに、0度方向の鏡面反射ビームについても、図99Aの構造は、図99Bの構造よりも不要反射ビームを小さく抑制できる。したがって、垂直制御の場合、図99Bの構造よりも、図99Aの構造の方が好ましい。【0195】次に、接地プレートがビアの位置で終端していることが、ビアの高さが異なる構造を使用して垂直制御及び水平制御を行う場合にどのように影響するかを説明する。【0196】図100Aは、第2構造を含む構造により垂直制御を行う構造を示す。パッチの長さを図100Aに示すように、所望のLC共振の得られるLとCの対をy軸方向に配列することが可能である。上述のように、値の異なるLとCの組み合わせを配列する場合、接地プレートはビアの位置において終端していることが望ましい。図100Aには、概略平面図と、x軸方向の断面図及びy軸方向の断面図が示されている。y軸方向に沿って、パッチの層である1層目と、4つの接地プレート(2層目ないし5層目)とが存在し、「EX」として示されているように、接地プレートの2層目、3層目及び4層目の端は、隣接する素子同士の間にある。このため、y軸方向に並ぶ素子において、適切な値のインダクタンスを発生させることが困難になってしまう。x軸方向に並ぶ素子同士の間にもインダクタンスは発生する。しかしながら、電場がy軸方向を向いている電波を所望方向に反射させる場合、y軸方向に並ぶ素子同士により発生するインダクタンスの方が重要である。このため、上述したように、接地プレートの端がビアの位置において終端するように、改善すべきである。【0197】図100Bは、第2構造を含む構造により水平制御を行う構造を示す。水平制御の場合、図100Bのように、所望のLC共振の得られるLとCの対をx軸方向に配列することが可能である。図100Bにも、概略平面図と、x軸方向の断面図及びy軸方向の断面図が示されている。水平制御の場合、x軸方向の断面に複数の接地プレートが現れる。x軸方向に沿って、パッチの層である1層目と、3つの接地プレート(2層目ないし4層目)とが存在し、「EX」として示されているように、2層目及び3層目の接地プレートの端は、隣接する素子同士の間にある。このため、x軸方向において、適切な値のインダクタンスを発生させることは困難になってしまう。しかしながら、上述したように、電場がy軸方向の電波を反射させる場合、y軸方向に並ぶ素子同士により発生するインダクタンスの方が重要である。y軸方向沿って並ぶ素子の場合、隣接する素子のビアの高さは同じなので、発生するインダクタンスLは、透磁率μとビアの高さtの積(L=μt)により想定される値になる。このため、水平制御の場合は、垂直制御の場合よりも、接地プレートの段差の影響は深刻ではない。すなわち、x軸方向の断面図に示されているように、接地プレートがビアの位置において終端していなくても、y軸方向の断面図に示されているように、ギャップをはさむビアどうしの地板はつながっているため、所望のインダクタンスL1、L2、L3を得ることができる。ただし、当然ではあるが、図100Bの構造においても、x軸方向に延びる接地プレートが、ビアの位置において終端するようにすることで、設計どおりの動作をさらに期待することができる。【0198】以上本発明は特定の実施例を参照しながら説明されてきたが、それらは単なる例示に過ぎず、当業者は様々な変形例、修正例、代替例、置換例等を理解するであろう。発明の理解を促すため具体的な数値例を用いて説明がなされたが、特に断りのない限り、それらの数値は単なる一例に過ぎず適切な如何なる値が使用されてもよい。発明の理解を促すため具体的な数式を用いて説明がなされたが、特に断りのない限り、それらの数式は単なる一例に過ぎず適切な如何なる数式が使用されてもよい。実施例又は項目の区分けは本発明に本質的ではなく、2以上の項目に記載された事項が必要に応じて組み合わせて使用されてよいし、ある項目に記載された事項が、別の実施例又は項目に記載された事項に(矛盾しない限り)適用されてよい。本発明は上記実施例に限定されず、本発明の精神から逸脱することなく、様々な変形例、修正例、代替例、置換例等が本発明に包含される。【0199】以下、本発明により教示される手段を例示的に列挙する。【0200】(M1)複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子である、装置。【0201】(M2)前記複数個のうち所定数個のマッシュルーム構造が、ある線に沿って並べられ、前記複数個のうち別の所定数個のマッシュルーム構造が、別の線に沿って並べられ、前記ある線に沿っているマッシュルーム構造の第1パッチと、前記別の線に沿っているマッシュルーム構造の第1パッチとの間の隙間が、前記ある線及び別の線に沿って徐々に変化している、M1記載の装置。【0202】(M3)ある線に沿って並べられている所定数個のマッシュルーム構造のうち、隣接するマッシュルーム構造の第1パッチ同士の間の隙間が、前記ある線に沿って徐々に変化している、M1記載の装置。【0203】(M4)前記隙間を決める隣接する第1パッチの内の一方の端から、該一方の第1パッチの基準線までの距離が、隣接する他方の第1パッチの端から、該他方の第1パッチの基準線までの距離に等しく、複数のマッシュルーム構造に対する基準線間の距離が一定に保たれている、M3記載の装置。【0204】(M5)前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造各々の第1パッチは、互いに等しいサイズであり、前記第1及び第2のマッシュルーム構造の第1パッチ同士の中心間距離は、前記第2及び第3のマッシュルーム構造の第1パッチ同士の中心間距離と異なる、M3記載の装置。【0205】(M6)前記ある線に沿って隣接している第1及び第2のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線と、前記ある線に沿って隣接している第2及び第3のマッシュルーム構造の第1パッチ同士の隙間を二分する中心線との間の距離が、前記ある線に沿って並んでいる複数のマッシュルーム構造に対して一定に保たれている、M3記載の装置。【0206】(M7)前記ある線に沿って順に並んでいる第1、第2及び第3のマッシュルーム構造のうち、前記第1及び第2のマッシュルーム構造の各々から反射される電波の位相差が、前記第2及び第3のマッシュルーム構造の各々から反射される電波の位相差に等しい、M2ないしM6の何れか1項に記載の装置。【0207】(M8)少なくとも前記ある線に沿って並べられた前記所定数個のマッシュルーム構造を含むアレイが、同一平面内に複数個反復的に並べられている、M1ないしM7の何れか1項に記載の装置。【0208】(M9)前記接地プレート、前記第1パッチ及び前記第2パッチに対して平行に距離を隔てて設けられ、無給電素子として機能する1つ以上のパッチをさらに有する、M1ないしM8の何れか1項に記載の装置。【0209】(A1)複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、あるマッシュルーム構造における接地プレート及びパッチ間の距離は、別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる、装置。【0210】(A2)前記あるマッシュルーム構造におけるパッチと、前記別のマッシュルーム構造におけるパッチとが、同一平面内に設けられている、A1記載の装置。【0211】(A3)前記あるマッシュルーム構造における接地プレートと、前記別のマッシュルーム構造における接地プレートは、多層構造には形成されていない、A2記載の装置。(A4)前記あるマッシュルーム構造における接地プレートと、前記別のマッシュルーム構造における接地プレートとが、同一平面内に設けられている、A1記載の装置。【0212】(A5)(A1)の装置において、(M2)~(M9)の特徴を備えた装置。【0213】(B1)複数個のマッシュルーム構造を有する装置であって、前記複数個のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【0214】(B2)(B1)の装置において、(M2)~(M9)の特徴を備えた装置。【0215】(C1)M+A第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記第1群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子であり、前記第2群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第2群に属するあるマッシュルーム構造における接地プレート及びパッチ間の距離は、前記第2群に属する別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なる、装置。【0216】(C2)M+A+B当該装置がさらに第3群の複数のマッシュルーム構造を有し、前記第3群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、C1記載の装置。【0217】(C3)前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の1層が、前記第2群のマッシュルーム構造における接地プレート及びパッチをなす2層の内の1層と同一平面に設けられ、前記3層の内の別の1層が、前記2層の内の別の1層と同一平面に設けられている、C1又はC2に記載の装置。【0218】(C4)M+B第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記第1群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられた第1パッチと、前記接地プレートに対して平行に、前記第1パッチに至る距離とは異なる距離を隔てて設けられた第2パッチとを有し、前記第2パッチは、少なくとも前記第1パッチと容量結合する無給電素子であり、前記第2群の複数のマッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第2群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【0219】(C5)前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の1層が、前記第2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の1層と同一平面に設けられ、前記第1群のマッシュルーム構造における接地プレート、第1パッチ及び第2パッチをなす3層の内の別の1層が、前記第2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の別の1層と同一平面に設けられている、C4記載の装置。【0220】(C6)A+B第1群及び第2群の複数のマッシュルーム構造を有する装置であって、前記マッシュルーム構造の各々は、接地プレートと、前記接地プレートに対して平行に距離を隔てて設けられたパッチとを有し、前記第1群に属するあるマッシュルーム構造における接地プレート及びパッチ間の距離は、前記第1群に属する別のマッシュルーム構造における接地プレート及びパッチ間の距離と異なり、前記第2群に属する隣接するマッシュルーム構造双方のパッチは、同一平面内で互いに隙間を形成し、隣接する別のマッシュルーム構造双方のパッチは、少なくとも一部が多層に重なる位置関係でそれぞれ異なる平面に設けられる、装置。【0221】(C7)前記第1群のマッシュルーム構造における接地プレート及びパッチをなす2層の内の1層が、前記2群のマッシュルーム構造における接地プレート及び前記異なる平面に設けられるパッチをなす3層の内の1層と同一平面に設けられ、前記2層の内の別の1層が、前記3層の内の別の1層と同一平面に設けられている、C6記載の装置。
A
2012079283
2011000282
20110104
null
null
20120419
2012079283
20120419
null
null
null
null
null
null
null
光学式ポインティング機能と指紋認識機能とが統合された電子装置及びその制御システムの操作方法
503098551
一品光学工業股▲ふん▼有限公司
100107962
入交 孝雄
游 騰健,周 進益,李 宇▲き▼,徐 三偉
099133375,20100930,TW
8
G06F 3/033 (20060101), G06F 1/32 (20060101)
G06F 3/033 310Y ,G06F 1/00 332B
6
null
null
null
1
8
5B011,5B087
5B011 DA06 ,5B011 EA10 ,5B011 LL05 ,5B011 LL11,5B087 AA03 ,5B087 AB10 ,5B087 AE09 ,5B087 BC12 ,5B087 BC16 ,5B087 BC26 ,5B087 BC32 ,5B087 DD10
【課題】光学式ポインティング機能と指紋認識機能とを具えた電子装置の提供。【解決手段】光学式ポインティンデバイス131と指紋認識装置132とを備え、電源入力により指紋認識モードにして、指紋認識機能を実行し、イメージセンサ11からの画像信号を入出力インターフェース16を指紋画像を保存するデータベースに伝送し、データベースの一致判断により入出力インターフェースから電力制御ユニット15、電力供給ユニットを経て、第1回路141から指紋認識装置の電源をオフにし、光学式ポインティングデバイスへの第2回路をオンとして、光学式ポインティングモードに切り替得る。【選択図】図1
【請求項1】光学式ポインティング機能と指紋認識機能とを備えた電子装置であって、レンズ群を備えたイメージセンサ、光学式ポインティング及び指紋認識ユニット、電力供給ユニット、電力制御ユニット、入出力インターフェース、及び複数の手の指紋画像を保存するデータベースからなり、前記光学式ポインティング及び指紋認識ユニットは、光学式ポインティングデバイス及び指紋認識装置を備え、該指紋認識装置は、上記イメージセンサからの指紋画像を信号化して、入出力インターフェースを介して、複数の手の指紋画像を保存するデータベースへ伝送し、該データベースは伝送された指紋画像を保存された指紋画像と比較して照合し、その結果を入出力インターフェースを介して電力制御ユニットへ伝送し、電力制御ユニットはその結果に基づいて電力供給ユニットを介して、指紋認識装置に電力を供給する第1の回路、及び光学式ポインティングデバイスへ電力を供給する第2の回路をそれぞれ開閉するように構成され、前記電力制御ユニットにより、前記第1の回路がオンに、前記第2の回路がオフに制御されたとき、前記第1の回路を介して前記光学式ポインティング及び指紋認識ユニットに電力が供給されることにより、前記光学式ポインティング及び指紋認識ユニットは、指紋認識装置として作動する前記指紋認識モードとなり、前記電力制御ユニットにより、前記第1の回路がオフに、前記第2の回路がオンに制御されたとき、前記第2の回路を介して前記光学式ポインティング及び指紋認識ユニットに電力が供給されることにより、前記光学式ポインティング及び指紋認識ユニットは、光学式ポインティングデバイスとして作動する前記光学式ポインティングモードとなることを特徴とする光学式ポインティング機能と指紋認識機能とを備えた電子装置。【請求項2】前記第1の回路及び前記第2の回路は、それぞれスイッチを有し、前記電力制御ユニットは、前記第1の回路及び前記第2の回路の前記各スイッチをオン又はオフに制御することにより、前記第1の回路及び前記第2の回路のオン/オフを制御することを特徴とする請求項1記載の電子装置。【請求項3】光学式ポインティング機能と指紋認識機能とを備えた電子装置であって、レンズ群を備えたイメージセンサ、光学式ポインティング及び指紋認識ユニット、電力供給ユニット、電力制御ユニット、入出力インターフェース、及び複数の手の指紋画像を保存するデータベースからなり、ユーザの手の指紋画像を検出すると共に、その指紋画像を光学式ポインティング及び指紋認識ユニットに伝送する該イメージセンサと、光学式ポインティングモード及び指紋認識モードの2つの動作モードを有し、前記イメージセンサと接続され、前記イメージセンサから伝送された手の指紋画像データを受信すると共に、前記画像データを前記入出力インターフェースに伝送し、光学式ポインティングモードのとき、光学式ポインティングデバイスとして作動してポインタ又はカーソルを移動させ、指紋認識モードのとき、指紋認識装置としてユーザの手の指紋画像を認識するように作動する光学式ポインティング及び指紋認識ユニットと、電源から供給される電力を受信すると共に、第1の回路及び第2の回路を介し、前記光学式ポインティング及び指紋認識ユニットに電力を供給し、前記第1の回路及び前記第2の回路が前記光学式ポインティング及び指紋認識ユニットに別々に接続されることにより、前記光学式ポインティング及び指紋認識ユニットに電力を別々に供給するために動作する電力供給ユニットと、前記電力供給ユニット中の第1の回路及び第2の回路を制御することにより、前記光学式ポインティング及び指紋認識ユニットを光学式ポインティングモード又は指紋認識モードに制御するために動作し、前記第1の回路をオンに、前記第2の回路をオフに制御したとき、前記光学式ポインティング及び指紋認識ユニットは、指紋認識モードとなり、指紋認識装置として動作し、前記第1の回路をオフに、前記第2の回路をオンに制御したとき、前記光学式ポインティング及び指紋認識ユニットは、前記光学式ポインティングモードとなり、光学式ポインティングデバイスとして動作させる電力制御ユニットと、前記光学式ポインティング及び指紋認識ユニットからの手の指紋画像データを受信すると共に、前記画像データを前記データベース中に伝送して処理を行うために動作する入出力インターフェースと、複数の手の指紋画像データが保存され、データの比較及び演算処理を行うために動作するデータベースと、を備え、ユーザの指紋画像と保存された指紋画像データとが一致したとき、前記データベースは、前記入出力インターフェースに信号を発信し、前記入出力インターフェースから前記電力制御ユニットに前記信号が伝送されることにより、前記第1の回路をオフに、前記第2の回路をオンに制御し、これにより、前記光学式ポインティング及び指紋認識ユニットは、光学式ポインティングデバイスとして動作することを特徴とする電子装置。【請求項4】前記第1の回路及び前記第2の回路は、それぞれスイッチを有し、前記電力制御ユニットは、前記第1の回路及び前記第2の回路の前記各スイッチをオン又はオフに制御することにより、前記第1の回路及び前記第2の回路のオン/オフを制御することを特徴とする請求項4記載の電子装置。【請求項5】レンズ群をさらに備え、前記レンズ群は、ユーザの手の指紋画像を前記イメージセンサに焦点を結ぶ少なくとも1つのレンズを有することを特徴とする請求項4記載の電子装置。【請求項6】請求項1~3又は請求項4~6記載の光学式ポインティング機能と指紋認識機能とを備えた電子装置の操作方法であって、第1の回路及び第2の回路を準備するステップと、前記第1の回路をオンにし、前記光学式ポインティング及び指紋認識ユニットに電力を供給することにより、前記光学式ポインティング及び指紋認識ユニットを指紋認識装置として動作するステップと、ユーザの手の指紋画像と内蔵された手の指紋画像とが一致したとき、前記第1の回路をオフにするステップと、前記第2の回路をオンにし、前記光学式ポインティング及び指紋認識ユニットに電力を供給することにより、前記光学式ポインティング及び指紋認識ユニットを光学式ポインティングデバイスとして動作するステップと、からなり、前記第1の回路及び前記第2の回路は、前記光学式ポインティング及び指紋認識ユニットに別々に接続され、前記光学式ポインティング及び指紋認識ユニットに電力を供給するために動作し、前記光学式ポインティング及び指紋認識ユニットは、指紋認識装置又は光学式ポインティングデバイスとして動作することを特徴とする請求項1~3又は請求項4~6記載の光学式ポインティング機能と指紋認識機能とを備えた電子装置の操作方法。
【請求項1】光学式ポインティング機能と指紋認識機能とを備えた電子装置であって、レンズ群を備えたイメージセンサ、光学式ポインティング及び指紋認識ユニット、電力供給ユニット、電力制御ユニット、入出力インターフェース、及び複数の手の指紋画像を保存するデータベースからなり、前記光学式ポインティング及び指紋認識ユニットは、光学式ポインティングデバイス及び指紋認識装置を備え、該指紋認識装置は、上記イメージセンサからの指紋画像を信号化して、入出力インターフェースを介して、複数の手の指紋画像を保存するデータベースへ伝送し、該データベースは伝送された指紋画像を保存された指紋画像と比較して照合し、その結果を入出力インターフェースを介して電力制御ユニットへ伝送し、電力制御ユニットはその結果に基づいて電力供給ユニットを介して、指紋認識装置に電力を供給する第1の回路、及び光学式ポインティングデバイスへ電力を供給する第2の回路をそれぞれ開閉するように構成され、前記電力制御ユニットにより、前記第1の回路がオンに、前記第2の回路がオフに制御されたとき、前記第1の回路を介して前記光学式ポインティング及び指紋認識ユニットに電力が供給されることにより、前記光学式ポインティング及び指紋認識ユニットは、指紋認識装置として作動する前記指紋認識モードとなり、前記電力制御ユニットにより、前記第1の回路がオフに、前記第2の回路がオンに制御されたとき、前記第2の回路を介して前記光学式ポインティング及び指紋認識ユニットに電力が供給されることにより、前記光学式ポインティング及び指紋認識ユニットは、光学式ポインティングデバイスとして作動する前記光学式ポインティングモードとなることを特徴とする光学式ポインティング機能と指紋認識機能とを備えた電子装置。【請求項3】光学式ポインティング機能と指紋認識機能とを備えた電子装置であって、レンズ群を備えたイメージセンサ、光学式ポインティング及び指紋認識ユニット、電力供給ユニット、電力制御ユニット、入出力インターフェース、及び複数の手の指紋画像を保存するデータベースからなり、ユーザの手の指紋画像を検出すると共に、その指紋画像を光学式ポインティング及び指紋認識ユニットに伝送する該イメージセンサと、光学式ポインティングモード及び指紋認識モードの2つの動作モードを有し、前記イメージセンサと接続され、前記イメージセンサから伝送された手の指紋画像データを受信すると共に、前記画像データを前記入出力インターフェースに伝送し、光学式ポインティングモードのとき、光学式ポインティングデバイスとして作動してポインタ又はカーソルを移動させ、指紋認識モードのとき、指紋認識装置としてユーザの手の指紋画像を認識するように作動する光学式ポインティング及び指紋認識ユニットと、電源から供給される電力を受信すると共に、第1の回路及び第2の回路を介し、前記光学式ポインティング及び指紋認識ユニットに電力を供給し、前記第1の回路及び前記第2の回路が前記光学式ポインティング及び指紋認識ユニットに別々に接続されることにより、前記光学式ポインティング及び指紋認識ユニットに電力を別々に供給するために動作する電力供給ユニットと、前記電力供給ユニット中の第1の回路及び第2の回路を制御することにより、前記光学式ポインティング及び指紋認識ユニットを光学式ポインティングモード又は指紋認識モードに制御するために動作し、前記第1の回路をオンに、前記第2の回路をオフに制御したとき、前記光学式ポインティング及び指紋認識ユニットは、指紋認識モードとなり、指紋認識装置として動作し、前記第1の回路をオフに、前記第2の回路をオンに制御したとき、前記光学式ポインティング及び指紋認識ユニットは、前記光学式ポインティングモードとなり、光学式ポインティングデバイスとして動作させる電力制御ユニットと、前記光学式ポインティング及び指紋認識ユニットからの手の指紋画像データを受信すると共に、前記画像データを前記データベース中に伝送して処理を行うために動作する入出力インターフェースと、複数の手の指紋画像データが保存され、データの比較及び演算処理を行うために動作するデータベースと、を備え、ユーザの指紋画像と保存された指紋画像データとが一致したとき、前記データベースは、前記入出力インターフェースに信号を発信し、前記入出力インターフェースから前記電力制御ユニットに前記信号が伝送されることにより、前記第1の回路をオフに、前記第2の回路をオンに制御し、これにより、前記光学式ポインティング及び指紋認識ユニットは、光学式ポインティングデバイスとして動作することを特徴とする電子装置。
【技術分野】【0001】本発明は、光学式ポインティング機能と指紋認識機能とが統合された電子装置及びその制御システムの操作方法に関し、特に、制御システムにより、光学式ポインティング及び指紋認識ユニットへの電力供給を制御する電子装置及びその操作方法に関する。
【背景技術】【0002】従来の携帯電話又はPDAなどの電子製品に使用される光学式ポインティングデバイスは、ポインタ(pointer)又はカーソル(cursor)をユーザが必要とする位置に移動させ、電子装置の機能を実行するために使用される。この種の従来技術としては、特許文献1、特許文献2及び特許文献3が公開されている。【0003】科学技術が進歩するに伴い、単一の電子製品に複数の機能が統合されるようになった。例えば特許文献4においては、光学式ポインティング機能及び指紋認識機能が統合されたコンピュータシステムが開示されている。【0004】上述の光学式ポインティング機能及び指紋認識機能が統合された電子装置は、ユーザによって光学式ポインティング機能又は指紋認識機能が使用されるまで、電子装置に電力が供給され続ける。即ち、電子装置の2つの機能の待機状態を維持するために、電子装置に電力が供給され続けるため、電子装置を使用するときの消費電力が非常に多くなる。この電子装置が携帯型の電子装置の場合、電池の消耗量が多くなるため、使用時可能な間が短縮されてしまい、電力を供給するために電池交換を頻繁に行う必要があり、非常に不便である。
【発明が解決しようとする課題】【0006】本発明は、制御システムが光学式ポインティング及び指紋認識ユニットに供給される電力を制御することにより、消費電力を低減し、電子装置の使用時間を延長することができる光学式ポインティング機能と指紋認識機能とが統合された電子装置及びその制御システムの操作方法を提供することにある。
【課題を解決するための手段】【0007】本発明は、光学式ポインティング機能と指紋認識機能とを備えた電子装置を提供するものである。本発明の電子装置は、制御システムを有する。制御システムは、光学式ポインティングモードにおいて、光学式ポインティングデバイスとして使用され、指紋認識モードにおいて、指紋認識装置として使用される光学式ポインティング及び指紋認識ユニットと、光学式ポインティング及び指紋認識ユニットに別々に接続され、光学式ポインティング及び指紋認識ユニットに電力を供給する第1の回路及び第2の回路と、第1の回路及び第2の回路と接続され、第1の回路及び第2の回路のオン/オフを制御する電力制御ユニットと、を備える。第1の回路がオンに、第2の回路がオフに制御されたとき、第1の回路を介して光学式ポインティング及び指紋認識ユニットに電力が供給されることにより、光学式ポインティング及び指紋認識ユニットが指紋認識モードとなる。第1の回路がオフに、第2の回路がオンに制御されたとき、第2の回路を介して光学式ポインティング及び指紋認識ユニットに電力が供給されることにより、光学式ポインティング及び指紋認識ユニットが光学式ポインティングモードとなる。【0008】本発明は、さらに、光学式ポインティング機能と指紋認識機能とを備えた電子装置の制御システムの操作方法を提供するものである。本発明の操作方法は、第1の回路及び第2の回路を準備するステップと、第1の回路をオンにし、光学式ポインティング及び指紋認識ユニットに電力を供給することにより、光学式ポインティング及び指紋認識ユニットを指紋認識装置として使用するステップと、ユーザの手の指紋画像と内蔵された手の指紋画像とが一致したとき、第1の回路をオフにするステップと、第2の回路をオンにし、光学式ポインティング及び指紋認識ユニットに電力を供給することにより、光学式ポインティング及び指紋認識ユニットを光学式ポインティングデバイスとして使用するステップと、からなる。第1の回路及び第2の回路は、光学式ポインティング及び指紋認識ユニットに別々に接続され、光学式ポインティング及び指紋認識ユニットは、指紋認識装置又は光学式ポインティングデバイスとして使用される。
【発明の効果】【0009】本発明は、電力制御ユニットが第1の回路又は第2の回路の何れかをオンに制御することにより、光学式ポインティング及び指紋認識ユニットが指紋認識装置として使用されるとき、光学式ポインティングデバイスとして使用されるのに必要な電力を自動的にオフにすることができる上、光学式ポインティング及び指紋認識ユニットが光学式ポインティングデバイスとして使用されるとき、指紋認識装置として使用されるのに必要な電力を自動的にオフにすることができる。これにより、消費電力を有効に節約することができ、コストを有効に節約したり、電子装置の使用時間を有効に延長させることができる。
【発明を実施するための形態】【0011】図1を参照する。本実施形態による光学式ポインティング機能と指紋認識機能とが統合された電子装置1は、レンズ群10、イメージセンサ11及び制御システム12から構成され、ユーザに光学式ポインティング機能及び指紋認識機能を提供する。【0012】レンズ群10は、電子装置1中に配置される。レンズ群10は、少なくとも1つのレンズを具え、ユーザの手の指紋画像をイメージセンサ11に焦点を結ぶために使用される。【0013】イメージセンサ11は、電子装置1中に配置される。イメージセンサ11は、光導電材料からなる光センサ(例えばCCDセンサ)であり、ユーザの手の指紋画像により、その画像を電子信号に変換して制御システム12に伝送するために使用される。【0014】制御システム12は、電子装置1中に配置され、光学式ポインティング及び指紋認識ユニット13、電力供給ユニット14、電力制御ユニット15、入出力インターフェース16及びデータベース17を有する。【0015】光学式ポインティング及び指紋認識ユニット13は、イメージセンサ11及び入出力インターフェース16に接続され、イメージセンサ11からの電子信号を受信すると共に、その電子信号を入出力インターフェース16に伝送するために使用される。光学式ポインティング及び指紋認識ユニット13は、光学式ポインティングモード及び指紋認識モードの2つの作動モードを有する。光学式ポインティング及び指紋認識ユニット13は、光学式ポインティングモードのとき、光学式ポインティングデバイス131として作動し、ポインタ又はカーソルを移動操作させる。また、光学式ポインティング及び指紋認識ユニット13は、指紋認識モードのとき、指紋認識装置132として作動し、ユーザの手の指紋を認識する。光学式ポインティングデバイス131及び指紋認識装置132の機能に関する技術及び操作方式は、従来技術を利用したものであるため、ここでは詳説しない。【0016】電力供給ユニット14は、光学式ポインティング及び指紋認識ユニット13に別々に接続される第1の回路141及び第2の回路142を有する。電力供給ユニット14は、電源(図示せず)から電力を供給され、第1の回路141及び第2の回路142を介して光学式ポインティング及び指紋認識ユニット13に電源を供給する。図1に示すように、第1の回路141及び第2の回路142は、光学式ポインティング及び指紋認識ユニット13に別々に接続されており、光学式ポインティング及び指紋認識ユニット13に電力を別々に供給する。ここで、本実施形態において、電源の配置位置は限定されず、電子装置1外の外部電源又は電子装置1内の内部電源とすることができる。【0017】電力制御ユニット15は、電力供給ユニット14及び入出力インターフェース16に接続され、光学式ポインティング及び指紋認識ユニット13を光学式ポインティングモード又は指紋認識モードに制御する。電力制御ユニット15の制御方法は、第1の回路141及び第2の回路142をオン又はオフに制御することにより、電力を第1の回路141又は第2の回路142の何れかから光学式ポインティング及び指紋認識ユニット13に供給し、これにより、光学式ポインティングモード又は指紋認識モードに制御するものである。例えば、第1の回路141をオンに、第2の回路142をオフに制御したとき、光学式ポインティング及び指紋認識ユニット13は、指紋認識モードとなり、指紋認識装置132として作動する。また、第1の回路141をオフに、第2の回路142をオンに制御したとき、光学式ポインティング及び指紋認識ユニット13は、光学式ポインティングモードとなり、光学式ポインティングデバイス131として作動する。【0018】入出力インターフェース16は、電力制御ユニット15及びデータベース17に接続され、光学式ポインティング及び指紋認識ユニット13からの電子信号を受信すると共にその電子信号をデータベース17に伝送して処理を行う。【0019】データベース17は、データの演算処理を行う機能を備えると共に、複数の手の指紋画像を保存する。データベース17は、保存された複数の手の指紋画像とユーザの手の指紋画像とを比較し、ユーザ本人であるか否かを判断する。ユーザの手の指紋画像が保存された手の指紋画像と符合したとき、データベース17は、入出力インターフェース16に信号を発信すると共に、その信号を入出力インターフェース16から電力制御ユニット15に伝送する。これにより、第1の回路141をオフに、第2の回路142をオンに制御し、光学式ポインティング及び指紋認識ユニット13を光学式ポインティングモードに切り替え、光学式ポインティングデバイス131として使用可能とする。上述の制御方式により、電子装置1の消費電力を有効に節約することができる。【0020】図2を参照する。図2に示すように、第1の回路141及び第2の回路142には、スイッチ143,144がそれぞれ配置される。電力制御ユニット15は、2つのスイッチ143,144をオン又はオフに制御することにより、第1の回路141及び第2の回路142のオン/オフを制御する。本実施形態において、スイッチ143,144は、何れも単極スイッチであるが、これのみに限定されず、従来の各種スイッチ又はロジック回路に使用されるスイッチを本発明に運用することができる。【0021】図1及び図3を同時に参照する。本発明の制御システムの操作方法は、下記のステップからなる。【0022】ステップ21:電子装置1をオンにする。【0023】ステップ22:電力制御ユニット15が電力供給ユニット14中の第1の回路141をオンに、第2の回路142をオフに制御する。これにより、光学式ポインティング及び指紋認識ユニット13を指紋認識モードにし、指紋認識機能を実行する。【0024】ステップ23:レンズ群10、イメージセンサ11及び入出力インターフェース16により、ユーザの手の指紋画像をデータベース17に伝送し、データベース17中において画像の比較処理を行う。【0025】ステップ24:データベース17中には、少なくとも1組の指紋画像データが内蔵されており、データベース17がユーザの手の指紋画像とデータベース17に内蔵された指紋画像データとを比較する。【0026】ステップ25:ユーザの手の指紋画像とデータベース17に内蔵された指紋画像データとが一致したとき、比較結果が「YES」と表示され、ステップ26を実行する。ユーザの指紋画像とデータベース17に内蔵された指紋画像データとが異なる場合、比較結果が「NO」と表示され、ステップ23に戻る。【0027】ステップ26:電力制御ユニット15が入出力インターフェース16を介してデータベース17から伝送された信号を受信したとき、電力制御ユニット15は、第1の回路141をオフに、第2の回路142をオンに制御する。【0028】ステップ27:電力制御ユニット15が第2の回路142をオンに制御したとき、光学式ポインティング及び指紋認識ユニット13は、指紋認識機能を終了して光学式ポインティングモードとなり、光学式ポインティング機能を実行する。【0029】ステップ28:ユーザが操作するポインタのデータがイメージセンサ11及び入出力インターフェース16を介してデータベース17に伝送され、データ処理が行われる。【0030】ステップ29:光学式ポインティング機能の操作が終了したか否かを判断する。ユーザが光学式ポインティング機能の操作を終了した後、操作終了が「YES」と表示され、ステップ30を実行して電子装置1をオフにし、電子装置1の使用を終了する。ユーザが光学式ポインティング機能の操作を終了していないとき、操作終了が「NO」と表示され、ステップ28に戻り、ユーザによるポインタの操作が継続される。【0031】ステップ30:電子装置1をオフにする。【0032】本発明は、電力制御ユニットが第1の回路又は第2の回路の何れかをオンに制御することにより、光学式ポインティング及び指紋認識ユニットが指紋認識装置として使用されるとき、光学式ポインティングデバイスとして使用されるのに必要な電力を自動的にオフにすると共に、光学式ポインティング及び指紋認識ユニットが光学式ポインティングデバイスとして使用されるとき、指紋認識装置として使用されるのに必要な電力を自動的にオフにする。これにより、消費電力を有効に節約することができ、コストを有効に節約したり、電子装置の使用時間を有効に延長させることができる。
A
2012087283
2011000267
20110104
null
null
20120510
2012087283
20120510
null
null
5400808
20131101
null
null
null
アクリル酸エステルエマルジョンの無乳化剤重合方法
511004933
展華化学工業股▲ふん▼有限公司
100107962
入交 孝雄
廖 徳章,胡 継光,張 志▲い▼
099135164,20101015,TW
8
C08F 2/22 (20060101)
C08F 2/22
4
null
null
null
1
14
4J011
4J011 AA05 ,4J011 AA08 ,4J011 AA10 ,4J011 AB02 ,4J011 AB09 ,4J011 BA03 ,4J011 BA08 ,4J011 BB01 ,4J011 BB02 ,4J011 BB09 ,4J011 BB17 ,4J011 KA27 ,4J011 KB02 ,4J011 KB05 ,4J011 KB06 ,4J011 KB08 ,4J011 KB09 ,4J011 KB14 ,4J011 KB22 ,4J011 KB29
【課題】粒径が均一に分散し、塗膜外観に優れ、貯蔵安定性、浸透性、塗工性、耐水性、引張強度及び耐摩耗性に優れたアクリル酸エステルエマルジョンの無乳化剤重合方法の提供。【解決手段】純水40~60wt%と、炭素‐炭素二重結合の重合性官能基を有するカルボン酸単量体(A)2~9wt%と、メタクリル酸アルキルエステル又はアクリル酸アルキルエステル単量体(B)40~50wt%とからなる反応系を0.2~2.0wt%の無機アルカリ溶液でpHが9.0~13.0範囲内に調整し、そして、硫酸塩の過酸化物開始剤0.2~1.0wt%で乳化重合し、反応終期に、得られた水性樹脂エマルジョンのpHを有機アミン化合物で7.0~9.5に調整する。【選択図】図1
【請求項1】(1)純水40~60wt%と、炭素‐炭素二重結合の重合性官能基を有するカルボン酸単量体A2~9wt%と、メタクリル酸アルキルエステル又はアクリル酸アルキルエステル単量体B40~50wt%とを主成分とする反応系において、(2)0.2~2.0wt%の無機アルカリ溶液によって反応系のpHを9.0~13.0に調整する工程と、(3)反応系の温度を70~95℃に維持しながら、150~300rpmの回転速度で30分間攪拌し、過硫酸ナトリウム、過硫酸カリウム、過硫酸アンモニウムからなる群より選ばれた1種以上のもの0.2~1.0wt%を開始剤として乳化重合する工程と、(4)反応終期において、アンモニア水、トリメチルアミン、モノエタノールアミン、ジメチルエタノールアミン、2-アミノ-2-メチルプロパノールからなる群より選ばれた1種以上の有機アミン化合物で、得られた水性樹脂エマルジョンのpHを7.0~9.5に調整し、粒径が100~130nmで、均一で微細に分散した水性アクリル重合体を得る工程とからなることを特徴とすアクリル酸エステルエマルジョンの無乳化剤重合方法。【請求項2】前記炭素‐炭素二重結合の重合性官能基を有するカルボン酸単量体Aが、アクリル酸、メタクリル酸、イタコン酸及びクロトン酸からなる群より選ばれた1種以上である請求項1に記載の重合方法。【請求項3】前記メタクリル酸アルキルエステル又はアクリル酸アルキルエステル単量体Bが、メタクリル酸メチル、メタクリル酸エチル、メタクリル酸n-ブチル、メタクリル酸イソブチル、メタクリル酸t-ブチル、アクリル酸メチル、アクリル酸エチル、アクリル酸n-ブチル、アクリル酸イソブチル、アクリル酸t-ブチル、アクリル酸2-エチルヘキシル、メタクリル酸2-エチルヘキシル、ラウリルメタクリレート、アクリロニトリルからなる群より選ばれた1種以上である請求項1に記載の重合方法。【請求項4】前記無機アルカリ溶液が、水酸化ナトリウム、水酸化カリウム、リン酸三ナトリウムからなる群より選ばれた1種以上である請求項1に記載の重合方法。
【請求項1】(1)純水40~60wt%と、炭素‐炭素二重結合の重合性官能基を有するカルボン酸単量体A2~9wt%と、メタクリル酸アルキルエステル又はアクリル酸アルキルエステル単量体B40~50wt%とを主成分とする反応系において、(2)0.2~2.0wt%の無機アルカリ溶液によって反応系のpHを9.0~13.0に調整する工程と、(3)反応系の温度を70~95℃に維持しながら、150~300rpmの回転速度で30分間攪拌し、過硫酸ナトリウム、過硫酸カリウム、過硫酸アンモニウムからなる群より選ばれた1種以上のもの0.2~1.0wt%を開始剤として乳化重合する工程と、(4)反応終期において、アンモニア水、トリメチルアミン、モノエタノールアミン、ジメチルエタノールアミン、2-アミノ-2-メチルプロパノールからなる群より選ばれた1種以上の有機アミン化合物で、得られた水性樹脂エマルジョンのpHを7.0~9.5に調整し、粒径が100~130nmで、均一で微細に分散した水性アクリル重合体を得る工程とからなることを特徴とすアクリル酸エステルエマルジョンの無乳化剤重合方法。
【技術分野】【0001】本発明は、水性アクリル酸エステルエマルジョンの重合方法に関し、特にアクリル酸エステルエマルジョンの無乳化剤重合方法に関して、環境保全無公害の水分散性アクリル酸エステルエマルジョンを提供し、その樹脂エマルジョンは粒径が小さく、均一に分散し、外観が優れ、比重が水よりやや大きく、酸価が低く、貯蔵安定性に優れ、浸透性が良好で、工事しやすい。その乾燥塗膜は耐水性が良好で、引張強度及び耐摩耗性に優れている。
【背景技術】【0002】従来において、アクリル酸エステルエマルジョンは、アクリル酸系単量体を水溶液中で低分子量乳化剤を用いて乳化重合反応させ、単量体、水及び/又は溶媒、開始剤、乳化剤に対して、乳化剤の作用及び機械的攪拌下で、単量体が水又は他の溶媒中に分散して乳濁液になってラジカル重合を行うことにより得られる。しかしながら、固体ポリマーを得ようとする場合は、解乳化、洗浄、脱水、乾燥等のような多工程が必要になるため、生産コストが高くなるだけでなく、得られた重合体製品における乳化剤などの不純物の完全な除去が困難であるため、電気的性能などに影響を与え、望ましくない。【0003】また、得られたエマルジョンを乾燥させる時、乳化剤が重合体から滲出しやすく、アクリル酸エステルエマルジョンの表面を汚染して他の材料との接着強度を低下させるため、同じく望ましくない。【0004】乳化剤の乳化重合反応への関与による問題点を解決するために、特許文献1では、ポリエチレングリコールオクチルフェニルエーテル(polyethyleneglycoloctylphenylether)、ポリエチレングリコールノニルフェニルエーテル、ポリエチレングリコールデシルフェニルエーテルなど非イオン界面活性剤を用いてアクリル酸エステルエマルジョンを合成することが記載されている。これは環境汚染の防止に役立つが、これらの環境ホルモンの化合物は人体に有害で、使用制限等が論議されている。【0005】通常、乳化重合を行うとき、水相での単量体の溶解度が小さいため、液滴がミセル(micelle)に移動し難く、アセトン又はイソプロピルアルコールなどの有機溶剤を添加して溶解を助けなければならない。共乳化剤を添加して高速攪拌下で、単量体を水中でミクロン級の単量体液滴に分散させて主な重合サイトを提供するミニエマルジョンの重合により単量体の溶けにくい問題を解決することも試みられた。【0006】前記ミニエマルジョン重合法では単量体の溶けにくい問題をうまく解決できる。油溶性開始剤を用いて液滴中で単量体を直接重合させ、単量体の液滴からミセルへの移動が不要となり、直接に液滴中で核生成されることで、単量体の溶解しない問題を避けた。【0007】イオン型界面活性剤及び長鎖脂肪アルコール又は長鎖アルカンからなる複合乳化剤を用いて、単量体がミニエマルジョン中で安定なナノ液滴(30~500nm)を形成することも提案された。イオン型界面活性剤及び複合乳化剤による浸透圧が単量体の大液滴と小液滴との圧力差を相殺して、異なるサイズの液滴間の単量体の拡散を低下させたため、小液滴の安定性が大幅に向上され、ミニエマルジョンが十分な動的安定性を獲得し、水、油、界面活性剤及び助剤により熱力学的に安定した乳濁液が自然に形成される。【0008】然しながら、重合中において、反応系における水、溶媒、乳化剤及び乳化助剤又は重合反応温度の変化に伴って、界面活性剤分子は溶液中で、親水、親油基から生成されたミセルの相互転換によって水中油O/W、油中水W/O及び両連続型(bicontinue)B.Cの3種類の構造になることで、平均粒径が小さく、表面張力が低く、濡れ性及び浸透性に非常に優れ、安定性がより良好な重合体マイクロエマルジョンになる。【0009】特許文献2では、反応性乳化剤、スチレン類及び/又は(メタ)アクリル酸アルキルエステル(B)並びにアクリル酸及び/又はメタクリル酸アニオン性単量体(C)を主成分として構成される高分子乳化剤の存在下に、粘着付与剤樹脂を乳化して得られる粘着付与剤樹脂エマルジョンと、アクリル酸エステル系重合体エマルジョン及び/又は粘着剤用ラテックスとを含有してなる水性粘着剤組成物が記載されている。【0010】しかしながら、前記反応性乳化剤(A)とは、分子中に炭素‐炭素二重結合を有する、親水基と疎水基とを有する界面活性剤であって、使用割合が単量体構成成分の10~50wt%を占めるものを指し、さらに粘着付与剤樹脂の反応への関与が必要になる。【0011】どのようにすれば乳化剤の反応への関与による問題を避けられるか、反応中に乳化剤を全く添加しないか、又は微量の乳化剤(濃度が臨界ミセル濃度CMCより小さい)のみを添加するようなエマルジョン重合又は得られた重合体の一部が高分子乳化剤の特徴を持っていることが乳化重合の傾向である。これは、即ち通常の乳化剤を添加しないソープフリー乳化重合であって、表面が清潔で単一分散したラテックス粒子が得られるとともに、環境に対する乳化剤の影響を避けることができる。【0012】その他に、特許文献1では、アクリル酸エステルエマルジョンを合成するとき、水溶性増粘樹脂を乳化剤の代わりに用いてエマルジョン重合を行うことにより、アクリル酸エステルエマルジョンの凍結安定性が向上され、凍結後の解凍時に再使用が可能で、一回の合成でこれらの物性をすべて満足させることにより工程を効果的に短縮させることが記載されている。然しながら、用いられた増粘樹脂が水溶性であるため、得られたラテックス膜が耐水性に欠け、塗料に適さない。
【発明が解決しようとする課題】【0014】耐水性の問題を解決するためには、ラテックス膜中の電解質及び他の低分子の含有量に関連するラテックスのコロイドの安定性から着手する必要がある。ラテックスのコロイドの安定性は、主に界面活性オリゴマーによって決定され、ラテックスのコロイド表面の電荷密度が大きければ大きいほど、安定性が良好である。コロイド表面の安定性はラテックスのコロイド粒子表面の親水性に依存し、親水性が大きければ大きいほど、安定性が良好である。これは、ラテックスのコロイドの極性によって粒子表面と水相界面とが相互作用して界面のGibbs自由エネルギーが低下され、乳化液のコロイド粒子の安定性が向上されるからである。【0015】非イオン界面活性剤の採用による汚染を防止すること、乳化助剤で単量体の溶けにくい問題を解決すること、及び通常の乳化剤を添加しないソープフリー乳化重合を行い、乳化重合の際の小液滴の安定性を高め、界面活性オリゴマーでラテックスのコロイドの安定性を高めることで、表面が清潔で単一分散したラテックス粒子を得るとともに、環境に対する乳化剤の影響を避けることが本発明が解決しようとする課題である。【0016】上記解決しようとする課題に鑑み、本発明の目的は、平均粒径が均一で、濡れ性と浸透性が非常に優れ、安定性のより良好な重合体マイクロエマルジョンを合成することにある。
【課題を解決するための手段】【0017】本発明者らは鋭意検討を重ねた結果、純水40~60wt%と、炭素-炭素二重結合の重合性官能基を有するカルボン酸単量体A2~9wt%と、メタクリル酸アルキルエステル又はアクリル酸アルキルエステル単量体B40~50wt%とを主成分としてなる反応系に対して、有機溶媒又は溶解助剤又は両性溶媒などを使わずに、まず0.2~2.0wt%の無機アルカリ溶液でpHを所定の範囲(好ましくは9.0~13.0で、より好ましくは11.0~13.0である)に調整し、開始剤を添加して無乳化剤重合反応を行い、反応終期にpH調整剤の有機アミン化合物を添加してpHを7.0~9.5(好まくは8.0~9.0である)に調整することで、粒径が100~130nmで、均一で狭く分散した重合体エマルジョンが得られることを見出して、本発明を完成するに至った。環境保全無公害の水分散性アクリル酸エステルエマルジョンを提供でき、その樹脂エマルジョンは粒径が小さく、均一に分散し、塗膜外観が優れ、比重が水よりやや大きく、酸価が低くて、貯蔵安定性に優れ、浸透性が良好で、塗装しやすい。その乾燥塗膜は耐水性が良好で、引張強度及び耐摩耗性に優れている。【0018】本発明において、エマルジョンを重合するときに、水中で、アクリル酸単量体を無機アルカリ類で溶解して、炭素-炭素二重結合の重合性官能基を有するカルボン酸単量体を溶解してアルカリ性水溶液にすることで、重合体分子鎖(親油)の末端に親水性イオン基を形成し、硫酸塩系過酸化物を開始剤としてマイクロスフェアの表面に帯電層を形成してエマルジョンを安定化させる。【0019】通常の乳化方法に用いられるアクリル酸単量体は水相中での溶解度が小さいため、単量体が液滴からミセルに移動し難く、従来の乳液重合方法を用いる場合、安定したアクリル酸エステルエマルジョンを得るのは困難のため、工業化において通常添加する有機溶媒(例えばアセトン又はイソプロピルアルコールなど)で可溶化しなければならない。本発明においては、得られた水性アクリル酸エステルエマルジョンの塗膜性能を考慮して、有機溶剤を用いなかった。【0020】本発明に用いられる開始剤として、通常の過酸化ベンゾイル(BPO)、アゾビスイソブチロニトリル(AIBN)、過硫酸ナトリウム、過硫酸アンモニウム、過硫酸カリウム、過酸化水素の過酸化物からなる群より選ばれた1種以上のものを開始剤として開始してもよいが、好ましくは、過硫酸ナトリウム(以下、Na2S2O8と略す)、過硫酸カリウム(以下、K2S2O8と略す)、過硫酸アンモニウム(以下、(NH4)2S2O8と略す)のような硫酸塩の過酸化物である。【0021】単量体分子は水中で開始剤により重合を開始し、鎖延長速度が速く、生成された重合体の分子量がある臨界値に達すると、水相から析出して初期ラテックス粒子を形成する。これらの粒子の表面の電荷密度が低く、粒子間の静電反発力が不足であるため、粒子が安定し、互いに集合して、安定したラテックス粒子を生成し、重合体分子鎖(親油)及びその末端基のイオン基(親水)から形成したエステル類は陰イオン乳化剤の特性を持って親水親油基を有し、無乳化剤乳化効果を達成することでき、重合で得られたエステル類に陰イオン乳化剤の特性を持たせ、無乳化剤エマルジョン重合の乳化効果を達成する。【0022】本発明の方法において、無機アルカリの添加により、乳化重合反応に関与する単量体が極性基を生成してマイクロスフェアの表面に帯電層を形成し、エマルジョンを安定化させることで、得られたラテックスのコロイド粒子が表面に親水基を有して、従来の乳化剤を代替する。【0023】反応中に乳化剤を全く添加せず、(メタ)アクリル酸アルキルエステル(B)と、炭素-炭素二重結合の重合性官能基を有するカルボン酸単量体(A)とを主成分として重合反応を行うことで、得られた重合体の一部が高分子乳化剤の特徴を有し、反応終期に、得られた水性樹脂エマルジョンのpHを有機アミン化合物で7.0~9.5に調整し、得られた水性樹脂エマルジョンの酸価が3.5~6.5mgKOH/gで低い。さらに、無乳化剤乳化重合を行う時、親油性重合体分子鎖の末端に親水性イオン基を形成し、得られたラテックスのコロイド粒子のマイクロスフェアの表面に帯電層を形成してエマルジョンを安定化させる。【0024】本発明に用いられる無機アルカリとして、水酸化ナトリウム、水酸化カリウム、水酸化カルシウム、炭酸ナトリウム、炭酸カリウム、水素化カルシウム、水素化ナトリウム、水素化カリウム、リン酸三ナトリウムからなる群より選ばれた1種以上であってもよいが、好ましくは、水酸化ナトリウム、水酸化カリウム、リン酸三ナトリウムの1種以上である。このような無機アルカリは、それと反応する、炭素-炭素二重結合の重合性官能基を有するカルボン酸単量体が広いpH範囲で高い親水性及び陰イオン特性を持ち、親油性重合体分子鎖の末端に親水性イオン基を形成するようにすることで、得られたラテックスのコロイド粒子のマイクロスフェア表面に帯電層を形成して安定のエマルジョンが得られる。【0025】本発明において、水中の炭素-炭素二重結合の重合性官能基を有するカルボン酸単量体としては、アクリル酸、メタクリル酸、クロトン酸などのモノカルボン酸、又はマレイン酸、無水マレイン酸、フマル酸、イタコン酸、ムコン酸(2,4-ヘキサジエン二酸)などのジカルボン酸が挙げられ、硫酸塩の過酸化物を開始剤として、無機アルカリでカルボン酸単量体を溶解して親水性高分子樹脂を得、得られた重合体の一部が高分子乳化剤の特徴を持っているため、通常の界面活性剤を代替でき、反応中で乳化剤を全く添加せず、カルボン酸単量体を水中で無乳化剤乳化重合を行わせ、重合体分子鎖の親油性末端に親水性イオン基を形成し、マイクロスフェア表面に帯電層を形成して、エマルジョンを安定化させる。【0026】本発明に用いられる炭素-炭素二重結合の重合性官能基を有するカルボン酸単量体(A)は、使用割合が反応系の2~9wt%を占め、その使用割合が2wt%未満である場合、得られた該共重合体の乳化性が低下し泡立ちが多くなるが、9wt%を超えると、該共重合体の疏水性が過大となり、乳化性が悪くなる。単量体(B)の使用割合は40~50wt%であって、その使用割合が40wt%未満である場合、得られた該共重合体の乳化性が低下するが、50wt%を超えると、該共重合体の親水性が過大となり、得られた樹脂エマルジョンの性能、特に耐水性が低下する。【0027】本発明に用いられる無機アルカリは、それと反応する、炭素-炭素二重結合の重合性官能基を有するカルボン酸単量体に広いpH範囲で高度の親水性及び陰イオン特性を持たせ、親油性重合体分子鎖の末端に親水性イオン基を形成させることで、得られたラテックスのコロイドのマイクロスフェア表面に帯電層を形成して安定のエマルジョンが得られ、優れた乳化性能を有するものであり、その酸価は特に制限されないが、中和時の共重合体固形分の酸価が通常3.5~6.5mgKOH/g前後とするのが好ましい。【0028】本発明に用いられるアクリル単量体は、得られる水性アクリル酸エステルエマルジョンの粘着性、該エマルジョンの粘度又は安定性等を考慮して適宜決定され、各々の該ガラス転移温度(Tg)を所定の範囲にするものである。アクリル単量体のTgを-20~20℃に、好ましくは-5~10℃にする。【0029】水性アクリル酸エステルエマルジョンの固形分を40~50%にすることが好ましく、水性エマルジョンの製造時の粘度を容易に抑制できる他、凝集強さが向上し、得られた水性アクリル酸エステルエマルジョンに有機溶媒が含まれない。【0030】本発明の方法に適用するアクリル単量体は特に制限されないが、炭素-炭素二重結合の重合性官能基を有するカルボン酸単量体Aとしては、例えばアクリル酸(acrylicacid、以下AAcと略す)、メタクリル酸(methacrylicacid、以下MAAcと略す)、フマル酸(fumaricacid)、イタコン酸(itaconicacid、以下ITAと略す)及びクロトン酸(crotonicacid、以下CTAと略す)からなる群より選ばれた1種以上が挙げられる。【0031】メタクリル酸アルキルエステル又はアクリル酸アルキルエステル単量体Bとしては、例えばメタクリル酸メチル(methylmethacrylate、以下MMAと略す)、メタクリル酸エチル(ethylmethacrylate、EMA)、メタクリル酸n-ブチル(n-butylmethacrylate、n-BMA)、メタクリル酸イソブチル(i-butylmethacrylate、i-BMA)、メタクリル酸t-ブチル(t-butylmethacrylate、t-BMA)、アクリル酸メチル(methylacrylate、MA)、アクリル酸エチル(ethylacrylate、EA)、アクリル酸n-ブチル(n-butylacrylate、以下n-BAと略す)、アクリル酸イソブチル(i-butylacrylate、以下はi-BAと略す)、アクリル酸t-ブチル(t-butylacrylate、t-BA)、アクリル酸2-エチルヘキシル(2-ethylhexylscrylate、以下2-EHAと略す)、メタクリル酸2-エチルヘキシル(2-ethylhexylmethacrylate、2-EHMA)、ラウリルメタクリレート(lauricmethylacrylate、LMA)、アクリロニトリル(acrylonitrile、AN)、メタクリロニトリル(methylacrylonitrile、MAN)からなる群より選ばれた1種以上のものが挙げられる。必要に応じて、酢酸ビニルエステル、スチロール等のアクリル酸エステル系単量体以外の単量体を併用してもよい。【0032】本発明の方法により得られたアクリル樹脂エマルジョンは、貯蔵安定性が良好で、従来の方法により得られたものと比べて耐熱性、粘着強度、凝集強さ、塗布性に優れ、該樹脂エマルジョンに有機溶媒が含まれないため、塗料として用いられる場合、安全衛生面に優れている。特に、耐熱性、粘着強度、凝集強さに対する要求が高い製品における溶媒残留の規格が厳しい建築材料に最適に用いられる。【0033】本発明における単量体分子は水中で開始剤により重合を開始するため、鎖延長速度が速く、生成された重合体の分子量がある臨界値に達すると、水相から析出して初期ラテックス粒子を形成する。これらの粒子表面の電荷密度が低く、粒子間の静電反発力が不足であるため、粒子が安定し、互いに集合して、安定したラテックス粒子を生成して親油性重合体分子鎖の末端基に親水性イオン基を形成し、生成されたエステル類が陰イオン乳化剤の特性を持ち、無乳化剤エマルジョン重合の乳化効果を達成することで、重合で得られた重合体エステルに陰イオン乳化剤の特性を持たせ、無乳化剤エマルジョン重合の乳化効果を達成する。【0034】本発明の方法においてpH調整剤として用いられる有機アミン化合物としては、アンモニア(ammonia、以下NH4OHと略す)、トリメチルアミン(trimethylamine、以下TMAと略す)、ジエチルアミン(Diethylamine、DEA)、トリエチルアミン(triethylamine、TEA)、モノエタノールアミン(monoethanolamine、以下METAと略す)、ジエタノールアミン(diethanolamine、DETA)、ジメチルエタノールアミン(dimethylethanolamine、以下DMEAと略す)、ジエチルエタノールアミン(dimethylethanolamine、DEEA)、イソプロピルエタノールアミン(isopropylethanolamine、以下IPEAと略す)、2-アミノ-2-メチルプロパノール(2-amino-2-methylpropanol、AMP)、2‐ジメチルアミノ‐2‐メチルプロパノール(2-dimethylamino-2-methylpropanol、DMAMP)、モルホリン(morpholine、MOR)、n-メチルモルホリン(n-methylmorpholine、NMR)、n-エチルモルホリン(morpholine、NEM)等が挙げられる。うち好ましいのはアンモニア、トリメチルアミン、ジメチルエタノールアミン、2-アミノ-2-メチルプロパノール等である。【0035】本発明の方法により乳化重合して得られた、表面に高い親水性と良好な安定性を持つラテックスのコロイド粒子により、平均粒径が小さく、表面張力が低く、濡れ性と浸透性に非常に優れ、安定性のより良好な重合体エマルジョンを合成する。【0036】本発明に係わる無乳化剤アクリル酸エステルエマルジョンの重合方法であって、純水40~60wt%と、炭素-炭素二重結合の重合性官能基を有するカルボン酸単量体(A)2~9wt%と、メタクリル酸アルキルエステル又はアクリル酸アルキルエステル単量体(B)40~50wt%とからなる反応系を反応槽に入れ、反応系を0.2~2.0wt%の無機アルカリ液でpHを9.0~13.0の範囲内に調整し、そして硫酸塩の過酸化物開始剤0.2~1.0wt%で乳化重合し、反応終期に、得られた水性樹脂エマルジョンのpHを有機アミン化合物で7.0~9.5の範囲内に調整する。得られた水性樹脂エマルジョンは粒径が約100~130nmで、均一に分散し、外観が優れ、酸価が3.5~6.5mgKOH/gで低く、比重が約1.07で水よりやや大きく、貯蔵安定性に優れ、浸透性が良好で、塗装しやすい。その乾燥塗膜は耐水性が良好で、引張強度及び耐摩耗性に優れている。【0037】蒸留水を秤取し(重合反応に関与する反応物の40~60wt%の蒸留水を入れる)、約150~300RPMの回転速度で攪拌し、70℃~95℃に維持した後、炭素-炭素二重結合の重合性官能基を有するカルボン酸単量体及び無機アルカリ液を添加し、20~30分間で所定の温度になったとき、開始剤を添加し、アクリル酸アルキルエステル又はメタクリル酸アルキルエステルの単量体を2.0~4.5時間で徐々に滴加し、温度及び回転速度を維持しながら約2~3時間引き続き反応させる。加熱器を停止させるが、引き続き攪拌して自然降温させる。40℃まで降温した後、pH調整剤である有機アミン化合物を水で希釈して、pHが8.0~9.0になるまで約15分間徐々に添加して、重合反応を終了させる。得られた水性アクリル酸エステルエマルジョンの平均粒径が小さい(マイクロスフェアの粒径dが100~130nmである)。
【発明の効果】【0038】本発明の方法によって乳化重合して得られた、表面に高い親水性及び良好な安定性を持つラテックスのコロイド粒子により、平均粒径が均一で、濡れ性及び浸透性に非常に優れ、安定性のより良好な重合体マイクロエマルジョンを合成した。【0039】本発明の方法で無乳化剤エマルジョン重合を達成させたのは、粒径の均一な重合体粒子を効果的に合成できるからで、通常、不均一重合反応に適用する。不均一重合としては、水を溶媒とする乳化重合と有機液体を溶媒とする分散重合がある。いずれの重合においても、石鹸類又は高分子安定剤などの分散剤を大量添加するため、合成後の後処理問題、又は産物に不純物が残留する問題がある。各々の合成方法は、他の方法で代替しがたい特徴を有し、この特徴を開発すべきである。乳化剤の反応への関与による問題点を避けるように、本発明の方法においては、反応中に乳化剤を全く添加せず、純水40~60wt%と、炭素-炭素二重結合の重合性官能基を有するカルボン酸単量体(A)2~9wt%と、メタクリル酸アルキルエステル又はアクリル酸アルキルエステル単量体(B)40~50wt%とからなる反応系を0.2~2wt%の無機アルカリ液でpHを9.0~13.0に調整することで、高分子乳化剤の特徴を有させ、そして硫酸塩の過酸化物開始剤を用いて乳化重合し、反応終期に、得られた水性樹脂エマルジョンのpHを有機アミン化合物で7.0~9.5に調整した。これにより表面の清潔で、単一分散したラテックス粒子を得られるとともに、環境に対する乳化剤の影響を回避した。【0040】近年、ますます高まる環境問題又は医療・生物技術に関する応用あるいはさまざまな機能性材料の開発の観点から、環境保全型製造方法の開発あるいは高純度重合体粒子の合成方法の開発が期待されている。【0041】粒径が均一のいわゆる単分散性粒子の合成としては、無乳化剤乳化重合があり、この重合法は水を溶媒とし、石鹸類を添加しないクリーンな製造工程を有することを特徴としている。従って、重合が単純になり、単量体/開始剤/水からなる最も簡単な形式になる。通常、粒子合成方法における最も大きい課題は、制御可能な粒径の範囲にあって、本発明に係る無乳化剤乳化重合方法により粒径100~130nmで、均一に分散したエマルジョンが得られることで、制御可能な粒径範囲の拡大も期待されている。
【発明を実施するための最良の形態】【0043】[試験方法][エマルジョンの物性]1.平均粒径d、粒径分布及び標準偏差(sd).分散系数D得られたエマルジョン重合体に対して、MASTERSIZER-2000(MANERN社製)を用いてレーザー回折法によってマイクロスフェアの粒径分布曲線を得、平均粒子径d、粒径分布及び標準偏差(sd).を測定し、分散系数Dは下記式で算出した。D=sd/d.得られたエマルジョン重合体のマイクロスフェアの粒径分布曲線からマイクロスフェアの粒径の分布状況を直接的に得た。2.ガラス転移温度Tg(℃)示差走査熱量計(Differentialscanningcalorimeters、DSC)(METTLER-TOLEDO計器社製)でTg点を測定した。3.酸価得られたエマルジョンを用いて、日本工業規格(JIS)K5601第2部第1節に規定された方法によって試験を行った。4.比重得られたエマルジョンを用いて、日本工業規格(JIS)K7232に規定された方法によって試料を調製して試験を行った。5.pH値得られたエマルジョンを用いて、日本工業規格(JIS)K0400第12部第10節に規定された方法によって試料を調製して試験を行った。6.貯蔵安定性得られたエマルジョンを用いて、日本工業規格(JIS)K5600第2部第7節に規定された方法によって試料を調製して試験を行った。7.凍結安定性得られたエマルジョンを用いて、日本工業規格(JIS)K5600第2部第6節に規定された方法によって試料を調製して試験を行った。【0044】[エマルジョンの塗膜の物性]1.引張強度得られたエマルジョンを用いて、日本工業規格(JIS)K6251に規定された方法によって試料を調製して試験を行った。2.引裂き強度得られたエマルジョンを用いて、日本工業規格(JIS)K6252に規定された方法によって試料を調製して試験を行った。3.伸び率得られたエマルジョンを用いて、日本工業規格(JIS)K6251に規定された方法によって試料を調製して試験を行った。4.塗膜の硬度得られたエマルジョンを用いて、日本工業規格(JIS)K6253に規定された方法によって試料を調製して試験を行った。5.3日間の吸水率得られたエマルジョン用いて、日本工業規格(JIS)K7112に規定された方法によって試料を調製して試験を行った。【0045】[エマルジョンの作業性]得られたエマルジョンを用いて、日本工業規格(JIS)A1181に規定された方法によって、骨材(CA-400)との混合性、密着性、リコート性を観測した。◎は良好な結果、×は悪い結果を表す。電解質安定性について、日本工業規格(JIS)K6935第2節に規定された方法によって試験を行った。【0046】以下、実施例を挙げて、本発明に係る無乳化剤アクリルエステルエマルジョンの重合方法について詳しく説明するが、本発明はこれらの実施例によって限定されるものではない。【0047】[実施例1~5について]実施例1~3に用いられるカルボン酸単量体は全て炭素-炭素二重結合の重合性官能基を有するモノカルボン酸であるが、異なる無機アルカリを用いた。実施例4~5においては、炭素-炭素二重結合の重合性官能基を有するモノカルボン酸と、炭素-炭素二重結合の重合性官能基を有する異なるジカルボン酸との混合物を用いた。得られた水性アクリル酸エステルエマルジョンの基本組成及びエマルジョンの物性、塗膜の物性及びエマルジョンの作業性を表1に示した。【0048】[実施例1~5について]実施例1~3に用いられるカルボン酸単量体は全て炭素-炭素二重結合の重合性官能基を有するモノカルボン酸であるが、異なる無機アルカリを用いた。実施例4~5においては、炭素-炭素二重結合の重合性官能基を有するモノカルボン酸と、炭素-炭素二重結合の重合性官能基を有する異なるジカルボン酸との混合物を用いた。得られた水性アクリル酸エステルエマルジョンの基本組成及びエマルジョンの物性、塗膜の物性及びエマルジョンの作業性を表1に示した。【0049】[実施例1~5]表1に示す基本組成を基準にする(1)反応槽に無機アルカリ溶液0.2~2.0wt%を入れ、蒸留水(まず重合反応に関与する反応物の40~60wt%の蒸留水を添加する)を秤取し、150~300RPMの回転速度で攪拌し、70~95℃に維持した。(2)アクリル酸、メタクリル酸又はクロトン酸及びイタコン酸単量体(全単量体の2~9wt%を占める)などのカルボン酸単量体(A)を添加した。(3)約20分間で所定の温度になり、硫酸塩の過酸化物開始剤0.2~1.0wt%を添加した後、メタクリル酸メチル、メタクリル酸エチル、メタクリル酸イソブチル、メタクリル酸t-ブチル、アクリル酸メチル、アクリル酸n-フチル、アクリル酸2-エチルヘキシル(全単量体の約40~50wt%を占める)などのアクリル酸アルキルエステル単量体(B)を2.0~4.5時間で徐々に滴加し、温度及び回転速度を維持しながら、約2~3時間引き続き反応させた。(4)加熱器を停止させるが、引き続き攪拌して自然降温させた。40℃まで降温した後、水でpH調整剤を希釈し、pHが8.0~9.0になるまで約15分間徐々に添加した。反応終了後に水性アクリル酸エステルエマルジョンを得た。その組成成分及び得られたアクリル水性アクリル酸エステルエマルジョン及び乾燥塗膜の物性を表1に示した。得られた重合体マイクロスフェアの粒径分布曲線からマイクロスフェアの粒径の分布状況を直接的に得て、代表図を図1に示した。【0050】[エマルジョンの作業性]実施例1~5から得られた無乳化剤のアクリル樹脂68.2wt%と、コンクリート、珪砂などの骨材11.4wt%とを組み合わせて、さまざまな充填粉体11.4wt%、着色剤6.8wt%とともに床板材の製品に製造し、これらの混合性、密着性、リコート性を検証した。【0051】[比較例1~4]表2に示すような基本組成によって、実施例1~5と同じ方法で水性アクリル酸エステルエマルジョンを合成した。その組成成分及び得られたアクリル水性アクリル酸エステルエマルジョン及び乾燥塗膜の物性を表2に示した。〔実施例におけるエマルジョンの組成、エマルジョンの物性、エマルジョンの作業性及び塗膜の物性〕【0052】【表1】【0053】【表2】【0054】表1から分かるように、本発明の方法により得られた水性アクリル酸エステルエマルジョンは、実施例5における低いガラス転移温度(-9.00℃)と、実施例3における高いガラス転移温度(8.48℃)という差異があるが、塗膜製品の物性要求に応じて調整して使ってもよい。実施例3における水性アクリル酸エステルエマルジョンのドライフィルムは硬度が硬いため、面塗料に適用する。本発明の方法により得られた水性アクリル酸エステルエマルジョンの塗膜は高い物理的特性及び低い吸水性を持っているため、各種類の建物の防水工事に適用する。【0055】本発明の方法により得られた水性アクリル樹脂エマルジョンは、用いたカルボン酸単量体(A)が、炭素-炭素二重結合の重合性官能基を有するモノカルボン酸及び/またはジカルボン酸であるにもかかわらず、異なる無機アルカリ溶液を用いて無乳化剤乳化重合を行うことができ、得られた水性アクリル酸エステルエマルジョンの貯蔵安定性及び凍結安定性が良好であるため、さまざまな作業環境に適応する。有機溶剤を含まないので、塗料として用いられる場合、安全衛生に優れている。特に、高い粘着強さ及び機械的強さが要求される製品における溶剤残留の規格が厳しい建築材料に最適に用いられる。【0056】比較例1~2において過量のカルボン酸を用いたため、得られたエマルジョンは酸価が高くて吸水率が上昇し、比較例1~2で平均粒径115nmのエマルジョンが得られるが、その貯蔵安定性及び凍結安定性が悪く、骨材との混合性は良好であるが、密着性及びリコート性が悪いため、さまざまな塗装条件に対対応できない。比較例3~4における酸価が本発明により得られたものと同じように低く、得られたエマルジョンの貯蔵安定性や凍結安定性が良好であるが、通常の乳化剤CMC(12R)でエマルジョン重合を行って得られたエマルジョンの粒径が大きくなり、塗膜の3日間吸水率が上昇し、骨材との混合性、密着性及びリコート性も悪いため、さまざまな塗装条件に対応できない。
A
2012136007
2011010255
20110104
null
null
20120719
2012136007
20120719
null
null
null
null
null
null
null
起立体
398030045
有限会社アリエルトレーディング
null
null
松田 要,新谷 聰
2010285108,20101206,JP
8
B42D 1/00 (20060101), B42D 15/02 (20060101), G09F 1/08 (20060101)
B42D 1/00 H ,B42D 15/02 511A ,G09F 1/08 G
6
null
null
null
0
9
2C005
2C005 XA01 ,2C005 XB04
【課題】ノート・カタログ・地図に、起立体を後付けして簡単に取り付け、それらノートやガイドブックなどに驚きや印象や楽しさや広告などの機能を付加させる。【解決手段】第1起立片と、縦折線を介して第1起立片に連接した第2起立片と、第1起立片の下端に縦折線に対してある角度で設けた第1横折線を介して連接した第1取付片と、第1取付片において、縦折線の下端を基点としてある角度で形成されている第1側端縁と、第1横折線と対称な角度で第2起立片の下端に設けた第2横折線を介して連接した第2取付片と、第2取付片において、縦折線に対して第1側端縁と対称な角度の第2側端縁とからなり、第1取付片と第2取付片とを開閉シート上に取り付けて起立した状態において、第1横折線と第2横折線と、第1横折線と第1側端縁と、第2横折線と第2側端縁と、がなす角度の合計が略180度となるように設定している。【選択図】図5
【請求項1】開閉シートに取り付けて、開閉シートを開くことで起立し閉じることで収納されるシート状の起立体であって、第1起立片と、縦方向の縦折線を介して第1起立片に連接した第2起立片と、第1起立片の下端に縦折線に対してある角度で設けた第1横折線を介して連接した第1取付片と、縦折線の下端を基点としてある角度で第1取付片に形成されている第1側端縁と、縦折線に対して第1横折線と対称な角度で第2起立片の下端に設けた第2横折線を介して連接した第2取付片と、縦折線に対して第1側端縁と対称な角度で縦折線の下端を基点として第2取付片に形成されている第2側端縁とからなる、ことを特徴とする起立体。【請求項2】第1取付片と第2取付片との両取付片の裏面あるいは表面のどちらか一方の面に粘着剤を設けたことを特徴とする請求項1記載の起立体。【請求項3】開閉シートに取り付けて、開閉シートを開くことで起立し閉じることで収納されるシート状の起立体であって、第1起立片と、縦方向の縦折線を介して第1起立片に連接した第2起立片と、第1起立片の下端に縦折線に対してある角度で設けた第1横折線を介して連接した第1取付片と、縦折線の下端を基点としてある角度で直線に第2取付片に形成されている第1側端縁と、縦折線に対して第1横折線と対称な角度で第2起立片の下端に設けた第2横折線を介して連接した第2取付片と、縦折線に対して第1側端縁と対称な角度で縦折線の下端を基点として第2取付片に形成されている第2側端縁とからなり、第1横折線と第2横折線とを谷折りし、縦折線を山折りして、開かれた開閉シートに第1取付片と第2取付片とを取り付けて起立体が起立した状態において、第1横折線と第2横折線とがなす角度と、第1横折線と第1側端縁とがなす角度と、第2横折線と第2側端縁とがなす角度と、の合計が略180度となるように設定したことを特徴とする起立体。【請求項4】第1取付片の裏側と第2取付片との裏側に粘着剤を設けたことを特徴とする請求項3記載の起立体。【請求項5】開閉シートに取り付けて、開閉シートを開くことで起立し閉じることで収納されるシート状の起立体であって、第1起立片と、縦方向の縦折線を介して第1起立片に連接した第2起立片と、第1起立片の下端に縦折線に対してある角度で設けた第1横折線を介して連接した第1取付片と、縦折線の下端を基点としてある角度で直線に第1取付片に形成されている第1側端縁と、縦折線に対して第1横折線と対称な角度で第2起立片の下端に設けた第2横折線を介して連接した第2取付片と、縦折線に対して第1側端縁と対称な角度で縦折線の下端を基点として第2取付片に形成されている第2側端縁とからなり、第1横折線と第2横折線とを山折りし、縦折線を山折りして、開かれた開閉シートに第1取付片と第2取付片とを取り付けて起立体が起立した状態において、第1横折線と第1側端縁とがなす角度と第2横折線と第2側端縁とがなす角度を足した角度との合計が第1横折線と第2横折線とがなす角度に等しくなるように設定したことを特徴とする起立体。【請求項6】第1取付片の表側と第2取付片との表側に粘着剤を設けたことを特徴とする請求項5記載の起立体。
【請求項1】開閉シートに取り付けて、開閉シートを開くことで起立し閉じることで収納されるシート状の起立体であって、第1起立片と、縦方向の縦折線を介して第1起立片に連接した第2起立片と、第1起立片の下端に縦折線に対してある角度で設けた第1横折線を介して連接した第1取付片と、縦折線の下端を基点としてある角度で第1取付片に形成されている第1側端縁と、縦折線に対して第1横折線と対称な角度で第2起立片の下端に設けた第2横折線を介して連接した第2取付片と、縦折線に対して第1側端縁と対称な角度で縦折線の下端を基点として第2取付片に形成されている第2側端縁とからなる、ことを特徴とする起立体。【請求項3】開閉シートに取り付けて、開閉シートを開くことで起立し閉じることで収納されるシート状の起立体であって、第1起立片と、縦方向の縦折線を介して第1起立片に連接した第2起立片と、第1起立片の下端に縦折線に対してある角度で設けた第1横折線を介して連接した第1取付片と、縦折線の下端を基点としてある角度で直線に第2取付片に形成されている第1側端縁と、縦折線に対して第1横折線と対称な角度で第2起立片の下端に設けた第2横折線を介して連接した第2取付片と、縦折線に対して第1側端縁と対称な角度で縦折線の下端を基点として第2取付片に形成されている第2側端縁とからなり、第1横折線と第2横折線とを谷折りし、縦折線を山折りして、開かれた開閉シートに第1取付片と第2取付片とを取り付けて起立体が起立した状態において、第1横折線と第2横折線とがなす角度と、第1横折線と第1側端縁とがなす角度と、第2横折線と第2側端縁とがなす角度と、の合計が略180度となるように設定したことを特徴とする起立体。【請求項5】開閉シートに取り付けて、開閉シートを開くことで起立し閉じることで収納されるシート状の起立体であって、第1起立片と、縦方向の縦折線を介して第1起立片に連接した第2起立片と、第1起立片の下端に縦折線に対してある角度で設けた第1横折線を介して連接した第1取付片と、縦折線の下端を基点としてある角度で直線に第1取付片に形成されている第1側端縁と、縦折線に対して第1横折線と対称な角度で第2起立片の下端に設けた第2横折線を介して連接した第2取付片と、縦折線に対して第1側端縁と対称な角度で縦折線の下端を基点として第2取付片に形成されている第2側端縁とからなり、第1横折線と第2横折線とを山折りし、縦折線を山折りして、開かれた開閉シートに第1取付片と第2取付片とを取り付けて起立体が起立した状態において、第1横折線と第1側端縁とがなす角度と第2横折線と第2側端縁とがなす角度を足した角度との合計が第1横折線と第2横折線とがなす角度に等しくなるように設定したことを特徴とする起立体。
【技術分野】【0001】本発明は、開閉シートを開いて平面にすると立ち上がり、閉じると開閉シートに収納される起立体に関する。
【背景技術】
【発明が解決しようとする課題】【0004】そこで、開閉機能を持ったノート・日記・カタログ・チラシ・地図・ガイドブックなどに、大きさや形の違う起立体を後付けとして簡単に取り付けることが出来れば、それら開閉機能のあるノートやガイドブックなどに驚きや印象や楽しさや宣伝広告などの機能がさらに付加されると考えた。また取り付け取り外しができる起立体であれば、昼夜・季節などの違いを表現できることになる。さらに取り付け位置と形状によるが起立体は立体式インデックスや立体式付箋として利用できるとも考えた。このような機能のある起立体を試作して試行錯誤した結果、開閉折線が設けられている開閉シートを開いて平面にすると立ち上がり閉じると開閉シートに収納されるように取り付けるためには、その取り付け位置や角度などが適切でないとうまくいかないことが分かった。さらに、例えば地図上に書かれた道路の直角交差点に立つ建物であればその建物である起立体は直角に開いて取り付けられるのが望ましく、さらに地図上の建物の隅が45度であれば起立体も45度に開いて起立されるのが望ましい。さらに、裏と表に印刷することで昼夜・季節などによる変化を一個の起立体で表現したいとも考えた。目印もない箇所に正確に起立片を取り付けるのはなかなか難しいことが明らかになった。起立体の起立片は裏表が利用できるので、裏の図は夜で表の図は昼間、あるいは季節による変化など両面が使用できるように、貼って剥がせるようにするとさらに驚きや変化がえられると考えた。【0005】本発明は、前述の課題に着目してなされたものであって、開閉部が設けられているノート・日記・カタログ・チラシ・地図・ガイドブックなどの起立体の付いていない箇所に所定条件を満たすよう簡単に取り付けられて、開くと起立し閉じると収納される起立体を提供することにある。
【課題を解決するための手段】請求項1記載の起立体は、開閉シートに取り付けて、開閉シートを開くことで起立し閉じることで収納されるシート状の起立体であって、第1起立片と、縦方向の縦折線を介して第1起立片に連接した第2起立片と、第1起立片の下端に縦折線に対してある角度で設けた第1横折線を介して連接した第1取付片と、縦折線の下端を基点としてある角度で第1取付片に形成されている第1側端縁と、縦折線に対して第1横折線と対称な角度で第2起立片の下端に設けた第2横折線を介して連接した第2取付片と、縦折線に対して第1側端縁と対称な角度で縦折線の下端を基点として第2取付片に形成されている第2側端縁とからなっている。【0006】請求項2の起立体は、請求項1記載の起立体の第1取付片と第2取付片との両取付片の裏面あるいは表面のどちらか一方の面に粘着剤を設けている。【0007】請求項3の起立体は、開閉シートに取り付けて、開閉シートを開くことで起立し閉じることで収納されるシート状の起立体であって、第1起立片と、縦方向の縦折線を介して第1起立片に連接した第2起立片と、第1起立片の下端に縦折線に対してある角度で設けた第1横折線を介して連接した第1取付片と、縦折線の下端を基点としてある角度で直線に第2取付片に形成されている第1側端縁と、縦折線に対して第1横折線と対称な角度で第2起立片の下端に設けた第2横折線を介して連接した第2取付片と、縦折線に対して第1側端縁と対称な角度で縦折線の下端を基点として第2取付片に形成されている第2側端縁とからなり、第1横折線と第2横折線とを谷折りし、縦折線を山折りして、開かれた開閉シートに第1取付片と第2取付片とを取り付けて起立体が起立した状態において、第1横折線と第2横折線とがなす角度と、第1横折線と第1側端縁とがなす角度と、第2横折線と第2側端縁とがなす角度と、の合計が略180度となるように設定している。【0008】請求項4の起立体は、請求項3記載の起立体の第1取付片の裏側と第2取付片との裏側に粘着剤を設けている。【0009】請求項5の起立体は、開閉シートに取り付けて、開閉シートを開くことで起立し閉じることで収納されるシート状の起立体であって、第1起立片と、縦方向の縦折線を介して第1起立片に連接した第2起立片と、第1起立片の下端に縦折線に対してある角度で設けた第1横折線を介して連接した第1取付片と、縦折線の下端を基点としてある角度で直線に第1取付片に形成されている第1側端縁と、縦折線に対して第1横折線と対称な角度で第2起立片の下端に設けた第2横折線を介して連接した第2取付片と、縦折線に対して第1側端縁と対称な角度で縦折線の下端を基点として第2取付片に形成されている第2側端縁とからなり、第1横折線と第2横折線とを山折りし、縦折線を山折りして、開かれた開閉シートに第1取付片と第2取付片とを取り付けて起立体が起立した状態において、第1横折線と第1側端縁とがなす角度と第2横折線と第2側端縁とがなす角度を足した角度との合計が第1横折線と第2横折線とがなす角度に等しくなるように設定している。【0010】請求項6の起立体は、請求項5記載の起立体の第1取付片の表側と第2取付片との表側に粘着剤を設けている。
【発明の効果】【0011】請求項1記載の起立体は、第1取付片と第2取付片との両取付片の裏面あるいは表面のどちらか一方の面に粘着剤を設けることで、請求項1記載のこれら手段により、縦折線の下端を開閉折線に合わせて、縦折線に対して起立体の第1起立片と第2起立片との開き角度が所定値となるように、第1取付片と第2取付片とを開閉シートに取り付けることができる。これにより、起立体の設けられていない開閉機能のあるノート・日記・カタログ・チラシ・地図・ガイドブックなどに、大きさや形の違う起立体であっても第1起立片と第2起立片との開き角度が所定の角度として取り付けることができる。そのために、それら開閉機能のあるノートやガイドブックなどに驚きや印象や楽しさや広告などの機能が付加されるようになる。【0012】請求項2記載の起立体は、請求項1記載の起立体の効果のほかに、取付片に粘着剤を予め設けているので更に取り付け易くなる。また、再剥離再貼付可能な粘着剤とすることで起立体の変更が楽になるとともに、例えば裏と表に印刷して両方の図柄が楽しめるようにもなる。さらに、インデックス・付箋などとして利用できる形状に起立体をすることで、立体式インデックス・立体式付箋などとして利用できるようにもなる。【0013】請求項3記載の起立体は、第1取付片と第2取付片との裏側に糊や粘着剤を後から設けることで、請求項3記載のこれら手段により、縦折線の下端を開閉折線に合わせて、第1側端縁と第2側端縁はともに縦折線と略直角に置くことで、縦折線に対して起立体の第1起立片と第2起立片と開き角度が所定値となるように起立体を容易に開閉シートに取り付けることができる。これにより、起立体の設けられていない開閉機能のあるノート・日記・カタログ・チラシ・地図・ガイドブックなどに、大きさや形の違う起立体の第1起立片と第2起立片との開き角度が所定の角度として簡単に取り付けることができる。そのために、それら開閉機能のあるノートやガイドブックなどに驚きや印象や楽しさや広告などの機能が簡単に付加されるようになる。【0014】請求項4記載の起立体は、請求項3記載の起立体の効果のほかに、取付片に粘着剤を予め設けているので更に取り付け易くなる。また、再剥離再貼付可能な粘着剤とすることで起立体の変更が楽になるとともに、例えば裏と表に印刷して両方の図柄が楽しめるようにもなる。【0015】請求項5記載の起立体は、第1取付片と第2取付片との表側に糊や粘着剤を後から設けることで、請求項3記載のこれら手段により、縦折線の下端を開閉折線に合わせて、第1横折線と第2横折線とを山折りし、縦折線を山折りして、第1側端縁と第2側端縁とを合わせることで、縦折線に対して起立体の第1起立片と第2起立片と開き角度が所定値となるように起立体を容易に開閉シートに取り付けることができる。これにより、起立体の設けられていない開閉機能のあるノート・日記・カタログ・チラシ・地図・ガイドブックなどに、大きさや形の違う起立体の第1起立片と第2起立片との開き角度が所定の角度として簡単に取り付けることができる。そのために、それら開閉機能のあるノートやガイドブックなどに驚きや印象や楽しさや広告などの機能が付加されるようになる。【0016】請求項6記載の起立体は、請求項5記載の起立体の効果のほかに、取付片に粘着剤を予め設けているので更に取り付け易くなる。また、再剥離再貼付可能な粘着剤とすることで起立体の変更が楽になるとともに、例えば裏と表に印刷して両方の図柄が楽しめるようにもなる。
【発明を実施するための形態】【実施例】【0018】実施例を図1・図2・図3・図4・図5・図6に基づいて説明する。実施例は、開閉シート(B)に起立体(A)を取り付けたときに、開閉シート(B)に対して略垂直に起立し、第1起立片(11)と第2起立片(15)との角度が略90度となる例である。図1は、実施例のものの起立体(A)を表側から見たときの平面図であって、略四角形の1枚の紙シートに切り抜くと同時に折り線を設けたものである。すなわち、紙シートの縦幅より少し短く紙シートの中心に上端から縦に縦折線(19)を設け、その縦折線(19)の下端からほぼ直角に第1横折線(12)と第2横折線(16)を左右両側に設けている。更に縦折線(19)の下端から紙シート下端に向けて左右対称に角度45度に直線で切り抜いてある。そうすることで、第1取付片(13)と第2取付片(17)とが形成され、それぞれの第1側端縁(14)と第2側端縁(18)とが形成されている。このようにすることで、第1横折線(12)と第1側端縁(14)とがなす角度が45度となり、また第2横折線(16)と第2側端縁(18)とがなす角度が45度となっている。この図1には表示されていないが、第1取付片(13)と第2取付片(17)の裏には貼って剥がせる貼着剤(20)を設けている。【0019】図2は、実施例の起立体(A)を裏側から見たときの図である。第1取付片(13)と第2取付片(17)には図1において表示されていないが貼って剥がせる貼着剤(20)を設けている。図3は、起立体(A)を取り付ける開閉シート(B)であって、開いた状態を示していて、そのほぼ中心に縦方向に開閉折線(30)が設けられている。図4は、第1横折り線(12)と第2横折線(17)とを谷折りにし、縦折線(19)を山折りとした時の起立体(A)を、開いた開閉シート(B)に取り付けた状態を上側から見たときの図である。第1起立片(11)と第2起立片(15)との角度が90度となって取り付けられている。次に取り付け方を説明する。図1に示した起立体(A)の状態から、縦折線(19)を山折りし、次に第1横折線(12)と第2横折線(16)ともに略直角まで谷折りする。次に開閉折線(30)の上に縦折線(19)の下端を合わせてから第1側端縁(14)が開閉折線(30)に対して直角になるようにして第1取付片(13)の裏に設けた帖着剤(20)を開閉シート(B)に取り付ける。次に第2側端縁(18)が開閉折線(30)に対して直角になるようにして第2取付片(17)の裏に設けた帖着剤(20)を開閉シート(B)に取り付ける。こうすることで第1起立片(11)と第2起立片(15)との開き角度が所定角度の90度となって起立体(A)を開閉シート(B)取り付けることができる。【0020】図5は、図4の立体図である。【0021】図6は、図1に示した第1横折線(12)と第2横折線(17)とを谷折りにし、縦折線(19)も谷折りとしたときの起立体(A)を、開いた開閉シート(B)に取り付けた状態を上側から見たときの図である。この時の取り付け方法を説明する。図1に示した起立体(A)の状態から、第1横折線(12)と第2横折線(16)ともに略直角まで谷折りし、次に縦折線(19)を谷折りする。そして第1側端縁(14)を開閉折線(30)と重なるように合わせて、第1取付片(13)の裏に設けた帖着剤(20)を開閉シート(B)に取り付ける。次に第2側端縁(18)が開閉折線(30)と重なるように合わせて、第2取付片(17)の裏に設けた帖着剤(20)を開閉シート(B)に取り付ける。こうすることで、第1起立片(11)と第2起立片(15)は両方とも裏面が手前に現れることになり、第1起立片(11)と第2起立片(15)との開き角度が所定の90度となって起立体(A)が開閉シート(B)取り付けられる。【0022】起立体(A)の第1起立片(11)と第2起立片(15)の両面にそれぞれ違う図柄に、例えば表に昼の写真、裏には夜の写真などにしておけば、それぞれの写真を利用できるので、楽しさが増す。この図柄が広告であれば広告面積が広くなるとともに効果も強くなる。【0023】なお、本発明は前述した実施形態に限るものではない。実施例では紙シートを使用しているが紙シート以外の材料シートであってもよい。また、実施例においては、第1横折線と第2横折線とが縦折線の下端からほぼ直角に形成されているが、それら角度は実施例に限定されるものではない。さらに実施例においては起立片が左右対称であるが、起立片は色々な大きさや形状が可能である。また、第1起立片と第2起立片の外側編の一方あるいは両方に凸片を設けた起立片として開閉シートの上端近くに取り付けることにより開閉シートを閉じると凸片がインデックスとして利用できるようにもなる。また、実施例においては粘着剤として貼って剥がせるタイプを使用しているが、糊・固着タイプなど固定できるものでもよい。第1起立片と第2起立片とには、会社のロゴや宣伝用の印刷が可能で、この発明の起立体は販促・宣伝用にも利用できる。実施例においては、第1横折線と第1側端縁とのなす角度が45度、第2横折線と第2側端縁とのなす角度が45度となっているが、この角度に限定されるものではない。また、第1横折線と第1側端縁とは直線で形成されているが、直線にかぎることはなく、例えば、波形状であってもその波形状の多数の先端部分を線で繋げた時に直線的になっていればよい。第1起立片と第2起立片との開き角度の所定角度が120度の起立体である場合には、第1横折線と第1側端縁とのなす角度を30度、第2横折線と第2側端縁とのなす角度を30度とすることで、その所定角度である120度が実現できる。
A
2012139188
2011000041
20110104
null
null
20120726
2012139188
20120726
null
null
null
null
null
null
null
釣り用ルアー
710014188
山本 学
null
null
山本 学
null
8
A01K 85/02 (20060101)
A01K 85/00 P
2
null
null
null
0
6
2B307
2B307 BA46 ,2B307 BA70
【課題】釣り針を、魚を針がかりさせる為の理想的な位置に保持しつつ、ルアー本体のいずれにおいても装着でき、ルアーのアクション性および消音性を向上させるとともに、根掛かり等を防止できるルアーを提供する。【解決手段】本願のルアーは、ルアー本体1に設けられたフックアイ3に、フック用リング4を介して釣り針5を装着し、その一端を部材取り付け部6に嵌挿された釣り針連結部材8に装着して構成され、ルアー本体1の先端には、釣り糸を連結するためのラインアイ2が設けられているのである。【選択図】図1
【請求項1】ルアー本体に設けられたフックアイに取り付けられた釣り針の一端を、ルアー本体に一時的に装着する為の着脱可能な釣り針連結部材をルアー本体に取り付けていることを特徴とするルアー。【請求項2】ルアー本体に、着脱可能な釣り針連結部材を取り付ける為の部材取り付け部を持ち、その部材取り付け部に釣り針連結部材の一方を取り付け、他方に釣り針の一端を取り付けたことを特徴とする請求項1に記載のルアー。
【請求項1】ルアー本体に設けられたフックアイに取り付けられた釣り針の一端を、ルアー本体に一時的に装着する為の着脱可能な釣り針連結部材をルアー本体に取り付けていることを特徴とするルアー。
【技術分野】【0001】本発明は、ルアーに関し、特にルアー本体の表面に装着される釣り針の状態を調整できるように構成してなるルアーに関するものである
【背景技術】【0002】従来からルアーを用いた釣りが盛んに行われている。ルアーには小魚に似せた形のものが多く、ルアー本体に設けられるフック用リングに、略円環状の釣り針基部を挿通させ、その釣り針の向きが自在に変化できるように垂下させる構成のものがある(特許文献1)。釣り針がその向きを自在に変化できるように構成する目的は、水中での釣り針の向きが一様とならず、釣りの対象魚による捕食に際し、釣り針が広い角度で待ち受けることができるためである。しかしながら、対象魚による捕食に対して広角的に待ち受けることができる反面、根掛かりの原因となる海底または湖底等の海藻や水草等に対しても広角的に作用することとなり、海底または湖底等に接近するポイントでの使用は、根掛かりのリスクを負うものとなっていた。【0003】また、上記構成のルアーに於いて、ルアー泳動時と静止時では、釣り針の針先の向きが異なる為、魚がルアーを捕食した時の針がかりを難くしている可能性があった。【0004】そして、釣り針を垂下させる構成は、ルアーのリーリング時及びアクション時において、水中で釣り針が遊動することになり、この時発生する音が対象魚の捕食に少なからず影響を与えている可能性があった為、釣り針を一時的にルアー本体に装着させる構成が考えられ、そのような構成の従来技術としては、ルアー本体の表面に板状部材を突出して設け、この板状部材の一部にフック取付孔を貫設し、このフック取付孔にダブルフック型の釣り針を挿通して、板状部材に凸設された突起部に装着する方法(特許文献2)や、トリプルフックを用いた、ルアー本体に釣り針の胴部が納まる程度の凹部を設け、この凹部の底面近傍におけるルアー本体に磁石を設け、釣り針を磁性体で構成するものがあった(特許文献3)。
【発明が解決しようとする課題】【0006】上記従来技術(特許文献1)のように構成されたルアーに於いて、釣り針を垂下させる構成は対象魚による捕食に対して広角的に待ち受けることができる反面、根掛かりの原因となる海底または湖底等の海藻や水草等に対しても広角的に作用することとなり、海底または湖底等に接近するポイントでの使用は、根掛かりのリスクを負うものとなっていた。また、ルアー泳動時と静止時では、釣り針の針先の向きが異なる為、魚がルアーを捕食した時の針がかりを難くしている可能性があった。【0007】そして、釣り針を垂下させる構成は、ルアーのリーリング時及びアクション時において、水中で釣り針が遊動することになり、この時発生する音が対象魚の捕食に少なからず影響を与えている可能性があった為、上記従来技術(特許文献2)に於ける、ダブルフックを一時的にルアー本体に固定する構成が考えられたが、この方法に於いては、ルアーにトリプルフックを使用することができないことや、ダブルフックを固定する為の金具をルアー本体に固定している為、磨耗等で金具が劣化しても容易に交換することができなかった。また、ルアー本体に一時固定された釣り針の一端がルアー本体より外れるときの難易度を調整することが難しかった。【0008】上記従来技術のうち、後者(特許文献3)は、ルアー本体にトリプルフックを固定する方法であり、ルアー本体の背部から魚が捕食しようとするときにも当該魚をヒットさせるためのルアーであって、通常の円環状のリングに釣り針を連結するときには、釣り針が背部において自由に動くこととなるため、釣り針とルアー本体が同調せず、ルアーの動きを妨げることとなるのを防止することを目的としたものであった。従って、上記構成の釣り針が配置されるべき個所は、ルアー本体の背部に限られていた。【0009】本発明の目的は前記欠点に鑑み、ルアーに取り付けられた釣り針の、海底または湖底等の海藻や水草等に対しての根がかりを防止すると共に、ルアー泳動時、静止時にかかわらず、対象魚がルアーを捕食したときに、釣り針が対象魚の口に適切に掛かるよう、釣り針の位置を調整することのできるルアーを提供することである。【0010】また、釣り針連結部材をルアー本体に嵌挿し、釣り針の一端を釣り針連結部材に装着することで、ルアー本体と釣り針が接触することによる接触音の発生を軽減し、消音性を向上させることで、対象魚に警戒心を抱かせることなく、対象魚にルアーを捕食させることのできるルアーを提供することである。【0011】そして、釣り針をルアー本体表面のいずれにも取り付けることができ、対象魚が釣り針に掛かり暴れると、釣り針の一端がルアー本体より離れることで通常の釣り針の遊動性を確保し、釣り針から魚が外れてしまうことを回避することのできるルアーを提供することである。【0012】さらに、対象魚の大きさや、釣り場の状況に合わせて装着された釣り針の一端がルアー本体より外れるときの難易度を調整できるルアーを提供することである。
【課題を解決するための手段】【0013】本発明の請求項1は、ルアー本体に設けられたフックアイに取り付けられた釣り針の一端を、ルアー本体に一時的に装着する為の着脱可能な釣り針連結部材をルアー本体に取り付けたことを要旨とするものである。本発明の請求項2は、ルアー本体に着脱可能な釣り針連結部材の取り付け部を持ち、その取り付け部に釣り針連結部材の一方を取り付け、他方に釣り針の一端を取り付けたことを要旨とするものである。
【発明の効果】【0014】釣り針の一端をルアー本体に取り付けられた釣り針連結部材に一時装着することで、根がかりを防止すると共に、釣り人がルアーを操作し泳動または静止させている時も、釣り針の針先が対象魚を針がかりさせる為の適切な方向を保持することができる為、対象魚がルアーを捕食したときに、より理想的な針がかりを実現することができるのである。また、ルアー本体と釣り針が接触することによる接触音の発生を防止することができ、消音性を向上させることができる。これにより、対象魚に警戒を抱かせることなく、対象魚にルアーを捕食させることが可能となるのである。【0015】そして、釣り針をルアー本体表面のいずれにも取り付けることができ、魚が釣り針に掛かれば、釣り針の一端がルアー本体より離れることで通常の釣り針の遊動性を確保し、釣り針から魚が外れてしまうことを回避することができるのである。【0016】さらに、ルアー本体に嵌挿した釣り針連結部材の着脱が容易であり、加工が容易な素材を使用している為、対象魚の大きさや釣り場の状況に合わせて、釣り針連結部材に一時装着された釣り針の一端がルアー本体より外れるときの難易度を容易に調整できるのである。
【発明を実施するための形態】【0018】図1(a)及び図1(b)に於いて,ルアー本体1は釣り糸9を取り付けるためのラインアイ2が設けられている。ルアー本体1は釣り針連結部材8を嵌挿する為に凹設した部材取り付け部6が設けられている。ルアー本体1は部材取り付け部6に釣り針連結部材8を嵌挿する為に凸設した突起部7を有しているが、突起部7を設けずに釣り針連結部材8を嵌挿してもよい。また、部材取り付け部6を設けずに突起部7をルアー本体1表面に凸設し、そこに釣り針連結部材8を嵌挿してもよい。ルアー本体1はフック用リング4を取り付ける為のフックアイ3が設けられている。フックアイ3にはフック用リング4を介して釣り針5を取り付けているが、フック用リング4の代わりに、対象とする魚を釣り上げることのできる強度を持つ釣り糸、ワイヤー、仕掛け糸、ゴム、ピアノ線等を使用して取り付けてもよい。釣り針連結部材8は、ルアー本体1の取り付け部6に嵌挿されていて、釣り針5の一端を装着することができる。釣り針連結部材8は、筒状に形成されていて、釣り針5の一端を装着することができるが、釣り針5の一端を装着することのできる形状であれば、筒状でなくともよい。釣り針連結部材8は、釣り針5の一端を装着している状態で、釣り針5に魚が掛かり、魚がルアー本体1より離れようと暴れると、釣り針5の一端が釣り針連結部材8より外れるよう、プラスチック等を加工した弾性のある素材を用いるのが好ましい。また、釣り針連結部材8にプラスチック等を加工した弾性のある素材を用いることで、人力での部材取り付け部6又は突起部7への着脱が容易になるのである。釣り針連結部材8にはプラスチック等を加工した、人力での加工に容易な素材を用いるのが好ましく、人力での加工に容易な素材を用いることで、ハサミやニッパー等を用いて釣り針連結部材8の長さを調整したり、切れ目を入れたりする事ができ、釣り針連結部材8に装着された釣り針5の一端が、釣り針連結部材より外れるときの難易度を調整することが容易になる為、対象魚の大きさや釣り場の状況に応じて、より詳細な調整が可能となるのである。図1に示すように、釣り針5にはトリプルフックを用いてその一端を釣り針連結部材8に装着しているが、シングルフックやダブルフックに釣り針連結部材8に装着する為の取り付け部を設けて装着してもよい。【0019】上記のようにルアーが構成されると、釣り人がルアーを操作し泳動または静止させている時も、釣り針の針先が常に一定の方向を向くことで、海底または湖底等の海藻や水草等に対しての根がかりを防止すると共に、対象魚を針がかりさせる為の適切な位置を保持することができる為、対象魚がルアーを捕食したときに、より理想的な針がかりを実現することができるのである。また、釣り針の一端をルアー本体に一時装着することで、ルアー本体と釣り針が接触することによる接触音の発生を防止することができ、消音性を向上させることができる。これにより、対象魚に警戒心を抱かせることなく、対象魚にルアーを捕食させることが可能となるのである。そして、魚が釣り針にかかり、ルアー本体より離れようと暴れると、釣り針の一端が釣り針連結部材より外れることで通常の釣り針の遊動性を確保し、釣り針から魚が外れてしまうことを回避することができるのである。さらに、釣り針連結部材がルアー本体に着脱可能で、加工が用意な為、対象魚の大きさや、釣り場の状況に合わせて、釣り針連結部材に一時装着された釣り針の一端が、釣り針連結部材より外れるときの難易度を容易に調整することができるのである。【0020】本発明の実施形態は上記のとおりであるが、本発明の趣旨を逸脱しない範囲において種々の態様をとることができる。例えば、上記実施形態では、ルアー本体1の腹部側の1個所に設けられる釣り針5について、その一端をルアー本体に一時的に装着する構成としたものを示したが、数量または位置等は、ルアーの種類によって適宜変更することができる。そして、背部と腹部とが区別されないルアーでは、背部および腹部のいずれについても一時的な装着が可能な構成とすることができるほか、背後からの捕食が予想される魚を釣りの対象とする場合には、尾部に設ける釣り針5についても、同じくその一端をルアー本体に一時的に装着する構成とすることも可能である。
A
2012139189
2011000048
20110104
null
null
20120726
2012139189
20120726
null
null
5855830
20151218
null
null
null
成型ポテトチップス用乳化剤製剤及びそれを用いた成型ポテトチップスの製造方法
390010674
理研ビタミン株式会社
null
null
鈴木 敏和,林 有香
null
8
A23L 1/217 (20060101)
A23L 1/217
3
null
null
null
0
9
4B016
4B016 LC02 ,4B016 LE01 ,4B016 LG06 ,4B016 LK02
【課題】特徴のある軽い食感を成型ポテトチップスに付与し得る成型ポテトチップス用乳化剤製剤及びそれを用いた成型ポテトチップスの製造方法を提供する。【解決手段】溶融した二種以上のグリセリン脂肪酸エステル(例えば、反応モノグリセライド及びグリセリン有機酸エステル)を-196~15℃の温度条件下で噴霧し、冷却固化して得られる成型ポテトチップス用乳化剤製剤、および該成型ポテトチップス用乳化剤製剤を成型ポテトチップス原料に添加して生地を調製する工程を含むことを特徴とする成型ポテトチップスの製造方法。【選択図】なし
【請求項1】溶融した二種以上のグリセリン脂肪酸エステルを-196~15℃の温度条件下で噴霧し、冷却固化して得られる成型ポテトチップス用乳化剤製剤。【請求項2】前記二種以上のグリセリン脂肪酸エステルは、少なくとも反応モノグリセライド及びグリセリン有機酸エステルであることを特徴とする請求項1記載の成型ポテトチップス用乳化剤製剤。【請求項3】請求項1又は2記載の成型ポテトチップス用乳化剤製剤を成型ポテトチップス原料に添加して生地を調製する工程を含むことを特徴とする成型ポテトチップスの製造方法。
【請求項1】溶融した二種以上のグリセリン脂肪酸エステルを-196~15℃の温度条件下で噴霧し、冷却固化して得られる成型ポテトチップス用乳化剤製剤。
【技術分野】【0001】本発明は、成型ポテトチップス用乳化剤製剤及びそれを用いた成型ポテトチップスの製造方法に関する。
【背景技術】【0002】生のじゃがいもを原料とするポテトチップスは、原料じゃがいもの大きさや形によって得られる製品が不揃いになったり、原料じゃがいもに含まれる還元糖やアミノ酸量の多少によって、製品の色調にバラツキが生じるという問題がある。そこで、このような問題を解消するため、乾燥マッシュポテトなどを主原料とする成型ポテトチップスが開発され、製品化されている。【0003】このような成型ポテトチップスの技術分野では、多様化する消費者の嗜好に対応するため、軽い歯ざわりの食感を有する成型ポテトチップスがこれまでに種々開発されている。【0004】例えば、HLB7~15のポリグリセリン脂肪酸エステルを添加することを特徴とする成型ポテトチップスおよびポテトスナック菓子(特許文献1参照)、リゾレシチンを含有することを特徴とするポテトチップスおよびポテト菓子(特許文献2参照)などが知られている。【0005】しかし、消費者の嗜好の多様化に伴い、単に軽いだけでなく更に特徴ある食感が付与された成型ポテトチップスが求められている。
【発明が解決しようとする課題】【0007】本発明は、特徴のある軽い食感を成型ポテトチップスに付与し得る成型ポテトチップス用乳化剤製剤及びそれを用いた成型ポテトチップスの製造方法を提供することを目的とする。
【課題を解決するための手段】【0008】本発明者らは、上記課題に対して鋭意検討を行った結果、二種類のグリセリン脂肪酸エステルを特定の方法で一剤化して得られる乳化剤製剤に、崩壊感のある軽い歯ざわりを成型ポテトチップスに付与する効果を見出し、この知見に基づいて本発明を成すに至った。【0009】すなわち、本発明は、(1)溶融した二種以上のグリセリン脂肪酸エステルを-196~15℃の温度条件下で噴霧し、冷却固化して得られる成型ポテトチップス用乳化剤製剤、(2)前記二種類のグリセリン脂肪酸エステルは、少なくとも反応モノグリセライド及びグリセリン有機酸エステルであることを特徴とする前記(1)記載の成型ポテトチップス用乳化剤製剤、(3)前記(1)又は(2)記載の成型ポテトチップス用乳化剤製剤を成型ポテトチップス原料に添加して生地を調製する工程を含むことを特徴とする成型ポテトチップスの製造方法、から成っている。
【発明の効果】【0010】本発明の成型ポテトチップス用乳化剤製剤を使用することにより、もろい食感(即ち、口の中で崩壊するような歯ざわり)を有する成型ポテトチップスを製造できる。
【発明を実施するための形態】【0011】本発明で用いられるグリセリン脂肪酸エステルとしては、例えばグリセリンと脂肪酸とのエステル化反応生成物、グリセリンと脂肪酸とのエステル交換反応生成物、グリセリン有機酸脂肪酸エステル、グリセリン酢酸エステル、ポリグリセリン脂肪酸エステル及びポリグリセリン縮合リシノール酸エステルから選ばれる二種以上のものが挙げられ、好ましくはグリセリンと脂肪酸とのエステル化反応生成物及びグリセリン有機酸脂肪酸エステルである。【0012】グリセリンと脂肪酸とのエステル化反応生成物としては、反応モノグリセライドが好ましく用いられる。この反応モノグリセライドは、グリセリンと脂肪酸とのエステル化生成物、またはグリセリンと油脂(トリグリセライド)とのエステル交換反応生成物から未反応のグリセリンを可及的に除去したものであって、モノグリセライド(グリセリンモノ脂肪酸エステル)、ジグリセライド(グリセリンジ脂肪酸エステル)及びトリグリセライド(グリセリントリ脂肪酸エステル)を含有する混合物である。該反応モノグリセライド100%中のモノグリセライドの含有量は、通常約40~60%である。【0013】本発明で用いられる反応モノグリセライドの原料として用いられる脂肪酸としては、好ましくは食用可能な動植物油脂を起源とする炭素数6~24の直鎖の飽和脂肪酸(例えば、カプロン酸、カプリル酸、カプリン酸、ラウリン酸、ミリスチン酸、パルミチン酸、ステアリン酸、アラキジン酸、ベヘン酸、リグノセリン酸など)などが挙げられ、より好ましくはパルミチン酸、ステアリン酸、ベヘン酸などである。【0014】本発明で用いられる反応モノグリセライドの製法としては、例えば、グリセリンと油脂のエステル交換反応による製法、グリセリンと脂肪酸とのエステル化反応による製法が挙げられる。これら製法の概略を、以下の(1)および(2)に示す。【0015】(1)エステル交換反応による反応モノグリセライドの製法例えば、攪拌機、加熱用のジャケット、邪魔板などを備えた通常の反応容器に、グリセリンおよび油脂を2:1のモル比で仕込み、通常触媒として、例えば水酸化ナトリウムを加えて攪拌混合し、窒素ガス雰囲気下、例えば約180~260℃、好ましくは約200~250℃で、約0.5~15時間、好ましくは約1~3時間加熱してエステル化反応する。反応圧力条件は、常圧下または減圧下が好ましい。得られた反応液は、グリセリン、モノグリセライド、ジグリセライド、トリグリセライドなどを含む混合物である。反応終了後、反応液中に残存する触媒を中和し、次に反応液を、好ましくは、減圧下で蒸留して残存するグリセリンを留去し、必要であれば脱塩、脱色、ろ過などの処理を行い、最終的に、モノグリセライドを約40~60%含む反応モノグリセライドを得る。【0016】(2)エステル化反応による反応モノグリセライドの製法例えば、攪拌機、加熱用のジャケット、邪魔板などを備えた通常の反応容器にグリセリン及び脂肪酸を1:1のモル比で仕込み、必要に応じ酸またはアルカリを触媒として添加し、窒素または二酸化炭素などの任意の不活性ガス雰囲気下で、例えば約180~260℃の範囲、好ましくは約200~250℃で約0.5~5時間、好ましくは約1~3時間加熱してエステル化反応を行う。得られた反応液は、グリセリン、モノグリセライド、ジグリセライド、トリグリセライドなどを含む混合物である。反応終了後、反応液中に残存する触媒を中和し、次に反応液を、好ましくは、減圧下で蒸留して残存するグリセリンを留去し、必要であれば脱塩、脱色、ろ過などの処理を行い、最終的に、モノグリセライドを約40~60%含む反応モノグリセライドを得る。【0017】反応モノグリセライドとしては、例えば、ポエムP-200(製品名;モノグリセライド含量約52%;理研ビタミン社製)、ポエムV-200(製品名;モノグリセライド含量約50%;理研ビタミン社製、)およびポエムB-200(製品名;モノグリセライド含量約47%;理研ビタミン社製)などが商業的に製造・販売されており、本発明ではこれらを用いることができる。【0018】上記グリセリン有機酸脂肪酸エステルとしては、例えば、グリセリンコハク酸脂肪酸エステル、グリセリン酢酸脂肪酸エステル、グリセリン乳酸脂肪酸エステル、グリセリンクエン酸脂肪酸エステル、グリセリンジアセチル酒石酸脂肪酸エステルなどが挙げられ、好ましくはグリセリンジアセチル酒石酸脂肪酸エステルである。【0019】グリセリンジアセチル酒石酸脂肪酸エステルは、通常グリセリンモノ脂肪酸エステル(別称:モノグリセライド)とジアセチル酒石酸若しくはジアセチル酒石酸の酸無水物との反応、またはグリセリンとジアセチル酒石酸と脂肪酸との反応により得ることができる。【0020】グリセリンジアセチル酒石酸脂肪酸エステルの製法の概略は以下の通りである。即ち、グリセリンモノ脂肪酸エステルを溶融し、これにジアセチル酒石酸の酸無水物を加え、温度120℃前後で約90分間反応する。グリセリンモノ脂肪酸エステルとジアセチル酒石酸の酸無水物との比率はモル比で1/1~1/2が好ましい。さらに、反応中は生成物の着色、臭気を防止するために、反応器内を不活性ガスで置換する方が好ましい。得られたグリセリンモノ脂肪酸エステルとジアセチル酒石酸の酸無水物との反応物は、グリセリンジアセチル酒石酸脂肪酸エステルの他に、ジアセチル酒石酸、未反応のグリセリンモノ脂肪酸エステル、その他を含む混合物である。【0021】上記グリセリンジアセチル酒石酸脂肪酸エステルを構成する脂肪酸としては、食用可能な動植物油脂を起源とする脂肪酸であれば特に制限はなく、例えばカプリル酸、カプリン酸、ラウリン酸、ミリスチン酸、パルミチン酸、ステアリン酸、オレイン酸、リノール酸、エルカ酸等の群から選ばれる一種あるいは二種以上の混合物が挙げられ、好ましくはステアリン酸を約50質量%以上含有する脂肪酸又は脂肪酸混合物である。【0022】グリセリンジアセチル酒石酸脂肪酸エステルとしては、例えばポエムW-70(理研ビタミン社製)などが商業的に製造・販売されており、本発明ではこれを用いることができる。【0023】本発明の成型ポテトチップス用乳化剤製剤は、二種以上のグリセリン脂肪酸エステルを融点以上に加熱して溶融・混合し、得られた溶融物を-196~15℃、好ましくは-196~0℃、更に好ましくは-196~-20℃の温度条件で噴霧冷却することにより製造される。【0024】溶融温度は、グリセリン脂肪酸エステルとして、反応モノグリセライド及びグリセリンジアセチル酒石酸脂肪酸エステルを用いる場合、通常約80~100℃である。【0025】二種以上のグリセリン脂肪酸エステルの比率は、使用するグリセリン脂肪酸エステルの種類などにより異なり一様ではないが、例えば反応モノグリセライドとグリセリンジアセチル酒石酸脂肪酸エステルとの比率は質量比で10/90~90/10が好ましく、30/70~70/30がより好ましい。【0026】噴霧冷却は、例えば、一般的な噴霧冷却装置を使用し、該溶融物を例えば冷却した気体の充填された塔内に噴霧することにより実施される。塔内の気体を冷却するために液体窒素を用いる場合、液体窒素は塔内の上段、中段および下段のいずれから注入しても良く、また2箇所以上から注入しても良い。噴霧には加圧式噴霧ノズルや回転円盤式噴霧ノズルなどが用いられ、好ましくは加圧式噴霧ノズルである。噴霧された溶液は冷却されて粉末となって塔下部に落下し捕集される。得られる粉末の平均粒子径は、好ましくは約50~1000μm、より好ましくは約100~500μmである。【0027】更に、上記噴霧冷却で得られた粉末同士の付着を抑制するため、粉末100質量部に流動化剤約5~17質量部を加えて混合することが好ましく行われる。流動化剤としては、例えば、第三リン酸カルシウム、軽質無水ケイ酸、二酸化ケイ素、酸化チタン、タルクなどが挙げられる。【0028】本発明の成型ポテトチップスの製造方法は、成型ポテトチップス原料に上記成型ポテトチップス用乳化剤製剤を添加して生地を調製する工程を含むものである。【0029】成型ポテトチップスを製造するための原料(成型ポテトチップス原料)としては、通常乾燥マッシュポテトが用いられる。乾燥マッシュポテトには、その形状によりフレーク状と粒状のものがあり、それぞれポテトフレークおよびポテトグラニュールなどと呼称されることがある。更に所望により、でんぷん、加工でんぷん、α化でんぷんなどのでんぷん類;小麦粉、米粉またはコーンフラワーなどの穀粉類;粉末油脂、チーズ粉末、糖類、食塩、香辛料、調味料、香料、酸味料、甘味料、色素などを配合しても良い。【0030】成型ポテトチップスの生地は、上述した乾燥マッシュポテト等に適量の水を加えて混捏することにより調製される。成型ポテトチップス用乳化剤製剤は混捏の工程で添加されることが好ましい。好ましくは、成型ポテトチップス用乳化剤製剤と、乾燥マッシュポテト等の水以外の成型ポテトチップス原料とを予め粉体混合したものに適量の水を加えて混捏する。【0031】本発明の成型ポテトチップス用乳化剤製剤の添加量は、成型ポテトチップス用乳化剤製剤と、乾燥マッシュポテト、水等の成型ポテトチップス原料とから得られる生地全体、すなわち生地100質量%中、約0.1~10質量%の範囲である。【0032】得られた生地をローラーで圧延してシート状とすることにより、生地シートが得られる。生地シートの厚さは、通常約0.4~2.5mmの範囲で適宜選択される。【0033】該生地シートは、所望の最終製品のサイズおよび形状、例えば円形、長円形、三角形、正方形などに型を抜いて成型され、フライヤーでフライされる。また、フライ前に、予め成型された生地を、熱風乾燥、遠赤外線乾燥またはマイクロウェーブによる乾燥など公知の方法により乾燥し、水分含量を調整しても良い。また、成型された生地は、上下を金網で挟み込んだ状態でフライされるのが好ましい。フライに用いられる油は、通常フライ油として用いられている食用油脂であれば良く、特に制限はない。フライ油の温度は約150~205℃、好ましくは約160~190℃である。フライ時間は、通常10~180秒程度である。なお、フライ油の温度およびフライ時間は、得られる成型ポテトチップスの色調や水分量を基準に適宜決定される。例えば、得られる成型ポテトチップスの表面積の50%以上が黄茶色(JISZ8102:2001「物体色の色名」に採録されている慣用色名)となるようにフライ油の温度およびフライ時間を設定することができる。また、フライ油の温度およびフライ時間は、得られる成型ポテトチップスの水分量が3%以下、好ましくは2%以下になるように適宜調整することが、保存性や食感などの点で好ましい。【0034】該成型ポテトチップスには、所望により、糖類、食塩、香辛料、粉末醤油、調味料、香料、酸味料、甘味料などをまぶすなどの方法で調味付けが行われても良い。【0035】以下、実施例をもって本発明を具体的に説明するが、本発明はこれらに限定されるものではない。【実施例】【0036】[実施例](1)成型ポテトチップス用乳化剤製剤の製造反応モノグリセライド(商品名:ポエムP-200;理研ビタミン社製)2.5kgをタンクに仕込み95℃で溶融し、これにグリセリンジアセチル酒石酸脂肪酸エステル(商品名:ポエムW-70;理研ビタミン社製)2.5kgを加え、95℃で溶融・混合した。得られた溶融液を、塔内部の空気が送風温度-20℃で冷却された噴霧冷却装置(試験機)に供給して噴霧冷却し、冷却固化した乳化剤の粒子を塔下部で回収した。該粉末の収量は4.8kg、その平均粒子径は約300μmであった。得られた粉末と第三リン酸カルシウム0.53kgとを混合(いわゆる粉々混合)し、成型ポテトチップス用乳化剤製剤(実施例品)5.33gを得た。【0037】(2)成型ポテトチップスの製造ポテトフレーク(ギャバン社製)39.6g、ポテトグラニュール(ギャバン社製)39.6g、馬鈴薯澱粉(幸田商店社製)39.6g、食塩1.2gおよび成型ポテトチップス用乳化剤製剤(実施例品)0.665gを30℃で1分間混合し、混合物をニーダー(商品名:レディースニーダーKN-30;大正電機社製)に入れ、30℃のイオン交換水95.0gを加えて30℃で3分間混捏した。得られた生地をローラーで約2.2mmの厚さに圧延し、直径2.8mmの円形セルクルで型抜きしたものを165℃のショートニング(商品名:フライメート;ミヨシ油脂社製)により該生地の表面積の50%以上が黄茶色(JISZ8102:2001「物体色の色名」に採録されている慣用色名。以下同じ。)となるまでフライし、成型ポテトチップス(試作品1)を得た。得られた成型ポテトチップスの水分量は1.89%であった。【0038】[比較例1](1)成型ポテトチップス用乳化剤製剤の製造反応モノグリセライド(商品名:ポエムV-200;理研ビタミン社製)52.5g、グリセリンジアセチル酒石酸脂肪酸エステル(商品名:ポエムW-70;理研ビタミン社製)52.5g、デキストリン(商品名:パインデックス#2;松谷化学社製)420g、イオン交換水975gを加え混合し、混合液の温度を70℃とした後にクレアミックス(エムテクニック社製)を用いて7000rpmで3分撹拌して均一な水分散液を調製した。得られた水分散液をスプレードライヤ(型式:L-8i;大川原化工機社製)を使用して、噴霧乾燥(送風温度150℃、排風出口温度90℃)し、成型ポテトチップス用乳化剤製剤(比較例品1)300gを得た。得られた成型ポテトチップス用乳化剤製剤の水分量は2.62%であり、乳化剤含有量は18.6%であった。【0039】(2)成型ポテトチップスの製造ポテトフレーク(ギャバン社製)39.6g、ポテトグラニュール(ギャバン社製)39.6g、馬鈴薯澱粉(幸田商店社製)39.6g、食塩1.2gおよび成型ポテトチップス用乳化剤製剤(比較例品1)3.24gを30℃で1分間混合し、混合物をニーダー(商品名:レディースニーダーKN-30;大正電機社製)に入れ、30℃のイオン交換水95.0gを加えて30℃で3分間混捏した。得られた生地をローラーで約2.2mmの厚さに圧延し、直径2.8mmの円形セルクルで型抜きしたものを165℃のショートニング(商品名:フライメート;ミヨシ油脂社製)により該生地の表面積の50%以上が黄茶色となるまでフライし、成型ポテトチップス(試作品2)を得た。得られた成型ポテトチップスの水分量は1.13%であった。【0040】[比較例2](1)成型ポテトチップス用乳化剤製剤の製造反応モノグリセライドを噴霧冷却することにより製造された市販の粉末状の乳化剤製剤(商品名:ポエムP-200;理研ビタミン社製)90gと第三リン酸カルシウム10gとを粉々混合し、成型ポテトチップス用乳化剤製剤(比較例品2)100gを得た。【0041】(2)成型ポテトチップスの製造ポテトフレーク(ギャバン社製)39.6g、ポテトグラニュール(ギャバン社製)39.6g、馬鈴薯澱粉(幸田商店社製)39.6g、食塩1.2gおよび乳化剤製剤(比較例品2)0.665gを30℃で1分間混合し、混合物をニーダー(商品名:レディースニーダーKN-30;大正電機社製)に入れ、30℃のイオン交換水95.0gを加えて30℃で3分間混捏した。得られた生地をローラーで約2.2mmの厚さに圧延し、直径2.8mmの円形セルクルで型抜きしたものを165℃のショートニング(商品名:フライメート;ミヨシ油脂社製)により該生地の表面積の50%以上が黄茶色となるまでフライし、成型ポテトチップス(試作品3)を得た。得られた成型ポテトチップスの水分量は1.98%であった。【0042】[比較例3](1)成型ポテトチップス用乳化剤製剤の製造グリセリンジアセチル酒石酸脂肪酸エステル(商品名:ポエムW-70;理研ビタミン社製)90g、第三リン酸カルシウム10gと混合して、小型粉砕機(型番:SM-1C;ASONE社製)を用いて「スピード5」で粉砕し、成型ポテトチップス用乳化剤製剤(比較例品3)90gを得た。【0043】(2)成型ポテトチップスの製造ポテトフレーク(ギャバン社製)39.6g、ポテトグラニュール(ギャバン社製)39.6g、馬鈴薯澱粉(幸田商店社製)39.6g、食塩1.2gおよび乳化剤製剤(比較例品3)0.665gを30℃で1分間混合し、混合物をニーダー(商品名:レディースニーダーKN-30;大正電機社製)に入れ、30℃のイオン交換水95.0gを加えて30℃で3分間混捏した。得られた生地をローラーで約2.2mmの厚さに圧延し、直径2.8mmの円形セルクルで型抜きしたものを165℃のショートニング(商品名:フライメート;ミヨシ油脂社製)により該生地の表面積の50%以上が黄茶色となるまでフライし、成型ポテトチップス(試作品4)を得た。得られた成型ポテトチップスの水分量は1.48%であった。【0044】[対照例]ポテトフレーク(ギャバン社製)39.6g、ポテトグラニュール(ギャバン社製)39.6g、馬鈴薯澱粉(幸田商店社製)39.6g、食塩1.2gを30℃で1分間混合し、混合物をニーダー(商品名:レディースニーダーKN-30;大正電機社製)に入れ、30℃のイオン交換水95.0gを加えて30℃で3分間混捏した。得られた生地をローラーで約2.2mmの厚さに圧延し、直径2.8mmの円形セルクルで型抜きしたものを165℃のショートニング(商品名:フライメート;ミヨシ油脂社製)により該生地の表面積の50%以上が黄茶色となるまでフライし、成型ポテトチップス(試作品5)を得た。得られた成型ポテトチップスの水分量は1.53%であった。【0045】[官能評価試験]実施例および比較例1~3で得た成型ポテトチップス(試作品1~4)について、下記表1に示す評価基準に従い、食べたときのもろい食感(口の中で崩壊するような歯ざわり)を評価した。官能試験は10名のパネラーで行い、10名の評点の合計点を結果とした。結果を表2に示した。【0046】【表1】【0047】【表2】【0048】表2から明らかなように、本発明の成型ポテトチップス用乳化剤製剤を用いて製造した成型ポテトチップスは、比較例のものに比べてもろい食感を有していた。【0049】[物性評価試験]実施例、比較例1~3および対照例で得た成型ポテトチップス(試作品1~5)についてテクスチャーアナライザー(英弘精機社製)を用いた物性評価試験を実施した。試験では、円筒形のステンレス製の台(直径2.3mm、高さ46.0mm、厚さ1.1mm)に成型ポテトチップスを置き、テクスチャーアナライザーの球状(直径5mm)アダプターの先端が該成型ポテトチップスの中心部に接触した状態から該アダプターの速度10mm/sで成型ポテトチップスに侵入し、侵入開始から成型ポテトチップスが破断するまでの時間を測定して破断歪とした。この破断歪が小さいほど、もろい食感である可能性が高いことを示す。結果を表3に示した。【0050】【表3】【0051】表3から明らかなように、本発明の成型ポテトチップス用乳化剤製剤を用いて製造した成型ポテトチップスは、他のものに比べて破断歪が最も小さく、上記官能評価試験の結果にほぼ対応していた。
A
2012139190
2011000071
20110104
null
null
20120726
2012139190
20120726
null
null
5876653
20160129
null
null
null
根菜収穫機
000171746
株式会社ササキコーポレーション
100086184
安原 正義
三戸 実,樋口 健夫
null
8
A01D 25/04 (20060101)
A01D 25/04
3
null
null
null
0
10
2B072
2B072 AA06 ,2B072 BA07 ,2B072 BA23 ,2B072 CB09
【課題】根菜収穫機で引き抜いた根菜に付着した土を効率的に排除する。【解決手段】ヘラ102は、栽培畝Wに列状に栽培されているゴボウR等の根菜の近傍を進行して、この根菜を地表面GLに向けて浮き上がらせる。引上搬送部103は、ヘラ102よりもトラクタ51の進行方向の後方側に位置し、ヘラ102により浮き上がった根菜の上部を把持して、根菜を引き抜き、ストッカ104まで搬送する。土除去体201は、引上搬送部103よりもトラクタ51の進行方向の前方側に位置し、根菜とともに浮き上がった根菜上方側部の土壌を崩す。【選択図】図1
【請求項1】列状に栽培されている根菜の近傍を進行して前記根菜を浮き上がらせる掘上体と、前記掘上体よりも進行方向後方側に位置し、前記掘上体により浮き上がった根菜の上部を把持して前記根菜を引き抜き搬送する引上搬送部と、前記引上搬送部よりも進行方向前方側に位置し、前記掘上体により根菜とともに浮き上がった根菜上方側部の土壌を崩すとともに排除する土除去体と、を備える根菜収穫機。【請求項2】前記土除去体は、車幅方向に交差する面に沿って揺動する、請求項1記載の根菜収穫機。【請求項3】前記土除去体には、除去された土を根菜から遠ざけるウイングが取付けられている、請求項1又は2記載の根菜収穫機。
【請求項1】列状に栽培されている根菜の近傍を進行して前記根菜を浮き上がらせる掘上体と、前記掘上体よりも進行方向後方側に位置し、前記掘上体により浮き上がった根菜の上部を把持して前記根菜を引き抜き搬送する引上搬送部と、前記引上搬送部よりも進行方向前方側に位置し、前記掘上体により根菜とともに浮き上がった根菜上方側部の土壌を崩すとともに排除する土除去体と、を備える根菜収穫機。
【技術分野】【0001】本発明は、畝に沿って列状に栽培されているゴボウ等の長尺の根菜を収穫する根菜収穫機に関する。
【背景技術】【0002】従来、畝に沿って列状に栽培されているゴボウ等の長尺の根菜に対して、この根菜の近傍の土を掘り上げて土とともに根菜を浮き上がらせ、プーリにより回転する一対の挟持ベルト(挟持部)で浮き上がらせた根菜を挟持搬送しストッカに投入して収穫を行う根菜収穫機が普及している(例えば、特許文献1)。挟持ベルトの始端部では、浮き上がらせた土壌が挟持ベルトやプーリに乗りあがり、一対の挟持ベルトの間や挟持ベルトとプーリとの間に土が詰まって、挟持部の搬送性能を低下させる。さらに、挟持部の前端は地面の中に位置付けられており、引き抜かれた根菜類に付着した土のみならず根菜が浮かび上がる際に隆起した畝の土が挟持部に入り込んでしまう。【0003】この点、特許文献1に記載の長根菜収穫機では、土くずし排土体6、6が、両挟持ベルト4、4の前部に設けられた左右一対の回転体7、7の各偏心位置8、8にそれぞれ設けられる。特許文献1によれば、土くずし排土体6が、搬送される長根菜に付着した泥土を崩して排出し、ベルト4とプーリ10との間、又は両挟持ベルト4、4の間に泥土が詰まるのを大巾に減少させ、引き抜き搬送部5の機能が良好となり、作業性を向上させることができる、とされる。
【発明が解決しようとする課題】【0005】特許文献1の長根菜収穫機に備わる土くずし排土体6は、回転体7の中心から偏心した位置に設けられている。このため、土くずし排土体6は、引き抜かれた根菜類に付着した土が常に接触できるわけではない。また、土くずし排土体6は、挟持ベルト4、4の挟持始端と同位置にある。このため、挟持ベルト4、4の挟持始端部には、崩されていない塊状の固い土が常に当接して、根菜の挟持が妨げられる。【0006】本発明は、上記の点を鑑みてなされたものであり、根菜収穫機で根菜とともに浮き上がらせた土による根菜収穫機の機能低下を防ぐことを目的とする。
【課題を解決するための手段】【0007】本発明の根菜収穫機は、(a)列状に栽培されている根菜の近傍を進行して前記根菜を浮き上がらせる掘上体と、(b)前記掘上体よりも進行方向後方側に位置し、前記掘上体により浮き上がった根菜の上部を把持して前記根菜を引き抜き搬送する引上搬送部と、(c)前記引上搬送部よりも進行方向前方側に位置し、前記掘上体により根菜とともに浮き上がった根菜上方側部の土壌を崩すとともに排除する土除去体と、を備える。
【発明の効果】【0008】本発明によれば、掘上体により土とともに浮き上がった根菜の側部の土を土除去体が崩すとともに排除し、この状態で根菜の首部が引上搬送部に把持される。これにより、引上搬送部に入り込む土の量が減少する。したがって、根菜収穫機で根菜とともに浮き上がった土による根菜収穫機の引上搬送部の機能低下を防ぐことができる。
【発明を実施するための形態】【0010】実施の一形態を、図1ないし図11に基づいて説明する。本実施の形態は、根菜がゴボウである場合の実施例である。【0011】図1は、根菜収穫機101の左側面図である。図2は、根菜収穫機101の左断面図である。根菜収穫機101は、圃場に形成された栽培畝Wに列状に栽培されているゴボウRを収穫するためのものである。根菜収穫機101は、トラクタ51の後方側に設けられた三点リンク機構52に連結される。根菜収穫機101は、掘上部としてのヘラ102と引上搬送部103とストッカ104と土除去体201を備える。トラクタ51が栽培畝Wに沿って走行すると、ヘラ102がゴボウRとこのゴボウRの周辺の土Sとを地表面GLに向けて浮き上がらせる。引上搬送部103は、ヘラ102の後方かつ上方に配置され、ヘラ102によって浮き上がったゴボウRの上部を把持し、ゴボウRを栽培畝Wから引き抜いて根菜収穫機101の後方側に搬送する。ストッカ104は、引上搬送部103の後方かつ下方に配置され、引上搬送部103により搬送されたゴボウRを収納する。ストッカ104に収納されたゴボウRの重量が所定以上になると、ストッカ104の底部が開き、収納されたゴボウRがまとまった状態で地表面GLに落下する。【0012】本実施の形態では、ヘラ102によりゴボウRともに浮き上がった土Sを土除去体201により崩して柔らかくするとともに排除して、その後にゴボウRが引上搬送部103に把持される。【0013】図3は、根菜収穫機101の平面図である。図4は、根菜収穫機101の背面図である。なお、図3や図4では、根菜収穫機101の構成の一部のみが示され、その他の部分は省略されている。図1~図4を参照する。根菜収穫機101は、固定フレーム111を備える。固定フレーム111は、三点リンク機構52に連結される。【0014】固定フレーム111には、車幅方向Aに延びる横パイプ112が含まれる。横パイプ112の外周には、支持パイプ112aが摺動自在に取付けられる。この支持パイプ112aは、移動フレーム113の一部をなしている。移動フレーム113の後端部には、支軸113aを介して回動フレーム113bが回動自在に設けられる。支持パイプ112aは、固定フレーム111に固着された油圧シリンダ112bによって車幅方向Aに動かされる。支持パイプ112aの摺動に伴って、支持パイプ112aと移動フレーム113と回動フレーム113bとが一緒に車幅方向Aにスライドする。【0015】回動フレーム113bには、ビーム114が取付けられる。ビーム114は、上下方向に延びる長尺をなす。ビーム114は、その下方部分が上方部分に対して前方かつ車幅方向Aの内側に位置するように傾斜し、回動フレーム113bに対し固定される。【0016】ヘラ102は、ビーム114の下端に取付けられる。ヘラ102は、後方に向かうにつれて上昇するよう傾斜し、平面視において前後方向に延び、正面視において逆八字状をなしている。ヘラ102の前方側の端部には、刃状部102aが形成されている。【0017】移動フレーム113の上方には、入力軸115aや上下移動体115b、ユニバーサルジョイント115c等の、動力を伝達したり分岐したりする機構が配置される。この機構は、カバー115に覆われる。上下移動体115bは、入力軸115aの回転力を受けて上下に動く。【0018】入力軸115aは、平面視において、カバー115から根菜収穫機101の前方側に突出している。入力軸115aは、ユニバーサルジョイント(図示せず)を介して、トラクタ51に備わるPTO軸(図示せず)に取付けられる。トラクタ51から入力軸115aには、動力が入力伝達される。この動力の一部により、上下移動体115bを介して、回動フレーム113bが、支軸113aを中心に上下方向(図1や図2に示される矢印R1の方向)に回動する。回動フレーム113bの回動に伴って、ビーム114やヘラ102も矢印R1の方向に回動する。入力軸115aの上方には、入力軸カバー116bが設けられる。入力軸カバー116bは、カバー115の一部をなす。【0019】ユニバーサルジョイント115cは、入力軸115aに入力された動力の一部を引上搬送部103に伝達し、引上搬送部103を駆動する。ユニバーサルジョイント115cは、所望の長さに伸縮できるようになっている。【0020】入力軸115aの下方には、ゲージホイール119及び椀状の排土ディスク120が配置される。ゲージホイール119も排土ディスク120も、固定フレーム111に取付けられる。ゲージホイール119は、地表面GL上を走行し、根菜収穫機101の姿勢を一定にする。ゲージホイール119によって、地表面GLに対し排土ディスク120が突き刺さる深さが調整される。【0021】移動フレーム113の後方部分は上方に延びていて、そこからは、保持フレーム118が延びている。保持フレーム118は、引上搬送部103を保持し、移動フレーム113とともに車幅方向A方向にスライド移動する。詳細には、引上搬送部103は、車幅方向Aに並んで対向する一対の挟持ベルト122を含む。挟持ベルト122は、無端であり、前方に位置する従動プーリ123と後方に位置する駆動プーリ124とに掛け渡され、側面視において後方に向け次第に上昇するよう延びている。また、挟持ベルト122は、従動プーリ123と駆動プーリ124との間に位置する複数の支持プーリ125により張られている。従動プーリ123と駆動プーリ124と支持プーリ125とは、保持フレーム118に保持されている。【0022】駆動プーリ124は、ユニバーサルジョイント115cに連結されており、入力軸115aから入力されユニバーサルジョイント115cを介して伝達される動力により回転し、図3に示す方向R2に向けて従動プーリ123を回転させる。【0023】保持フレーム118は、ストッカ104も保持している。ストッカ104は、籠状をなしていて、ガイド輪127と、複数の受杆128と、スプリング(図示せず)とを備える。受杆128は、ストッカ104の短手方向に延び、下方に動くように回動自在になっていいて、スプリングによって略水平方向に向けられ、ストッカ104の底部として機能している。ガイド輪127は、ストッカ104の前方に配置される。ガイド輪127は、引上搬送部103により搬送されるゴボウRに当接し、鉛直にぶら下がるゴボウRを傾ける(図1参照)。引上搬送部103の終端でゴボウRの上部が放出されると、ゴボウRは、ストッカ104内に貯留され、受杆128上に載置される。貯留されたゴボウRが一定量貯留されると、受杆128がゴボウRの重さによって下方に回動する。これにより、ストッカ104からは、貯留されたゴボウRが、一定量ずつまとめて放出される。【0024】ここで、本実施の形態では、挟持ベルト122が、図3に示すように、平面視において、根菜収穫機101の後方端が、前方端に対して車幅方向Aに所定距離(例えば、栽培畝W一個分)だけ偏位するよう、根菜収穫機101の進行方向に対して斜めに延びている。そして、ストッカ104は、平面視において、挟持ベルト122の長さ方向に延びるよう、根菜収穫機101の進行方向に対して斜め(例えば、略40度)に向けられている。【0025】保持フレーム118からは、ガイドアーム129が延びている。ガイドアーム129は、保持フレーム118の前方側の端部の上面から上方に延び、途中で屈曲する。保持フレーム118の先端部は、従動プーリ123の上面の近傍に位置する。この先端部には、ガイドプレート130が取付けられている。ガイドプレート130は、従動プーリ123と平行に延び、正面視において八字状をなしている。ガイドプレート130は、従動プーリ123や挟持ベルト122への土や異物の噛み込みを防止する。【0026】図5は、土除去体201の左側面図である。図6は、土除去体201の背面図である。図7は、図6におけるB部分を平面視で見た図である。土除去体201は、ビーム114に固定的に取付けられて引上搬送部103よりも進行方向前方側に位置し、ビーム114から根菜収穫機101の後方かつ下方に延び、屈曲して鉛直に下方に延びる部材である。土除去体201は、金属等の剛体により形成され、栽培畝Wから浮き上がった土壌を崩して排土するために充分な強度を有する。【0027】土除去体201は、ビーム114に取付けられる本体部202を有する。本体部202には、第1ウイング208と、第2ウイング209と、第3ウイング210とが固定的に取付けられている。【0028】本体部202は、車幅方向Aの厚さが略均一の板状をなし、側面視「く」字状に見える。本体部202の前方側の端部には、ボルト等によりビーム114に取付けられるための取付部203を有する。取付部203から後方側には、本体部202の一部をなす傾斜部204が延びている。傾斜部204は、後方側が僅かに下がるよう水平方向に対して20度~30度の角度で傾斜する。傾斜部204の後端側は下方に屈曲して、そこから本体部202の一部をなす垂直部205が延びている。垂直部205の長さは、傾斜部204の長さの略二倍である。垂直部205の前方側の側面には、平面視において前方側に先細りする刃状部206が形成されている。刃状部206は、垂直部205の下端まで延びている。【0029】第1ウイング208は、前方に向かうにつれて下がるように傾斜する板状をなす。第1ウイング208は、垂直部205の後端面から前方側に延びる矩形部208aを含む。矩形部208aの前方側には、平面視略三角形の先端部208bが隣接している。先端部208bは、刃状部206の下方に位置する。先端部208bの水平方向に対する傾斜角は、矩形部208aの水平方向に対する傾斜角よりも小さい。先端部208bの前方側の先端には、刃状部208cが形成されている。【0030】第2ウイング209は、平面視において、後方に向かうにつれて垂直部205から離反するよう延び、前方側が凹になるように僅かに曲がっている板状をなす。第2ウイング209は、垂直部205の車幅方向Aの一方の側面(例えば、左側面)で、垂直部205の上下方向の略中央から、側面視で見て後方に向かうにつれて上がるように延びている。第2ウイング209の前方側の端面には、刃状部209aが形成されている。【0031】第3ウイング210は、第1ウイング208の垂直部205の車幅方向Aの他方の側面(例えば、右側面)で、第2ウイング209の付け根と同じ高さに設けられる。第3ウイング210は、第1ウイング208を車幅方向Aに二分したものと同じ形状を有する。第3ウイング210の前方側の先端には、刃状部210aが形成されている。【0032】図8は、栽培畝W内を進行する土除去体201の背面図である。図1、図3、図4及び図8を参照する。土除去体201は、ビーム114に固定的に取付けされ、ヘラ102の後方部分の上方、かつ、引上搬送部103よりも前方側に位置付けられる。このとき、本体部202は、ヘラ102の上方に位置する。また、第2ウイング209は、車幅方向Aの外側に向けられる。土除去体201は、ビーム114に取付けられることにより、支軸113aの回動に伴って、ビーム114やヘラ102とともに支軸113aを中心に矢印R1の方向に回動し、車幅方向Aに交差する面Cに沿って揺動する。【0033】このような根菜収穫機101を用いて栽培畝Wで栽培されているゴボウRを収穫する際、トラクタ51の操作によって、根菜収穫機101に備わるヘラ102の刃状部102aが、栽培畝Wに植わっているゴボウRの根際の近傍に位置付けられる。【0034】続いて、トラクタ51が走行すると、根菜収穫機101がトラクタ51に牽引されて前方に進み、ヘラ102がゴボウRの根際の地中を進行し、周囲の土SとともにゴボウRを浮き上がらせる。浮き上がったゴボウRには土Sが付着しており、ゴボウRと土Sとからなる土塊S1がヘラ102の上に載る。土塊S1のうち、ゴボウRの周囲の土Sの一部(図8中、符号S2で示される部分)は、土除去体201により崩されて柔らかくなるとともに排除される。このとき、第1ウイング208は、前方が下になるように傾斜していて、土除去体201よりも下方にある土を膨軟にするのに有利である。また、第3ウイング210は、本体部202よりもゴボウRに近い位置にある土Sを膨軟にする。また、第2ウイング209は、排除された土SをゴボウRから遠くに退ける。さらに、土除去体201は、支軸113aを中心に回動するので、ゴボウRに付着する土Sが崩されて効率良く排除される。【0035】続いて、ヘラ102によって浮き上がり土除去体201によって土Sを排除されたゴボウRの上部が、引上搬送部103の挟持ベルト122に把持される。このとき、ガイドプレート130は、従動プーリ123や挟持ベルト122への土や異物の噛み込みを防止する。上部を把持されたゴボウRは、引上搬送部103によって後方に搬送され、ストッカ104に投入される。【0036】トラクタ51が進行するに従って、ゴボウRは、上記のように次々と引き抜かれストッカ104に貯留される。ゴボウRがストッカ104に一定量貯留されると、ゴボウRはまとまって地表面GLに落下する。トラクタ51に追従する作業者は、地表面GL上のゴボウRを拾い上げて伴走車(図示せず)に積み込む。【0037】このように、本実施の形態の根菜収穫機101では、ヘラ102により浮き上がったゴボウRに付着した土Sが土除去体201により膨軟化され排除され、続いて、ゴボウRの上部が挟持ベルト122に把持される。これにより、挟持ベルト122の間や挟持ベルト122とプーリ(従動プーリ123、駆動プーリ124、支持プーリ125)との間に入り込む土Sの量が減少する。したがって、根菜収穫機101で引き抜かれたゴボウRに付着している土Sによる根菜収穫機101の機能低下が防がれる。【0038】なお、本実施の形態では、ヘラ102がビーム114に取付けられて揺動するようになっているが、別の実施の形態として、ヘラ102が固定フレーム111に固定取付されていて揺動しないようになっていてもよい。【0039】また、本実施の形態では、根菜がゴボウである場合について示したが、本実施の形態の根菜収穫機101を、他の長根植物を列状に栽培させた栽培畝に適用できることは言うまでもない。
A
2012139191
2011000138
20110104
null
null
20120726
2012139191
20120726
null
null
5750796
20150529
null
null
null
珪藻類の検出方法
304028726
国立大学法人 大分大学
null
null
瀬尾泰久
null
8
C12Q 1/68 (20060101)
C12Q 1/68 A
7
null
null
null
0
24
4B063
4B063 QA01 ,4B063 QA13 ,4B063 QA18 ,4B063 QQ05 ,4B063 QQ17 ,4B063 QQ18 ,4B063 QQ19 ,4B063 QR32 ,4B063 QR55 ,4B063 QR62 ,4B063 QS25 ,4B063 QS32 ,4B063 QS39 ,4B063 QX02
【課題】珪藻類被殻の有無を直接又は珪藻類被殻をトラップしたDNAから間接的に検出する、安全で簡便な珪藻類検出方法の提供。【解決手段】カオトロピックイオン存在溶液中に珪藻類被殻と共にシリカコートビーズを又はこれに短鎖DNAをコーティングして容れ、短鎖DNAコーティングビーズの場合はそのまま結合させ、シリカコートビーズの場合はそのまま或いは長鎖DNA、ビオチン・蛍光標識DNA、コーティング短鎖DNAとは配列の異なる短鎖DNA又は無標識DNAを添加して珪藻類被殻に不可逆的に結合してB/F分離洗浄し当該DNAを染色して検鏡し、或いは前記解離した珪藻類被殻に結合した短鎖DNAや長鎖DNAをPCR増幅して検量線を得て、珪藻類被殻を定量的に検出し、又は前記解離した珪藻類被殻に結合したビオチン・蛍光標識DNAの蛍光強度を測定して珪藻類被殻を定量的に検出する珪藻類の検出方法。【選択図】図1
【請求項1】カオトロピックイオン存在溶液中でシリカコートビーズに、DNAを介して又は異なるDNAで挟んで珪藻類被殻をトラップして、珪藻類被殻の有無を直接又は珪藻類被殻をトラップしたDNAから間接的に検出することを特徴とする珪藻類の検出方法。【請求項2】カオトロピックイオン存在溶液中にシリカコートビーズと長鎖DNAと珪藻類被殻を容れてこれ等を結合させた後にB/F分離洗浄して未反応長鎖DNAや夾雑物を洗い流し、次いで加水してシリカコートビーズ表面から長鎖DNAと珪藻類被殻を解離させて珪藻類被殻のみを取り出し検鏡することを特徴とする請求項1に記載の珪藻類の検出方法。【請求項3】カオトロピックイオン存在溶液中にシリカコートビーズと長鎖DNAと珪藻類被殻を容れてこれ等を結合させた後に第一回目のB/F分離洗浄して未反応長鎖DNAや夾雑物を洗い流し、次いでビオチン・蛍光標識の短鎖DNAを添加してこれを珪藻類被殻に不可逆的に結合させて染色して後、第二回目のB/F分離洗浄し、次いで加水してシリカコートビーズ表面から珪藻類被殻をビオチン・蛍光標識の短鎖DNAに結合した状態で長鎖DNAとは個別に解離させて、ビオチン・蛍光標識の短鎖DNAに結合している珪藻類被殻を検鏡することを特徴とする請求項1に記載の珪藻類の検出方法。【請求項4】カオトロピックイオン存在溶液中にシリカコートビーズと長鎖DNAと珪藻類被殻を容れてこれ等を結合させた後に第一回目のB/F分離洗浄して未反応長鎖DNAや夾雑物を洗い流し、次いで未標識の短鎖DNAを添加してこれを珪藻類被殻に結合させて第二回目のB/F分離洗浄した後、珪藻類被殻に結合した未標識短鎖DNAを染色すると共に加水してシリカコートビーズから長鎖DNA、珪藻類被殻結合の未標識短鎖DNAを解離してこれ等を取り出して検鏡観察することを特徴とする請求項1に記載の珪藻類の検出方法。【請求項5】カオトロピックイオン存在溶液中に短鎖DNAをコーティングしたシリカコートビーズと珪藻類被殻を容れてこれ等を結合させた後にB/F分離洗浄し、次いで長鎖DNAを添加しこれを短鎖DNAに結合した珪藻類被殻に結合させ、次いで加水して被殻に結合した長鎖DNAのみを解離して取り出しそれをPCR増幅して検量線を得て珪藻類を定量的に検出することを特徴とする請求項1に記載の珪藻類の検出方法。【請求項6】カオトロピックイオン存在溶液中に短鎖DNAをコーティングしたシリカコートビーズに珪藻類被殻を容れてこれ等を結合させた後に第一回目のB/F分離洗浄し、次いで前記短鎖DNAとは配列の異なる短鎖DNAを添加しこれを珪藻類被殻に不可逆的に結合させた後に第二回目のB/F分離洗浄し、シリカコートビーズと共にこれに付着している珪藻類被殻を結合の短鎖DNAを取り出してPCR増幅して検量線を得て珪藻類を定量的に検出することを特徴とする請求項1に記載の珪藻類の検出方法。【請求項7】カオトロピックイオン存在溶液中に短鎖DNAをコーティングしたシリカコートビーズに珪藻類被殻を容れてこれ等を結合させた後に第一回目のB/F分離洗浄し、次いでビオチン・蛍光標識した短鎖DNAを添加してこれを珪藻類被殻に不可逆的に結合させて後、第二回目のB/F分離洗浄し、次いで基質を添加して染色しシリカコートビーズに付着した珪藻類被殻に結合のビオチン・蛍光標識の短鎖DNAを取り出し、蛍光強度を測定して珪藻類を定量的に検出することを特徴とする請求項1に記載の珪藻類の検出方法。
【請求項1】カオトロピックイオン存在溶液中でシリカコートビーズに、DNAを介して又は異なるDNAで挟んで珪藻類被殻をトラップして、珪藻類被殻の有無を直接又は珪藻類被殻をトラップしたDNAから間接的に検出することを特徴とする珪藻類の検出方法。
【技術分野】【0001】本発明は珪藻類の検出方法に関するものである。
【背景技術】【0002】従来、珪藻類の観察や分類法として、珪藻類の特徴であるケイ酸質の被殻を顕微鏡下で観察する方法が用いられてきた。このケイ酸質の被殻には、種ごとに特有の形態や殻面、殻面上の文様が形成されており、これを一般の光学顕微鏡下で観察することによって属や種を分類する。しかし、被殻は弁当箱の蓋と実のように組み合わさっており、そのなかに核や葉緑体などの細胞成分が入っているため、採取したそのままの状態で観察したのでは、それぞれの種に固有な被殻の特徴まで見分けることは困難である。このため、珪藻類の観察には、まず、被殻の内部に含まれる核や葉緑体をはじめとした有機物を取り除くためにクリーニングという操作を行うのが一般的である。【0003】この被殻のクリーニング方法としては、濃硫酸や硝酸を使う壊機法、1294123916390_0.htm#重クロム酸カリ、1294123916390_1.htm#UV、1294123916390_2.htm#焼灼、1294123916390_3.htm#ブリーチ、1294123916390_4.htm#パイプユニッシュなどがあり、目的や設備の状況にあわせて使い分けられる。なかでも、パイプユニッシュ法は、誰でも手軽に簡単な操作で実施でき、且つ、安全面でも問題がないため、学校などの実習として、教育現場でも取り入れられている。被殻のクリーニング終了後、プレパラート上に展開乾燥し適当な封入剤で封入し観察する。被殻は、プレパラートやカバーグラスと同じガラス質であるため、屈折率の小さな封入剤の元では観察できなくなる。一般的にはStyrax、Hyrax、Pleuraxなどの高屈折率の封入剤が使われる。このような珪藻類被殻の観察方法は、生物学や水質環境化学の分野だけでなく、他のさまざまな分野で応用されている。特に、法医学の分野では、古くから溺死の証明方法として多用されてきた歴史がある。【0004】法医学における溺死証明法とは、溺水中に存在するプランクトンが肺胞壁を通過して大循環系に入り、全身の臓器に移行することを診断の根拠としたものである。解剖によって取り出された心臓や肺臓、肝臓、腎臓、脾臓などの各臓器を濃硝酸とともに加熱して有機物を壊機し、残存した無機質の中に含まれる珪藻類の被殻を顕微鏡下で観察証明することによって生前に溺水を吸引したことを証明する。ただし、この方法では、肺以外の臓器ではその密度の低さから検出が困難である場合も多く、各臓器あたり数個以下の被殻しか検出されないケースも多数存在する。さらに、炭粉や脂質などの残存夾雑物により、顕微鏡下での観察が困難なケースもしばしば経験する。また、そもそも珪藻の被殻がガラス質であるためプレパラート上での観察が難しいなど、多くの問題点が指摘されている。【0005】これらの問題を解決するためにこれまで数多くの研究が行われ、報告されているが、その方向性は二つに大別することができる。第一には、溺水中に含まれる珪藻類以外の微小異物を検出証明する方法である。溺水中に含まれるバクテリアなどの微生物を主要臓器や血液中から培養証明する方法(1294123916390_5.Pubmed_RVAbstractPlus,1294123916390_6.Pubmed_RVAbstractPlus,1294123916390_7.Pubmed_RVAbstractPlusetal.ForensicSciInt2008)、肺に特異的に存在するサーファクタントプロテインDをマーカーとしたサンドイッチ酵素免疫測定法(KamadaS,1294123916390_8.Pubmed_RVAbstractPlusetal.ForensicSciInt2000)などがこれにあたる。第二には、珪藻の検出法自体に改良を加えるもので、プロテアーゼ処理による臓器の可溶化、壊機法の改良などがあげられる。これに加え、珪藻類をはじめとするプランクトンのDNAを、直接PCR法などで特異的に増幅して証明する研究なども進められている。しかし、先に述べた珪藻類以外の微小異物の証明法は、優れた方法ではあるもののその手法における特殊性が高く、特定の機関でしか実施できない汎用性に欠けるものが多い。さらに、珪藻検出法の改良も様々な問題点の抜本的な解決には至っていない。【0006】<従来の珪藻類検出方法とその問題点>従来、珪藻類の形態的な検出は、被殻をクリーニングした後そのままプレパラート上に封入して顕微鏡で観察するのみであるため、被殻以外の夾雑物の影響を排除することは不可能である。特に、河川や湖沼、海中の堆積物などから採取した大量の珪藻類をパイプユニッシュ法などでクリーニングしても、微細な土砂などの異物も同時に処理しているため、顕微鏡下での被殻の形態観察に支障を来すことも少なくない。また、浮遊珪藻類が減少する夏期や冬期の水中から珪藻類を観察するためには大量の水を濾過したり、遠心分離したりして珪藻類を濃縮する必要があり、このとき水中にある他の浮遊物も同時に濃縮されることとなり、堆積物からのものと同じ結果となる。現在、水中などに浮遊する珪藻類を特異的、選択的に抽出、精製する方法は存在しない。海洋堆積物の生物起源ケイ素量の定量法として、珪藻被殻を直接計測する方法の他に、粒子密度差を利用した分離法及びX線回析法、モリブデンブルー比色法、アルカリ抽出法など様々な方法が用いられている。血液中のケイ酸量を定量する方法として、ケイ酸をモリブデン錯体として薄層クロマトグラフィーで分離定量する方法などが報告されている。しかし、これらの定量法は、多大な労量を必要とする上、特異性に欠ける面もあるばかりでなく、低感度であるため分析には多量の試料が必要となる。したがって、僅かな量の水や、時には数個以下の珪藻類しか存在しない溺死体の臓器などから珪藻類を検出、定量することは困難である。【0007】そこで本発明は、壊機された珪藻類をより簡便に、より高精度に検出する方法を提供するものである。珪藻類のもつ最大の特徴は、ケイ酸質よりなる被殻を有し優れた耐酸性を持つことであり、第一に壊機法はこの特性を利用した検出法である。本発明者は、このケイ酸質の被殻がもつもう一つの特徴に注目した。二酸化ケイ素(SiO2)を含むガラス質のものは、古くからカオトロピックイオンの存在下で、DNAやRNA、一部のタンパク質などを非特異的に吸着する性質を有することが知られていた。さらに、この吸着は、水などの低極性の環境下で容易に解離する特性をもつ。最近では、グラスファイバーを固相として使うDNAの精製法が開発され、多くのDNA抽出キットにこの基本原理が応用されている。本発明は、ガラス質の持つこれらの基本原理がケイ酸質でできている珪藻類の被殻にもそのまま当てはまることを利用したものである。
【発明が解決しようとする課題】【0009】本発明は、第一に、珪藻類被殻の観察のために従来行われてきたクリーニング操作の後、残存する土砂や無機物などの夾雑物を取り除き、被殻を特異的、選択的に精製し、観察する方法を提供するものである。通常、被殻を観察するためには、クリーニング後数度の水による洗浄過程を経てプレパラートを作製する。その際の洗浄操作は、比重の重い被殻を遠心分離によって試験管の管底に集め、上清を捨て、再度被殻を浮遊させると同時にクリーニング液を希釈し、再び遠心分離して集めるという過程の繰り返しである。しかし、この操作では、被殻と同程度、或いは、より比重の重い夾雑物を取り除くことはできない。この欠点を補うため、本発明では、ケイ酸質である被殻が持つ、カオトロピックイオンの存在下でDNAを特異的に吸着する特徴を利用し、被殻のみを特異的、選択的に精製する方法を提供する。この操作は、同じ性質を持つシリカをコートした磁性ビーズとともに行い、被殻のクリーニング、洗浄操作後に行われる。具体的には、クリーニングした被殻を濃度既知のカオトロピックイオンを含む溶液中に容れ、そこにDNAとシリカビーズを加え、シリカビーズを固相として加えたDNAを介して被殻を固相に結合させる。【0010】カオトロピックイオンの存在下で珪藻類被殻やシリカコートビーズに吸着させるDNAの種類は問われない。たとえば、ヒトゲノムDNAでも構わないし、λファージDNAや各種電気泳動用のDNAマーカーなどの市販されているものを使用可能である。また、固相として使われるシリカビーズは磁性ビーズに限るものではない。カオトロピックイオンの存在下でDNAを吸着・解離する特性を持つものであればよい。一般的には、粒径0.1~10μm程度の市販のビーズを使用することが可能であるし、2~4ミリ程度のピンセットで操作可能なものでもよい。一方で、この操作を水中に浮遊する珪藻類を集めるために実施することもできる。被殻は珪藻類の外殻にあたるので、水中に浮遊した状態の珪藻類の被殻を、DNAを使って磁性ビーズにトラップすれば、浮遊する珪藻類を、夾雑物を除いた状態で濃縮して集めることができる。集めた珪藻類は、クリーニング後常法により顕微鏡下で観察することが可能である。【0011】また、光学顕微鏡による被殻の観察は、クリーニング及び洗浄した被殻をプレパラート上に展開し、乾燥固定した後、適当な封入剤で封入し観察するが、被殻は、プレパラートやカバーグラスと同じガラス質であるため、屈折率の小さな封入剤の元では観察できない。一般的にはStyrax、Hyrax、Pleuraxなどの高屈折率の封入剤が使われるが、これらの高屈折率の封入剤を使っても顕微鏡下で被殻を発見、観察することは容易ではない。特に、法医学的な溺死証明の場合など、そもそも含有する珪藻類の数が少なければ、被殻の発見自体が困難である。この問題を解決するためには、一般の顕微鏡観察で多用される染色法を導入できればよい。例えば、被殻が、一般的な組織染色のように赤や青、或いは、蛍光色素で染色されれば、たとえ数が少なくても顕微鏡下での発見、観察が行いやすくなるものと考える。【0012】そこで、第二に、シリカをコートした磁性ビーズに被殻のみを特異的に結合させ、次いで、ビオチン、蛍光標識したDNAをビーズにトラップされた被殻に再度結合し、被殻に結合したビオチン、蛍光標識したDNAを既存の染色法を使って染色することによって、間接的に被殻を染色した状態で観察する方法を提供する。カオトロピックイオンの存在下で被殻及びシリカコトートビーズに結合したDNAは、1Kbp以上であれば、水などの低極性の溶液に置換すればその結合は容易に解離する。しかし、100bp以下の短鎖DNAの結合の場合、その解離特性は著しく低い。これらのDNA結合特性を組み合わせれば、DNAをシリカビーズにトラップしたり、溶出することが可能である。100bp以下の短鎖DNAの種類は問われない。たとえば、メンブレンのブロッキング剤として使われるサケ精子DNAや各種電気泳動用のDNAマーカーなど市販されているものを使用可能であるし、あらかじめビオチンやFITCなどの蛍光色素を標識したマーカーなども市販されている。さらに、ビオチンやFITCなどの蛍光色素を標識したオリゴヌクレオチドを合成して使用することもできる。【0013】第三に、珪藻類被殻を定量的に検出する方法を提供する。これは、被殻にDNAを特異的に結合させた後、シリカをコートした磁性ビーズでDNAが結合した状態の被殻のみをとりだし、被殻に結合したDNAを定量的に測定することによって行われる。結合したDNAの定量法には、酵素標識抗体法やPCR法、リアルタイムPCR法などが含まれる。被殻に結合するDNA量が一定であれば、被殻の量と結合したDNA量は比例関係になることを利用した測定法である。従来行われてきた、二酸化ケイ素から遊離したケイ素量を測定する定量法に比べ、結合したDNAをPCR法により数百万倍に増幅して測定出来るため、著しく高感度化が図れ、理論的には一分子の被殻でも測定可能である。
【課題を解決するための手段】【0014】本発明の特徴とする基本技術条件は、「カオトロピックイオン存在溶液中でシリカコートビーズに、DNAを介して又は異なるDNAで挟んで珪藻類被殻をトラップして、珪藻類被殻の有無を直接又は珪藻類被殻をトラップしたDNAから間接的に検出することを特徴とする珪藻類の検出方法」である。この基本技術条件の具体例は、次の方法(1)~(6)の通りである。(1)、図1に記載のように、カオトロピックイオン存在溶液中にシリカコートビーズと長鎖DNAと珪藻類被殻を容れてこれ等を結合させた後にB/F分離洗浄して未反応長鎖DNAや夾雑物を洗い流し、次いで加水してシリカコートビーズ表面から長鎖DNAと珪藻類被殻を解離させて珪藻類被殻のみを取り出し検鏡することを特徴とする珪藻類の検出方法。ここで、シリカコートビーズの核を磁性金属製球にすることにより前記B/F分離洗浄後の排液の際に図1の(4)に示すように、磁石で長鎖DNAと珪藻類被殻を付着させたのみを容器内に保持して未反応長鎖DNAや夾雑物を含む排液を略(ほぼ)全量を効率よく迅速に容器外に排出することができる。また加水解離後には、図1の(7)(8)に示すようにシリカコートビーズのみを容器内に保持して解離した珪藻類被殻のみを略(ほぼ)全量を効率よく水と共に容器外に取り出して検鏡することができる。【0015】(2)、図2に記載のように、カオトロピックイオン存在溶液中にシリカコートビーズと長鎖DNAと珪藻類被殻を容れてこれ等を結合させた後に第一回目のB/F分離洗浄して未反応長鎖DNAや夾雑物を洗い流し、次いでビオチン・蛍光標識の短鎖DNAを添加してこれを珪藻類被殻に不可逆的に結合させて染色して後、第二回目のB/F分離洗浄し、次いで加水してシリカコートビーズ表面から珪藻類被殻をビオチン・蛍光標識の短鎖DNAに結合した状態で長鎖DNAとは個別に解離させて、ビオチン・蛍光標識の短鎖DNAに結合している珪藻類被殻を検鏡することを特徴とする珪藻類の検出方法。ここで、シリカコートビーズの核を磁性金属製球にすることにより前記第一回目及び第二回目のB/F分離洗浄後の排液の際に、磁石で長鎖DNAと珪藻類被殻、又は長鎖DNAと珪藻類被殻と短鎖DNAを付着させシリカコートビーズのみを容器内に保持して排液を略(ほぼ)全量を効率よく迅速に容器外に排出することができる。また図2の(11)と(12)に示すように加水解離後に、シリカコートビーズのみを容器内に保持してビーズから解離した長鎖DNAと珪藻類被殻と短鎖DNAの連結体を略(ほぼ)全量を効率よく水と共に容器外に取り出して検鏡観察することができる。【0016】(3)、図3に記載のように、カオトロピックイオン存在溶液中にシリカコートビーズと長鎖DNAと珪藻類被殻を容れてこれ等を結合させた後に第一回目のB/F分離洗浄して未反応長鎖DNAや夾雑物を洗い流し、次いで未標識の短鎖DNAを添加してこれを珪藻類被殻に結合させて第二回目のB/F分離洗浄した後、珪藻類被殻に結合した未標識短鎖DNAを染色すると共に加水してシリカコートビーズから長鎖DNA、珪藻類被殻結合の未標識短鎖DNAを解離してこれ等を取り出して検鏡観察することを特徴とする珪藻類の検出方法。ここで、シリカコートビーズの核を磁性金属製球にすることにより前記第一回目及び第二回目のB/F分離洗浄後の排液の際に、磁石で長鎖DNAと珪藻類被殻、又は長鎖DNAと珪藻類被殻と未標識短鎖DNAを付着させたシリカコートビーズのみを容器内に保持して排液を略(ほぼ)全量を効率よく迅速に容器外に排出することができる。また図3の(11)と(12)に示すように加水解離後に、シリカコートビーズのみを容器内に保持してビーズから解離した長鎖DNA、珪藻類被殻と染色した未標識短鎖DNAとの連結体を略(ほぼ)全量を効率よく水と共に容器外に取り出して検鏡観察することができる。【0017】(4)、図4に記載のように、カオトロピックイオン存在溶液中に短鎖DNAをコーティングしたシリカコートビーズと珪藻類被殻を容れてこれ等を結合させた後にB/F分離洗浄し、次いで長鎖DNAを添加しこれを短鎖DNAに結合した珪藻類被殻に結合させ、次いで加水して被殻に結合した長鎖DNAのみを解離して取り出しそれをPCR増幅して検量線を得て珪藻類を定量的に検出することを特徴とする珪藻類の検出方法。ここで、シリカコートビーズの核を磁性金属製球にすることにより前記B/F分離洗浄後の排液の際に、磁石で短鎖DNAに結合した珪藻類被殻が付着しているシリカコートビーズのみを容器内に保持して排液を略(ほぼ)全量を効率よく迅速に容器外に排出することができる。また図4の(7)と(8)に示すように加水解離後に、シリカコートビーズを短鎖DNAに結合した珪藻類被殻と共に容器内に保持して、ビーズから解離した長鎖DNAを略(ほぼ)全量を効率よく水と共に容器外に取り出してPCR増幅して検量線を得て珪藻類を定量的に精度よく検出することができる。【0018】(5)、図5に記載のように、カオトロピックイオン存在溶液中に短鎖DNAをコーティングしたシリカコートビーズに珪藻類被殻を容れてこれ等を結合させた後に第一回目のB/F分離洗浄し、次いで前記短鎖DNAとは配列の異なる短鎖DNAを添加しこれを珪藻類被殻に不可逆的に結合させた後に第二回目のB/F分離洗浄し、シリカコートビーズと共にこれに付着している珪藻類被殻を結合の短鎖DNAを取り出してPCR増幅して検量線を得て珪藻類を定量的に検出することを特徴とする珪藻類の検出方法。ここで、シリカコートビーズの核を磁性金属製球にすることにより前記第一回目及び第二回目のB/F分離洗浄後の排液の際に、磁石で短鎖DNAに結合した珪藻類被殻が付着しているシリカコートビーズ又は、コーティイング短鎖DNAと珪藻類被殻と添加短鎖DNAが付着しているシリカコートビーズのみを容器内に保持して排液を略(ほぼ)全量を効率よく迅速に容器外に排出することができる。【0019】(6)、図6に記載のように、カオトロピックイオン存在溶液中に短鎖DNAをコーティングしたシリカコートビーズに珪藻類被殻を容れてこれ等を結合させた後に第一回目のB/F分離洗浄し、次いでビオチン・蛍光標識した短鎖DNAを添加してこれを珪藻類被殻に不可逆的に結合させて後、第二回目のB/F分離洗浄し、次いで基質を添加して染色しシリカコートビーズに付着した珪藻類被殻に結合のビオチン・蛍光標識の短鎖DNAを取り出し、蛍光強度を測定して珪藻類を定量的に検出することを特徴とする珪藻類の検出方法。ここで、シリカコートビーズの核を磁性金属製球にすることにより前記第一回目及び第二回目のB/F分離洗浄後の排液の際に、短鎖DNAに結合した珪藻類被殻が付着しているシリカコートビーズ又は、コーティイング短鎖DNAとビオチン・蛍光標識の短鎖DNAと珪藻類被殻とが付着しているシリカコートビーズのみを磁石で容器内に保持して排液を略(ほぼ)全量を効率よく迅速に容器外に排出することができる。【0020】但し、本発明において、PCR増幅とは、好熱菌の耐熱性DNAポリメラーゼを利用したポリメラーゼ連鎖反応polymerasechainreactionによるDNAの増幅技術を言う。(:DNA鎖長の違いによる変性とアニーリングの違いを利用して、温度の上下を繰り返すだけでDNA合成を繰り返し、DNAを増幅する)【0021】又、本発明において、B/F分離洗浄とは、Bound/Free分離洗浄のことで、DNAの結合反応後、先ず、結合に使ったカオトロピック溶液(例えば4モル、塩酸グアニヂン)を捨て、その後、同濃度のカオトロピック溶液を10倍量加えて未反応のDNAと夾雑物を捨てる、さらに、70%エタノールで2度洗浄し、その後は、70%エタノールを完全に捨て、被殻の結合したビーズのみを残すことを言う。【0022】又、本発明の前記(1)、(2)、(4)において、B/F分離洗浄の後で加水する場合は、当該加水により、シリカビーズ及び被殻に結合したDNAを遊離(解離)するために行い、前記(3)、(5)、(6)において、加水しない場合は、B/F分離洗浄の操作後に、ビーズのみが残るため、PCRを行うときはPCR反応液、ビオチン、蛍光測定の場合は、それらの測定に適したバッファーを添加する。【0023】又、本発明において染色とは、一般的な組織染色で使われるフォイルゲン反応、ヌクレアーファーストレッドなどの核酸染色法、Mupid(R)Blueなどの一般的なDNAの染色剤による染色法、各種蛍光染色剤による染色法、タンパク質やDNAの高感度染色法として知られる銀染色法などその他公知の染色法を言う。
【発明の効果】【0024】本発明の珪藻類の検出方法は、シリカ質にDNAが容易に結合することに着目し、これを珪藻類被殻との接合剤にして、珪藻類被殻をDNAに容易にトラップして珪藻類被殻の有無を直接又はトラップしたDNAから間接的に検出する手法で、簡易で安全で迅速確実で安価な検出方法である。【0025】表1には本発明方法を纏めてあるが、本発明方法は、カオトロピックイオン存在溶液中に珪藻類被殻と共に、A.シリカコートビーズと長鎖DNAを添加して結合させて後B/F分離洗浄する。又はB.短鎖DNAをコーティングしたシリカコートビーズを添加して結合させて後B/F分離洗浄する。次いでAの場合はそのまま加水解離し、或いはビオチン・蛍光標識DNAを添加して加水解離する。Bの場合は、長鎖DNA、又はコーティング短鎖DNAとは配列の異なる短鎖DNA又はビオチン・蛍光標識DNAを又は無標識DNAを添加して当該DNAを珪藻類被殻に不可逆的に結合してB/F分離洗浄する。次いで、Aの場合は前記解離した珪藻類被殻単体又はビオチン・蛍光標識DNAに結合した珪藻類被殻を検境し、Bの場合は前記解離した珪藻類被殻に結合した当該DNAを染色して検鏡し又は当該DNAをPCR増幅して検量線を得て、珪藻類被殻を定量的に精度良く検出し、又は前記解離した珪藻類被殻に結合したビオチン・蛍光標識DNAの蛍光強度を測定して珪藻類被殻定量的に精度良く検出するものである。【0026】この検出方法により、従来方法に比較して次の優れた具体的な作用効果を呈する。1.方法(1)の効果(意義)従来、珪藻類被殻のクリーニング後、直接プレパラート上に展開乾燥して顕微鏡下で観察するのみであったが、この検出法を採用することにより、残存夾雑物により検鏡、発見が難しかった珪藻類被殻を清浄な状態で観察することができるようになった。特に、溺死の証明においては、炭粉、変成した脂肪質などの検鏡を妨げていたものがほとんど見あたらない。個数既知の被殻を使った実験では、ほぼ100%の回収率を示すことから、被殻は添加した当該DNAを介してシリカコートビーズにほぼ完全に結合しているものと思われる。加えて、洗浄操作後の水の添加により、被殻は完全に添加水中に遊離せられるものと考えられた。特に、シリカコートビーズに磁性ビーズを使えば、被殻をトラップした状態での洗浄操作に磁石を使ってビーズを集めることができるので、操作手技による損失を防ぐことができる。本法は、操作が極めて簡単で短時間の処理で十分にその効果を発揮できることから、非常に有用性が高いものと考えられる。【0027】2.方法(2)と(3)の効果(意義)従来、珪藻類被殻のクリーニング後、直接プレパラート上に展開乾燥して顕微鏡下で観察するのみであったが、この検出法を採用することにより、残存夾雑物により検鏡、発見が難しかった珪藻類被殻を清浄な状態で観察することができるようになった。特に、溺死の証明においては、炭粉、変成した脂肪質などの検鏡を妨げていたものがほとんど見あたらないばかりでなく、染色された被殻を顕微鏡下で探すだけでよいので、検鏡にかかる時間が大幅に短縮され、写真撮影時のフォーカス設定も容易であった。被殻に結合したビオチン、蛍光標識したDNAは既存の染色法を使って染色することによって、間接的に被殻を染色した状態で観察することができる。標識されたビオチンは、アルカリフォスファターゼやベータガラクトシダーゼ、ペルオキシダーゼなどの酵素を標識したスレプトアビジンとそれぞれの酵素に対応した基質とによって染色される。当該DNAの標識に使われるのはビオチンのみでなく、ジゴキシゲニンでもよい。短鎖DNAの蛍光標識には様々な蛍光色素が使用可能であるが、特にFITCなどが一般的であり、この場合、そのまま蛍光顕微鏡による観察が可能である。また、被殻に未標識の短鎖DNAを結合しても、結合した短鎖DNAを、一般的な組織染色で使われるフォイルゲン反応、ヌクレアーファーストレッドなどの核酸染色法により染色することができる。さらに、Mupid(R)Blueなどの一般的なDNAの染色剤によっても染色することができるだけでなく、各種蛍光染色剤も使用できる。また、タンパク質やDNAの高感度染色法として知られる銀染色法なども適用できる。被殻はすでに染色されているので、一般的な低屈折率の封入剤を使って、永久標本として保存することが可能である。【0028】3.方法(4)の効果(意義)充分量の短鎖DNAをあらかじめコートしたシリカコートの磁性ビーズと配列既知の長鎖DNAを使って被殻をサンドイッチすることが可能であった。これは、ポリクローナル抗体や複数種類のモノクローナル抗体を使って抗原をサンドイッチして定量的に検出する非競合法と同じ原理を有する。2次的に反応する配列既知の長鎖DNAの量は、固相となる磁性ビーズに結合した被殻の量に比例して増加する。洗浄により未反応の長鎖DNAを取り除いた後、加水して長鎖DNAを水中に遊離することができる。長鎖DNAは配列が既知であるため、あらかじめターゲットとなる領域に対してプライマーを合成しておくことができる。遊離した長鎖DNAを鋳型としてPCR反応を行い、増幅されたDNA量の定量を行えば、間接的に固相に結合した被殻の量を測定することができる。このとき、あらかじめ被殻の量を顕微鏡検査により定量してある標準液に対しても同様の操作を行い、検量線を作製する。この方法を使えば、単純なPCR法を用いた実験でも、数十個単位の被殻を定量的に検出することが可能であった。さらに高感度なリアルタイムPCR法などを導入すれば、数個単位、理論的には1個の被殻でも検出可能である。また、固相となる磁性シリカビーズは、pHの変化によって当該DNAを吸着し、溶出する性質を持つメルク社のMagPrep(R)Silicaなども使用可能である。このMagPrep(R)Silicaは、酸性条件下でDNAを吸着し、pH8以上のアルカリ条件下でDNAを遊離する性質を持つので、中性の水を使ってもDNAは遊離することはないため、固相の洗浄、保存に適している。【0029】4.方法(5)の効果(意義)磁性金属球にシリカコートしたシリカコートビーズ(磁性ビーズとも言う)に充分量の短鎖DNAをあらかじめコートし、これに配列既知の短鎖DNAを使って被殻をコート短鎖DNAとサンドイッチすることが可能である。これは、ポリクローナル抗体や複数種類のモノクローナル抗体を使って抗原をサンドイッチして定量的に検出する非競合法と同じ原理を有する。2次的に反応する配列既知の短鎖DNAの量は、固相となる前記の磁性ビーズに結合した被殻の量に比例して増加する。洗浄により未反応の短鎖DNAを取り除いた後、固相にトラップされた被殻と2次的に結合した短鎖DNAをそのまま別のチューブに移し、PCR反応を行う。2次的な短鎖DNAは配列が既知であるため、あらかじめターゲットとなる領域に対してプライマーを合成しておくことができる。また、固相にあらかじめ結合する短鎖DNAとは配列の全く異なるものを使用する。固相として使う短鎖DNAをコートしたシリカコートビーズは、粒径が2~4μlであるため、これらと一緒にPCR反応を行っても、反応の妨げにはならない。PCR増幅されたDNA量の定量を行えば、間接的に固相に結合した被殻の量を測定することができる。このとき、あらかじめ被殻の量を顕微鏡検査により定量してある標準液に対しても同様の操作を行い、検量線を作製する。サンドイッチする2次的な短鎖DNAは、長鎖DNAよりも分子量(分子構造)が小さいため、被殻に結合する量は、長鎖DNAよりも多くなることが実証されている。したがって、さらに高感度なリアルタイムPCR法などを導入すれば、数個単位、理論的には1個の被殻でも検出可能である。【0030】5.方法(6)の効果(意義)充分量の短鎖DNAをあらかじめコートしたシリカコートの磁性ビーズとビオチン、蛍光標識した短鎖DNAを使って被殻をサンドイッチすることが可能である。これは、ポリクローナル抗体や複数種類のモノクローナル抗体を使って抗原をサンドイッチして定量的に検出する非競合法と同じ原理を有する。2次的に反応するビオチン、蛍光標識した短鎖DNAの量は、固相となる磁性ビーズに結合した被殻の量に比例して増加する。洗浄により未反応のビオチン、蛍光標識した短鎖DNAを取り除いた後、固相にトラップされた被殻と2次的に結合した短鎖DNAに標識されたビオチン、蛍光量を適当な基質、或いは、測定装置を使って測定する。被殻に結合したビオチン、蛍光標識したDNAは既存の発色法を使って測定することができる。標識されたビオチンは、アルカリフォスファターゼやベータガラクトシダーゼ、ペルオキシダーゼなどの酵素を標識したスレプトアビジンとそれぞれの酵素に対応した基質とを組み合わせて使用される。蛍光基質を使った蛍光測定法の方が一般的にはより高感度に測定できるビオチン、蛍光標識した短鎖DNAの量の定量を行えば、間接的に固相に結合した被殻の量を測定することができる。このとき、あらかじめ被殻の量を顕微鏡検査により定量してある標準液に対しても同様の操作を行い、検量線を作製する。また、固相となる磁性シリカビーズは、pHの変化によってDNAを吸着し、溶出する性質を持つメルク社のMagPrep(R)Silicaなども使用可能である。このMagPrep(R)Silicaは、酸性条件下でDNAを吸着し、pH8以上のアルカリ条件下でDNAを遊離する性質を持つので、中性の水を使ってもDNAは遊離することはないため、固相の洗浄、保存に適している。さらに、被殻に結合した短鎖DNAも水中には遊離しないため、ビオチンや蛍光色素、或いは、各種酵素を標識したストレプトアビジンなどを変性させることなく穏和な条件下で反応を完成させ、測定することができる。
【発明を実施するための形態】【0032】本発明の前記各検出の方法(1)~(6)を実施するための態様は次の表1及び図1~図6に記載の通りである。尚、シリカコートビーズを単にシリカビーズと略称する。【0033】【表1】【実施例1】【0034】本例は前記特徴の方法(1)の実施例であり、具体的な技術条件と効果を表2にて紹介する。【0035】【表2】【実施例2】【0036】本例は前記特徴の方法(2)と(3)の実施例であり、具体的な技術条件と効果を表3にて紹介する。【0037】【表3】【実施例3】【0038】本例は前記特徴の方法(4)の実施例であり、具体的な技術条件と効果を表4にて紹介する。【0039】【表4】【実施例4】【0040】本例は前記特徴の方法(5)の実施例であり、具体的な技術条件と効果を表5にて紹介する。【0041】【表5】【実施例5】【0042】本例は前記特徴の方法(6)の実施例であり、具体的な技術条件と効果を表6にて紹介する。【0043】【表6】
A
2012139192
2011000231
20110104
null
null
20120726
2012139192
20120726
null
null
null
null
null
null
null
米の加工食品の製造方法
505099509
伊藤 榮子
100089196
梶 良之
伊藤 榮子
null
8
A23L 1/10 (20060101)
A23L 1/10 E
3
null
null
null
0
7
4B023
4B023 LE11 ,4B023 LP20 ,4B023 LT64
【課題】炊き上げたままの米飯は引き伸ばしにくい。【解決手段】炊飯工程において炊いた米飯を、切断工程においてチョッパー等を使用して飯粒ごとに切断する。その後、引き伸ばし工程において、のし棒などを使用して米飯を引き伸ばす。切断せずに引き伸ばす場合と比べて容易に加工できる。【選択図】図1
【請求項1】米を加水しつつ加熱して軟化した軟化米とする工程と、前記軟化米を米粒ごとに切断する工程と、切断された前記軟化米を引き伸ばす工程とを備えていることを特徴とする米の加工食品の製造方法。【請求項2】前記切断する工程において、半分に切断された米粒が全体にまんべんなく分布する程度に前記軟化米を切断することを特徴とする請求項1に記載の米の加工食品の製造方法。【請求項3】前記切断する工程が、内部に回転刃を設けた容器内に前記軟化米を収容する工程と、前記回転刃を回転させて前記軟化米を米粒ごとに切断する工程とを含んでいることを特徴とする請求項1又は2に記載の米の加工食品の製造方法。
【請求項1】米を加水しつつ加熱して軟化した軟化米とする工程と、前記軟化米を米粒ごとに切断する工程と、切断された前記軟化米を引き伸ばす工程とを備えていることを特徴とする米の加工食品の製造方法。
【技術分野】【0001】本発明は、米の加工食品の製造方法、特に、引き伸ばす工程を含む米の加工食品の製造方法に関する。
【背景技術】【0002】特許文献1には、米菓子を製造する際、炊き上げた米飯を棒などで圧縮して成形することが開示されている。
【発明が解決しようとする課題】【0004】炊き上げたままの米飯は薄く引き伸ばそうとしても固まってしまい、引き伸ばすのが困難である。【0005】本発明の目的は、炊き上げた米を引き伸ばしやすくする米の加工食品の製造方法を提供することにある。
【課題を解決するための手段】【0006】本発明の米の加工食品の製造方法は、米を加水しつつ加熱して軟化した軟化米とする工程と、前記軟化米を米粒ごとに切断する工程と、切断された前記軟化米を引き伸ばす工程とを備えている。
【発明の効果】【0007】炊き上げた軟化米を米粒ごとに切断すると、薄く引き伸ばしやすくなる。
【発明を実施するための形態】【0009】本発明の一実施形態に係る米の加工食品の製造方法について説明する。本実施形態においては米を原料とした煎餅状の菓子を製造することを想定しているが、本発明の適用は特に煎餅や菓子に限定されない。本実施形態は、図1に示すように、(1)材料の準備工程、(2)炊飯工程、(3)切断工程、(4)引き伸ばし工程、(5)焼き上げ工程を備えている。(1)~(5)の各工程の内容は以下のとおりである。【0010】(1)米を研ぎ、水、調味料等を加えて所定の時間置く。米に加える水の量は、ご飯として食べるために米を炊く際より多く、米の重量の2~3倍程度である。(2)所定の時間水に漬けて置いた米を、炊飯器等を使用して炊き上げる。これによって米が軟化し、米飯状になる。この状態が本発明における「軟化米」に対応する。以下においては、「米飯種」と呼称する。米を加水・加熱することにより軟化させるのであれば、炊く他、蒸すなどでもよい。(3)炊き上げた米飯種をチョッパーやフードプロセッサ等を使用して飯粒(米粒)ごとに切断する。切断は、半分に切断された飯粒が米飯種全体にまんべんなく分布する程度まで行う。(4)飯粒が切断された米飯種を、のし棒などを用いて1~3mm程度の厚さになるまで平らに引き伸ばす。その後、完全に冷めるまで放置する。(5)平らになった米飯種を好みの形、大きさに切り分けた後、オーブンで焦げ目がつくまで焼き上げる。なお、米飯種を加熱して硬化させる工程であれば、オーブンで焼く他、油で揚げるなどでもよい。【0011】以上において、切断工程により、米飯種中の飯粒が半分程度に切断される。引き伸ばし工程においては、飯粒同士が粘着しつつ圧縮され、凝縮する。このとき、細かく切断されて小さくなった飯粒が、より大きい飯粒同士を繋ぐつなぎの役割を果たす。これにより、米飯種全体が適度な柔軟性を持ちつつ飯粒同士が粘着する。したがって、飯粒の形状を残しつつ、米飯種全体が一体化し、薄く引き伸ばされる。そして、焼き上げ工程においてちょうどよいさくさくとした食感に焼き上がる。これに対し、切断工程において飯粒を細かくし過ぎると、完成品が、焼き過ぎた餅の表面のように、ばりばりとした焼き上がりとなってしまう。一方、米粒を大きく残し過ぎると、米飯種に柔軟性がなく、引き伸ばしが困難になり、完成品の食感も悪い。【0012】以下、本製造方法を適用して実施した主な実施例について説明する。(第1の実施例)[材料]粳白米150g、乾燥大豆50~100g、水400ml、食塩少々。[工程]米を研ぎ、米150gに対して水400mlになるように米、水、食塩を加えたものを1時間ほど置く。これを炊飯器で炊き上げた後、20分程度蒸らす。大豆は、水煮したものを米飯に混ぜ合わせる。なお、火の通った大豆と米飯とが混ざった状態にするのであれば、大豆と米飯とを合わせるのにどのような工程を採用してもよい。これを、図2に示すチョッパー100に入れる。チョッパー100は、円筒形状の容器102とカッター110とを有している。カッター110は、回転軸111とこれに固定された2枚の回転刃112とを有している。装置本体のスイッチを介して回転軸111を回転させると、各回転刃112が矢印Aに沿って水平に沿って回転する。容器102内に米飯種を入れた後、カッター110を所定の回数だけ回転させ、飯粒と大豆を共に切断する。この際、所定の回数の半分程度までカッター110を回転させた後、一旦カッター110の回転を止め、全体を攪拌した後、残りの回転数分カッター110を回転させる。これにより、米飯種全体がまんべんなく飯粒ごとに切断される。なお、本実施例では、チョッパー100として、日立クッキングカッターFV-C1を使用した。【0013】チョッパー100から米飯種を取り出し、オーブンシート上に載せる。そして、その上からさらにオーブンシートをかぶせ、そのオーブンシートの上からのし棒で米飯種を1.5mm程度の厚さまで平らに引き伸ばす。その後、米飯種から湯気が出なくなるまで完全に冷ます。米飯種が冷めたら、図3に示すように、米飯種の生地Sを破線に沿って切り分ける。生地Sを切り分けた後、下に敷いたオーブンシートごと180度ほどに予熱したオーブンに入れる。そして、生地Sをオーブンで30分少々焼き上げる。焼き上がったら冷ました後、切り目に沿って切り分ける。【0014】(第2の実施例)[材料]粳白米150g、乾燥昆布(かけら)10~20g、水400ml、食塩少々。[工程]米飯種を引き伸ばす前のいずれかの工程において昆布を種に混ぜる。その他は第1の実施例と同様である。[第1の実施例との比較]食味、風味の点で昆布が大豆とは異なるが、それ以外の食感は同様である。【0015】(第3の実施例)[材料]第1の実施例と同様である。[工程]米を研ぎ、水、食塩を加えて一晩置いた後、炊き上げる。その他は第1の実施例と同様である。[第1の実施例との比較]比較上、炊き上がった米飯種が軟らかく、チョッパーにかけても刃が飯粒をうまく捉えられない上、成形しづらい。完成品も、米の味が薄く、硬いばりばりした焼き上がりとなる。【0016】(第4の実施例)[材料]粳白米150g、水200ml。その他は第1の実施例と同様である。[工程]第1の実施例と同様である。[第1の実施例との比較]比較上、炊き上がった米飯種が硬く、チョッパーにかけても刃の切れが悪い。完成品は米の味がするが、全体が硬い仕上がりになる。【0017】(第5の実施例)[材料]粳白米と糯白米を10対1の割合で混合したものを合計で150g。その他は第1の実施例と同様である。[工程]第1の実施例と同様である。[第1の実施例との比較]比較上、完成品の全体が硬いばりばりした焼き上がりになる。また、もち臭がする。【0018】(第6の実施例)[材料]第1の実施例と同様である。[工程]米を研ぎ、水、食塩を加えて1時間置いた後、炊き上げる。一方、水煮した大豆を包丁で荒微塵にした後、炊き上がった米飯に混ぜ、米飯種とする。その後の工程は第1の実施例と同様である。[第1の実施例との比較]比較上、完成品において米と大豆が一体となっておらず、食味、風味の点で調和があまり取れていない。【0019】(第7の実施例)[材料][工程]乾燥大豆を使用しないこと以外は第1の実施例と同様である。この実施例においては、チョッパーにかけた直後の米飯種を写真撮影した。その結果が図4(a)及び図4(b)である。図4(a)に示すように、米飯種全体では多くの粒が半分に切断されており、半分に切断された飯粒が全体にまんべんなく分布している。図4(b)は、半分に切断された飯粒と全く切断されていない飯粒とを米飯種から抽出して並べた写真である。【0020】(比較例)以下、上述の実施例に対する比較例について説明する。[材料]第1の実施例と同様である。[工程]チョッパー100を使用しない。つまり、炊き上げた米飯種をそのまま引き伸ばす。その他は第1の実施例と同じである。[第1の実施例との比較]米飯種に柔軟性がなく、1.5mm程度の厚さまで引き伸ばすには非常に手間がかかり困難である。
A
2012139193
2011000285
20110104
null
null
20120726
2012139193
20120726
null
null
null
null
null
null
null
融合タンパク質
504176911,000201641,507013280
国立大学法人大阪大学,全国農業協同組合連合会,松浦 善治
100158366
井戸 篤史
松浦 善治,嶋 亮一,矢野 良治
null
8
C12N 15/09 (20060101), C07K 14/08 (20060101), C07K 19/00 (20060101), C12N 7/06 (20060101), A61K 38/00 (20060101), A61K 39/00 (20060101), A61P 31/00 (20060101)
C12N 15/00 ZNAA ,C07K 14/08 ,C07K 19/00 ,C12N 7/06 ,A61K 37/02 ,A61K 39/00 H ,A61P 31/00
10
null
null
null
0
17
4B024,4B065,4C084,4C085,4H045
4B024 AA01 ,4B024 BA33 ,4B024 CA07 ,4B024 DA02 ,4B024 DA06 ,4B024 EA02,4B065 AA96X,4B065 AA96Y,4B065 AB01 ,4B065 AC20 ,4B065 CA24 ,4B065 CA45,4C084 AA02 ,4C084 AA07 ,4C084 BA02 ,4C084 BA22 ,4C084 BA23 ,4C084 BA41 ,4C084 CA01 ,4C084 CA53 ,4C084 MA52 ,4C084 MA55 ,4C084 MA57 ,4C084 MA59 ,4C084 MA65 ,4C084 NA10 ,4C084 NA13 ,4C084 NA14 ,4C084 ZB332,4C084 ZB352,4C085 AA03 ,4C085 AA04 ,4C085 CC21 ,4C085 GG02 ,4C085 GG03 ,4C085 GG08 ,4C085 GG10,4H045 AA11 ,4H045 AA30 ,4H045 BA10 ,4H045 BA41 ,4H045 CA02 ,4H045 DA86 ,4H045 EA31 ,4H045 FA74
【課題】ドラッグキャリアに利用されるE型肝炎ウイルス様粒子として、発現量が多く、効率的に生産できるE型肝炎ウイルス様粒子構成タンパク質と異種タンパク質との融合タンパク質を提供する。【解決手段】E型肝炎ウイルス様粒子構成タンパク質に少なくとも1種の異種タンパク質が挿入された融合タンパク質であって、前記異種タンパク質が挿入される位置が、前記E型肝炎ウイルス様粒子構成タンパク質がE型肝炎ウイルス様粒子を形成した際に前記E型ウイルス様粒子の粒子表面となる領域にある、前記E型肝炎ウイルス様粒子構成タンパク質と異種タンパク質との融合タンパク質。【選択図】図1
【請求項1】E型肝炎ウイルス様粒子構成タンパク質に少なくとも1種の異種タンパク質が挿入された融合タンパク質であって、前記異種タンパク質が挿入される位置が、前記E型肝炎ウイルス様粒子構成タンパク質がE型肝炎ウイルス様粒子を形成した際に該E型ウイルス様粒子の粒子表面となる領域にある、前記E型肝炎ウイルス様粒子構成タンパク質と前記異種タンパク質との融合タンパク質【請求項2】前記E型肝炎ウイルス様粒子構成タンパク質が、E型肝炎ウイルスのORF2にコードされるタンパク質の一部のアミノ酸を欠失させたものであり、前記異種タンパク質が、挿入される位置が以下の(a)~(d)のいずれかである、請求項1に記載の融合タンパク質(a)前記E型肝炎ウイルスのORF2にコードされるタンパク質のN末端から484番目のアミノ酸と485番目のアミノ酸との間に相当する位置(b)前記E型肝炎ウイルスのORF2にコードされるタンパク質のN末端から488番目のアミノ酸と489番目のアミノ酸との間に相当する位置(c)前記E型肝炎ウイルスのORF2にコードされるタンパク質のN末端から533番目のアミノ酸と534番目のアミノ酸との間に相当する位置(d)前記E型肝炎ウイルスのORF2にコードされるタンパク質のN末端から555番目のアミノ酸と556番目のアミノ酸との間に相当する位置【請求項3】前記E型肝炎ウイルスのORF2にコードされるタンパク質が、以下の(e)~(g)のいずれかである、請求項1又は2に記載の融合タンパク質(e)配列番号:1に示すアミノ酸配列を含むタンパク質(f)配列番号:1に示すアミノ酸配列において1又は数個のアミノ酸が欠失、挿入、又は付加されたアミノ酸配列を含み、E型肝炎ウイルスのキャプシドタンパク質になり得るタンパク質(g)配列番号:1に示すアミノ酸配列に対して80%以上の相同性を有するアミノ酸配列を含み、E型肝炎ウイルスのキャプシドタンパク質になり得るタンパク質【請求項4】前記E型肝炎ウイルス粒子構成タンパク質が、以下の(h)~(j)のいずれかである、請求項1~3いずれか一項に記載の融合タンパク質(h)配列番号:2に示すアミノ酸配列を含むタンパク質(i)配列番号:2に示すアミノ酸配列において1又は数個のアミノ酸が欠失、挿入、又は付加されたアミノ酸配列を含み、E型肝炎ウイルス様粒子を形成し得るタンパク質(j)配列番号:2に示すアミノ酸配列に対して80%以上の相同性を有するアミノ酸配列を含み、E型肝炎ウイルス様粒子を形成し得るタンパク質【請求項5】前記異種タンパク質が5個~20個のアミノ酸から構成される、請求項1~4いずれか一項に記載の融合タンパク質【請求項6】前記異種タンパク質が免疫原性を有する、請求項1~5いずれか一項に記載の融合タンパク質【請求項7】前記融合タンパク質は、複数の該融合タンパク質が会合してウイルス様粒子を形成し得るものである、請求項1~6いずれか一項に記載の融合タンパク質【請求項8】請求項1~7いずれか一項に記載の融合タンパク質が複数会合して構成されたウイルス様粒子【請求項9】請求項1~7いずれか一項に記載の融合タンパク質をコードする遺伝子を含み、前記融合タンパク質を発現させる発現ベクター【請求項10】請求項1~7に記載の融合タンパク質、及び/又は請求項8に記載のウイルス様粒子を含む医薬組成物
【請求項1】E型肝炎ウイルス様粒子構成タンパク質に少なくとも1種の異種タンパク質が挿入された融合タンパク質であって、前記異種タンパク質が挿入される位置が、前記E型肝炎ウイルス様粒子構成タンパク質がE型肝炎ウイルス様粒子を形成した際に該E型ウイルス様粒子の粒子表面となる領域にある、前記E型肝炎ウイルス様粒子構成タンパク質と前記異種タンパク質との融合タンパク質
【技術分野】【0001】本発明は、E型肝炎ウイルス様粒子構成タンパク質と異種タンパク質との融合タンパク質に関する。
【背景技術】【0002】ヒトや動物に対する感染症の拡大が世界的な問題となっており、効果的な治療薬やワクチンを実用化するため、医薬成分を効率的に送達する技術が注目されている。特に、経皮、経口、経鼻等、容易な投与経路で治療・予防効果を発揮する送達技術の開発が行われている。これまで開発された送達技術としては、リポソームを利用したもの(特許文献1参照)、アミノ酸誘導体のキャリア化合物を利用したもの(特許文献2参照)や、胃粘膜傷害性らせん菌を利用したもの(特許文献3参照)等が存在する。【0003】近年、E型肝炎ウイルスの遺伝子がクローニングされた。さらに、E型肝炎ウイルスのキャプシドタンパク質の一部のアミノ酸を欠失させて発現させることで、E型肝炎ウイルスに対する免疫原性を有する中空粒子、すなわちE型肝炎ウイルス様粒子を得ることができるという報告がなされている(特許文献4及び5参照)。E型肝炎ウイルスは粘膜から感染するため、E型肝炎ウイルス様粒子は、ドラッグキャリアとして経鼻投与や経口投与が可能な医薬組成物への応用が期待される。【0004】E型肝炎ウイルス様粒子をドラッグキャリアに利用する研究としては、HIVのエピトープをウイルス様粒子構成タンパク質のC末端に挿入して融合タンパク質を生産した例(非特許文献1)があり、ウエスタンブロット法により融合タンパク質の発現が確認されている。
【発明が解決しようとする課題】【0007】非特許文献1に記載された方法では、E型肝炎ウイルス様粒子構成タンパク質と異種タンパク質との融合タンパク質の発現が確認されるものの、その発現量は著しく少なかった。効率的に融合タンパク質を生産するためには、異種タンパク質を挿入する方法を検討する必要があった。
【課題を解決するための手段】【0008】本発明者らは、E型肝炎ウイルス様粒子の結晶構造解析を行うことで融合タンパク質の効率的な生産が可能な異種タンパク質の挿入位置を見出した。さらに、該挿入位置に異種タンパク質を挿入することで、大量に発現し、ウイルス様粒子を形成し得る融合タンパク質を得ることに成功した。【0009】すなわち、本発明は、E型肝炎ウイルス様粒子構成タンパク質に少なくとも1種の異種タンパク質が挿入された融合タンパク質であって、異種タンパク質が挿入される位置が、E型肝炎ウイルス様粒子構成タンパク質がE型肝炎ウイルス様粒子を形成した際に該E型ウイルス様粒子の粒子表面となる領域にある、E型肝炎ウイルス様粒子構成タンパク質と異種タンパク質との融合タンパク質を提供する。【0010】また、本発明が提供する別の融合タンパク質は、E型肝炎ウイルス様粒子構成タンパク質が、E型肝炎ウイルスのORF2にコードされるタンパク質の一部のアミノ酸を欠失させたものであり、異種タンパク質が、挿入される位置が以下の(a)~(d)のいずれかである。(a)は、E型肝炎ウイルスのORF2にコードされるタンパク質のN末端から484番目のアミノ酸と485番目のアミノ酸との間に相当する位置であり、(b)は、E型肝炎ウイルスのORF2にコードされるタンパク質のN末端から488番目のアミノ酸と489番目のアミノ酸との間に相当する位置であり、(c)は、E型肝炎ウイルスのORF2にコードされるタンパク質のN末端から533番目のアミノ酸と534番目のアミノ酸との間に相当する位置であり、(d)はE型肝炎ウイルスのORF2にコードされるタンパク質のN末端から555番目のアミノ酸と556番目のアミノ酸との間に相当する位置である。【0011】また、本発明が提供する別の融合タンパク質は、E型肝炎ウイルスのORF2にコードされるタンパク質が、以下の(e)~(g)のいずれかである。(e)は、配列番号:1に示すアミノ酸配列を含むタンパク質であり、(f)は、配列番号:1に示すアミノ酸配列において1又は数個のアミノ酸が欠失、挿入、又は付加されたアミノ酸配列を含み、E型肝炎ウイルスのキャプシドタンパク質になり得るタンパク質であり、(g)は、配列番号:1に示すアミノ酸配列に対して80%以上の相同性を有するアミノ酸配列を含み、E型肝炎ウイルスのキャプシドタンパク質になり得るタンパク質である。【0012】また、本発明が提供する別の融合タンパク質は、E型肝炎ウイルス粒子構成タンパク質が、以下の(h)~(j)のいずれかである。(h)は、配列番号:2に示すアミノ酸配列を含むタンパク質であり、(i)は、配列番号:2に示すアミノ酸配列において1又は数個のアミノ酸が欠失、挿入、又は付加されたアミノ酸配列を含み、E型肝炎ウイルス様粒子を形成し得るタンパク質であり、(j)は、配列番号:2に示すアミノ酸配列に対して80%以上の相同性を有するアミノ酸配列を含み、E型肝炎ウイルス様粒子を形成し得るタンパク質である。【0013】また、本発明が提供する融合タンパク質は、異種タンパク質が5個~20個のアミノ酸から構成されるものであってもよく、異種タンパク質が免疫原性を有するものであってもよい。【0014】また、本発明が提供する別の融合タンパク質は、複数の該融合タンパク質が会合してウイルス様粒子を形成し得るものであり、本発明は、融合タンパク質が複数会合して構成されたウイルス様粒子も提供する。【0015】さらに、本発明は、融合タンパク質、及び/又はウイルス様粒子を含む医薬組成物や、融合タンパク質をコードする遺伝子を含み、融合タンパク質を発現させる発現ベクターを提供する。
【発明の効果】【0016】本発明の融合タンパク質は、ヒト又は動物に対して異種タンパク質に対する特異的抗体の産出を誘導するため、感染症の治療及び/又は予防を目的とした医薬組成物に利用することができる。また、本発明の融合タンパク質は、複数が会合してウイルス様粒子を形成し、粘膜からヒト又は動物の体内へ到達することができる。したがって、経鼻投与や経口投与が可能な医薬組成物に用いることができる。さらに、本発明の融合タンパク質の発現量は非常に多いため、分子生物学的手法で大量の融合タンパク質を得ることができる。【0017】また、本発明の融合タンパク質は、異種タンパク質に対する特異的抗体と併せて、E型肝炎ウイルスに対する特異的抗体の産出も誘導するため、複数の感染症の治療及び/又は予防が可能な多価ワクチンとしての利用が可能である。
【発明を実施するための形態】【0019】以下、本発明の実施形態について説明するが、本発明はこれらに限定されるものではない。【0020】本明細書におけるアミノ酸の番号は、特に言及しない限り、E型肝炎ウイルスのORF2にコードされるタンパク質におけるN末端のアミノ酸を1として、C末端方向に1ずつ増加する番号で表す。E型肝炎ウイルス様粒子構成タンパク質のアミノ酸を示す番号であっても、E型肝炎ウイルスのORF2にコードされるタンパク質におけるN末端のアミノ酸に相当するアミノ酸を1として、C末端方向に1ずつ増加する番号で表す。【0021】E型肝炎ウイルス(以下、「HEV」と略すこともある。)はRNAをゲノムとして有するウイルスである。HEVは、4つの遺伝子型(Genotype)に分類されるが、本発明ではいずれの遺伝子型のHEVを用いることもできる。HEVのゲノムは、ORF1、ORF2、ORF3の3つのORFを含んでいる。HEVのキャプシドタンパク質はORF2によってコードされる。【0022】配列番号:1に示すアミノ酸配列は、遺伝子型3のHEVのORF2にコードされるキャプシドタンパク質のアミノ酸配列の一例であり、660個のアミノ酸からなる。また、他のHEVキャプシドタンパク質の例として、配列番号:1に示すアミノ酸配列において1又は数個のアミノ酸が欠失、挿入、又は付加されたアミノ酸配列を含み、E型肝炎ウイルスのキャプシドタンパク質になり得るタンパク質、配列番号:1に示すアミノ酸配列に対して80%以上、好ましくは90%以上、さらに好ましくは95%以上の相同性を有するアミノ酸配列を含み、E型肝炎ウイルスのキャプシドタンパク質になり得るタンパク質、が挙げられる。【0023】E型肝炎ウイルス様粒子(以下、「HEV様粒子」、又は「HEV―LP」と略すこともある。)とは、HEVの免疫原性を有する中空粒子である。HEVのキャプシドタンパク質の一部のアミノ酸を欠失させると、発現されるタンパク質が会合してHEV―LPを形成する。この、HEV―LPを形成するタンパク質をE型肝炎ウイルス様粒子構成タンパク質(以下、「HEV様粒子構成タンパク質」、又は「HEV―LP構成タンパク質」と略すこともある。)という。【0024】HEV―LP構成タンパク質は、HEVのORF2にコードされるタンパク質の一部のアミノ酸を欠失させてなる。欠失されるアミノ酸は、N末端側の領域及び/又はC末端側の領域を構成するアミノ酸であり得る。上記のN末端側の領域は、好ましくは100番目乃至120番目のアミノ酸からN末端側の領域であり、より好ましくは、N末端のアミノ酸から111番目のアミノ酸までを含む領域である。【0025】また、上記のC末端側の領域は、好ましくは600番目乃至659番目のアミノ酸からC末端側の領域であり、より好ましくは、609番目のアミノ酸からC末端のアミノ酸までを含む領域である。【0026】配列番号:2のアミノ酸配列が示すタンパク質は、E型肝炎ウイルス様粒子構成タンパク質の一例であり、HEVのORF2によってコードされるキャプシドタンパク質のうち、N末端~111番目のアミノ酸及び609番目~C末端のアミノ酸を欠失させたものである。【0027】また、E型肝炎ウイルス様粒子構成タンパク質の他の一例は、配列番号:2に示すアミノ酸配列において1又は数個のアミノ酸が欠失、挿入、又は付加されたアミノ酸配列を含み、E型肝炎ウイルス様粒子を形成し得るタンパク質、配列番号:2に示すアミノ酸配列に対して80%以上、好ましくは90%以上、さらに好ましくは95%以上の相同性を有するアミノ酸配列を含み、E型肝炎ウイルス様粒子を形成し得るタンパク質も、E型肝炎ウイルス様粒子構成タンパク質、が挙げられる。【0028】本発明者らが行ったHEV―LPの結晶構造解析によると、HEV―LPは、60個のHEV―LP構成タンパク質が会合してなる多量体の粒子であり、5回軸、3回軸及び2回軸を持つ略正二十面体の構造を有する。さらに、本発明者らは、HEV―LP構成タンパク質が会合してHEV―LPを構成した際、HEV―LPの粒子表面に局在するHEV―LP構成タンパク質の領域を特定した。【0029】本発明の融合タンパク質においては、少なくとも1種の異種タンパク質が、HEV―LP構成タンパク質がHEV―LPを構成した際に粒子表面となる領域に挿入される。HEV―LP構成タンパク質における上記の領域は、好ましくは、HEVのORF2にコードされるタンパク質のN末端から484番目~485番目のアミノ酸からなる領域であり、HEVのORF2にコードされるタンパク質の488番目~489番目のアミノ酸からなる領域であり、HEVのORF2にコードされるタンパク質の533番目~534番目のアミノ酸からなる領域であり、HEVのORF2にコードされるタンパク質の555番目~556番目のアミノ酸からなる領域である。【0030】図1はHEV―LPの立体構造を示した。図中、上記のアミノ酸からなる領域を黒で表示し、それ以外の領域を灰色で表示した。Aは、HEVのORF2にコードされるタンパク質のN末端から484番目~485番目のアミノ酸からなる領域を、Bは、HEVのORF2にコードされるタンパク質の488番目~489番目のアミノ酸からなる領域を、Cは、HEVのORF2にコードされるタンパク質の533番目~534番目のアミノ酸からなる領域を、Dは、HEVのORF2にコードされるタンパク質の555番目~556番目のアミノ酸からなる領域をそれぞれ黒く示したものである。図1を参照すれば、これらの領域がHEV―LPの粒子表面にあることがわかる。【0031】したがって、本発明において用いられる異種タンパク質は、HEV―LP構成タンパク質のうち、以下の(a)~(d)いずれかの位置に挿入することができる。(a)はE型肝炎ウイルスのORF2にコードされるタンパク質のN末端から484番目のアミノ酸と485番目のアミノ酸の間に相当する位置であり、(b)はE型肝炎ウイルスのORF2にコードされるタンパク質のN末端から488番目のアミノ酸と489番目のアミノ酸の間に相当する位置であり、(c)はE型肝炎ウイルスのORF2にコードされるタンパク質のN末端から533番目のアミノ酸と534番目のアミノ酸の間に相当する位置であり、(d)はE型肝炎ウイルスのORF2にコードされるタンパク質のN末端から555番目のアミノ酸と556番目のアミノ酸の間に相当する位置である。これらの領域に異種ポリペプチドを挿入することにより、ウイルス様粒子を形成し得る融合タンパク質を得ることができる。また、本発明の融合タンパク質の発現量は非常に高い。【0032】また、本発明の融合タンパク質は複数が会合してウイルス様粒子を構成し得る。ウイルス様粒子を構成する融合タンパク質の数は限定されないが、HEV―LPと同様に60個の融合タンパク質が会合して構成されることが好ましい。【0033】本発明において用いられる異種タンパク質とは、E型肝炎ウイルス以外の種に由来するタンパク質又はポリペプチドをいう。異種タンパク質は、任意の細菌又はウイルス由来のタンパク質を用いることができる。異種タンパク質は免疫原性を有することが好ましく、エピトープであることがより好ましい。エピトープとは、特異的抗体の抗原結合部分が相互作用する部分であり、抗原決定基とも呼ばれる。【0034】HEV―LPと任意のエピトープとの融合タンパク質は、人又は動物に投与されることで、人又は動物の体内で任意のエピトープに相互作用する特異的抗原の産出を促す。したがって、任意の細菌又はウイルスに対する感染防御効果や治療効果を発揮することができる。また、融合タンパク質は、E型肝炎ウイルスの免疫原性を有する場合があるためE型肝炎ウイルスに相互作用する特異的抗原の産出も同時に誘導する多価ワクチンに利用することができる。【0035】本発明において用いられる少なくとも1種の異種タンパク質は、3個~30個のアミノ酸から構成されることが好ましく、5個~20個のアミノ酸から構成されることがより好ましく、8個~18個のアミノ酸から構成されることがさらに好ましい。また、グリシン、アラニン及び/又はセリン等のアミン酸から構成されるSpacer配列を異種ポリペプチドのN末端側及び/又はC末端側に併せて挿入してもよい。【0036】本発明の融合タンパク質は、融合タンパク質のアミノ酸配列をコードするDNAの塩基配列を作製し、これを発現させることで得ることができる。タンパク質の発現には、無細胞系や、細胞を用いた系、大腸菌、酵母菌等の微生物を用いた系等を選択することができるが、バキュロウイルス及び昆虫細胞を用いたタンパク質発現系を用いることが好ましい。哺乳類の細胞に近い糖鎖修飾が行われる点や、分子量の大きいタンパク質の発現が可能である点等が利点として挙げられる。【0037】また、本発明は上記の融合タンパク質をコードする遺伝子を含み、上記融合タンパク質を発現させる発現ベクターを含む。発現ベクターとしては、プラスミドやウイルス等を用いることが可能である。特に、Autographacalifornicanuclearpolyhedorsisvirus(AcNPV)や、Bombyxmorinuclearpolyhedorsisvirus(BmNPV)等のバキュロウイルスを用いることが好ましい。また、発現ベクターに用いるバキュロウイルスを感染させる昆虫細胞として、SF9細胞、Tn5細胞等を用いることができる。さらに、昆虫細胞ではなく、カイコ等、昆虫そのものを用いることも可能である。【0038】バキュロウイルス及び昆虫細胞の発現系を用いて本発明の融合タンパク質を得る方法の一例を概説する。まず、本発明の融合タンパク質のアミノ酸配列をコードする塩基配列を含むDNAを得て、PCR法により増幅する。この増幅産物を、バキュロウイルストランスファーベクターに挿入して発現ベクターを作製する。さらに、この発現ベクターを、リン脂質混合物等の遺伝子導入剤を用いて昆虫細胞に導入すると、本発明の融合タンパク質をコードする遺伝子を有する組換えバキュロウイルスを得ることができる。【0039】上述のようにして得た組換えバキュロウイルスを昆虫細胞に感染させ、昆虫細胞を24時間~72時間振盪培養すると、培養上清に放出された本発明の融合タンパク質を得ることができる。必要に応じて、本発明の融合タンパク質を含む培養上清に対し、フィルター濾過、遠心分離、濃縮、精製等の処理を行ってもよい。【0040】本発明の融合タンパク質は、ヒトや動物に投与することにより、異種タンパク質及び/又はE型肝炎ウイルスに対する特異的抗体の産出を誘導することができる。したがって、本発明の融合タンパク質は感染症の予防及び/又は治療を目的とする医薬組成物に利用することができる。本発明は、上記の融合タンパク質を含む医薬組成物を提供する。【0041】本発明の融合タンパク質を含む医薬組成物は、静脈投与、筋肉投与、経口投与、経鼻投与等、さまざまな方法で投与することが可能である。特に、本発明の融合タンパク質は粘膜から吸収されるため、医薬組成物の経口投与、経鼻投与が可能である点が優れている。【0042】本発明の融合タンパク質を含む医薬組成物はアジュバントを含んでもよい。アジュバントとしては、バキュロウイルス、昆虫細胞、フロイトアジュバント、ヘモゾイン等を用いることができる。【実施例】【0043】さらに実施例を用いて本発明を詳細に説明するが、本発明はこれら実施例に限定されるものではない。【0044】実施例1.E型肝炎ウイルス様粒子構成タンパク質と異種タンパク質(Spacer-Flag-Spacer)との融合タンパク質の作製HEVG32712株由来ORF2を用いて、HEV-LP構成タンパク質と異種タンパク質との融合タンパク質の作製を行った。実施例1における異種タンパク質を配列番号:3に示した。該異種タンパク質は、N末端側からC末端側に向かって順にSpacer配列(アミノ酸配列:Gly-Gly-Gly-Ser)、Flagタグ配列(アミノ酸配列:Asp-Tyr-Lys-Asp-Asp-Asp-Asp-Lys)、及びSpacer配列(アミノ酸配列:Gly-Gly-Gly-Ser)により構成されるものである。また、実施例1における融合タンパク質は、HEV-LP構成タンパク質におけるHEVのORF2にコードされるタンパク質のN末端から555番目のアミノ酸と556番目のアミノ酸との間に相当する位置に、該異種タンパク質が挿入されたものである。【0045】まず、HEVG32712由来ORF2(配列番号:4)の5’末端から334番目~1824番目の塩基のDNAを表1に示すプライマーを用いてPCR法により増幅した。PCR法は、95℃・1分間、57℃・1分間、72℃・2分間を1サイクルとし、これを30サイクル繰り返す条件で行った。【0046】【表1】【0047】上記の方法により得られたPCR産物をpFastBac-1vector(Invitrogen社)に設けられたマルチクローニングサイト(MCS)のBamHIサイト及びXhoIサイトに挿入しコンストラクトを作製した。以下、該コンストラクトを「pFastBac-HEVLPコンストラクト」と呼称する。【0048】pFastBac-HEVLPコンストラクトを鋳型として、PCR法を用いて、HEVのORF2の5’末端から334番目~1665番目の塩基のDNAと、HEVのORF2の5’末端から1666番目~1824番目の塩基のDNAとを増幅した。PCR法では、それぞれのDNAについて表2に示したプライマーを用いた。334番目~1665番目の塩基対のPCR産物は、5’末端にBamH1サイト、3’末端にSalIサイトをプライマーに付加した。また、1666番目~1824番目の塩基対のPCR産物は5’末端にXbaIサイト、3’末端にXhoIサイトをプライマーに付加した。PCR法は、95℃・1分間、57℃・1分間、72℃・2分間を1サイクルとし、これを30サイクル繰り返した。【0049】【表2】【0050】上記の方法により得られた334番目~1665番目の塩基対のPCR産物を、pFastBac-1vector(Invitrogen社)に設けられたマルチクローニングサイト(MCS)のBamHIサイト及びSalIサイトに挿入し、さらに、1666番目~1824番目の塩基対のPCR産物を、FastBac-1vector(Invitrogen社)に設けられたマルチクローニングサイト(MCS)のXbaIサイト及びXhoIサイトに挿入した。このように、HEVのORF2の5’末端から1665番目の塩基の位置にSalIを、1666番目の塩基の位置にXbaIの制限酵素サイトを有するコンストラクトを作製した。以下、該コンストラクトを「pFastBac-HEVLP-1665/1666」と呼称する。【0051】pFastBac-HEVLP-1665/1666コンストラクトに配列番号:9に示す塩基配列からなるDNAを挿入することで、本発明の融合タンパク質をコードするコンストラクトを作製した。以下、該コンストラクトを「pFastBac-HEVLP-Spacer-Flag-Spacer」と呼称する。配列番号:11に示す塩基配列は、Flagタグ配列の両端にスペーサを配置した異種タンパク質をコードし、5’末端にXhoIサイト、3’末端にNheIサイトを有したものである。【0052】pFastBac-HEVLP-Spacer-Flag-Spacerコンストラクトを大腸菌DH10Bac(Invitrogen社)に導入して形質転換を行った。ブルーセレクション法を用いて形質転換された大腸菌を回収しBacmidを調製した。得られたBacmidを、Unifector(B-bridge社製)を用いて昆虫細胞Sf9細胞に遺伝子導入して培養し、組換えバキュロウイルスを発現させた。培養4日後の培養上清を回収して組換えバキュロウイルスを得た。【0053】さらに、該培養上清に含まれる組換えバキュロウイルスを昆虫細胞Tn5細胞に感染させ、実施例1の融合タンパク質を発現させた。感染から7日後に実施例1の融合タンパク質を含む上清を回収した。Tn5細胞溶解物(celllysate)及びTn5細胞培養上清(supernatant)に含まれるタンパク質をSDS-PAGEで分離し、クーマシーブルー染色を行った。結果を図2に示す。図2中、「1」と示したレーンはTn5細胞融解物、「2」と示したレーンはTn5細胞培養上清である。Tn5細胞溶解物及びTn5細胞培養上清に実施例1の融合タンパク質の発現が確認された。【0054】該Tn5細胞培養上清を9,600rpm、40分の条件で遠心することで組換えバキュロウイルスを除去した。さらに28,000rpm、3時間の条件で遠心することで実施例1の融合タンパク質が含まれる沈殿を回収した。【0055】上記の沈殿を10重量%~40重量%の勾配に調製したスクロース密度勾配遠心分離(3,500rpm,2時間)により分画し、各画分に含まれるタンパク質をSDS-PAGEで分離し、クーマシーブルーにより染色して実施例1の融合タンパク質の存在を確認した。結果を図3に示す。実施例1の融合タンパク質が含まれる画分5から画分8までを回収し、透析膜を用いてPBSで一晩透析した後、100MWの限外ろ過により濃縮することで該融合タンパク質を精製した。該融合タンパク質は、複数が会合してウイルス様粒子を形成していると考えられる。【0056】さらに、実施例1の融合タンパク質について、該融合タンパク質が形成するウイルス様粒子の粒子表面に異種タンパク質が挿入されていることを、免疫沈降法を用いて確認した。【0057】実施例1の融合タンパク質が形成するウイルス様粒子(以下、「HEV-LP-Spacer-Flag-Spacer」と呼称する。)、抗Flag抗体(マウスIgG)、及びProteinG-Sepharose(登録商標,GEヘルスケア社)beadsを4℃の条件で1時間撹拌した。遠心によりbeadsを集め、ライシスバッファで洗浄し得られた上清、及び免疫沈降前の上清について抗HEV抗体を用いたウエスタンブロッティング法による検出を行った。さらに、対照としてHEV-LP-Spacer-Flag-Spacerの代わりにHEV-LPを用いて同様の処理を行った。【0058】結果を図4に示す。HEV-LP-Spacer-Flag-Spacerはウエスタンブロッティング法により検出されたが、HEV-LPは検出されなかった。したがって、HEV-LP-Spacer-Flag-Spacerは抗Flag抗体と結合して免疫沈降法により回収されており、挿入された異種タンパク質に含まれるFlagタグ配列はウイルス様粒子表面に存在することが明らかとなった。【0059】実施例2.E型肝炎ウイルス様粒子構成タンパク質と日本脳炎ウイルス由来タンパク質との融合タンパク質の作製さらに、実施例2として、異種タンパク質として日本脳炎ウイルスNakayama株のエピトープのうち、337番目~345番目(配列番号:10)の9アミノ酸からなるタンパク質を有する融合タンパク質を作製した。実施例2の融合タンパク質は、HEV-LP構成タンパク質におけるHEVのORF2にコードされるタンパク質のN末端から555番目のアミノ酸と556番目のアミノ酸との間に相当する位置に、該異種タンパク質が挿入されたものである。【0060】実施例1と同様の方法によりpFastBac-HEVLP-1665/1666コンストラクトを作製した。該コンストラクトに配列番号:11に示す塩基配列からなるDNAを挿入して実施例2の融合タンパク質をコードするコンストラクトを作製した。以下、該コンストラクトを「pFastBac-HEVLP-JEV」と呼称する。配列番号:11に示す塩基配列は、日本脳炎ウイルスNakayama株の337番目~345番目のタンパク質をコードし、5’末端にSalIサイト、3’末端にXbaIサイトを有したものである。【0061】実施例1と同様の方法によりpFastBac-HEVLP-JEVを大腸菌に形質導入してBacmidを得て、さらに該BacmidをSf9細胞に導入して組換えバキュロウイルスを得て、さらに該組換えバキュロウイルスをTn5細胞に感染させて実施例2の融合タンパク質を得た。また、同様の方法で異種タンパク質と融合していないHEV-LP構成タンパク質を得た。Tn5細胞溶解物(celllysate)及びTn5細胞培養上清(supernatant)に含まれるタンパク質をSDS-PAGEで分離し、クーマシーブルー染色を行った結果を図5に示す。【0062】図5中、「1」と示したレーンはHEV-LP構成タンパク質が含まれるTn5細胞融解物、「2」と示したレーンはHEV-LP構成タンパク質とJEVとの融合タンパク質が含まれるTn5細胞融解物、「3」と示したレーンは、HEV-LP構成タンパク質が含まれるTn5細胞培養上清、「4」と示したレーンは、HEV-LP構成タンパク質と日本脳炎ウイルスのエピトープとの融合タンパク質が含まれるTn5細胞培養上清である。Tn5細胞溶解物及びTn5細胞培養上清に実施例2の融合タンパク質の発現が確認された。【0063】該Tn5細胞培養上清を9,600rpm、40分の条件で遠心することで組換えバキュロウイルスを除去した。さらに28,000rpm、3時間の条件で遠心することで実施例2の融合タンパク質が含まれる沈殿を回収した。【0064】上記の沈殿を10重量%~40重量%の勾配に調製したスクロース密度勾配遠心分離(3,500rpm,2時間)により分画し、各画分に含まれるタンパク質をSDS-PAGEで分離し、クーマシーブルーにより染色して実施例2の融合タンパク質の存在を確認した。結果を図6に示す。実施例2の融合タンパク質に含まれる画分4から画分8までを回収し、透析膜を用いてPBSで一晩透析した後、100MWの限外ろ過により濃縮することで該融合タンパク質を精製した。該融合タンパク質は、複数が会合してウイルス様粒子を形成していると考えられる。【0065】さらに、透過型電子顕微鏡を用いて実施例2の融合タンパク質が形成するウイルス様粒子(以下、「HEV-LP-JEV」と呼称する。)を観察した。精製した本実施例の融合タンパク質をネガティブ染色により染色し透過型電子顕微鏡で撮影した画像、及び同様の方法でHEV-LPを撮影した画像を図7に示す。本実施例の融合タンパク質が複数会合してウイルス様粒子を形成していることが確認された。
A
2012139431
2011000020
20110104
null
null
20120726
2012139431
20120726
null
null
null
null
null
null
null
手に着用する運動具
394004011
柴田 耕作
null
null
須山 聖一
null
8
A63B 71/14 (20060101)
A63B 71/14 Z
2
null
null
null
0
7
null
null
【課題】階段、平坦な場所で、四肢移動運動するとき、手のひら、指、手首に加わる衝撃力を緩和し、手首の保護と手首の動きの安定をはかる。【解決手段】四肢移動運動において手に着用する運動具であって、滑り止め層2とクッション層4とを備えた底部1と、上部に面ファスナー9を有する開閉部を備えた外装部6と、インナー部5と、グリップ部10とを具備し、外装部6は、下部周縁部を前記滑り止め層の上面周縁部とクッション層4との間に沿って接着し、インナー部5は、指を個別に、若しくは親指を除く残りの指を纏めて覆い、外装部6の内壁に密着し、グリップ部10は、半球状であって、前記底部のほぼ中央にあって、クッション層4と一体に形成するか若しくはクッション層4上面に着設し、面ファスナー9で、前記開閉部において手首上部を繋締し、インナー部5の手のひらでグリップ部10を把持できるようにした。【選択図】図3
【請求項1】四肢移動運動時に手に着用する運動具であって、接地面に滑り止めの突起をもつ天然ゴムまたは合成ゴムの素材よりなる滑り止め層と前記滑り止め層に接着したポリウレタン系の素材よりなるクッション層とを備えた底体と、前記手の手首上部を緊締する面ファスナーを有する開閉部を備え下部周縁部を前記滑り止め層の上面周縁部と前記クッション層との間に沿って逢着または接着した可撓性のある素材よりなる外装部と、前記手の5本の各指を個別に、若しくは親指を除く残りの指を一体に収納し、前記外装部の内壁に密着した繊維性素材よりなるインナー部と、前記底体のほぼ中央にあり、前記クッション層と一体に形成するか若しくは前記クッション層上面に固着してなる半球状部材よりなるグリップ部と、を具備し、前記インナー部に収納された前記手の掌部で、前記グリップ部を把握し、前記底体を把持する把持手段を有することを特徴とする手に着用する運動具。【請求項2】前記グリップ部は、吸排気部を備えた空気溜部よりなり、前記インナー部に収納された前記手の掌部で前記吸排気部から供給された空気圧によって膨張した前記空気溜部を把握し、前記底体を把持する把持手段を有する請求項1に記載の手に着用する運動具。
【請求項1】四肢移動運動時に手に着用する運動具であって、接地面に滑り止めの突起をもつ天然ゴムまたは合成ゴムの素材よりなる滑り止め層と前記滑り止め層に接着したポリウレタン系の素材よりなるクッション層とを備えた底体と、前記手の手首上部を緊締する面ファスナーを有する開閉部を備え下部周縁部を前記滑り止め層の上面周縁部と前記クッション層との間に沿って逢着または接着した可撓性のある素材よりなる外装部と、前記手の5本の各指を個別に、若しくは親指を除く残りの指を一体に収納し、前記外装部の内壁に密着した繊維性素材よりなるインナー部と、前記底体のほぼ中央にあり、前記クッション層と一体に形成するか若しくは前記クッション層上面に固着してなる半球状部材よりなるグリップ部と、を具備し、前記インナー部に収納された前記手の掌部で、前記グリップ部を把握し、前記底体を把持する把持手段を有することを特徴とする手に着用する運動具。
【技術分野】【0001】本発明は、四肢移動運動において使用する運動具であって、手に着用する運動具に関するものである。
【背景技術】【0002】図5(a)は、人が両足両手を使って階段を移動する動態を表現した説明図である。登山で、急峻な岩登りなどで薦められている4点支持の方法と同じ原理である。長い階段を上るとき、手首、掌、指に負担がかっている。図5(b)は、人が両足両手を使って平地を移動する動態を表現した説明図である。平坦な場所で移動運動をしてみると、短時間でも手首、掌、指に異常な力が働き、負担がかっていることが判る。【0003】この負担を軽減し、手首や掌を衝撃から守るために工夫が必要となる。手首の安定と保護を目的として、ゴルフ、野球などのスポーツで使用されているリストバンドがある。また、掌や指の保護を目的として、重量級の作業を行うとき、使用されている特殊手袋がある。図5(a)、(b)で示した如く、四肢移動運動においては、両足と同様に両手を交互に接面する。両手は、保護のためにリストバンドや特殊手袋を使用することが出来るが、リストバンドのみでは、掌、指を保護するには充分でない。特殊手袋のみでは、接面時に手首に加わる衝撃の緩和、手首の安定に疑問が残る。【0004】また、手袋の掌や足袋の裏に保護シートを貼り付け手足を保護することを目的とする保護シートが開示されている(特許文献1参照)。手袋や足袋にこの保護シートを貼り付けた程度では、手首の安定に疑問が残る。【0005】グリップ力を高め足にかかる衝撃力を緩和する目的の靴下が開示されている(特許文献2参照)。この特許文献2の技術要件による手袋が提供されるとしても、その手袋単体では、接面時に手首に加わる衝撃の緩和、手首の安定に疑問が残る。【0006】足首サポート部を設け、履き口用の開閉部の閉鎖手段として面ファスナーを設けた水中用シューズが開示されている(特許文献3)。この特許文献3による技術要件を利用するとしても、四肢移動運動においては、接面時に手首の安定化では不十分である。【0007】靴の内部に空気袋を設けた技術文献は多数見出される。例えば、転倒防止、骨格矯正(特許文献4)、衝撃緩和(特許文献5)を目的として、靴の内部に空気袋を設けた技術が開示されている。運動の中心軸を保持することを目的として、ゴルフシューズに空気袋を設けた技術が開示されている(特許文献6)。いずれも、手に着用するとき、空気袋を手で把持出来る構造は示唆されていない。
【発明が解決しようとする課題】【0009】階段、平坦な場所で、四肢移動するときには、掌を床面につける。そのとき、手首、掌、指に異常な力がかかる。その衝撃力は、足に加わる衝撃力とほぼ等しいので、足に履く運動靴と同等の機能を持ち、かつ手に着用したとき手で把持出来る運動具の実現が望まれた。
【課題を解決するための手段】【0010】第一の発明の四肢移動運動時に手に着用する運動具は、滑り止め層とクッション層とを備えた底体と、手首上部を繋締する面ファスナーを有する開閉部を備えた外装部と、前記手の5本の各指を個別に、若しくは親指を除く残りの指を一体に収納し、前記外装部の内壁に密着したインナー部と、前記底体のほぼ中央にあり、前記クッション層と一体に形成するか若しくは前記クッション層上面に固着してなる半球状部材よりなるグリップ部と、を具備し、前記インナー部に収納された前記手の掌部で、前記グリップ部を把握し、前記底体を把持する把持手段を有することを特徴とする。【0011】第二の発明は、前記グリップ部が、吸排気部を備えた空気溜部よりなり、前記インナー部に収納された前記手の掌部で前記吸排気部から供給された空気圧によって膨張した前記空気溜部を把握し、前記底体を把持する把持手段を有することを特徴とする。
【発明の効果】【0012】本発明の手に着用する運動具は、上記に記述した如く構成されているので、人がこの運動具を着用して、四肢移動運動中、手が床面に接面するとき、掌部で物を掴むようにすることが出来、その結果、手首の動きは安定になると同時に、掌、手首に加わる衝撃は緩和され、楽に体重を支え且つ次の動作に移り易くすることが出来る。
【発明を実施するための形態】【0014】図1は、本発明の運動具Aを右手Bに着用した場合の運動具Aの一部切り欠き使用状態図である。本発明の運動具Aは、滑り止め層2とクッション層4とを備えた底体1と、上部に面ファスナー9を有する開閉部8を備えた外装部6と、インナー部5と、グリップ部とよりなる。図2は、手に着用する運動具Aの正面図である。【0015】図3は、請求項1に係るグッリプ部10を用いた運動具Aの断面図であり、図2のA-A断面図である。外装部6は、外装部6の下部周縁部7を滑り止め層2の上面周縁部3とクッション層4との間に沿って接着する。インナー部5は、5本の指を個別に若しくは親指を除く4本の指を纏めて覆い、外装部6の内面に密着する。グリップ部10は、半球状であって、底体1のほぼ中央にあって、クッション層4と一体に形成するか若しくはクッション層4上面に着設する。【0016】図4は、請求項2に係るグッリプ部10を用いた運動具Aの断面図である。グリップ部10は、吸排気部11を備えた球状の空気溜部12であり、底体1のほぼ中央にあって、クッション層4の上面に着設する。吸排気部11は、空気導入管13、逆流防止弁14、空気吹き込み口15とよりなり、空気溜部12への吸排気を司る。【0017】面ファスアー9で、開閉部8において手首上部を繋締し、インナー部5の掌にあたる部分でグリップ部10を把握出来るようにした。【0018】本明細書に記載の外形を表す数値は一例であり概略値である。使用する利用者の手の大きさによって変わる。左手用、右手用、男子用、女子用で外形が変わることは言うまでもない。また、使用素材は一例である。図3に示すように、本発明の手Bに着用する運動具Aの底体1の大きさは、長さ15cm横幅10cmであり、天然ゴムまたは合成ゴムの素材よりなり接地面となる裏面に滑り止めのための突起を有する厚さ約5mmの滑り止め層2とポリウレタン系樹脂の素材よりなる厚さ8mmのクッション層4とを接着してなる。クッション層4を滑り止め層2に接着する前に、外装部6の下部周縁部7を滑り止め層2の上面周縁部3に沿って接着する。滑り止め層2は、運動中の滑りを防ぎ、掌と指に加わる衝撃を和らげ、クッション層4は、掌、手首に加わる一次の衝撃を緩和する役割を担う。【0019】外装部6は、手首の動きを拘束しないようにするため、ポリエステル系樹脂の繊維素材を使用し、大きさは手首上部まで覆う長さ大凡20cmであって、手首部分から上部が開閉出来るようにした開閉部8と、開閉部8に面ファスナー9とを備える。図2は、手Bに着用する運動具Aの正面図であり、面ファスナー9で開閉部8を閉じた図である。運動具Aを着用し、面ファスナー9で開閉部8を閉じたとき、外装部6は、手首を保持する役割を果たす。【0020】図3は、底体1にグリップ部10を設けた事例の運動具の断面図である。汎用の靴底の「土踏まず」にあたる箇所に突起を設けることは公知の事実である。この公知の突起は歩行中足の裏から体に刺激を与えようとすることを目的としている。本発明は、この「土踏まず」の突起の機能とは異なり、グリップ部を掌で、包み込むように把持し、接面感触を確かなものとすることを目的としている。そのため、グリップ部10は、直径5乃至は8cmの半球状であって、ポリウレタン系樹脂の素材よりなるスポンジが望ましく、底体1のほぼ中央にあって、クッション層4に接着してなる。グリップ部10は、掌、手首に加わる二次の衝撃を緩和すると同時に手首の安定の役割を担うことを目的としている。運動が激しくなければ、手首に加わる一次衝撃は、クッション層のみで吸収されるが、運動の程度に応じて、グリップ部10の形状は、半球状のほか椀状とすることもありうる。【0021】本発明の運動具Aを使用するとき、インナー部5は、吸水速乾性で抗菌性のある繊維性素材よりなる生地を用い、図3に示すように、外装部6の内壁に密着する。また、予め手Bに装着してから、運動具Aを着用してもよい。また、インナー部5は、5本の指をまとめた形式でもよく、親指と残りの4本の指をまとめた形式でも利用出来る。【0022】図4は、グリップ部10に空気溜12を有する運動具の断面図である。空気吹き込み口15から吹き込まれた空気は、逆流防止弁14、空気導入管13を通して空気溜部12に到る。空気溜部12は、ポリエステル系の合成樹脂若しくは天然ゴムよりなり、手で把握したとき、摩擦があるように、表面に起毛術を施す。空気圧は、手に着用したとき手で把握出来る目安として硬球テニスボール程度の堅さが望ましい。また、空気溜部12は、掌の中で、空気圧を得て、膨張するので、掌を押し上げ、手の甲は、インナー部5を介して、外装部6の内面に密着する。手は確実に運動具に保持される。四肢移動運動中手首と掌の安定の効果が得られる。【0023】手に着用する運動具は、単に健康維持増進のためのみならず、補強と軽量化をはかり競技用としても利用出来る。またインナー部にギブスを使用して、運動不足解消などリハビリ分野でも利用出来る。
A
2012139432
2011000024
20110104
null
null
20120726
2012139432
20120726
null
null
5317225
20130719
null
null
null
引き出し
307010384
網矢 ハル子
null
null
網矢 ハル子
null
8
A47B 17/03 (20060101), A47B 13/00 (20060101)
A47B 17/03 ,A47B 13/00 Z
1
null
null
null
1
7
3B053
3B053 NP05 ,3B053 NP08 ,3B053 NQ07
【課題】従来の、テーブルには引き出しが付いてなかった。そこで、本発明の引き出しは、テーブルの補強板をそのままに、スイングするように取り付けたことで、強度を落とさない引き出しである。【解決手段】箱(1)に連結棒(2)を介して取付部(4)に蝶着してあり、その箱(1)を平行に保つため、箱(1)は補助棒(3)を介して取付部(4)に取り付けたことで、テーブル(5)の下部の補強板(5a)を、下に越えて引き出す。【選択図】図1
【請求項1】箱(1)は、連結棒(2)を介して取付部(4)に蝶着してあり、該箱(1)を平行に保つため、該箱(1)は補助棒(3)を介して該取付部(4)に取り付けたことで、テーブル(5)の下部の補強板(5a)を、下に越えて引き出すことを特徴とする引き出し。
【請求項1】箱(1)は、連結棒(2)を介して取付部(4)に蝶着してあり、該箱(1)を平行に保つため、該箱(1)は補助棒(3)を介して該取付部(4)に取り付けたことで、テーブル(5)の下部の補強板(5a)を、下に越えて引き出すことを特徴とする引き出し。
【技術分野】【0001】本発明は、テーブルの下の補強板をそのままに、引き出しを取り付ける、引き出しに関するものである。
【背景技術】【0002】従来のテーブルは、一般的に食事をするための台で、掃除のとき容易に移動できるように、重量を軽く作っていた。しかし強度は、机などと比べると、強固な物が要求されていた。それは、火傷するほど熱い物が乗るため、危険を排除しなければならなかった。したがって、テーブルの補強は、テーブルの天板に足を取り付け、その足を補強板で囲うのが、一般的であった。【0003】また引き出しを付たものには机があった。その机は、一般的に据え付けるものであり、移動は年に数回であるため、重量を軽くする必要がなかった。また、机の上には本などしか乗せないため、余り強度が必要ではなかった。したがって、引き出しを取り付けるため、補強板に変わる補強が必要であったが、テーブルと比べると、補強の強度はあまり必要ではなかった。
【発明が解決しようとする課題】【0005】従来のテーブルは掃除などのため、毎日移動さすために軽量に作らなければならなかった。また、その割りには強度が要求されていたため、一般的には引き出しが付いてなかった。しかし、物を入れる所がないと、薬などの、食事と一緒に食べたり、飲む物は、テーブルの上に置き、片付かなかった。また、机のように引き出しを取り付けると、強度が落ち、重たくなっていた。【0006】そこで、本発明の引き出しは、テーブル(5)の補強板(5a)をそのままに、テーブル(5)の天板(5b)の底面に取付部(4)を取り付け、連結棒(2)を介して箱(1)を蝶着してある。そして、箱(1)を引っ張ると、補強板(5a)を越えて箱(1)の中身を取り出すことができる、引き出しを提供するものである。
【課題を解決するための手段】【0007】上記目的を達成するために、本発明の引き出しは、箱(1)に連結棒(2)を、軸(2a)を介して回転自在に取付部(4)てあり、該連結棒(2)の反対側は、取付部(4)に軸(2a)とねじりコイルバネ(2b)を介して該連結棒(2)に回転自在に取り付けてある。その箱(1)を、平行に保つため、箱(1)の奥の端には、補助棒(3)を軸(2a)を介して回転自在に取り付け、補助棒(3)の反対側には、取付部(4)を軸(2a)を介して回転自在に取り付けた。このことで、天板(5b)の下部の補強板(5a)を、下に越えて引き出すことで目的を達成した。
【発明の効果】【0008】本発明の引き出しは、次のような効果がある。(イ)普段は、テーブルの補強板に隠れているので邪魔にならない。(ロ)箱を引っ張るとスイングして、テーブルの外へ出て、物を出し入れすことができる。(ハ)箱を引っ張るとスイングして、テーブルの外へ出て、補強板に取り付けたフックに引っ掛けることで、その位置を維持する。(ニ)テーブルの補強板は、そのままなので、強度は変わらない。(ホ)バネが付いているので、手を放すと引っ込む。(ヘ)箱に重たい物を中に入れたときは、ゴムを取り付けると良い。(ト)テーブルには、両方に引き出しを取り付けることができる。
【発明を実施するための最良の形態】【0010】本発明の引き出しは、テーブル(5)の補強板(5a)を加工することなく、引き出しを取り付けるのが利点である。つまり、テーブル(5)の強度は変わらないから、テーブル(5)を設計した人の意思を守る。そして、そのテーブル(5)に引き出しがあることで、ちょっとした物を片付けることができる。【0011】その引き出しは、箱(1)の中央部分に連結棒(2)を蝶着して、取り付ける。そして、その連結棒(2)の反対の端は、取付部(4)に蝶着してあり、蝶着した軸(2a)には、ねじりコイルバネ(2b)を取付部(4)と連結棒(2)の間に挟み、ねじりコイルバネ(2b)は、しまう方に力が加わっている。【0012】そして補助棒(3)は、その箱(1)を平行に保つため、箱(1)の奥の端に、補助棒(3)を介し、取付部(4)に蝶着して取り付けたことで、箱(1)は平行を保ち、スイングをしてテーブル(5)の下部の補強板(5a)を、下に越えて引き出す。しかし、中へ乗せる荷物の、重さが重い時は、連結棒(2)と同様に、補助棒(3)と取付部(4)の間に、ねじりコイルバネ(2b)を取り付けると良い。【0013】引き出された引き出しは、鉤状のフック(5c)を、手前の補強板(5a)の裏に取り付け、連結棒(2)をフック(5c)に引っ掛けることで、引き出した状態を維持する。したがって、連結棒(2)と補助棒(3)は、左右に動く程度の、余裕が必要である。【0014】さらに、フック(5c)に引っ掛けた引き出しは、凡そ半分が外へ出ており、斜めに奥を見渡せる状態にあるので、引き出した箱(1)の中へ、物をしまうことができる。その奥は、手が届くぎりぎりの位置にあるため、手前の方に良く使用する物を置くと良い。【0015】使用状態から、邪魔にならないように移動するときは、引っ掛けのフック(5c)を外すと、箱(1)はねじりコイルバネ(2b)の力で、箱(1)を引上げると邪魔にならない、テーブル(5)の下に戻る。【0016】さらに、箱(1)の手前にゴム(6)を取り付け、箱(1)の後ろにあたる補強板(5a)に、ゴム(6)のもう一方の端を取り付けることで、さらに重たい物を箱(1)の中に入れることができる。【0017】その引き出しの向こう側に、同じく引き出しを取り付けると、両側から引き出しを取り出すことができる。そのとき、真向かいでは引き出し同志が接触するので、正面を避け、筋向かいに取り付けると良い。【実施例1】【0018】本発明の引き出しを、図面を参照して説明する。図1は、本発明の引き出しを、側面から見た一部断面図である。テーブル(5)は、横幅80センチの、全長1200センチで、図面には横幅80センチの部分が書かれている。そして、その引き出しは、薬など軽い物をしまうため、最大2キログラムの物を入れるように作った。【0019】したがって、取付部(4)は20ミリのアルミ製の等辺山形鋼を、40センチに2本使用した。連結棒(2)と補助棒(3)は、3ミリの厚みの2センチのアルミ製の平鋼を、20センチに4本切って使用した。箱(1)は、ホームセンターで市販されているプラスチック製の籠で、横幅が30センチ、縦が52センチ、高さが10センチの物を用意した。【0020】その取付部(4)は、20ミリのアルミ製の等辺山形鋼を、40センチにねじ釘(4a)で止めるための穴を4か所開ける。そして、その穴をねじ釘(4a)で止めるが、その前に等辺山形鋼と、天板(5b)との取り付け面に、接着剤などで接着するとよい。それはねじ釘(4a)だけでは、長年使用しているうちに振動で緩むことがあるので、接着した。その反対側の、等辺山形鋼の面の、両端から5センチの所に連結棒(2)用の、φ6の穴を開ける。そして、30センチの間を開けて、補助棒(3)用の穴を開ける。そして、φ6の軸(2a)を通して、連結棒(2)と補助棒(3)を繋ぐ。なお軸(2a)は、ボルトとナットを使用すると良い。ナットは、余裕をもって取り付けるのがよいため、緩み止めに接着剤を使用した。【0021】また、連結棒(2)と補助棒(3)は同じ物で、3ミリの厚みの2センチのアルミ製の平鋼を、20センチに4本切り、両端から1センチのところに、φ6の穴を全部で8か所開ける。したがって、連結棒(2)及び補助棒(3)がスイングする半径は、18センチになる。【0022】箱(1)は、プラスチック製の籠で、縦が52センチの左から20センチの所に連結棒(2)用の穴を開け、左から50センチ部分に、補助棒(3)用のφ6の穴を開け、全部で4か所開けて、連結棒(2)と補助棒(3)を取り付けるために用意した。そして、その箱(1)は取っ手や、つるの持ち手が具備されているものが、望ましい。【0023】図2は、引き出しだけを図面に書いた斜視図であり、その図は引き出しを、引き出した状態で、点線は手を放して、元の状態に戻った所である。その手を放すと、元の状態に戻る理由は、取付部(4)と連結棒(2)の間に、ねじりコイルバネ(2b)が具備されており、その説明は図3によって行う。【0024】その時ねじりコイルバネ(2b)は、図3のテーブルの底を見上げた、蝶着部の上面図のように、連結棒(2)と取付部(4)を軸(2a)が介在して、その軸(2a)にねじりコイルバネ(2b)が巻き付いて、取付部(4)と連結棒(2)を左回りにねじりコイルバネ(2b)が作用している。しかし、連結棒(2)だけのねじりコイルバネ(2b)では、維持できない程の物を、しまうことが予想できるときは、補助棒(3)にもねじりコイルバネ(2b)を取り付ける。【実施例2】【0025】使用例に付いて、取付部(4)の軸(2a)を、時計の針の中心として、図1で説明すると、その図は箱(1)を引っ張り出して、箱(1)の中に入った物を、出し入れできる状態である。連結棒(2)と補助棒(3)は、7時を刺しており、手を放すとねじりコイルバネ(2b)が作用して、点線の位置に戻るため、左側の補強板(5a)の、内側に鉤フック(5c)を取り付け、そのフック(5c)に引っ掛けて、その状態を保つ。【0026】そのフック(5c)は、テーブル(5)の補強板(5a)の裏に2個取り付け、両方の連結棒(2)を引っ掛けるため、フック(5c)の先は右を向いてる。したがって、図6のように、左に寄せると引っ掛かり、右に戻すとロックが解除される。そして、図1のように手を放すと、勢いよく点線の状態になるので、ゆっくりと戻し、連結棒(2)と補助棒(3)は点線の、4時の状態になる。その点線は、作動していない状態で、補強板(5a)の影に隠れているので、補強板(5a)と殆ど同じ高さにあるので、邪魔にならない。【実施例3】【0027】連結棒(2)と補助棒(3)にねじりコイルバネ(2b)を取り付け、さらにそれでも下に下がる程の、重量を乗せたときは、図4の側面図のように、ゴム(6)を右側の補強板(5a)に取り付ける。もう一方を、箱(1)の左端に取り付け、ゴム(6)の引っ張る張力によって、元の位置に戻して、点線で現したようになる。または、物を許容重量以上に、箱(1)の中に入れた時、完全にはしまった状態にはならず、それを補助するためにゴム(6)を取り付けた。ちなみに、ゴム(6)の変わりにコイルバネを用いたが、コイルバネは伸び縮みのおり、箱(1)の角へコイルバネが接触して、音がするため、ゴム(6)の方が良い。【実施例4】【0028】また、図5のテーブル(5)を下から見上げた上面図のように、1個のテーブル(5)で、2個の引き出しを取り付けることが望ましい。そのとき、中央の取付部(4)は両取付部(4b)に変えた方が、1個所の取り付けでよいため、左右2個の箱(1)の設置が簡単である。その両取付部(4b)は、等辺山形鋼を2個合わせたような形の、溝形鋼の形をしている。その溝形鋼を使用すると、2個の引き出しを1個の部品として、関連性を持たせることができる。
A
2012139433
2011000027
20110104
null
null
20120726
2012139433
20120726
null
null
null
null
null
null
null
検出装置、検出方法およびプログラム
000002185
ソニー株式会社
100095957
亀谷 美明
中野 裕章,井野 浩幸,村松 広隆
null
8
A61B 5/0404 (20060101), A61B 5/0245 (20060101), A61B 5/0472 (20060101), A61B 5/0456 (20060101)
A61B 5/04 310H ,A61B 5/02 321D ,A61B 5/04 312Q ,A61B 5/04 312R
16
null
null
null
0
20
4C017,4C027
4C017 AA02 ,4C017 AA09 ,4C017 AA19 ,4C017 AC15 ,4C017 BC08 ,4C017 BC11,4C027 AA02 ,4C027 BB03 ,4C027 FF01 ,4C027 FF02 ,4C027 GG01 ,4C027 GG02 ,4C027 GG05 ,4C027 GG09 ,4C027 GG18 ,4C027 HH03 ,4C027 KK03
【課題】生体信号から迅速かつ高い精度によりピークを検出する。【解決手段】時系列に沿って入力される生体信号に対して所定の第1時間幅により第1移動平均量を順次に算出する第1算出部131と、生体信号に対して所定の第1時間幅よりも大きい所定の第2時間幅により第2移動平均量を順次に算出する第2算出部132と、第1算出部131により算出された第1移動平均量と第2算出部132により算出された第2移動平均量とに基づいて、生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出する第3算出部133と、を備える、検出装置10が提供される。【選択図】図3
【請求項1】時系列に沿って入力される生体信号に対して所定の第1時間幅により第1移動平均量を順次に算出する第1算出部と、前記生体信号に対して前記所定の第1時間幅よりも大きい所定の第2時間幅により第2移動平均量を順次に算出する第2算出部と、前記第1算出部により算出された前記第1移動平均量と前記第2算出部により算出された前記第2移動平均量とに基づいて、前記生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出する第3算出部と、を備える、検出装置。【請求項2】前記検出装置は、前記第3算出部により算出された前記ピーク候補時間帯と前記生体信号とに基づいて、前記生体信号がピークに達する時刻をピーク時刻として検出する検出部、をさらに備える、請求項1に記載の検出装置。【請求項3】前記検出部は、少なくとも2つの前記ピーク時刻を検出し、検出した前記2つのピーク時刻の間隔をピーク間隔として算出する、請求項2に記載の検出装置。【請求項4】前記検出部は、算出した前記ピーク間隔に基づいて、前記所定の第2時間幅を更新する、請求項3に記載の検出装置。【請求項5】前記検出部は、算出した前記ピーク間隔に基づいて、前記生体信号がピークに達する単位時間当たりの回数をピーク頻度として算出する、請求項3に記載の検出装置。【請求項6】前記第3算出部は、前記第1算出部により算出された前記第1移動平均量が前記第2算出部により算出された前記第2移動平均量を超える時間帯を、前記ピーク候補時間帯として算出する、請求項1に記載の検出装置。【請求項7】前記検出装置は、前記生体信号に対してバンドパスフィルタを適用することにより前記生体信号のピークを強調するフィルタ部、をさらに備える、請求項1に記載の検出装置。【請求項8】前記第1算出部は、QRS幅より狭い時間幅を前記所定の第1時間幅として、前記第1移動平均量を順次に算出する、請求項1に記載の検出装置。【請求項9】前記第1算出部は、0.12秒よりも狭い時間幅を前記所定の第1時間幅として、前記第1移動平均量を順次に算出する、請求項1に記載の検出装置。【請求項10】前記第2算出部は、RR周期の1.2倍以上であり、かつ、1.5倍以下の時間幅を前記所定の第2時間幅として、前記第2移動平均量を順次に算出する、請求項1に記載の検出装置。【請求項11】前記第2算出部は、0.8秒の1.2倍以上であり、かつ、1.5倍以下の時間幅を前記所定の第2時間幅として、前記第2移動平均量を順次に算出する、請求項1に記載の検出装置。【請求項12】前記検出部は、算出した前記ピーク幅の1.2倍以上であり、かつ、1.5倍以下の時間幅により、前記所定の第2時間幅を更新する、請求項4に記載の検出装置。【請求項13】前記検出部は、算出した前記ピーク幅が所定の範囲内であれば、算出した前記ピーク幅の1.2倍以上であり、かつ、1.5倍以下の時間幅により、前記所定の第2時間幅を更新し、算出した前記ピーク幅が所定の範囲外であれば、前記所定の第2時間幅の更新を制限する、請求項4に記載の検出装置。【請求項14】前記検出部は、算出した前記ピーク幅が過去に算出した1または複数のピーク幅の平均値を基準とした所定の範囲内であれば、算出した前記ピーク幅の1.2倍以上であり、かつ、1.5倍以下の時間幅により、前記所定の第2時間幅を更新し、算出した前記ピーク幅が前記過去に算出した1または複数のピーク幅の平均値を基準とした所定の範囲外であれば、前記所定の第2時間幅の更新を制限する、請求項4に記載の検出装置。【請求項15】時系列に沿って入力される生体信号に対して所定の第1時間幅により第1移動平均量を順次に算出するステップと、前記生体信号に対して前記所定の第1時間幅よりも大きい所定の第2時間幅により第2移動平均量を順次に算出するステップと、前記第1移動平均量と前記第2移動平均量とに基づいて、前記生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出するステップと、を含む、検出方法。【請求項16】コンピュータを、時系列に沿って入力される生体信号に対して所定の第1時間幅により第1移動平均量を順次に算出する第1算出部と、前記生体信号に対して前記所定の第1時間幅よりも大きい所定の第2時間幅により第2移動平均量を順次に算出する第2算出部と、前記第1算出部により算出された前記第1移動平均量と前記第2算出部により算出された前記第2移動平均量とに基づいて、前記生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出する第3算出部と、を備える、検出装置として機能させるためのプログラム。
【請求項1】時系列に沿って入力される生体信号に対して所定の第1時間幅により第1移動平均量を順次に算出する第1算出部と、前記生体信号に対して前記所定の第1時間幅よりも大きい所定の第2時間幅により第2移動平均量を順次に算出する第2算出部と、前記第1算出部により算出された前記第1移動平均量と前記第2算出部により算出された前記第2移動平均量とに基づいて、前記生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出する第3算出部と、を備える、検出装置。【請求項15】時系列に沿って入力される生体信号に対して所定の第1時間幅により第1移動平均量を順次に算出するステップと、前記生体信号に対して前記所定の第1時間幅よりも大きい所定の第2時間幅により第2移動平均量を順次に算出するステップと、前記第1移動平均量と前記第2移動平均量とに基づいて、前記生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出するステップと、を含む、検出方法。【請求項16】コンピュータを、時系列に沿って入力される生体信号に対して所定の第1時間幅により第1移動平均量を順次に算出する第1算出部と、前記生体信号に対して前記所定の第1時間幅よりも大きい所定の第2時間幅により第2移動平均量を順次に算出する第2算出部と、前記第1算出部により算出された前記第1移動平均量と前記第2算出部により算出された前記第2移動平均量とに基づいて、前記生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出する第3算出部と、を備える、検出装置として機能させるためのプログラム。
【技術分野】【0001】本発明は、検出装置、検出方法およびプログラムに関する。
【背景技術】【0002】従来、生体信号からピークを検出する手法としては、例えば、心電波形からピークを検出して心拍を得る手法において様々な手法が提案されている。例えば、生体信号の波形と理想波形との相互相関に基づいて生体信号からピークを強調する手法が提案されている(例えば、特許文献1参照)。しかしながら、心電波形が個人によって差があり、この手法を適用した場合、人によっては心電波形からピークを検出することが困難である場合が存在する。【0003】このような問題を解決するため、様々な手法が提案されている。その1つとして、上記特許文献1に記載の技術では、理想波形のもとになるテンプレートを固定にしていたのに対し、このテンプレートを逐次更新することにより個人差を低減する手法が提案されている(例えば、特許文献2参照)。この手法により、個性的な心電波形からのピーク検出も可能となった。また、2つ目として、畳み込み積分により生体信号のピークを強調する手法が提案されている(例えば、特許文献3参照)。
【発明が解決しようとする課題】【0005】しかしながら、特許文献2に記載の技術では、例えば、心電波形と相関性のあるテンプレートがデータベースに存在しない場合に、心電波形と相関性のあるテンプレートが生成されるまで待機されることとなり、ピーク検出に時間がかかるという問題点があった。そのため、当該技術は、時間をかけることができる測定には適用し得るが、携帯端末などでのウェルネスサービスで使用するような簡易な測定や、心電認証(例えば、特表2008-518709号公報参照)のようなアプリケーションによる測定などといった、時間をあまりかけることができない測定には適用し難い。【0006】また、特許文献3に記載の技術では、ウェアラブル機器による測定を想定しており、装着されたウェアラブル機器の2点において心電波形を測定すると、筋電などによる大きなノイズを心電波形のQRS波と同じ周波数成分をもったノイズとして検出してしまうという問題があった。このノイズ成分も強調してしまうと、ピークの誤検出の原因となってしまう。【0007】そこで、本発明は、生体信号から迅速かつ高い精度によりピークを検出することが可能な、新規かつ改良された技術を提供しようとするものである。
【課題を解決するための手段】【0008】本発明のある実施形態によれば、時系列に沿って入力される生体信号に対して所定の第1時間幅により第1移動平均量を順次に算出する第1算出部と、上記生体信号に対して上記所定の第1時間幅よりも大きい所定の第2時間幅により第2移動平均量を順次に算出する第2算出部と、上記第1算出部により算出された上記第1移動平均量と上記第2算出部により算出された上記第2移動平均量とに基づいて、上記生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出する第3算出部と、を備える、検出装置が提供される。【0009】上記検出装置は、上記第3算出部により算出された上記ピーク候補時間帯と上記生体信号とに基づいて、上記生体信号がピークに達する時刻をピーク時刻として検出する検出部、をさらに備えることとしてもよい。【0010】上記検出部は、少なくとも2つの上記ピーク時刻を検出し、検出した上記2つのピーク時刻の間隔をピーク間隔として算出することとしてもよい。【0011】上記検出部は、算出した上記ピーク間隔に基づいて、上記所定の第2時間幅を更新することとしてもよい。【0012】上記検出部は、算出した上記ピーク間隔に基づいて、上記生体信号がピークに達する単位時間当たりの回数をピーク頻度として算出することとしてもよい。【0013】上記第3算出部は、上記第1算出部により算出された上記第1移動平均量が上記第2算出部により算出された上記第2移動平均量を超える時間帯を、上記ピーク候補時間帯として算出することとしてもよい。【0014】上記検出装置は、上記生体信号に対してバンドパスフィルタを適用することにより上記生体信号のピークを強調するフィルタ部、をさらに備えることとしてもよい。【0015】上記第1算出部は、0.12秒よりも狭い時間幅を上記所定の第1時間幅として、上記第1移動平均量を順次に算出することとしてもよい。【0016】上記第2算出部は、0.8秒の1.2倍以上であり、かつ、1.5倍以下の時間幅を上記所定の第2時間幅として、上記第2移動平均量を順次に算出することとしてもよい。【0017】上記検出部は、算出した上記ピーク幅の1.2倍以上であり、かつ、1.5倍以下の時間幅により、上記所定の第2時間幅を更新することとしてもよい。【0018】上記検出部は、算出した上記ピーク幅が所定の範囲内であれば、算出した上記ピーク幅の1.2倍以上であり、かつ、1.5倍以下の時間幅により、上記所定の第2時間幅を更新し、算出した上記ピーク幅が所定の範囲外であれば、上記所定の第2時間幅の更新を制限することとしてもよい。【0019】上記検出部は、算出した上記ピーク幅が過去に算出した1または複数のピーク幅の平均値を基準とした所定の範囲内であれば、算出した上記ピーク幅の1.2倍以上であり、かつ、1.5倍以下の時間幅により、上記所定の第2時間幅を更新し、算出した上記ピーク幅が上記過去に算出した1または複数のピーク幅の平均値を基準とした所定の範囲外であれば、上記所定の第2時間幅の更新を制限することとしてもよい。【0020】本発明の他の実施形態によれば、時系列に沿って入力される生体信号に対して所定の第1時間幅により第1移動平均量を順次に算出するステップと、上記生体信号に対して上記所定の第1時間幅よりも大きい所定の第2時間幅により第2移動平均量を順次に算出するステップと、上記第1移動平均量と上記第2移動平均量とに基づいて、上記生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出するステップと、を含む、検出方法が提供される。【0021】本発明の他の実施形態によれば、コンピュータを、時系列に沿って入力される生体信号に対して所定の第1時間幅により第1移動平均量を順次に算出する第1算出部と、上記生体信号に対して上記所定の第1時間幅よりも大きい所定の第2時間幅により第2移動平均量を順次に算出する第2算出部と、上記第1算出部により算出された上記第1移動平均量と上記第2算出部により算出された上記第2移動平均量とに基づいて、上記生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出する第3算出部と、を備える、検出装置として機能させるためのプログラムが提供される。
【発明の効果】【0022】以上説明したように、本発明によれば、生体信号から迅速かつ高い精度によりピークを検出することが可能である。
【発明を実施するための形態】【0024】以下に添付図面を参照しながら、本発明の好適な実施の形態について詳細に説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付すことにより重複説明を省略する。【0025】また、以下の順序にしたがって当該「発明を実施するための形態」を説明する。1.実施形態1-1.心電波形の区分点1-2.検出装置の外観の一例1-3.検出装置の機能構成1-4.生体信号の波形の一例1-5.バンドパスフィルタ適用後の波形1-6.所定の第1時間幅および所定の第2時間幅1-7.所定の第1時間幅を用いた第1移動平均量算出手法1-8.第1移動平均量の時間変化1-9.所定の第2時間幅を用いた第2移動平均量算出手法1-10.第1移動平均量および第2移動平均量の時間変化1-11.ピーク候補時間帯算出手法1-12.検出装置により実行される処理の一例1-13.検出装置により実行される処理の他の一例1-14.所定の第2時間幅を更新する詳細処理の例2.変形例3.まとめ【0026】<1.実施形態>[1-1.心電波形の区分点]図1は、本発明の実施形態に適用し得る心電波形の区分点を示す図である。まず、図1を参照しながら、本発明の実施形態に適用し得る心電波形の区分点について説明する。【0027】本発明の実施形態に係る検出装置によれば、生体信号が検出される。生体信号は、例えば、周期的な心電波形として検出することが可能である。本実施形態においては、検出装置が生体信号として心電波形を検出する例について具体的に説明する。しかしながら、検出装置により検出される生体信号は、心電波形以外のものであってもよく、脈波形であってもよい。心電波形は、例えば、図1に示すように、主に、P波,Q波,R波,S波,T波,U波を有する波形である。心電波形からピークを検出する場合には、R波を検出することが目的であり、R-R間隔は、一般的に心拍(心拍数など)を算出するために用いられる。【0028】[1-2.検出装置の外観の一例]図2は、本発明の実施形態に係る検出装置の外観の一例を示す図である。図2を参照しながら、本発明の実施形態に係る検出装置の外観の一例について説明する。【0029】図2に示すように、本発明の実施形態に係る検出装置10は、例えば、ユーザの生体信号を検出するセンサ210、ユーザからの操作情報の入力を受け付ける入力装置251,252,253、表示領域270などを備えるものである。センサ210により検出された生体信号は、検出装置10の内部に入力され、検出装置10による各種演算に使用される。入力装置251,252,253により入力が受け付けられた操作情報は、検出装置10の内部に入力され、検出装置10により操作情報に基づいた各種処理が実行される。表示領域270には、検出装置10により得られた演算結果や処理結果が表示される。図2には、演算結果の例として、表示領域270に心拍数が表示されている例が示されている。【0030】[1-3.検出装置の機能構成]図3は、本発明の実施形態に係る検出装置10の機能構成を示す図である。図3を参照しながら、本発明の実施形態に係る検出装置10の機能構成について説明する。【0031】図3に示すように、検出装置10は、少なくとも、第1算出部131、第2算出部132、第3算出部133を備えるものである。その他、検出装置10は、必要に応じて、センサ部110、フィルタ部111、A/D変換部112、バッファ部121、検出部134、制御部140、入力部150、記憶部160、出力部170などを備えるものである。【0032】第1算出部131は、時系列に沿って入力される生体信号に対して所定の第1時間幅(以下、「第1ウィンドウ幅」とも言う。)により第1移動平均量を順次に算出する機能を有するものである。生体信号の種類は、上記したように、特に限定されるものではない。また、生体信号が検出装置10に入力される周期は、特に限定されるものではないが、例えば、図1に示した各波の幅よりも十分に小さい時間(例えば、0.1秒よりも短い時間)毎に入力され得るものである。第1算出部131が第1移動平均量を算出する周期についても、特に限定されるものではないが、例えば、図1に示した各波の幅よりも十分に小さい時間(例えば、0.1秒よりも短い時間)毎に算出され得るものである。第1算出部131による第1移動平均量の算出手法の詳細については、後に説明する。【0033】第2算出部132は、生体信号に対して所定の第1時間幅よりも大きい所定の第2時間幅(以下、「第2ウィンドウ幅」とも言う。)により第2移動平均量を順次に算出する機能を有するものである。第2算出部132が第2移動平均量を算出する周期についても、特に限定されるものではないが、例えば、図1に示した各波の幅よりも十分に小さい時間(例えば、0.1秒よりも短い時間)毎に算出され得るものである。第2算出部132による第2移動平均量の算出手法の詳細についても、後に説明する。【0034】第3算出部133は、第1算出部131により算出された第1移動平均量と第2算出部132により算出された第2移動平均量とに基づいて、生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出する機能を有するものである。第3算出部133がピーク候補時間帯を算出する周期についても、特に限定されるものではないが、例えば、図1に示した各波の幅よりも十分に小さい時間(例えば、0.1秒よりも短い時間)毎に算出され得るものである。さらには、第3算出部133は、算出時刻が略同一となるような2つの移動平均量(第1移動平均量と第2移動平均量)に基づいて、ピーク候補時間帯を算出する。第3算出部133によるピーク候補時間帯の算出手法の詳細についても、後に説明する。【0035】検出部134は、第3算出部133により算出されたピーク候補時間帯と生体信号とに基づいて、生体信号がピークに達する時刻をピーク時刻として検出する機能を有するものである。検出部134がピーク時刻を算出する周期についても、特に限定されるものではないが、例えば、図1に示した各波の幅よりも十分に小さい時間(例えば、0.1秒よりも短い時間)毎に算出され得るものである。検出部134は、第3算出部133によりピーク候補時間帯を算出される度にピーク時刻を検出してもよい。検出部134によるピーク時刻の検出手法の詳細についても、後に説明する。【0036】センサ部110は、生体信号を検出する機能を有するものである。センサ部110は、図2に示したセンサ210により構成される場合には、ユーザの身体に接触されることにより、ユーザから生体信号を直接的に検出することができる。また、センサ部110は、他の手法により(例えば、他の装置から生体信号を受信することにより)生体信号を検出することも可能である。センサ部110により検出された生体信号は、第1算出部131、第2算出部132、第3算出部133、検出部134などに、直接的または間接的に出力され得る。【0037】フィルタ部111は、生体信号に対してフィルタを適用する機能を有するものである。フィルタ部111は、例えば、生体信号をバンドパスフィルタ(BPF)にかけることにより、生体信号のうちでピーク部分の周波数帯域以外の部分をカットすることができる。すなわち、フィルタ部111は、生体信号に対してバンドパスフィルタを適用することにより生体信号のピークを強調することができる。生体信号に対してバンドパスフィルタを適用した結果については、後に説明する。【0038】A/D変換部112は、生体信号をアナログ信号からデジタル信号に変換する機能を有するものである。A/D変換部112により変換されて得られたデジタル信号は、生体信号として、第1算出部131、第2算出部132、第3算出部133、検出部134などに、直接的または間接的に出力され得る。第1算出部131、第2算出部132、第3算出部133、検出部134などがデジタル信号を扱う場合には、A/D変換部112が有する機能により、第1算出部131、第2算出部132、第3算出部133、検出部134などにおいて、各種の演算を行うことが可能となる。【0039】バッファ部121は、生体信号を蓄積しておくことが可能であり、バッファ部121により蓄積されている生体信号は、第1算出部131、第2算出部132、第3算出部133、検出部134などにより、必要に応じて、取得され得る。バッファ部121は、例えば、RAM(RandomAccessMemory)などのメモリにより構成されものである。【0040】制御部140は、検出装置10の内部の各機能ブロックによる動作を制御する機能を有するものである。制御部140は、例えば、入力部150により受け付けられた操作情報に応じた処理を実行することが可能である。入力部150により受け付けられた操作情報に応じた処理としては、例えば、入力装置251が押下されることにより操作情報の入力が受け付けられた場合には、前画面に遷移する処理などが想定される。【0041】また、入力部150により受け付けられた操作情報に応じた処理として、例えば、入力装置252が押下されることにより操作情報の入力が受け付けられた場合には、心拍数の測定を開始する処理などが想定される。また、入力部150により受け付けられた操作情報に応じた処理として、例えば、入力装置253が押下されることにより操作情報の入力が受け付けられた場合には、次画面に遷移する処理などが想定される。【0042】入力部150は、ユーザから操作情報の入力を受け付ける機能を有するものである。入力部150は、例えば、入力装置などにより構成されるものであり、例えば、操作信号を入力するためのボタン、キーボード、マウスなどにより構成される。入力部150は、入力装置251を含んでいてもよく、入力装置252を含んでいてもよく、入力装置253を含んでいてもよい。入力部150により入力が受け付けられた操作情報は、制御部140に出力される。【0043】第1算出部131、第2算出部132、第3算出部133、検出部134、制御部140などは、例えば、CPU(CentralProcessingUnit)、RAMなどにより構成され、CPUが記憶部160によって記憶されているプログラムをRAMに展開して実行することによりその機能が実現されるものである。しかし、このような構成に限らず、第1算出部131、第2算出部132、第3算出部133、検出部134、制御部140などは、専用のハードウェアにより構成されることとしてもよい。【0044】記憶部160は、コンピュータを検出装置10として機能させるための各種プログラムを記憶することが可能である。記憶部160が記憶する各種プログラムは、例えば、第1算出部131、第2算出部132、第3算出部133、検出部134、制御部140などの機能を実現するために使用される。記憶部160は、例えば、HDD(HardDiskDrive)などといった記憶装置により構成される。【0045】出力部170は、制御部140の制御によりユーザに対して情報(検出情報)を出力する機能を有するものである。出力部170は、例えば、出力装置などにより構成されるものであり、例えば、ディスプレイ、スピーカーなどにより構成される。出力部170がディスプレイにより構成される場合には、出力部170は、例えば、図2に示した表示領域270に情報(検出情報)を表示させることができる。【0046】[1-4.生体信号の波形の一例]図4は、同実施形態に係る検出装置10に入力される生体信号の波形の一例を示す図である。図4を参照しながら、本発明の実施形態に係る検出装置10に入力される生体信号の波形の一例について説明する。【0047】本実施形態においては、図4に示すような波形として、時系列に沿って検出装置10に生体信号が入力された場合を例として説明する。波形の縦軸は、入力された生体信号の電位を示しており、波形の横軸は、時間を示している。【0048】[1-5.バンドパスフィルタ適用後の波形]図5は、本発明の実施形態に係るフィルタ部111により生体信号に対してバンドパスフィルタが適用されて得られた波形の一例を示す図である。図5を参照しながら、本発明の実施形態に係るフィルタ部111により生体信号に対してバンドパスフィルタが適用されて得られた波形の一例について説明する。【0049】フィルタ部111により、生体信号に対してバンドパスフィルタが適用されると、図5に示すように、生体信号のうちでピーク部分の周波数成分以外の部分がカットされ得る。図4に示した波形と比較して、図5に示した波形では、低域の周波数成分がカットされており、図1に示したQRS成分(Q波、R波、S波)が強調されているのがわかる。【0050】[1-6.所定の第1時間幅および所定の第2時間幅]図6は、図5に示した波形と所定の第1時間幅および所定の第2時間幅との関係を示す図である。図6を参照しながら、図5に示した波形と所定の第1時間幅および所定の第2時間幅との関係について説明する。【0051】図6に示したように、第1算出部131は、例えば、一般的なユーザの心電波形のうちでQ波からS波までに相当する時間(以下、「QRS幅」とも言う。)よりも狭い時間幅を第1ウィンドウ幅として、第1移動平均量を順次に算出することができる。このような狭い時間幅を第1ウィンドウ幅とすることにより、ピーク部分が維持された移動平均量を算出することができる。図6には、このように第1ウィンドウ幅が設定された第1ウィンドウFが示されている。なお、一般的なユーザの心電波形のうちでQ波からS波までに相当する時間は、特に限定されるものではないが、例えば、0.12秒程度である。【0052】また、図6に示したように、第2算出部132は、例えば、一般的なユーザの心電波形のうちでR波からR波までに相当する時間(以下、「RR値」「RR周期」などとも言う。)の1.2倍以上であり、かつ、1.5倍以下の時間幅を第2ウィンドウ幅として、第2移動平均量を順次に算出することができる。このような時間幅を第2ウィンドウ幅とすることにより、R波が1つだけ第2ウィンドウに含まれる場合(例えば、図6に示した第2ウィンドウG1)とR波が2つ第2ウィンドウに含まれる場合(例えば、図6に示した第2ウィンドウG0)とを区別することができる。なお、一般的なユーザのRR値は、特に限定されるものではないが、例えば、0.8秒程度である。【0053】[1-7.所定の第1時間幅を用いた第1移動平均量算出手法]図7は、本発明の実施形態に係る第1算出部131により生体信号から所定の第1時間幅を用いて第1移動平均量を算出する手法について説明するための図である。図7を参照しながら、本発明の実施形態に係る第1算出部131により生体信号から所定の第1時間幅を用いて第1移動平均量を算出する手法について説明する。【0054】上記したように、第1算出部131は、時系列に沿って入力される生体信号に対して第1ウィンドウ幅により第1移動平均量を順次に算出することができる。例えば、第1移動平均量算出時刻(t=N)から第1ウィンドウ幅だけ過去に遡った時刻(t=1)までをN-1等分した場合に、第1算出部131は、それぞれの時刻における電位を用いて、以下に示す式(1)に基づいて、電力量WNを第1移動平均量として算出することができる。【0055】【数式1】・・・(1)【0056】また、例えば、第1移動平均量算出時刻(t=N)から第1ウィンドウ幅だけ過去に遡った時刻(t=1)までを無限に分割したと仮定した場合には、第1算出部131は、以下に示す式(2)に基づいて、電力量WNを第1移動平均量として算出することができる。【0057】【数式2】・・・(2)【0058】しかしながら、上に示した式(1)または(2)に基づく第1移動平均量の算出手法は、一例に過ぎないため、第1算出部131による第1移動平均量の算出手法は、上に示した式(1)または(2)に限定されるものではない。【0059】[1-8.第1移動平均量の時間変化]図8は、本発明の実施形態に係る第1算出部131により算出された第1移動平均量の時間変化を示す図である。図8を参照しながら、本発明の実施形態に係る第1算出部131により算出された第1移動平均量の時間変化について説明する。【0060】ここでは、特に、第1ウィンドウFと上に示した式(1)とに基づいて算出された第1移動平均量が時間軸に沿って図8に示されている。このように算出された第1移動平均量(図8に示した電力量WN)は、図8に示すように、ピーク部分が維持されているのがわかる。【0061】[1-9.所定の第2時間幅を用いた第2移動平均量算出手法]図9は、本発明の実施形態に係る第2算出部132により生体信号から所定の第2時間幅を用いて第2移動平均量を算出する手法について説明するための図である。図9を参照しながら、本発明の実施形態に係る第2算出部132により生体信号から所定の第2時間幅を用いて第2移動平均量を算出する手法について説明する。【0062】上記したように、第2算出部132は、時系列に沿って入力される生体信号に対して第2ウィンドウ幅により第2移動平均量を順次に算出することができる。例えば、第2移動平均量算出時刻(t=n)から第2ウィンドウ幅だけ過去に遡った時刻(t=1)までをn-1等分した場合に、第2算出部132は、それぞれの時刻における電位を用いて、式(3)に基づいて、電力量Wnを第2移動平均量として算出することができる。【0063】【数式3】・・・(3)【0064】また、例えば、第2移動平均量算出時刻(t=n)から第2ウィンドウ幅だけ過去に遡った時刻(t=1)までを無限に分割したと仮定した場合には、第2算出部132は、以下に示す式(4)に基づいて、電力量Wnを第2移動平均量として算出することができる。【0065】【数式4】・・・(4)【0066】しかしながら、上に示した式(3)または(4)に基づく第2移動平均量の算出手法は、一例に過ぎないため、第2算出部132による第2移動平均量の算出手法は、上に示した式(3)または(4)に限定されるものではない。【0067】上記したように、第2算出部132は、例えば、RR値の1.2倍以上であり、かつ、1.5倍以下の時間幅を第2ウィンドウ幅として、第2移動平均量を順次に算出することができる。このような時間幅を第2ウィンドウ幅とすることにより、R波が1つだけ第2ウィンドウに含まれる場合(例えば、図9に示した第2ウィンドウG1には、R1だけが含まれている)とR波が2つ第2ウィンドウに含まれる場合(例えば、図9に示した第2ウィンドウG0には、R0およびR1が含まれている)とを区別することができる。【0068】より詳細には、R波が1つだけ第2ウィンドウに含まれる場合には、相対的に第2移動平均量(電力量Wn)は小さくなるはずであり、R波が2つ第2ウィンドウに含まれる場合には、相対的に第2移動平均量(電力量Wn)は大きくなるはずである。【0069】[1-10.第1移動平均量および第2移動平均量の時間変化]図10は、本発明の実施形態に係る第1算出部131により算出された第1移動平均量と第2算出部132により算出された第2移動平均量との時間変化を示す図である。図10を参照しながら、本発明の実施形態に係る第1算出部131により算出された第1移動平均量と第2算出部132により算出された第2移動平均量との時間変化について説明する。【0070】図10には、特に、第1算出部131により算出された第1移動平均量が電力量WNとして示され、第2算出部132により算出された第2移動平均量が電力量Wnとして示されている。図10に示すように、ピークに相当する部分(例えば、図1に示したR波に相当する部分)においては、第2移動平均量(電力量Wn)が下がっているのがわかる。一方、ノイズに相当すると想定される部分においては、第2移動平均量(電力量Wn)が下がっていないのがわかる。【0071】これは、ピーク部分における第2移動平均量に使用される第2ウィンドウには、ピーク部分が1つしか入らないために第2移動平均量が低く算出されるが、ノイズに相当すると想定される部分においては、ピーク部分が2つ入るため、第2移動平均量が高く算出されるためである。【0072】[1-11.ピーク候補時間帯算出手法]図11は、本発明の実施形態に係る第3算出部133により第1移動平均量と第2移動平均量とに基づいてピーク候補時間帯を算出する手法について説明するための図である。図11を参照しながら、本発明の実施形態に係る第3算出部133により第1移動平均量と第2移動平均量とに基づいてピーク候補時間帯を算出する手法について説明する。【0073】上述したように、第3算出部133は、第1移動平均量(電力量WN)と第2移動平均量(電力量Wn)とに基づいて、生体信号がピークに達する時刻を含んだ時間帯であるピーク候補時間帯を算出することができる。より詳細には、図11に示すように、第3算出部133は、第1算出部131により算出された第1移動平均量が第2算出部132により算出された第2移動平均量を超える時間帯を、ピーク候補時間帯として算出することができる。図11には、第1移動平均量(電力量WN)が第2移動平均量(電力量Wn)を超えている時間帯が「1(high)」として示され、その他の時間帯が「0(low)」として示されている。【0074】上記したように、検出部134は、第3算出部133により算出されたピーク候補時間帯(例えば、電力量WNが電力量Wnを超える時間帯)と生体信号とに基づいて、生体信号がピークに達する時刻をピーク時刻として検出することができる。より具体的には、検出部134は、1または複数のピーク候補時間帯のそれぞれにおける電位の最大値をピーク値として検出するとともに、電位がピーク値に達する時刻をピーク時刻として検出することができる。【0075】検出部134は、少なくとも2つのピーク時刻を検出し、検出した2つのピーク時刻の間隔をピーク間隔として算出することも可能である。検出装置10が生体信号として心電波形を検出した場合には、ピーク間隔は、RR値として算出され得るものである。また、検出部134は、算出したピーク間隔に基づいて、生体信号がピークに達する単位時間当たりの回数をピーク頻度として算出することも可能である。検出装置10が生体信号として心電波形を検出した場合には、ピーク間隔は、心拍数として算出され得るものである。【0076】[1-12.検出装置により実行される処理の一例]図12は、本発明の実施形態に係る検出装置10により実行される処理の流れの一例を示すフローチャートである。図12を参照しながら、本発明の実施形態に係る検出装置10により実行される処理の流れの一例について説明する。【0077】図12に示すように、検出装置10のセンサ部110は、生体信号の入力を受け付け、フィルタ部111は、センサ部110により入力が受け付けられた生体信号に対してBPFによるピーク強調を行う(ステップS101)。しかしながら、このステップS101は、上記したように必須のものではない。BPFによりノイズ除去(ステップS102)がなされた生体信号は、ステップS106におけるピーク算出において使用される。【0078】第1算出部131は、生体信号に基づいて、第1ウィンドウにより電力量移動平均値WNを算出する(ステップS103)。続いて、第2算出部132は、生体信号に基づいて、第2ウィンドウにより電力量移動平均値Wnを算出する(ステップS104)。第1算出部131により算出された電力量移動平均値WNと第2算出部132により算出された電力量移動平均値Wnとは、第3算出部133に出力されて使用される。【0079】第3算出部133は、第1算出部131により算出された電力量移動平均値WNと第2算出部132により算出された電力量移動平均値Wnとに基づいて、第3ウィンドウを設定する(ステップS105)。上記した例では、第3ウィンドウは、WnよりもWNのほうが大きい時間帯の生体信号に対して設定される「1(high)」に相当する。検出部134は、第3ウィンドウにより生体信号からピーク値を算出する(ステップS106)。【0080】[1-13.検出装置により実行される処理の他の一例]図13は、本発明の実施形態に係る検出装置10により実行される処理の流れの他の一例を示すフローチャートである。図13を参照しながら、本発明の実施形態に係る検出装置10により実行される処理の流れの他の一例について説明する。【0081】図13に示した処理は、図12に示した処理に対して、ステップS107およびステップS108が追加されている点において、図12に示した処理と異なっている。したがって、ステップS107およびステップS108について説明する。【0082】検出部134は、第3ウィンドウにより生体信号から算出したピーク値に達する時刻に基づいて、ピーク間隔(例えば、RR値)を算出する(ステップS107)。検出部134は、算出したピーク間隔(例えば、RR値)に基づいて、第2ウィンドウ幅を設定することができる(ステップS108)。より詳細には、第2ウィンドウ幅は、例えば、記憶部160により記憶されており、検出部134は、記憶部160により記憶されている第2ウィンドウ幅を更新することにより、第2ウィンドウ幅を設定することができる。その場合、例えば、第2算出部132は、記憶部160により記憶されている第2ウィンドウ幅を取得して、取得した第2ウィンドウ幅に基づいて電力量移動平均値Wnを算出することができる。【0083】[1-14.所定の第2時間幅を更新する詳細処理の例]図14は、本発明の実施形態に係る第3算出部133により所定の第2時間幅を更新する詳細処理の流れの例を示すフローチャートである。図14を参照しながら、本発明の実施形態に係る第3算出部133により所定の第2時間幅を更新する詳細処理の流れの例について説明する。【0084】図14に示すように、検出部134は、ステップS107において算出されたRR値に所定の定数(例えば、1.2~1.5)を乗じ、乗じた結果得られた値により第2ウィンドウ幅を更新することができる(ステップS108A)。検出部134は、例えば、記憶部160により記憶されている第2ウィンドウ幅を更新することができる。【0085】また、検出部134は、第2ウィンドウ幅を更新する前に、第2ウィンドウ幅を更新するべきか否かを判定するようにしてもよい。例えば、検出部134は、条件(テンプレートRR値-X≦算出したRR値≦テンプレートRR値+X)を満たすか否かを判断してもよい(ステップS108B1)。検出部134は、当該条件を満たさない場合には、第2ウィンドウ幅を更新しない(第2ウィンドウ幅の更新を制限する)こととしてもよい(ステップS108B2)。【0086】また、検出部134は、当該条件を満たす場合には、ステップS107において算出されたRR値に所定の定数(例えば、1.2~1.5)を乗じ、乗じた結果得られた値により第2ウィンドウ幅を更新するとともに、テンプレートRR値を更新することとしてもよい(ステップS108B3)。検出部134により使用されるテンプレートRR値およびXは、例えば、あらかじめ記憶部160に記憶させておくことができる。【0087】また、例えば、検出部134は、条件(過去Y回の算出RR値の平均値-X≦算出したRR値≦過去Y回の算出RR値の平均値+X)を満たすか否かを判断してもよい(ステップS108C1)。検出部134は、当該条件を満たさない場合には、第2ウィンドウ幅を更新しない(第2ウィンドウ幅の更新を制限する)こととしてもよい(ステップS108C2)。【0088】また、検出部134は、当該条件を満たす場合には、ステップS107において算出されたRR値に所定の定数(例えば、1.2~1.5)を乗じ、乗じた結果得られた値により第2ウィンドウ幅を更新することとしてもよい(ステップS108C3)。検出部134により使用されるXは、例えば、あらかじめ記憶部160に記憶させておくことができる。過去Y回の算出RR値の平均値は、例えば、検出部134により算出され、記憶部160に記憶させておくことができる。【0089】<2.変形例>以上、添付図面を参照しながら本発明の好適な実施形態について詳細に説明したが、本発明はかかる例に限定されない。本発明の属する技術の分野における通常の知識を有する者であれば、特許請求の範囲に記載された技術的思想の範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、これらについても、当然に本発明の技術的範囲に属するものと了解される。【0090】<3.まとめ>本発明の実施形態によれば、第1ウィンドウ幅および第2ウィンドウ幅を用いて、生体信号から迅速かつ高い精度によりピークを検出することが可能である。また、ウィンドウ幅を適応的に更新することにより、個人差によって心拍数が極端に早い場合や、極端に遅い場合などにも、本実施形態に係る検出装置10により奏する効果を維持することができる。【0091】また、生体信号の1波目が入力された時点において生体信号からピーク値を検出することが可能となる。さらに、生体信号のうちピーク部分以外の部分に似たような周波数成分をもったノイズが発生した場合であっても、有効にピークを検出することができる。すなわち、本実施形態によれば、ピーク間隔を考慮したスレッショルドを設定し、設定したスレッショルドに基づいてピークを検出できるため、ピーク検出の精度が向上するという効果を奏する。
A
2012139434
2011000065
20110104
null
null
20120726
2012139434
20120726
null
null
null
null
null
null
null
吸込口体および電気掃除機
000003078,502285664,503376518
株式会社東芝,東芝コンシューマエレクトロニクス・ホールディングス株式会社,東芝ホームアプライアンス株式会社
110001380
特許業務法人東京国際特許事務所
真野 文樹,森下 篤至,原田 健司,市川 洋光,渡邉 満
null
8
A47L 9/04 (20060101)
A47L 9/04 A
6
null
null
null
0
12
3B061
3B061 AA06 ,3B061 AA24 ,3B061 AD05 ,3B061 AD13
【課題】吸込口体の操作力の増大を抑制しつつ、単に払拭するよりも効率的に被掃除面を磨き上げることの可能な吸込口体および電気掃除機を提案する。【解決手段】吸込口体26は、底面に吸込口28を有する吸込口本体32と、吸込口本体32に回転自在に軸支される軸部57と軸部57の径方向へ延びる線形状の毛ブラシ58とを有して吸込口28に配置される回転清掃体29と、袋形状を有し毛ブラシ58を覆って回転清掃体29と回転一体化する円筒面状の清掃面65aを有する着脱自在な袋状回転清掃体65と、を備える。【選択図】図7
【請求項1】底面に吸込口を有する吸込口本体と、前記吸込口本体に回転自在に軸支される軸部と前記軸部の径方向へ延びる線形状または板形状の第一清掃部材とを有して前記吸込口に配置される回転清掃体と、袋形状を有し前記第一清掃部材を覆って前記回転清掃体と回転一体化する円筒面状の清掃面を有する着脱自在な第二清掃体と、を備えたことを特徴とする吸込口体。【請求項2】前記第二清掃体は、前記回転清掃体が通り抜け自在であり、かつ開口径を絞ると前記第一清掃部材の抜け出しを抑制可能な開口を有することを特徴とする請求項1に記載の吸込口体。【請求項3】前記第二清掃体は、回転方向に対して傾斜した網目状の凹凸を有することを特徴とする請求項1または2に記載の吸込口体。【請求項4】前記凹凸は、織物、編物、不織布または樹脂製フィルムで形成された格子であることを特徴とする請求項3に記載の吸込口体。【請求項5】前記第二清掃体は、袋形状の表裏で網目の異なる前記網目状の凹凸を有することを特徴とする請求項3または4に記載の吸込口体。【請求項6】請求項1から5のいずれか1項に記載の吸込口体を備えたことを特徴とする電気掃除機。
【請求項1】底面に吸込口を有する吸込口本体と、前記吸込口本体に回転自在に軸支される軸部と前記軸部の径方向へ延びる線形状または板形状の第一清掃部材とを有して前記吸込口に配置される回転清掃体と、袋形状を有し前記第一清掃部材を覆って前記回転清掃体と回転一体化する円筒面状の清掃面を有する着脱自在な第二清掃体と、を備えたことを特徴とする吸込口体。
【技術分野】【0001】本発明に係る実施形態は、吸込口体および電気掃除機に関する。
【背景技術】【0002】底面に吸込口を有する吸込口本体と、吸込口本体に回転自在に軸支された軸部と軸部の径方向へ延びる板形状のブレード(または、軸部の径方向へ延びる線状の毛ブラシ)とを有して吸込口に配置される回転清掃体と、を備えた吸込口体が知られている。【0003】ブレードや毛ブラシは、回転清掃体の回転にともなって旋回し、じゅうたんやフローリングなどの被掃除面を掻き上げて塵埃を除去する。【0004】ところで、ブレードや毛ブラシは、軸部の周囲に複数設けられているが、それぞれのブレードは被掃除面に対して線状に接触し、それぞれの毛ブラシは被掃除面に対して点状に接して塵埃を掻き上げる。このため、ブレードや毛ブラシは、凹凸の少ないフローリングのような被掃除面を磨き上げたような状態に掃除することが難しい。【0005】そこで、回転清掃体の他に、被掃除面を臨む平面を有する台座と、台座に設けられた織布、不織布、編布およびティッシュペーパなどの払拭部材と、を備えた吸込口体が知られている。
【発明が解決しようとする課題】【0007】台座の平面に払拭部材を備えた電気掃除機は、ブレードや毛ブラシに比べて被掃除面に大きな接触部分を有するので凹凸の少ないフローリングのような被掃除面を磨き上げたような状態に掃除することが可能になる。【0008】しかしながら、払拭部材の接触面積の拡大は、吸込口体を前後移動させるために必要な操作力の増加を招き、しかも払拭部材の接触面の至る所に通気孔を設けて塵埃を吸い込もうとすれば、吸込口体の前後移動に要する操作力はさらに増大する。すなわち、吸込口体の前後移動に要する操作力は、払拭部材と被掃除面との摩擦によって支配される。【0009】そこで、本発明は、吸込口体の操作力の増大を抑制しつつ、単に払拭するよりも効率的に被掃除面を磨き上げることの可能な吸込口体および電気掃除機を提案する。
【課題を解決するための手段】【0010】前記の課題を解決するため本発明の実施形態に係る吸込口体は、底面に吸込口を有する吸込口本体と、前記吸込口本体に回転自在に軸支される軸部と前記軸部の径方向へ延びる線形状または板形状の第一清掃部材とを有して前記吸込口に配置される回転清掃体と、袋形状を有し前記第一清掃部材を覆って前記回転清掃体と回転一体化する円筒面状の清掃面を有する着脱自在な第二清掃体と、を備えたことを特徴とする。【0011】また、本発明の実施形態に係る電気掃除機は、前記吸込口体を備えたことを特徴とする。
null
【発明を実施するための形態】【0013】本発明に係る電気掃除機の実施形態について図1から図9を参照して説明する。【0014】図1は、本発明の実施形態に係る電気掃除機の外観を示した斜視図である。【0015】図1に示すように、電気掃除機1は、いわゆるキャニスタ型の電気掃除機である。電気掃除機1は、被掃除面上を走行する掃除機本体2と、掃除機本体2に着脱自在に接続された管部3と、を備える。【0016】掃除機本体2は、本体ケース5と、本体ケース5の両側方に軸支された一対の車輪6と、本体ケース5に着脱自在に装着された塵埃分離集塵部7と、塵埃分離集塵部7に連通された電動送風機8と、主に電動送風機8の運転を制御する本体制御部9と、電動送風機8に電力を導く電源コード11と、を備える。【0017】本体ケース5は、塵埃分離集塵部7に連通された本体接続口12を有する。【0018】車輪6は、大径の走行輪である。【0019】塵埃分離集塵部7は、電動送風機8が発生させる負圧によって電気掃除機1に吸い込まれる含塵空気(塵埃を含んだ空気)から塵埃を分離し、捕集する。【0020】本体制御部9は、予め設定された複数の運転モードを有する。また、本体制御部9は、管部3から読み取る操作信号に対応する任意の運転モードを複数の運転モードから択一的に選択し、選択した運転モードにしたがって電動送風機8を運転する。それぞれの運転モードは、管部3から読み取る操作信号に対応付けて互いに異なる入力値(電動送風機8の入力値)を有するよう設定される。【0021】電源コード11は、自由端部に電源プラグ16を備える。【0022】管部3は、電動送風機8の運転にともない掃除機本体2から作用する負圧によって、被掃除面から含塵空気を吸い込み掃除機本体2に案内する。管部3は、掃除機本体2の本体接続口12に着脱自在に接続された接続管19と、接続管19に連通された集塵ホース21と、集塵ホース21に連通された手元操作管22と、手元操作管22から突出させて設けられた把持部23と、把持部23に設けられた操作部24と、手元操作管22に着脱自在に接続され連通された延長管25と、延長管25に着脱自在に接続された吸込口体26と、を備える。【0023】集塵ホース21は、可撓で細長い略円筒状を有する。集塵ホース21の一端は、接続管19に接続される。集塵ホース21は、接続管19を介して掃除機本体2の内部に連通する。【0024】手元操作管22の一端は、集塵ホース21の他端に設けられる。手元操作管22は、接続管19および集塵ホース21を介して掃除機本体2の内部に連通する。【0025】把持部23は、電気掃除機1のユーザが把持して電気掃除機1を操作するものである。把持部23は、手元操作管22に設けられる。【0026】操作部24は、それぞれの運転モードに対応するスイッチを備える。具体的には、操作部24は、電動送風機8の運転停止操作を受け取る停止スイッチ24aと、電動送風機8の運転開始操作を受け取る起動スイッチ24bと、を備える。起動スイッチ24bは、弱運転スイッチ(図示省略)、中運転スイッチ(図示省略)および強運転スイッチ(図示省略)を別個に備える構成にしても良い。電気掃除機1のユーザは、操作部24を操作して電動送風機8の運転モードを択一的に選択できる。【0027】延長管25は、伸縮可能な細長略円筒状に形成される。延長管25は、複数の筒状体を重ね合わせたテレスコピック構造を有する。延長管25の一端は、手元操作管22の他端に着脱自在に接続される。延長管25は、接続管19、手元操作管22および集塵ホース21を介して掃除機本体2の内部に連通する。【0028】吸込口体26は、延長管25の他端に着脱自在に接続される。また、吸込口体26は、木床やカーペットなどの被掃除面上を走行自在な構造を有するとともに、走行状態において被掃除面に対向する底面に吸込口28を有する。さらに、吸込口体26は、吸込口体26に軸支され吸込口28に配置された回転清掃体29と、回転清掃体29を回転駆動させる電動機31と、を備える。吸込口体26は、延長管25、手元操作管22および集塵ホース21を介して掃除機本体2の内部に連通する。【0029】電気掃除機1は、起動スイッチ24bで運転開始操作を受け取ると電動送風機8の運転を開始して掃除機本体2の内部に負圧(吸込負圧)を発生する。この負圧は、本体接続口12から集塵ホース21と手元操作管22と延長管25とを経て吸込口体26の吸込口28に作用する。吸込口28に作用した負圧によって、電気掃除機1は、被掃除面に溜まった塵埃を空気とともに吸込口28から吸い込んで被掃除面を掃除する。このとき、電気掃除機1は、吸込口28に吸い込んだ含塵空気を塵埃分離集塵部7によって空気と塵埃とに分離し、分離した塵埃を捕集する。他方、分離された空気は、塵埃分離集塵部7を通過して電動送風機8に吸い込まれた後、掃除機本体2から排気される。【0030】吸込口体26についてさらに詳述する。【0031】図2は、本発明の実施形態に係る吸込口体を示した斜視図である。【0032】図2に示すように、吸込口体26は、略直方体形状の箱状の吸込口本体32と、吸込口本体32の後部に設けられた接続管部33と、を備える。【0033】ここで、吸込口体26の前進方向(図2中、実線矢X)を前方、その反対方向を後方とする。また、吸込口体26を床面などの略水平な被掃除面に配置させた状態で、後から前を見たときの左側(図2中、実線矢Y)を左方、その反対方向を右方とする。さらに、吸込口体26の前後方向および左右方向に直交する右手座標系の+Z方向を上方とし、その反対方向を下方とする。【0034】吸込口本体32は、平面視において前後方向に短辺、左右方向に長辺を有する長方形状のケース体35を備える。ケース体35は、上方が開放された箱状の下ケース36と、下ケース36を覆う上ケース37および蓋ケース38と、を備える。【0035】接続管部33は、吸込口本体32の後部側の幅方向略中央部に配置される。また、接続管部33は、吸込口本体32に軸支された回転接続管部39と、回転接続管部39に軸支された揺動接続管部41と、を備える。【0036】回転接続管部39は、吸込口本体32によって吸込口体26の前後方向に沿う軸(X軸に一致する軸またはX軸に平行な軸)回りに回動自在に軸支される。【0037】揺動接続管部41は、回転接続管部39に揺動自在に設けられる。すなわち、揺動接続管部41は、回転接続管部39の回転軸(X軸に一致する軸またはX軸に平行な軸)に直交する軸回りに揺動自在に軸支される。また、揺動接続管部41の自由端部は、延長管25の自由端部に着脱自在に接続される。【0038】図3は、本発明の実施形態に係る吸込口体の内部を示した斜視図である。なお、図3は、上ケース37および蓋ケース38を除去して示した図である。【0039】図3に示すように、吸込口体26の下ケース36は、上方が開放された箱状体であり、回転清掃体29が収容された吸込室42、電動機31が収容された機械室43および吸込口体制御部45が収容された制御室46を区画する内壁47を備える。【0040】なお、上ケース37は機械室43および制御室46の上方に覆い被さり、蓋ケース38は吸込室42の上方に覆い被さる。【0041】吸込室42は吸込口体26の前半部に区画され、機械室43は吸込口体26の左側後半部から左側部に渡って区画され、制御室46は吸込口体26の右側後半部に区画される。機械室43と制御室46とは離隔されている。【0042】吸込室42は、吸込口体26底面の吸込口28に連続する空間であり、吸込口体26の幅方向に延びる空間である。【0043】回転清掃体29は、吸込口体26の幅方向に回転軸を向けて着脱自在に保持されており、吸込室42から取り出すことができる。【0044】電動機31は、吸込室42の後方左寄りに配置される。電動機31は、回転子(図示省略)および固定子(図示省略)を覆う略円筒形状の外郭48と、回転子と回転一体の出力軸31aと、を備える。外郭48は中心線(円筒形状部の中心線)を吸込口体26の幅方向に向ける。出力軸31aは、回転子と回転一体な軸であり、外郭48の中心線と略同軸上にあって外郭48の一方の底部から吸込口体26の左側壁の内側に向けて延びる。【0045】吸込口体制御部45は、掃除機本体2から接続管19、集塵ホース21、手元操作管22および延長管25を介して供給される電力によって電動機31を運転する。【0046】吸込口体26は、吸込室42と接続管部33(より詳しくは、回転接続管部39)とを連通するラッパ形状の連通管49と、電動機31を機械室43に弾性支持する弾性支持部51と、機械室43にあって吸込室42に収容された回転清掃体29に接続する第一プーリ52と、電動機31の出力軸31aに回転一体に固定された第二プーリ53と、第一プーリ52と第二プーリ53との間に巻掛けられたベルト55と、を備える。【0047】連通管49は、機械室43と制御室46との間にあり、機械室43と制御室46とを隔てる。【0048】弾性支持部51は、電動機31の運転にともなう振動がケース体35に伝わらないよう絶縁する。弾性支持部51は、シリコンゴムなどのゴム弾性体またはバネなどの弾性体であり、電動機31の外郭48とケース体35との間に介在する。弾性支持部51は、電動機31の出力軸31aの延長方向に離間された一対の防振部材56a、56bを有する。防振部材56a、56bは、円筒形状の外郭48のそれぞれの端部を支持する。【0049】第一プーリ52、第二プーリ53およびベルト55は、電動機31の駆動力を回転清掃体29に伝達する。【0050】第一プーリ52は、カップリング(図示省略)によって回転清掃体29に接続される。【0051】ベルト55は、機械室43内であって吸込口体26の左側部の前側から後側に渡って延び、第一プーリ52および第二プーリ53を介して電動機31と回転清掃体29との間で動力を伝達する。【0052】図4は、本発明の実施形態に係る吸込口体の回転清掃体を示した斜視図である。【0053】図5は、本発明の実施形態に係る吸込口体の回転清掃体を示した縦断面図である。【0054】図6は、本発明の実施形態に係る吸込口体の回転清掃体を示した横断面図である。【0055】図4から図6に示すように、吸込口体26の回転清掃体29は、吸込口本体32に回転自在に軸支される軸部57と、軸部57の径方向へ延びる線形状の毛ブラシ58(第一清掃部材)と、軸部57の径方向へ延びる板形状のブレード59(第一清掃部材)と、軸部57の両自由端部に設けられた軸受部61と、を備える。【0056】軸部57は、円筒形状の基部62と、基部62の径外方向に突出して毛ブラシ58またはブレード59の起端を保持する腕部63と、を備える。腕部63は、基部62の周方向に見て略均等に配置され、基部62の長手軸方向に見て螺旋形状を有する。【0057】それぞれの毛ブラシ58は、軸部57の腕部63に保持された起端と、回転清掃体29の径方向に突出した先端と、を有する。毛ブラシ58の全体は、軸部57の回転中心線方向に延びる螺旋壁状に並ぶ。【0058】ブレード59は、軸部57の腕部63に保持された起端と、回転清掃体29の径方向に突出した先端と、を有するシリコンゴムの板状体である。また、ブレード59は、軸部57の回転中心線方向へ螺旋壁状に延びる。【0059】毛ブラシ58およびブレード59は軸部57の周囲を交互に囲み、これらの先端は回転清掃体29の回転にともなって円筒状の軌跡を描く。【0060】なお、第一清掃部材としての毛ブラシ58およびブレード59は、いずれか一方のみ(すなわち、毛ブラシ58のみ、またはブレード59のみ)であってもよい。【0061】軸受部61は、軸部57に対して回転自在であり、ケース体35に回転清掃体29を回転自在、かつ着脱自在に保持する。【0062】なお、回転清掃体29の回転にともなって、ブレード59は被掃除面に対して線状に接触し、毛ブラシ58は被掃除面に対して点状に接して塵埃を掻き上げる。このため、毛ブラシ58およびブレード59は、凹凸の少ないフローリングのような被掃除面を磨き上げたような状態に掃除することが難しい。【0063】そこで、本実施形態に係る吸込口体26は、回転清掃体29の他に、袋状回転清掃体65を備える。【0064】図7は、本発明の実施形態に係る吸込口体の袋状回転清掃体を示した斜視図である。【0065】図8は、本発明の実施形態に係る吸込口体の袋状回転清掃体を示した縦断面図である。【0066】図9は、本発明の実施形態に係る吸込口体の袋状回転清掃体を示した横断面図である。【0067】図7から図9に示すように、吸込口体26は、袋形状を有し、毛ブラシ58およびブレード59を覆って回転清掃体29と回転一体化する円筒面状の清掃面65aを有する着脱自在な袋状回転清掃体65(第二清掃体)を備える。【0068】袋状回転清掃体65は、回転清掃体29の回転にともなって毛ブラシ58およびブレード59の先端が描く円筒状の軌跡に沿うような円筒面状の清掃面65aを有する略円筒形状の袋体である。袋状回転清掃体65は、織物、編物、不織布または樹脂製フィルムを袋形状に織り、編み、もしくは縫製したものであり、裏返して使用することができる(所謂、リバーシブルである)。【0069】また、袋状回転清掃体65は、回転清掃体29が通り抜け自在であり、かつ開口径を絞ると毛ブラシ58およびブレード59の抜け出しを抑制可能な開口65bを有する。袋状回転清掃体65は、開口65bの縁に沿った環状のゴム紐(図示省略)や、ひも(図示省略)を備え、開口65bを絞る。袋状回転清掃体65は、開口65bに回転清掃体29を貫き通して毛ブラシ58およびブレード59を覆い、開口65bを絞ることによって回転清掃体29に装着される。他方、袋状回転清掃体65は、開口65bを緩めて、開口65bから回転清掃体29を抜き取ることによって回転清掃体29から取り外される。【0070】さらに、袋状回転清掃体65は、回転方向に対して傾斜した網目状の凸部66を有する。凸部66は、織物、編物、不織布または樹脂製フィルムで形成された格子であり、織ったり、編んだり、縫ったりしたものでも良く、シート状もしくはフィルム状のものでも良い。袋状回転清掃体65は、袋形状の表裏で網目の異なる網目状の凸部66a、66bを有する。なお、凸部66は、袋状回転清掃体65の裏表いずれかのみにあっても良い。【0071】吸込口体26は、回転清掃体29に袋状回転清掃体65を覆い被せると、毛ブラシ58およびブレード59に代えて袋状回転清掃体65の清掃面65aを回転させることができる。清掃面65aは、毛ブラシ58およびブレード59の起端から先端に係る長さ(所謂、毛足の長さ)による弾力性(所謂、腰の強さ)によって被清掃面にしっかりと接地するとともに、吸込口体26の幅方向へ略一直線に接地する(図9)。そして、清掃面65aは、毛ブラシ58およびブレード59のように回転にともなって設置箇所が断続することが無く、清掃面65aの全幅に渡って、常に被掃除面に接する。これらによって、吸込口体26は、従来の電気掃除機のように払拭部材を被掃除面に擦り合わせるよりも極めて効率的、かつ効果的に被掃除面を磨き上げることができる。【0072】また、吸込口体26は、電動機31によって清掃面65aを吸込口体26の走行速度に比べて高速に回転するので、従来の電気掃除機のように払拭部材と被掃除面との摩擦によって操作力が増大することなく、比較的に軽い力で前後移動でき、操作性が向上できる。【0073】さらに、袋状回転清掃体65は、織物、編物、不織布または樹脂製フィルムを用いて形成されるため、被掃除面上の水分によって破れたりすることはない。【0074】さらにまた、袋状回転清掃体65は、開口65bを絞って毛ブラシ58およびブレード59が袋外に抜け出さないよう、しっかりと回転清掃体29に装着できるので、回転清掃体29の回転にともなって吸込口体26内に巻き込まれたり、吸い込まれたりすることがない。【0075】また、袋状回転清掃体65は、清掃面65aの凸部66によって被掃除面をよりきれいに磨き上げることができる。【0076】さらに、袋状回転清掃体65は、表裏を使用できるので、粗磨き用の面と仕上げ磨き用の面とを備えるように構成したり、表裏を交換したりすることで長寿命に使用できる。【0077】したがって、本実施形態に係る電気掃除機1および吸込口体26によれば、吸込口体26の操作力の増大を抑制しつつ、単に払拭するよりも効率的に被掃除面を磨き上げることができる。【0078】なお、本発明に係る電気掃除機1は、キャニスタ型の電気掃除機1に限らず、アップライト型、スティック型、ハンディ型あるいは自律型などの電気掃除機1であってもよい。【0079】本発明のいくつかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら新規な実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれるとともに、特許請求の範囲に記載された発明とその均等の範囲に含まれる。
A
2012139435
2011000077
20110104
null
null
20120726
2012139435
20120726
null
null
null
null
null
null
null
電子内視鏡
306037311
富士フイルム株式会社
100075281
小林 和憲
鳥居 雄一
null
8
A61B 1/00 (20060101), A61B 1/06 (20060101), A61B 1/04 (20060101)
A61B 1/00 300Y ,A61B 1/06 B ,A61B 1/04 372 ,A61B 1/00 300D
6
null
null
null
0
11
4C061,4C161
4C061 CC06 ,4C061 DD03 ,4C061 FF40 ,4C061 FF46 ,4C061 FF47 ,4C061 GG01 ,4C061 NN01 ,4C061 QQ02 ,4C061 QQ04 ,4C061 QQ07 ,4C061 QQ09 ,4C061 RR05 ,4C061 RR26 ,4C061 WW17,4C161 CC06 ,4C161 DD03 ,4C161 FF40 ,4C161 FF46 ,4C161 FF47 ,4C161 GG01 ,4C161 NN01 ,4C161 QQ02 ,4C161 QQ04 ,4C161 QQ07 ,4C161 QQ09 ,4C161 RR05 ,4C161 RR26 ,4C161 WW17
【課題】十分な照明光量を確保して照明むらの発生を防止するとともに、挿入部先端部を小径化する。【解決手段】内視鏡挿入部の先端部16aには、観察窓26、一対の第1照明窓48a,48b、一対の第2照明窓49a,49bが設けられている。第1照明窓48a,48bは、観察窓26の中心を通る対称軸Lに対して線対称の位置に配されている。第2照明窓49a,49bは、第1照明窓48a,48bと共通の対称軸Lに対して線対称の位置に配されている。第1照明窓48a,48b、第2照明窓49a,49bは、互いに並列に配されている。第1照明窓48a,48bの外径は、第2照明窓49a,49bの外径よりも大きく形成され、照明光を広範囲に配光する。【選択図】図3
【請求項1】被検体内に挿入される挿入部と、前記挿入部の先端に配され、被検体の像光を取り込むための観察窓と、前記観察窓から取り込まれた光を光電変換することにより前記被検体内を撮像する撮像素子と、被検体に照明光を照射する第1照明部であり、前記観察窓を間に挟んで両側に配置される一対の第1照明窓を有する第1照明部と、前記第1照明部とは分光分布の異なる照明光を照射する第2照明部であり、前記観察窓を間に挟んで両側に配置される一対の第2照明窓を有する第2照明部とを備え、前記第1照明窓は、前記第2照明窓より広範囲に配光することを特徴とする電子内視鏡。【請求項2】前記第1照明窓は、前記第2照明窓より外径が大きいことを特徴とする請求項1記載の電子内視鏡。【請求項3】前記第1照明窓は、照明光を拡散する屈折力を有する拡散レンズであることを特徴とする請求項1または2記載の電子内視鏡。【請求項4】前記第1及び第2照明窓の一方からは、白色光を照射し、他方からは前記白色光とは分光分布の異なる特殊光を照射することを特徴とする請求項1~3のいずれか1項に記載の電子内視鏡。【請求項5】前記第1照明窓は、前記観察窓の中心を通る対称軸に対して線対称に配置され、前記第2照明窓は、前記第1照明窓と並列に配されるとともに、前記対称軸に対して線対称に配置されていることを特徴とする請求項1~4のいずれか1項に記載の電子内視鏡。【請求項6】前記第1照明窓は、前記観察窓の中心を通る第1対称軸に対して線対称に配置され、前記第2照明窓は、前記第1対称軸と交差し、前記観察窓の中心を通る第2対称軸に対して線対称に配置されていることを特徴とする請求項1~4のいずれか1項に記載の電子内視鏡。
【請求項1】被検体内に挿入される挿入部と、前記挿入部の先端に配され、被検体の像光を取り込むための観察窓と、前記観察窓から取り込まれた光を光電変換することにより前記被検体内を撮像する撮像素子と、被検体に照明光を照射する第1照明部であり、前記観察窓を間に挟んで両側に配置される一対の第1照明窓を有する第1照明部と、前記第1照明部とは分光分布の異なる照明光を照射する第2照明部であり、前記観察窓を間に挟んで両側に配置される一対の第2照明窓を有する第2照明部とを備え、前記第1照明窓は、前記第2照明窓より広範囲に配光することを特徴とする電子内視鏡。
【技術分野】【0001】本発明は、被検体内を観察するため、照明光を被検体内の観察範囲に照射する電子内視鏡に関する。
【背景技術】【0002】従来、医療分野において、電子内視鏡を利用した診断が広く普及している。電子内視鏡では、被検体内に挿入される挿入部の先端部に、観察窓と照明窓とを備えており、自然な観察が可能な白色光を照明光として照明窓から被検体内へ照射するとともに、観察窓を通じて被検体の像光を取り込み、観察画像を取得するようになっているが、白色光による観察では腫瘍組織等を把握し難い場合があるため、近年では、特定の狭い波長帯の光(以下、特殊光という)を被検体内に照射しながら被検体内を撮影することにより、腫瘍組織等を把握し易くした電子内視鏡システムが利用されている。【0003】特許文献1では、照明光として白色光等を照射する第1照明窓の他に、特殊光としての励起光を照射する第2照明窓を備えた電子内視鏡の構成が記載されている。さらに、この電子内視鏡では、通常観察を行うための第1観察窓と、特殊光観察を行うための第2観察窓とを設けており、第1照明窓は、第1観察窓を挟んで両側に設けられており、第2照明窓は、第2観察窓の近傍片側に並べて配設されている。これにより、白色光を照明光として照射する通常観察に加えて、特殊光を被検体へ照射する特殊光観察を1つの電子内視鏡で行うことができる。
【発明が解決しようとする課題】【0005】上記特許文献1記載の電子内視鏡では、第1照明窓は、第1観察窓の両側に配設されているため照明光として均等に白色光を照射することができる。ところが、第2照明窓は、第2観察窓の片側にのみ配設されているため、特殊光観察の場合、第2照明窓の反対側の光量が不足して照明むらが発生するという問題があった。【0006】そこで、本出願人は、通常観察及び特殊光観察を行う観察窓を挟んで両側に、通常観察用の白色光が照射される第1照明窓と、特殊光観察用の特殊光が照射される第2照明窓とをそれぞれ配設して、通常観察及び特殊光観察のいずれにおいても照明むらを無くすことを検討している。【0007】しかしながら、観察窓の両側にそれぞれ第1及び第2照明窓を、計4個配設した場合、挿入部先端部におけるスペースを考慮して全ての照明窓の外径を小さく且つ同じ外径にすると、照明光の拡散が不十分となり、観察を行う際、照明光量不足に起因する照明むらが発生してしまう。一方、全ての照明窓の外径を大きく且つ同じ外径にすると、効率良く配置することが難しく挿入部先端部の外径が大型化してしまうことになる。【0008】本発明は、上記課題を鑑みてなされたものであり、十分な照明光量を確保して照明むらの発生を防止するとともに、挿入部先端部を小径化することを目的とする。
【課題を解決するための手段】【0009】本発明の電子内視鏡は、被検体内に挿入される挿入部と、前記挿入部の先端に配され、被検体の像光を取り込むための観察窓と、前記観察窓から取り込まれた光を光電変換することにより前記被検体内を撮像する撮像素子と、被検体に照明光を照射する第1照明部であり、前記観察窓を間に挟んで両側に配置される一対の第1照明窓を有する第1照明部と、前記第1照明部とは分光分布の異なる照明光を照射する第2照明部であり、前記観察窓を間に挟んで両側に配置される一対の第2照明窓を有する第2照明部とを備え、前記第1照明窓は、前記第2照明窓より広範囲に配光することを特徴とする。【0010】前記第1照明窓は、前記第2照明窓より外径が大きいことが好ましい。あるいは、前記第1照明窓は、照明光を拡散する屈折力を有する拡散レンズであることが好ましい。【0011】前記第1及び第2照明窓の一方からは、白色光を照射し、他方からは前記白色光とは分光分布の異なる特殊光を照射することが好ましい。【0012】前記第1照明窓は、前記観察窓の中心を通る対称軸に対して線対称に配置され、前記第2照明窓は、前記第1照明窓と並列に配されるとともに、前記対称軸に対して線対称に配置されていることが好ましい。【0013】前記第1照明窓は、前記観察窓の中心を通る第1対称軸に対して線対称に配置され、前記第2照明窓は、前記第1対称軸と交差し、前記観察窓の中心を通る第2対称軸に対して線対称に配置されていることが好ましい。
【発明の効果】【0014】本発明によれば、第1の照明部を構成する一対の第1照明窓は、第1照明部とは分光分布の異なる照明光を照射する第2照明部を構成する一対の第2照明窓より広範囲に配光するので、十分な照明光量で被検体を照射して照明むらを無くすとともに、挿入部先端部を小径化することができる。
【発明を実施するための形態】【0016】図1に示すように、電子内視鏡システム11は、電子内視鏡12、プロセッサ装置13、及び光源装置14からなる。電子内視鏡12は、被検者の体内に挿入される可撓性の挿入部16と、挿入部16の基端部分に連接された操作部17と、プロセッサ装置13及び光源装置14に接続されるコネクタ18と、操作部17とコネクタ18との間を繋ぐユニバーサルコード19とを有する。【0017】挿入部16は、その先端に設けられ、被検体内撮影用のCCD型イメージセンサ(図2参照。以下、CCDという)30が内蔵された先端部16aと、先端部16aの基端に連設された湾曲自在な湾曲部16bと、湾曲部16bの基端に連設された可撓性を有する可撓管部16cとからなる。【0018】操作部17には、湾曲部16bを上下左右に湾曲させるためのアングルノブや挿入部16の先端からエアーや水を噴出させるための送気/送水ボタン、観察画像を静止画像記録するためのレリーズボタン、モニタ21に表示された観察画像の拡大/縮小を指示するズームボタン、通常観察と特殊光観察PDDの切り替えを行う切り替えボタンといった操作部材が設けられている。また、操作部17の先端側には、電気メスやPDTの治療光を導光する治療プローブ等の処置具が挿通される鉗子口22が設けられている。鉗子口22は、挿入部16内の鉗子チャンネル(図示せず)を介して、先端部16aに設けられた鉗子出口51に連通している。【0019】プロセッサ装置13は、光源装置14と電気的に接続され、電子内視鏡システム11の動作を統括的に制御する。プロセッサ装置13は、ユニバーサルコード19や挿入部16内に挿通された伝送ケーブルを介して電子内視鏡12に給電を行い、CCD30の駆動を制御する。また、プロセッサ装置13は、伝送ケーブルを介してCCD30から出力された撮像信号を取得し、各種画像処理を施して画像データを生成する。プロセッサ装置13で生成された画像データは、プロセッサ装置13にケーブル接続されたモニタ21に観察画像として表示される。【0020】図2に示すように、先端部16aには、観察窓26、第1照明部27、第2照明部28が設けられている。観察窓26は、レンズ群及びプリズムからなり、被検体の像光を取り込む対物光学系29の最先端に位置する。先端部16aの内部には、観察窓26の奥に、対物光学系29によって被検体内の像が撮像面に結像されるようにCCD30が配置されている。【0021】CCD30は、対物光学系29によって撮像面に結像された被検体内の像を光電変換して信号電荷を蓄積し、蓄積した信号電荷を撮像信号として出力する。出力された撮像信号はAFE31に送られる。AFE31は、AFE31は、相関二重サンプリング(CDS)回路、自動ゲイン調節(AGC)回路、A/D変換器など(いずれも図示は省略)から構成されている。CDSは、CCD30が出力する撮像信号に対して相関二重サンプリング処理を施し、CCD30を駆動することによって生じるノイズを除去する。AGCは、CDSによってノイズが除去された撮像信号を増幅する。【0022】撮像制御部32は、電子内視鏡12とプロセッサ装置13とが接続されたとき、プロセッサ装置13内のコントローラ37に接続され、コントローラ37から指示がなされたときにCCD30に対して駆動信号を送る。CCD30は、撮像制御部32からの駆動信号に基づいて、所定のフレームレートで撮像信号をAFE31に出力する。【0023】プロセッサ装置13は、デジタル信号処理回路(DSP)33、デジタル画像処理回路(DIP)34、表示制御回路35、VRAM36、コントローラ37、操作部38等を備える。コントローラ37は、プロセッサ装置13全体の動作を統括的に制御する。DSP33は、電子内視鏡12のAFE31から出力された撮像信号に対し、色分離、色補間、ゲイン補正、ホワイトバランス調整、ガンマ補正等の各種信号処理を施し、画像データを生成する。DSP33で生成された画像データは、DIP34の作業メモリに入力される。また、DSP33は、例えば生成した画像データの各画素の輝度を平均した平均輝度値等、照明光量の自動制御(ALC制御)に必要なALC制御用データを生成し、コントローラ37に入力する。【0024】DIP34は、DSP33で生成された画像データに対して、電子変倍、色強調処理、エッジ強調処理等の各種画像処理を施す。DIP34で各種画像処理が施された画像データは、観察画像としてVRAM36に一時的に記憶された後、表示制御回路35に入力される。表示制御回路35は、VRAM36から観察画像を選択して取得し、モニタ21上に表示する。【0025】操作部38は、プロセッサ装置13の筐体に設けられる操作パネル、マウスやキーボード等の周知の入力デバイスからなる。コントローラ37は、操作部38や電子内視鏡12の操作部17からの操作信号に応じて、電子内視鏡システム11の各部を動作させる。【0026】第1及び第2照明部27,28は、光源装置14から供給された光を被検体内へ照射する。光源装置14は互いに中心波長が異なるレーザ光源LD1~LD12を備える。各レーザ光源LD1~LD12は、光源制御部39によりそれぞれ個別に調光制御されており、各レーザ光を個別に又は同時に発生することができる。光源制御部39は、プロセッサ装置13のコントローラ37から入力される調節信号や同期信号にしたがってLD1~LD12の点灯/消灯のタイミングを調節する。さらに、光源制御部39は、コントローラ37と通信し、LD1~LD12の発光量を調節することにより、被検体内に照射する照明光の強度を調節する。また、各レーザ光源の発光のタイミングや光量比は任意に変更可能となっており、各レーザ光が出射される照明窓からの光のスペクトルを、それぞれ個別に変更できる。【0027】LD1は、中心波長405nmのレーザ光を出射する狭帯域光観察用の光源である。LD2は中心波長445nmのレーザ光を出射して後述する波長変換部材である蛍光体を用いて白色光を生成するための光源である。なお、本発明における白色光とは、厳密に可視光の全ての波長成分を含むものに限らず、例えば、基準色である。R(赤),G(緑),B(青)等、特定の波長帯の光を含むものであればよく、例えば、緑色から赤色にかけての波長成分を含む光や、青色から緑色にかけての波長成分を含む光等も含むものとする。【0028】LD3~LD12は、特定の狭い波長帯の光(以下、特殊光という)を出射する光源である。LD3及びLD4は、波長405nmのレーザ光を出射する光源であり、PDD等の蛍光観察時に用いられる。LD5及びLD6は、中心波長472nmのレーザ光を出射する光源であり、血中の酸素飽和度と血管深さの情報を抽出するために用いられる。LD7及びLD8は、中心波長665nmのレーザ光を出射する光源であり、PDTに用いられる。LD9及びLD10は、中心波長785nmのレーザ光を出射する光源であり、血管に注入したIGCの赤外光観察に用いられる。LD11及びLD12は、中心波長375nmのレーザ光を出射する光源であり、ルシフェラーゼを用いた蛍光観察を行うための光源である。なお、各レーザ光源は、上記中心発光波長の±10nmの範囲に入っていれば良い。【0029】各レーザ光源LD1~LD12から出射されるレーザ光は、光ファイバ40a,40b,41a,41bに導入される。LD1,LD2からのレーザ光は、光ファイバ40a,40bを通じて先端部16aに配置された蛍光体42に伝送され、LD3~LD12からのレーザ光は、それぞれ集光レンズ(図示しない)により導入された光ファイバ41a,41bを通じて光拡散部材43に伝送され、照明光や励起光、治療光として被検体内に向けて出射される。【0030】なお、LD1とLD2からのレーザ光は、それぞれ集光レンズ(図示しない)により導入されたコンバイナ44により合波して1系統の光路とされた後、カプラ45により分波して2系統の光路とし、それぞれコネクタ18に伝送される。これにより、LD1とLD2からのレーザ光が、各レーザ光源の個体差による発光波長のばらつきやスペックルが軽減されて光ファイバ40a,40bに均等に伝送される。ここでは、コンバイナ44及びカプラ45を用いるが、これらを用いずに各レーザ光源LD1,LD2からのレーザ光をコネクタ18に送出する簡略化した構成としても良い。【0031】第1照明部27は、照明光学系ユニット46a,46bから構成され、第2照明部28は、照明光学系ユニット47a,47bから構成される。照明光学系ユニット46aは、第1照明窓48aと光ファイバ40aと蛍光体42とから構成され、照明光学系ユニット46bは、第1照明窓48bと光ファイバ40bと蛍光体42とから構成される。照明光学系ユニット47aは、第2照明窓49aと光ファイバ41aと光拡散部材43とから構成され、照明光学系ユニット47bは、第2照明窓49bと光ファイバ41bと光拡散部材43とから構成される。【0032】図3に示すように、先端部16aの先端面52aからは、観察窓26、一対の第1照明窓48a,48b、一対の第2照明窓49a,49b、送気・送水ノズル50、鉗子出口51が露呈する。なお、観察窓26は、先端部16aの中心に対して若干外周面52b寄りの位置に配設されており、第1照明窓48a,48bは先端部16aの中心に近い位置に、第2照明窓49a,49bは、先端部16aの外周面52b寄りに互いに並列に配されている。【0033】第1照明窓48a,48bは、観察窓26を間に挟んで両側に配設されている。さらに、第1照明窓48a,48bは、観察窓26の中心を通る対称軸Lに対して線対称の位置に配されている。これにより、第1照明窓48a,48bから照射される照明光は観察窓26から像光が取り込まれる観察範囲を均等に照射するので、照明むらの発生を防ぐことができる。また、照明光学系ユニット46a,46bに対して例えば、LD2から青色レーザ光を導入することにより、白色光(通常光)が生成され、照明光学系ユニット46a,46bから被検体内に照射される。【0034】第2照明窓49a,49bは、観察窓26を間に挟んで両側に配設されている。さらに第2照明窓49a,49bは、第1照明窓48a,48bと共通の対称軸Lに対して線対称の位置に配されている。これにより、第1照明部27と同様に第2照明部28においても、第2照明窓49a,49bから照射される照明光は観察窓26から像光が取り込まれる観察範囲を均等に照射するので、照明むらの発生を防ぐことができる。また、照明光学系ユニット47a,47bに対して例えば、LD3,LD4から中心波長が405nmのレーザ光(PDD用の励起光)と、LD7,LD8から中心波長が665nmのレーザ光(治療光)とを選択的に導入することにより、照明光学系ユニット47a,47bから特殊光(励起光と治療光)のいずれかが被検体内に照射される。このように、第2照明部28から照射される照明光は、第1照明部27から照射される照明光とは分光分布が異なる。【0035】図4(A)に示すように、照明光学系ユニット46a,46bは、それぞれ同一の構成であって、シングルモードの光ファイバ40a(,40b)と、蛍光体42と、蛍光体42及び光ファイバ40a(,40b)を保持する保持部材としてのフェルール53と、蛍光体42の外周を覆う筒状のスリーブ部材54と、スリーブ部材54の先端を封止する第1照明窓48a(,48b)とから構成される。また、光ファイバ40a(,40b)の外周面は、保護チューブ55によって被覆されている。保護チューブ55の先端部は、スリーブ部材54の外周面に固定されている。【0036】照明光学系ユニット46a,46bの蛍光体42は、レーザ光源LD2からの青色レーザ光の一部を吸収して緑色~黄色に励起発光する蛍光物質(例えばYAG蛍光体、あるいはBAM(BaMgAl10O17)等の蛍光体)を含んで構成される。これにより、青色レーザ光を励起光とする緑色~黄色の励起発光光と、蛍光体42により吸収されず透過した青色レーザ光とが合わされて白色(擬似白色)の照明光が生成される。【0037】フェルール53は、略円筒形状に形成され、光ファイバ40a(,40b)が挿通される挿通孔56を有する。フェルール53の先端側には、蛍光体42を保持する蛍光体保持部57が形成されている。蛍光体保持部57は、フェルール53の先端面から蛍光体42の外形に合わせて凹となり、第1照明窓48a(,48b)と対面する先端側が開放された凹部状に形成されている。挿通孔56は、蛍光体保持部57の基端に連続している。【0038】蛍光体保持部57には、表面に反射膜58が設けられている。反射膜58は、銀、アルミ等の金属膜からなり、例えばメッキ、蒸着、スパッタなどにより薄膜状に形成される。蛍光体42は、蛍光体保持部57の内部に、反射膜58と接しつつ保持される。蛍光体42から発する照明光は反射膜58によって反射し、効率良く利用することができる。蛍光体保持部57に蛍光体42が保持されたとき、蛍光体42及び反射膜58の先端面がフェルール53の先端面と同一面となるように形成されている。挿通孔56は、フェルール53の中心軸に沿って形成されている。光ファイバ40aは、先端部が挿通孔56に嵌合し、蛍光体42の後方に保持される。【0039】スリーブ部材54は、先端側から順に、第1照明窓48a(,48b)を受ける受け部59と、フェルール53の外周面が嵌合する嵌合孔60とを有する略円筒形状に形成されている。受け部59は、嵌合孔60よりも内径が大きく形成されている。第1照明窓48a(,48b)が受け部59に接着されることにより、スリーブ部材54の先端が封止される。嵌合孔60は、スリーブ部材54の中心に沿って、受け部59からスリーブ部材54の後端面まで連続している。【0040】第1照明窓48a,48bは、蛍光体42を透過するレーザ光と、蛍光体42から励起発光される蛍光とを透過可能とし、略円板状に形成される光拡散部材である。この第1照明窓48a,48bは、蛍光体42の先端側を保護する保護カバーとしても機能し、例えば石英ガラスやサファイヤガラスなどから形成される。第1照明窓48a,48bの外径D1は、蛍光体42から出射される照明光を拡散して、対物光学系29及びCCD30による観察範囲に照射するのに十分な大きさを有している。第1照明窓48a,48bから照射される照明光の照射範囲は、観察範囲と同程度か、これよりも大きく、照明光は観察範囲の全面にほぼ均一に照射される。【0041】また、第1照明窓48a,48bの外径D1は、第2照明窓49a,49bの外径D2よりも大きく形成されている。すなわち、第1照明窓48a,48bは照明光を第2照明窓49a,49bより広範囲に配光する。図4(B)に示すように照明光学系ユニット47a,47bは、照明光学系ユニット46a,46bと同様の構成であるが、第2照明窓49a,49bの外径D2が、第1照明窓48a,48bの外径D1よりも小さい分、フェルール61、及びスリーブ部材62は、フェルール53及びスリーブ部材54よりも外径が小さく形成され、さらに、照明光学系ユニット46a,46bの蛍光体42に代えて光拡散部材43が配設され、光ファイバ41a,41bから光拡散部材43へ導光される。また、第2照明窓49a,49bも光拡散部材であり、光拡散部材43から出射された照明光を拡散して観察範囲に照射する。【0042】上述したように、第1照明窓48a,48bと第2照明窓49a,49bとは、観察窓26を間に挟んで両側に配置され、なお且つ第1照明窓48a,48bの外径D1は照明光を拡散して観察範囲に照射するのに十分な大きさで、第2照明窓49a,49bの外径D2は第1照明窓48a,48bの外径D1よりも小さく形成されている。よって、第1照明窓48a,48bから照射される照明光は十分な照明光量を確保しつつ、照明むらを防ぐことができ、なお且つ先端部16aの小径化を図ることができる。さらに、第1照明窓48a,48bは、第2照明窓49a,49bよりも先端部16aの中心寄りの位置に配されているので、第1照明窓48a,48bのほうが第2照明窓49a,49bよりも周辺のスペースに余裕がある。よって、第2照明窓49a,49bよりも外径の大きい第1照明窓48a,48bを配置しても先端部の小径化を妨げることがない。【0043】上記第1実施形態では、第1照明窓48a,48bを第2照明窓49a,49bよりも広範囲の配光にする構成として、第1照明窓48a,48bの外径D1を第2照明窓49a,49bの外径D2よりも大きく形成しているが、本発明はこれに限るものではなく、図5及び図6に示す第2実施形態の先端部70のように、第1照明窓48a,48bの外径D1と第2照明窓49a,49bの外径D2とを同じ寸法とし、第1照明窓48a,48bとして、被検体に対して照明光を拡散可能な屈折力を有する拡散レンズを用いてもよい。【0044】第2実施形態の先端部70では、第1照明窓48a,48bとして用いる拡散レンズは、先端側が平面71aで、基端側が凹面71bの平凹レンズである。これにより、蛍光体42から出射される照明光(白色光)を拡散して被検体の観察範囲に配光することができるので、上記第1実施形態と同様に第1照明窓48a,48bから照射される照明光は十分な照明光量を確保することができ、照明むらを防ぐことができる。さらに、第1照明窓48a,48b及び第2照明窓49a,49bをともに外径を小さく形成することが可能であり、先端部70のさらなる小径化を図ることができる。なお、第1照明窓48a,48bとしては、平凹レンズに限らず、両凸レンズ、両凹レンズなど、被検体に対して照明光を拡散可能な屈折力を有する拡散レンズであればよい。また、第1照明窓48a,48bとして拡散レンズを用いるとともに、第1照明窓48a,48bの外径D1を第2照明窓49a,49bの外径D2よりも大きく形成するようにしてもよい。【0045】上記第1及び第2実施形態では、第1照明窓48a,48bを先端部16aの中央寄りに配置し、第2照明窓49a,49bを先端部の外周面52b寄りに配置されているが、本発明はこれに限らず、第2照明窓49a,49bを先端部16aの中央寄りに配置し、第1照明窓48a,48bを先端部の外周面52b寄りに配置してもよい。【0046】また、上記第1及び第2実施形態では、第1照明窓48a,48b及び第2照明窓49a,49bを互いに並列に配置しているが、本発明はこれに限るものではなく、図7に示す第3実施形態の先端部80のように、第1照明窓48a,48b、及び第2照明窓49a,49bを互い違いに配置してもよい。【0047】第3実施形態の先端部80では、第1照明窓48a,48bは、観察窓26の中心を通る対称軸L1に対して線対称の位置に配されている。第2照明窓49a,49bは、観察窓26の中心を通り、対称軸L1と交差する対称軸L2に対して線対称の位置に配されている。なお、この第3実施形態の第1照明窓48a,48bは、上記第1及び第2実施形態と同様に、第2照明窓49a,49bよりも外径が大きいもの、または拡散レンズを用いており、第2照明窓49a,49bよりも広範囲の配光となっている。【0048】上記各実施形態では、第1照明窓48a,48bを第2照明窓49a,49bよりも広範囲の配光とする構成としているが、本発明はこれに限らず、第2照明窓49a,49bを第1照明窓48a,48bよりも広範囲な配光とする構成としてもよい。この場合、第2照明窓49a,49bから照射される特殊光の照明光量を十分に確保することができ、特殊光の照明むらを防ぐことができる。【0049】上記各実施形態では、第1照明窓48a,48bから照射される照明光として白色光と、狭帯域観察用の光とを照射可能とする構成を記載しているが、これに限らず、第1照明窓48a,48bからは照明光として白色光だけを照射するようにしてもよい。また、第2照明窓49a,49bから照射される特殊光としては、上記実施形態で述べたLD3~LD12から照射されるレーザ光の種類に限定するものではなく、第1照明窓48a,48bから照射される照明光と分光分布が異なる光であればよい。また、上記各実施形態では、光源としてLDを用いる例を説明したが、LEDや、ハロゲンランプ、キセノンランプを用いてもよい。
A
2012139436
2011000093
20110104
null
null
20120726
2012139436
20120726
null
null
5759180
20150612
null
null
null
ミシン
000003399
JUKI株式会社
100090033
荒船 博司
月岡 高志,公文 哲,柳沢 理人
null
8
D05B 69/02 (20060101)
D05B 69/02
7
null
null
null
0
25
3B150
3B150 AA02 ,3B150 AA03 ,3B150 CB25 ,3B150 CC07 ,3B150 CE01 ,3B150 CE06 ,3B150 CE07 ,3B150 CE22 ,3B150 CE27 ,3B150 DB01 ,3B150 DB07 ,3B150 DB08 ,3B150 DG11 ,3B150 DG16 ,3B150 JA03 ,3B150 JA07 ,3B150 JA32 ,3B150 JA33 ,3B150 JA34 ,3B150 JA37 ,3B150 LA12 ,3B150 LA68 ,3B150 LA89 ,3B150 LA90 ,3B150 NA01 ,3B150 NA18 ,3B150 NA76 ,3B150 NA77 ,3B150 NC03 ,3B150 NC06 ,3B150 QA01 ,3B150 QA06 ,3B150 QA07
【課題】ヒッチステッチを防止する。【解決手段】針棒12の中心線C回りに回動可能な回動台43と、回動モータ463と、ミシンモータ21から釜13に動力を伝える入力軸41及び出力軸42と、軸間の位相差を変更調節する差動伝達機構40とを備え、差動伝達機構は、中心線上に入力軸と出力軸を配置し、その回りを回動可能な支持枠441と、支持枠に対して回動可能であって、軸間で互いに逆に回転を伝達する伝達体445とを備え、支持枠に設けられた第一の従動部材461と、回動台に設けられた第二の従動部材462と、第一の従動部材に回動を付与する第一の主動部材464と、第二の従動部材に回動を付与する第二の主動部材465とを有し、第一の主動部材から第一の従動部材への回転伝達量を第二の主動部材から第二の従動部材への回転伝達量の二分の一とし、第一の主動部材と第二の主動部材とが同時回転を行うように同一軸上に固定装備した。【選択図】図2
【請求項1】縫い針を保持して垂直な中心線に沿って上下動を行う針棒と、回動動作により上糸を下糸に絡める釜と、前記針棒の上下動と前記釜の回動動作の駆動源となるミシンモータと、前記針棒を前記中心線回りに回動させる針棒回動機構と、前記釜を回動可能に支持すると共にミシンフレームに対して前記針棒の中心線回りに回動可能に支持された回動台と、前記回動台の回動動作の駆動源となる回動モータと、前記ミシンモータから前記釜に動力を伝達する入力軸及び出力軸を備えると共にこれら軸間の位相差を変更調節可能とする差動伝達機構とを備えるミシンにおいて、前記差動伝達機構は、前記入力軸と出力軸とが前記針棒の中心線上に配置されると共に、前記入力軸及び出力軸の回りを回動可能とする支持枠と、前記支持枠に回動可能に支持されると共に前記入力軸と出力軸との間で回転力を反転して伝達する伝達体とを備え、前記支持枠に設けられ、当該支持枠への回動力が入力される第一の従動部材と、前記回動台に設けられ、当該回動台への回動力が入力される第二の従動部材と、前記回動モータから前記第一の従動部材に回動力を入力する第一の主動部材と、前記回動モータから前記第二の従動部材に回動力を入力する第二の主動部材とを有し、前記第一の主動部材から前記第一の従動部材への回転伝達量を前記第二の主動部材から前記第二の従動部材への回転伝達量の二分の一とし、前記第一の主動部材と前記第二の主動部材とが同時回転を行うように同一軸上に固定装備したことを特徴とするミシン。【請求項2】前記回動モータは、前記針棒回動機構による前記針棒の回動動作の駆動源を兼ねることを特徴とする請求項1記載のミシン。【請求項3】前記差動伝達機構は、差動歯車機構であることを特徴とする請求項1又は2記載のミシン。【請求項4】前記差動伝達機構の伝達体は、前記入力軸と出力軸との間の回転力をベルト又はベルトと歯車の組み合わせを用いて伝達することを特徴とする請求項1又は2記載のミシン。【請求項5】前記第一の主動部材から第一の従動部材と前記第二の主動部材から第二の従動部材の回転力の伝達をそれぞれベルトとプーリ又はスプロケットを用いて行うことを特徴とする請求項1から4のいずれか一項に記載のミシン。【請求項6】前記第一の主動部材から第一の従動部材と前記第二の主動部材から第二の従動部材の回転力の伝達をそれぞれ歯車を用いて行うことを特徴とする請求項1から4のいずれか一項に記載のミシン。【請求項7】前記針棒の中心線に垂直な平面上において任意の方向に被縫製物を移動可能な移動機構を備え、前記移動機構による被縫製物の移動を行う前に、当該被縫製物の移動方向が予め定められたヒッチステッチの発生する範囲に含まれる場合に当該範囲から外れるよう前記回動モータを駆動する縫い制御手段を備えることを特徴とする請求項1から6のいずれか一項に記載のミシン。
【請求項1】縫い針を保持して垂直な中心線に沿って上下動を行う針棒と、回動動作により上糸を下糸に絡める釜と、前記針棒の上下動と前記釜の回動動作の駆動源となるミシンモータと、前記針棒を前記中心線回りに回動させる針棒回動機構と、前記釜を回動可能に支持すると共にミシンフレームに対して前記針棒の中心線回りに回動可能に支持された回動台と、前記回動台の回動動作の駆動源となる回動モータと、前記ミシンモータから前記釜に動力を伝達する入力軸及び出力軸を備えると共にこれら軸間の位相差を変更調節可能とする差動伝達機構とを備えるミシンにおいて、前記差動伝達機構は、前記入力軸と出力軸とが前記針棒の中心線上に配置されると共に、前記入力軸及び出力軸の回りを回動可能とする支持枠と、前記支持枠に回動可能に支持されると共に前記入力軸と出力軸との間で回転力を反転して伝達する伝達体とを備え、前記支持枠に設けられ、当該支持枠への回動力が入力される第一の従動部材と、前記回動台に設けられ、当該回動台への回動力が入力される第二の従動部材と、前記回動モータから前記第一の従動部材に回動力を入力する第一の主動部材と、前記回動モータから前記第二の従動部材に回動力を入力する第二の主動部材とを有し、前記第一の主動部材から前記第一の従動部材への回転伝達量を前記第二の主動部材から前記第二の従動部材への回転伝達量の二分の一とし、前記第一の主動部材と前記第二の主動部材とが同時回転を行うように同一軸上に固定装備したことを特徴とするミシン。
【技術分野】【0001】本発明は、パーフェクトステッチを実現するためのミシンに関する。
【背景技術】【0002】ミシンにより形成される縫い目には、上糸と下糸とが互いに均整が採れた状態で絡められて形成されたパーフェクトステッチ(図24(a)参照)と、上糸のみが螺旋を描くように形成されたヒッチステッチ(図24(b)参照)とがある。パーフェクトステッチは、上糸の張力と下糸の張力とが互いにバランス良く生地に作用して良好な仕上がりとなり、縫い品質の高い縫製物を提供することができる。一方、ヒッチステッチは、上糸の張力が弱くなりやすく、下糸の張力のバランスが悪く、縫い目が緩くなる等の悪影響が出やすくなり、縫い品質の低下を招きやすい問題がある。上記ヒッチステッチの発生原因の一つとして、縫い針と釜の相対的な位置関係と布送りによる進行方向との関係が挙げられる。【0003】そこで、従来のミシンでは、縫い針と釜土台とを縫い針の中心線回りに回動させて布送り方向に対する縫い針に対する釜の配置を強制的に変更することでヒッチステッチの発生を防いでいた(例えば、特許文献1参照)。図25に示す従来のミシンは、ミシンフレーム上に縫い針1001の中心線回りに回動可能に搭載された釜取付台1002と、その軸心が釜取付台1002の回動中心位置を通るように配置され、ミシンモータからのトルクを釜1003の回転動力として釜に伝達する釜駆動軸1004と、釜取付台1002の回動による釜1003の回転における位相変化を相殺するための釜位相調整機構1010と、釜取付台1002及び縫い針1001を回動させる駆動源としてのステッピングモータ1005とを備えている。そして、上記釜位相調整機構1010は、水平軸線上に配置された送り軸1011に設けられた平歯車1012と、送り軸下方の同一の水平軸線上に配置された左右下軸1013,1014と、左右下軸1013,1014間に設けられた差動歯車機構1016と、差動歯車機構1016を支持するブラケット1015と、送り軸1011に設けられた第1平歯車1012の回転をブラケット1015に伝達する第2平歯車1017とを備え、前述したステッピングモータ1005は釜取付台1002を回動させると共に第1、第2平歯車1012,1017を回動させる駆動源となっている。これにより、ヒッチステッチを回避するために釜取付台1002と縫い針1001を回動させるためにステッピングモータ1005を駆動すると、釜取付台1002の回動に伴い、差動歯車機構のブラケット1015も回動し、左右下軸1013,1014間で所定の位相差を生じさせて、釜取付台1002が回動しても縫い針1001に対して釜1003の剣先が上糸を捕捉するタイミングが狂わないように釜1003の位相調整を行っている。
【発明が解決しようとする課題】【0005】しかしながら、上記従来のミシンは、ステッピングモータ1005を含むミシン全体の電源がオフされた状態の時には、上軸1006、左右下軸1013,1014、釜取付台1002などが全てフリー状態(外力を受けると容易に回転する状態)となり、釜取付台1002が外力を受けて回動を生じると、差動歯車機構1006のブラケット1015も回動を生じることとなり、その結果、ミシンモータから動力を得ている左右下軸1013,1014も回転し、上軸1006にも回転が伝達されて、縫い針1001も上下動を生じてしまうという問題があった。その結果、例えば、縫い針1001が下降を生じた場合に、周囲のものと接触して、縫い針1001や針棒そのもの、それらの動力伝達機構などが破損するという問題が生じるおそれがあった。【0006】本発明は、布送り方向に関わらず、パーフェクトステッチを形成可能なミシンで、不慮の縫い針の上下動を防止することをその目的とする。
【課題を解決するための手段】【0007】請求項1記載の発明は、縫い針を保持して垂直な中心線に沿って上下動を行う針棒と、回動動作により上糸を下糸に絡める釜と、前記針棒の上下動と前記釜の回動動作の駆動源となるミシンモータと、前記針棒を前記中心線回りに回動させる針棒回動機構と、前記釜を回動可能に支持すると共にミシンフレームに対して前記針棒の中心線回りに回動可能に支持された回動台と、前記回動台の回動動作の駆動源となる回動モータと、前記ミシンモータから前記釜に動力を伝達する入力軸及び出力軸を備えると共にこれら軸間の位相差を変更調節可能とする差動伝達機構とを備えるミシンにおいて、前記差動伝達機構は、前記入力軸と出力軸とが前記針棒の中心線上に配置されると共に、前記入力軸及び出力軸の回りを回動可能とする支持枠と、前記支持枠に回動可能に支持されると共に前記入力軸と出力軸との間で回転力を反転して伝達する伝達体とを備え、前記支持枠に設けられ、当該支持枠への回動力が入力される第一の従動部材と、前記回動台に設けられ、当該回動台への回動力が入力される第二の従動部材と、前記回動モータから前記第一の従動部材に回動力を入力する第一の主動部材と、前記回動モータから前記第二の従動部材に回動力を入力する第二の主動部材とを有し、前記第一の主動部材から前記第一の従動部材への回転伝達量を前記第二の主動部材から前記第二の従動部材への回転伝達量の二分の一とし、前記第一の主動部材と前記第二の主動部材とが同時回転を行うように同一軸上に固定装備したことを特徴とする。【0008】請求項2記載の発明は、請求項1記載の発明と同様の構成を備えると共に、前記回動モータは、前記針棒回動機構による前記針棒の回動動作の駆動源を兼ねることを特徴とする。【0009】請求項3記載の発明は、請求項1又は2記載の発明と同様の構成を備えると共に、前記差動伝達機構は、差動歯車機構であることを特徴とする。【0010】請求項4記載の発明は、請求項1又は2記載の発明と同様の構成を備えると共に、前記差動伝達機構の伝達体は、前記入力軸と出力軸との間の回転力をベルト又はベルトと歯車の組み合わせを用いて伝達することを特徴とする。【0011】請求項5記載の発明は、請求項1から4のいずれか一項に記載の発明と同様の構成を備えると共に、前記第一の主動部材から第一の従動部材と前記第二の主動部材から第二の従動部材の回転力の伝達をそれぞれベルトとプーリ又はスプロケットを用いて行うことを特徴とする。【0012】請求項6記載の発明は、請求項1から4のいずれか一項に記載の発明と同様の構成を備えると共に、前記第一の主動部材から第一の従動部材と前記第二の主動部材から第二の従動部材の回転力の伝達をそれぞれ歯車を用いて行うことを特徴とする。【0013】請求項7記載の発明は、請求項1から6のいずれか一項に記載の発明と同様の構成を備えると共に、前記針棒の中心線に垂直な平面上において任意の方向に被縫製物を移動可能な移動機構を備え、前記移動機構による被縫製物の移動を行う前に、当該被縫製物の移動方向が予め定められたヒッチステッチの発生する範囲に含まれる場合に当該範囲から外れるよう前記回動モータを駆動する縫い制御手段を備えることを特徴とする。
【発明の効果】【0014】上記発明は、ヒッチステッチが発生する場合に、回動台を回動モータにより回動させることで針棒の中心線回りに釜が回動を行い、布送り方向と縫い針-釜の相対的位置関係(縫い針に対していずれの方向から釜が上糸を捕捉するか)との関係が変更され、パーフェクトステッチが形成されるように調整することが可能となる。【0015】そして、釜の周回移動を行うと、その位相が変動を生じて剣先による上糸の捕捉タイミングが変わってしまうので、差動伝達機構により位相の調整を行う。このとき、回動台が差動伝達機構の出力軸の回転方向と同じ方向に回動すると釜は回動角度分の位相の遅れを生じ、回動台が差動伝達機構の出力軸の回転方向の逆方向に回動すると釜は回動角度分の位相の進みを生じる。一方、支持枠を出力軸の回転方向と同じ方向に回転させればその回転角度の二倍で位相が進み、逆方向に回転させるとその回転角度の二倍の位相が遅れる。従って、回動台の回動移動方向及び移動角度に応じて支持枠を所定の方向に回動させることで位相を調整することができる。従って、第一の主動部材から第一の従動部材への回転伝達量が第二の主動部材から第二の従動部材への回転伝達量の二分の一とし、第一及び第二の従動部材が同方向に回動力が入力されることにより、釜を周回移動させつつ位相の変動を解消することが可能となる。【0016】さらに、第一の主動部材と第二の主動部材とが同時回転を行うように同一軸上に固定装備しているので、ミシンモータ及び回動モータが通電されずにフリーとなっている場合に回動台に外力が付与されて回動した場合に、回動台に装備された第二の従動部材から第二の主動部材へ回転が伝わり、さらに、同一軸で連結された第一の主動部材が第二の主動部材と同じ角度で回転を行い、第一の主動部材から第一の従動部材へ回転が伝わり、結果的に支持枠が回動台の二分の一の角度で回動を行う。これにより、出力軸が回動台と同じ方向に同じ角度回転を行う一方で、入力軸は回転を行わない。従って、回動台が回転しても入力軸の上流側に回転が伝わらず、針棒がつられて上下動することが抑止される。【0017】また、任意の方向に被縫製物を移動可能な移動機構を備え、被縫製物の移動方向が予め定められたヒッチステッチの発生する範囲に含まれる場合に当該範囲から外れるよう回動モータを駆動する縫い制御を行う構成とした場合には、被縫製物に対するいずれの方向への運針の際にもヒッチステッチの発生を効果的に抑え、全体的にパーフェクトステッチで縫製を行うことができ、縫い品質を飛躍的に向上させることが可能となる。
【発明を実施するための形態】【0019】(第1の実施形態)本発明の第1の実施の形態を図1~図13に基づいて説明する。本実施形態として以下に記載するミシン100は、いわゆる電子サイクルミシンであり、縫製を行う被縫製物である布地を保持する布保持部としての保持枠を有し、その保持枠が縫い針に対し相対的に移動することにより、保持枠に保持される布地に所定の縫製データに基づく縫製パターンを形成する。図1は本発明にかかるミシン100の斜視図、図2はミシン100の機構構造を概略的に図示した構成図である。ここで、後述する縫い針11が上下動を行う方向をZ軸方向(上下方向)とし、これと直交する一の方向をX軸方向(左右方向)とし、Z軸方向とX軸方向の両方に直交する方向をY軸方向(前後方向)と定義する。【0020】上記ミシン100は、縫い針11をその下端部に保持してZ軸方向に沿って上下動を行う針棒12と、ミシンモータ21を駆動源として縫い針を上下動させる針上下動機構20と、針棒12をZ軸方向に沿ったその中心線回りに回動させる針棒回動機構30と、縫い針11に通された上糸に下糸を絡める釜13と、ミシンモータ21から釜13に動力を伝達する入力軸41及び出力軸42を備えると共にこれら軸間の位相差を変更調節可能とする差動伝達機構40と、ミシンモータ21から差動伝達機構40の入力軸41にトルクを伝達する動力伝達機構70と、布地を保持してX-Y平面に沿って任意に移動位置決めを行う移動機構としての布移動機構80と、上記各構成の動作制御を行う動作制御手段としての制御装置90と、ミシン100の各構成を支持するミシンフレーム101とを主に備えている。【0021】(ミシンフレーム)図1に示すように、ミシン100は、外形がX軸方向から見て略コ字状を呈するミシンフレーム101を備えている。このミシンフレーム101は、ミシン100の上部をなしY軸方向に延びるミシンアーム部101aと、ミシン100の下部をなしY軸方向に延びるミシンベッド部101bと、上下に位置するミシンアーム部101a及びミシンベッド部101bとを連結する縦胴部101cとを有している。【0022】(針上下動機構)図1及び図2に示すように、針上下動機構20は、上記ミシンアーム部101a内においてY軸方向に沿った状態で回転可能に支持された上軸22と、上軸22の一端部から回転力を付与すミシンモータ21と、上軸22の他端部に設けられた針棒クランク23と、針棒クランク23の回転中心に対する偏心位置に一端部が連結されたクランクロッド24と、クランクロッド24から針棒12に上下動を伝達する針棒抱き25とを備えている。上軸22はミシンモータ21の出力軸に直結されて回転駆動が行われ、上軸22の回転は針棒クランク23とクランクロッド24とにより上下の往復動作に変換されて針棒抱き25を介して針棒12に伝達される。なお、針棒12は、後述する針棒回動機構30により、その中心線回りに回動動作が付与されるようになっている。このため、クランクロッド24の他端部においてY軸方向の軸線を中心に回動可能に設けられた角駒26を針棒抱き25に形成された凹部に嵌合し、凹部の内面が角駒26を上下に挟むことでクランクロッド24と針棒抱き25との連結を図っている。これにより、針棒12と共に針棒抱き25が回動を行っても、凹部の内側で角駒26が滑動して連結が外れず、針棒12の回動を許容しつつクランクロッド24からの上下動の付与を行うことが可能となっている。【0023】(針棒回動機構)図2に示すように、針棒回動機構30は、ミシンアーム部101aの面部側の端部の内部に装備され、針棒12を上下動可能に支持する針棒回動台31と、針棒12の回動駆動源となる針回動モータ32と、針回動モータ32から針棒回動台31に回動力を伝達する伝達手段とを備えている。針棒回動台31は、図示しない針棒メタル(金属製軸受け)により針棒12をZ軸方向に沿って滑動可能に支持すると共に、その上下の端部においてミシンフレーム101によりZ軸回りに回動可能に支持されている。また、針棒回動台31には、前述した針棒抱き25からY軸方向に沿って延出された回り止めが挿入されるガイド溝36がZ軸方向に沿って形成されており、針棒12の上下動を許容しつつも針棒12が針棒回動台31と共にZ軸回りに回動を行うよう支持している。また、針棒12の中心線と針棒回動台31の回動中心線とは同一線上となるよう設計されている。伝達手段は、針回動モータ32の出力軸に装備された主動スプロケット33と、針棒回動台31の回動中心線と同心で針棒回動台31の上端部に固定装備された従動スプロケット34と、これらのスプロケット33,34に掛け渡されたタイミングベルト35とを備えている。これにより、針回動モータ32の駆動によって、針棒回動台31及び針棒12をその中心線回りに任意に角度調節することを可能としている。【0024】(動力伝達機構)図2に示すように、動力伝達機構70は、ミシンベッド部101b内においてY軸方向に沿った状態で回転可能に支持された下軸71と、上軸22から下軸71にトルク伝達を行う第一の伝達機構72と、ミシンベッド部101b内においてZ軸方向に沿った状態で回転可能に支持された伝達軸73と、下軸71から伝達軸73にトルク伝達を行う第二の伝達機構74と、伝達軸73から差動伝達機構40の入力軸41にトルク伝達を行う第三の伝達機構75とを備えている。【0025】第一の伝達機構72は、上軸22に装備された主動スプロケット721と、下軸71に装備された従動スプロケット722と、縦胴部101c内においてスプロケット721,722に掛け渡されたタイミングベルト723とを備えている。これにより、下軸71を上軸22に同期回転させることを可能としている。第二の伝達機構74は、下軸71の一端部に装備されたかさば歯車741と、伝達軸73の上端部に装備されたかさば歯車742とを備えている。これにより、互いに直交する下軸71と伝達軸73を同期回転させることを可能としている。第三の伝達機構75は、伝達軸73の下端部に装備された主動スプロケット751と、差動伝達機構40の入力軸41に装備された従動スプロケット752と、ミシンベッド部101b内においてスプロケット751,752に掛け渡されたタイミングベルト753と、タイミングベルト753にテンションを付与するテンションプーリ754(図7参照)とを備えている。これにより、伝達軸73と差動伝達機構40の入力軸41が同期回転する。従って、これらの構成により、動力伝達機構70は、上軸21(針棒12の上下動周期)と釜13の回転との同期を図りつつ、ミシンモータ21から差動伝達機構40までトルク伝達を行うことを可能としている。【0026】(布移動機構)図1に示すように、布移動機構80は、ミシンベッド部101bの上面において被縫製物を保持する保持枠81と、保持枠81を昇降可能に支持する支持アーム82と、支持アーム82を介して保持枠81をX軸方向に移動させるX軸モータ83(図11参照)と、支持アーム82を介して保持枠81をY軸方向に移動させるY軸モータ84(図11参照)とを備えている。布移動機構80は、かかる構成により、保持枠81を介して被縫製物をX-Y平面の任意の位置に移動位置決めすることができ、一針ごとに任意の位置に針落ちを行うことができ、自在な縫い目の形成が可能となっている。【0027】(釜及び差動伝達機構)図3は釜13及び差動伝達機構40の斜視図、図4は針棒12の中心線C及びY-Z平面に沿った断面図、図5は正面図、図6は平面図、図7は底面図である。図2乃至図7に基づいて釜13及び差動伝達機構40について説明する。なお、これ以下の説明において、「針棒12の中心線C」を単に「中心線C」というものとする。【0028】釜13は、いわゆる全回転の水平釜であり、針板16の下方に配置されると共に釜13の下部に支軸14を備え、当該支軸14は釜土台15によりZ軸回りに回転可能に支持されている。釜土台15は、差動伝達機構40の回動台43の上部に固定装備され、回動台43と共に中心線C回りに回動することができ、これにより、釜13が中心線C回りに回動して旋回移動を行うことが可能となっている。また、上記釜13は、その剣先が縫い針11の脇を通過することで上糸を捕捉するので、中心線Cと釜13の支軸14の中心線との間の距離を微調節する必要があり、釜土台15は長穴15aと止めネジ15bとによって回動台43に取り付けられている。これにより、止めネジ15bを緩めることで上記微調節を可能としている。【0029】差動伝達機構40は、針棒12の下方であってミシンベッド部101bの一端部に取り付けられてミシンフレーム101と一体をなす支持フレーム102に支持されている。そして、差動伝達機構40は、動力伝達機構70から伝わるミシンモータ21からの回転力を釜13に伝達して支軸14回りに回転させる機能と、針棒12の中心線C回りに釜13の位置を回動させる機能と、当該釜位置の回動による支軸14回りの位相の変動を補正する機能とを有するものである。【0030】即ち、差動伝達機構40は、動力伝達機構70を介してミシンモータ21から回転力が入力される入力軸41と、入力軸41から伝達される回転力を釜13側に出力する出力軸42と、入力軸41及び出力軸42を支持する支持枠441を有すると共にこれらの軸間で回転力を伝達する軸間伝達部44と、出力軸42から釜13の支軸14に回転力を伝達する釜伝達部45と、釜土台15を保持すると共に支持フレーム102により中心線C回りに回動可能に支持された回動台43と、支持枠441と回動台43とに回動動作を付与する回動付与機構46とを備えている。【0031】上記回動台43は、支持フレーム102の上面部において中心線Cと同心且つ回動可能に支持されている円形の天板部431と、支持フレーム102の下部において中心線Cを同心且つ回動可能に支持されている円筒部432と、これらを一体的に連結する支柱部433とを備えている。天板部431は、その中心が円形に開口されており、当該開口部431aには出力軸42の上端部が上方に突出するように遊挿されている。【0032】釜伝達部45は、上記天板部431の開口部431aから突出した出力軸42の上端部に固定装備された主動スプロケット451と、当該主動スプロケット451に隣接すると共に釜13の支軸14の下端部に固定装備された従動スプロケット452と、天板部431の上面において主動スプロケット451を挟んで従動スプロケット452の逆側となる位置でZ軸回りに軸支されたアイドラースプロケット453と、天板部431の上面においてZ軸回りに軸支されたテンションプーリ454と、これらスプロケット及びテンションプーリ454に掛け渡された両歯タイミングベルト455とを備えている。両歯タイミングベルト455は、図6に示すように、従動スプロケット452と主動スプロケット451とアイドラースプロケット453との間を蛇行するように掛け渡される。両歯タイミングベルト455の内面の歯が、従動スプロケット452及びアイドラースプロケット453の外周面に噛合し、その内面の歯が主動スプロケット451の外周面と噛合する。また、テンションプーリ454は、両歯タイミングベルト455の内側にあって当該ベルト455にテンションを与えている。かかる構成により、主動スプロケット451が出力軸42と共に回転すると、両歯タイミングベルト455を介して、従動スプロケット452が主動スプロケット451とは逆方向に回転する。【0033】軸間伝達部44において支持枠441が回動台43の内側において当該回動台43により中心線C回りに回動可能に支持されると共に、当該支持枠441が入力軸41及び出力軸42を中心線C回りに回転可能に支持している。そして、軸間伝達部44は、入力軸41の対向端部に固定装備された第一のかさば歯車442と、出力軸42の対向端部に固定装備された第二のかさば歯車443と、互いに対向する第一と第二のかさば歯車442,443の双方に噛合する伝達かさば歯車444を軸支する伝達体445とを備えている。【0034】支持枠441は、その上下に円筒部を有し、下側の円筒部441aは回動台43の円筒部432に挿入され、また、上側の円筒部441bは前述した回動台43の天板部431の開口部431aに挿入されている。そして、これにより、支持枠441は、回動台43とは独立して中心線C回りに回動することを可能としている。また、支持枠441の下側の円筒部441aの内側には同心で入力軸41が軸受けを介して軸支されており、上側の円筒部441bの内側には同心で出力軸42が軸受けを介して軸支されている。【0035】さらに、支持枠441の下側円筒部441aと上側円筒部441bとの間は、略四角形の枠状構造となっており、当該枠状部により、入力軸41と出力軸42の対向端部の間に通って中心線Cに直交する軸状の伝達体445が回転可能に支持されている。そして、伝達体445に装備された伝達かさば歯車444が第一と第二のかさば歯車442,443の双方に噛合する。かかる構造により、軸間伝達部44はいわゆる差動歯車機構を構成している。【0036】回動付与機構46は、支持枠441の下側円筒部441aの下端部に固定装備され、当該支持枠441への回動力が入力される第一の従動部材としての第一の従動スプロケット461と、回動台43の円筒部432の下端部に固定装備され、当該回動台43への回動力が入力される第二の従動部材としての第二の従動スプロケット462と、支持枠441及び回動台43の回動駆動源となる回動モータ463と、回動モータ463から第一の従動スプロケット461に回動力を入力する第一の主動部材としての第一の主動スプロケット464と、回動モータ463から第二の従動スプロケット462に回動力を入力する第二の主動部材としての第二の主動スプロケット465と、第一の主動スプロケット464と第一の従動スプロケット461との間に掛け渡されたタイミングベルト466と、第二の主動スプロケット465と第二の従動スプロケット462との間に掛け渡されたタイミングベルト467と、タイミングベルト467にテンションを付与するテンションプーリ468(図7参照)とを備えている。【0037】そして、第一の従動スプロケット461と第二の従動スプロケット462とは、その有効径の大きさが同一に設定されており、第一の主動スプロケット464と第二の主動スプロケット465とは、その有効径の大きさが1:2に設定されている。即ち、回動モータ463の駆動により、支持枠441に付与される回動角度は回動台43の半分になる。さらに、第一の主動スプロケット464と第二の主動スプロケット465とはいずれも回動モータ463の出力軸に固定装備されているため、これらのスプロケット464,465は常に連動して同時に回転を行うようになっている。【0038】差動伝達機構40は、上記の構成により、釜13の中心線C回りの回動動作を行わずに、単に釜13を回転させる場合には、回動モータ463が制止状態を維持し、動力伝達機構70を通じてミシンモータ21から入力軸41に回転力を入力する。これにより、入力軸41から第一のかさば歯車442,伝達体を構成する伝達かさば歯車444,第二のかさば歯車443を通じて、出力軸42に入力軸41と同じ回転速度で逆方向の回転が伝達される。すなわち、伝達体を構成する伝達かさば歯車444は、入力軸41と出力軸42の間に配置され回転力を反転して伝達する。また、後述する伝達体の本体445は、支持枠441に回動可能に支持されている。なお、伝達かさば歯車444と伝達体の本体445は一体的に形成されても良いし、別部品を結合してもよい。さらに、出力軸42から釜伝達部45の主動スプロケット451,両歯タイミングベルト455,従動スプロケット452を通じて支軸14及び釜13に出力軸42と同じ回転速度で逆方向の回転が伝達される。これにより、差動伝達機構40は、動力伝達機構70から伝わるミシンモータ21からの回転力を釜13に伝達して支軸14回りに回転させる機能を実現している。【0039】また、差動伝達機構40は、ヒッチステッチの発生を回避するために、釜13を中心線C回りに回動させる際には、回動モータ463を駆動して、第二の主動スプロケット465,タイミングベルト467,第二の従動スプロケット462を介して回動台43を回動させて、天板部431の上の釜13を、中心線C回りに周回移動させる。これにより、差動伝達機構40は、針棒12の中心線C回りに釜13の位置を回動させる機能を実現している。【0040】また、縫製中、即ち、釜13の回転中に釜13を中心線C回りに回動させると、釜13に回転を伝えている入力軸41及び出力軸42の周囲で釜13が周回移動することから、釜13の支軸14回りの回転における位相に変動が生じてしまう。即ち、図8の例に示すように、中心線C回りの回動による釜13の向きの変動と、回動により主動スプロケット451-従動スプロケット452間で回転が生じることによる変動とにより、釜13には、中心線Cに対する回動角度の変化量に対して支軸14を中心として回動角度分の位相変化が発生することとなる。図8の例では、説明の簡易化のために主動スプロケット451を固定し、釜13が反時計方向に180°回動した場合を例示する。図8(B)のように、釜13の反時計方向の180°の回動に対して、釜13は、(回動角度180°)+(タイミングベルト455による従動スプロケット452の回転角度180°)=360°の反時計方向の回転を生じることとなる。このとき、釜13の位相は、針位置から見た場合の位相であり、針位置から見た釜13の位相の変動は、反時計方向に、360°-180°=180°となる。そして、その結果、釜13の剣先は、予め設定された適正なタイミング(上軸角度)で縫い針11から上糸を捕捉することができなくなるという問題を生じてしまう。【0041】そこで、差動伝達機構40では、釜13を中心線C回りに回動させるために回動モータ463を駆動すると、第二の主動スプロケット465と共にモータの出力軸に設けられた第一の主動スプロケット464が回転し、タイミングベルト466,第一の従動スプロケット461を介して支持枠441も回動台43と同じ方向に回動する。なお、第一の主動スプロケット464は有効径が第二の主動スプロケット465の二分の一なので、支持枠441は回動台43と同じ方向に半分の角度で回動を行う。支持枠441が上記角度で回動を行うと、支持枠441に支持されている伝達体445(伝達体の本体とも称する。)は上記回動角度で移動すると共にその伝達かさば歯車444が第一のかさば歯車442に噛合しているため、伝達体445自体が回転する。このため、伝達体445の上記回動角度での移動とそれ自体の回転により、第二のかさば歯車443及び出力軸42は支持枠441の回動角度の二倍の角度で同方向に回転を生じることとなる。図9にこの例を示す。図9では、説明の簡易化のために、第一と第二のかさば歯車442,443及び伝達かさば歯車444が全て同じ比率で回転するものとする。第一のかさば歯車442を固定し、支持枠441が上方から見て反時計方向に90°回動した場合を例示する。図9(B)のように、支持枠441及び伝達かさば歯車444の反時計方向の90°の回動に対して、第二のかさば歯車443は、(回動角度90°)+(伝達かさば歯車444による回転角度90°)=180°の反時計方向の回転を生じることとなる。【0042】このように、回動台43が所定角度回動を行うと、支持枠441は同じ方向にその半分の角度で回動を行う。例えば、図10に示すように、回動台43が180°反時計方向に回動し、支持枠441が90°反時計方向に回動を行うと、出力軸42及び主動スプロケット451は反時計方向に180°回転し、これにより、従動スプロケット452及び釜13の回転角度は360°から180°減じられて、反時計方向に180°回転する。つまり、図10の釜13に付した▲のマーキングを剣先位置とした場合、図10(A)では剣先が中心線C側に位置しており、釜13を中心線C回りに180°回動させた後の図10(B)の状態でも、剣先が中心線C側に位置する状態が維持される。従って、釜13の中心線C回りの回動時にも、釜13は縫い針11に対して同じ位相でその動作を実行することとなる。【0043】これにより、差動伝達機構40は、釜位置の回動による支軸14回りの位相の変動を補正する機能を実現している。なお、実際の差動伝達機構40は、第一のかさば歯車442と第二のかさば歯車443とは同じ大きさで同じ歯数のものを使用するが、伝達かさば歯車444については、より小型且つ歯数の少ないものを使用することで、差動伝達機構40の小型化を図っているが、第一と第二のかさば歯車442,443の間での伝達量に影響は生じない。また、図10の例では、回動台43を反時計方向に180°回動させる場合を例示したが、いずれの方向にいずれの角度で回動させた場合でも、釜13の位相は適正に補正される。また、入力軸41が回転駆動している場合でも同様である。【0044】(ミシンの制御系:制御装置)図11はミシン100の制御系を示したブロック図である。ミシン100は、上述した各部、各部材の動作を制御するための動作制御手段としての制御装置90を備えている。そして、制御装置90は、縫製における動作制御を行うためのプログラムが格納されたROM92と、演算処理の作業領域地となるRAM93と、縫製データを記憶する記憶手段としての不揮発性のデータメモリ94と、ROM92内のプログラムを実行するCPU91とを備えている。【0045】また、CPU91は、ミシンモータ駆動回路22a、X軸モータ駆動回路83a、Y軸モータ駆動回路84a、回動モータ駆動回路436a、針回動モータ駆動回路32aを介して、ミシンモータ21、X軸モータ83、Y軸モータ84、回動モータ436、針回動モータ32のそれぞれに接続され、各モータ21,83,84,463,32の駆動を制御する。また、ミシンモータ21は、図示しないエンコーダを備えており、その検出角度がCPU91に出力される。また、上記各モータ83,84,463,32はステッピングモータであり、これらの図示しない原点検索手段がCPU91に接続され、その出力からCPU91は各モータの原点位置を認識することができる。【0046】データメモリ94に格納されている縫製データには、所定の縫製パターンを縫製するための一針ごとのX軸モータ83及びY軸モータ84の動作量が順番に記憶されており、CPU91は、縫製の際には、一針ごとにX軸モータ83及びY軸モータ84の動作量を読み込むと共に当該各動作量に応じてX軸モータ83及びY軸モータ84を駆動する動作制御を行う。【0047】また、CPU91は、上記縫製データに基づく縫製制御の実行に伴い、ヒッチステッチ回避制御を実行する。図12は、X-Y平面上において、針棒の中心線Cの位置から釜13の回転中心線の位置に向かう基準線の方向を基準(0°)とした場合に、ヒッチステッチが発生する縫い方向を斜線のエリアHで示した説明図である。即ち、図示のように、針棒中心線Cの位置から釜13に向かう方向に対してθ1からθ2の角度範囲内に向かって運針を行うと、ヒッチステッチが発生する。かかるヒッチステッチの発生する方向は、釜の種類やその他の諸条件により変動するので、ミシンごとに試し縫い等を行って得られた角度θ1、θ2の数値を特定しても良い。これらθ1、θ2の値は予めデータメモリ94内に登録される。そして、CPU91は、縫製時に縫製データの一針ごとのX軸モータ83及びY軸モータ84の動作量を読み込むと、当該各動作量から次の運針の縫い方向を算出し、現在の釜13の位置を基準に算出した縫い方向がθ1からθ2の角度範囲内か否かを判定する。そして、範囲内の時には、針落ちが行われる前に、θ1からθ2の角度範囲から外れる角度まで針回動モータ32及び回動モータ463を駆動し、針棒12及び釜13を中心線C回りに回動させる。また、縫い方向がθ1からθ2の角度範囲外の場合には、現在の針棒12及び釜13の回動位置を維持する。上記ヒッチステッチ回避制御を縫製データの全針について実施することにより、縫製パターン全体においてヒッチステッチの発生を回避することが可能である。【0048】図13は上記ヒッチステッチ回避制御のフローチャートである。これに基づいてヒッチステッチ回避制御を具体的に説明する。まず、縫製時において、CPU91は、縫製データからX軸方向及びY軸方向の移動量の読み込みと現在の釜13の中心線Cを中心とする角度の記憶の読み込みを行う(ステップS1)。そして、中心線Cの位置と釜13の中心位置とを結ぶ直線に対する縫い方向の角度θ0を算出する(ステップS3)。さらに、CPU91は、算出した縫い方向の角度θ0がθ1からθ2の角度範囲内か否かを判定する(ステップS5)。【0049】そして、角度範囲外の場合にはそのまま処理を終了して針落ちを行い、角度範囲内の時には、正逆いずれの方向に釜13を回動させるべきかを判定する(ステップS7)。即ち、θ1-θ0の絶対値がθ2-θ0の絶対値よりも小さいか否かを判定し、θ1-θ0の絶対値が小さい場合には、当該値にマージンとなる角度αを加算した角度で釜13及び針棒12の正方向の回動を行う(ステップS9)。また、θ2-θ0の絶対値が小さい場合には、当該値にマージンとなる角度αを加算した角度で釜13及び針棒12の逆方向の回動を行う(ステップS11)。なお、マージンとなる角度αは任意に設定可能とすることが望ましく、その設定値はデータメモリ94内に記憶される。さらに、CPU91は、次回のヒッチステッチ角度領域判定のために、回動を行った後の釜13の中心線回りの角度を記憶する。そして、ヒッチステッチ回避制御を終了する。なお、かかる制御は、毎針の針落ちの前に実施される。なお、上記制御にあっては、回動モータ463の原点検索を行った後、制御動作量を逐次記憶することにより中心線C回りの釜13の角度を常に把握することが可能であるが、回動台43或いは針棒回動台31に角度センサを設け、その検出により釜13の角度を取得しても良い。【0050】(第一の実施形態の作用効果)以上の構成により、ミシン100は、中心線C回りに釜13及び針棒12を回動させることにより、ヒッチステッチの発生を効果的に回避することができ、縫い全体に渡ってパーフェクトステッチを実現することで縫い品質を飛躍的に向上することが可能となる。また、差動伝達機構40により、釜13を中心線C回りに回動させても縫い針11に対する釜13の位相が一定に維持されるので、安定した縫製を行うことが可能となる。【0051】また、差動伝達機構40の回動付与機構46の第一の主動スプロケット464と第二のスプロケット465とが同一軸上に連結されているので、これらが常に連動回転を行うようになっている。このため、例えば、ミシン100全体の電源をオフにする等により各モータの軸保持力が生じない状態において、釜13の回動台43に外力が加わるなどにより回動を生じた場合に、第二の従動スプロケット462、タイミングベルト467、第二の主動スプロケット465、第一の主動スプロケット464、タイミングベルト466、第一の従動スプロケット462を通じて、支持枠441が回動台43の二分の一の角度で連動回動を行うこととなる。その結果、釜伝達部45を介して回動台43と出力軸42とが同じ角度で回動を行うと、入力軸41側には回動が生じないこととなり、当該入力軸41から動力伝達機構70及び上軸21を介して針上下動機構20に連動が生じない。従って、回動台43を不慮に回動させても、針棒に上下動が生じる事態を効果的に防止することが可能となる。【0052】なお、上記針棒回動機構30と差動伝達機構40の駆動源は共通化を図っても良い。例えば、回動モータ463の動力を、ミシンフレーム101内に配設した軸、歯車機構、ベルト機構又はこれらの組み合わせからなる周知の動力伝達機構で針棒回動台31に伝達し、回動させる構成としても良い。また、逆に、針回動モータ32の動力で差動伝達機構40側に周知の動力伝達機構で伝達する構成としても良い。なお、その場合でも、第一の主動スプロケット464と第二の主動スプロケット465とは動力伝達経路の途中で同一軸上で連結して設けることが望ましい。【0053】また、ミシン100は、各部において動力伝達にベルト機構を使用しているので、歯車機構に比べて静音化を図ることが可能となる。【0054】(第2の実施形態)本発明の第2の実施の形態を図14~図22に基づいて説明する。かかる第2の実施形態であるミシン100Aは、前述したミシン100と比べて、釜13Aが垂直釜であって半回転釜である点と、差動伝達機構40Aが差動歯車機構ではなく差動ベルト機構を用いている点が主に異なっており、それら以外の同一機能の構成については同一の符号を付して重複する説明は省略するものとする。また、以下のミシン100Aの説明において言及しない構成については、ミシン100と同一の構成を具備しているものとする。【0055】(釜及び差動伝達機構)図14は釜13A及び差動伝達機構40Aの斜視図、図15は針棒12の中心線C及びY-Z平面に沿った断面図、図16は釜13A及び差動伝達機構40Aの一部の図示を省略した斜視図、図17は底面図、図18は図15のW-W線に沿った断面図、図19は軸間伝達部の原理説明図、図20は釜伝達部の斜視図、図21は釜伝達部の他の方向からの斜視図である。図14乃至図21に基づいて釜13A及び差動伝達機構40Aについて説明する。【0056】図20,21に示すように、釜13Aは、いわゆる垂直半回転釜であり、釜13Aの内部に有する往復回動を行うドライバには中心線Cに直交する方向に沿って設けられた支軸14Aが連結され、当該支軸14Aは釜土台15Aにより回動可能に軸支されている。また、釜土台15Aは、差動伝達機構40Aの回動台43の上面に固定装備され、釜土台15Aと釜13Aとは回動台43と共に中心線C回りに回動を行うことを可能としている。【0057】差動伝達機構40Aは、支持フレーム102に支持されており、差動伝達機構40Aと同様に、釜13Aを回転させる機能と、中心線C回りに釜13Aの位置を回動させる機能と、当該釜位置の回動による支軸14回りの位相の変動を補正する機能とを有するものである。即ち、差動伝達機構40Aは、動力伝達機構70を介してミシンモータ21から回転力が入力されると共に、中心線Cとその軸心が一致するように配置された入力軸41と、入力軸41から伝達される回転力を釜13A側に出力すると共に、中心線Cとその軸心が一致するように配置された出力軸42と、入力軸41及び出力軸42を回動可能に支持する支持枠441を有すると共にこれらの軸間で回転力を伝達する軸間伝達部44Aと、出力軸42から釜13Aの支軸14Aに回転力を伝達する釜伝達部45Aと、釜土台15Aを保持すると共に支持フレーム102により中心線C回りに回動可能に支持された回動台43と、支持枠441と回動台43とに回動動作を付与する回動付与機構46とを備えている。【0058】釜伝達部45Aは、図20,21に示すように、天板部431の開口部431aから突出した出力軸42の上端部に固定装備された主動かさば歯車451Aと、当該主動かさば歯車451Aに噛合すると共に中心線Cに直交する中心線回りに回転可能な従動かさば歯車452Aと、従動かさば歯車452Aが固定装備された第一のクランク軸453Aと、クランク軸453Aの回転中心から偏心位置に一端部が連結されたクランクロッド454Aと、クランクロッド454Aの他端部に連結されて往復回動を行う第二のクランク軸455Aと、第二のクランク軸455Aに固定装備された大歯車456Aと、釜13Aの支軸14Aに固定装備されて大歯車456Aに噛合する小歯車457Aと備えている。また、釜13Aの支軸14A、第一のクランク軸453A及び第二のクランク軸455Aは、いずれも回転中心線が平行になるように釜土台15Aに支持されている。かかる構成により、出力軸42の中心線C回りの一回転分の回転動作が、中心線Cに直交する方向を中心とする一回の往復回動動作に変換されて釜13Aのドライバに付与される。なお、図20における符号432bは、回動台43(回動モータ463)の原点センサである。【0059】軸間伝達部44Aは、支持枠441と、入力軸41の対向端部に固定装備された主動スプロケット442Aと、出力軸42の対向端部に固定装備された従動スプロケット443Aと、タイミングベルト442aを介して主動スプロケット442Aと連動回転を行う第一の伝達スプロケット444Aと、従動スプロケット443Aを挟むように隣接配置された第二の伝達スプロケット445A及びアイドラースプロケット446Aと、第二の伝達スプロケット445A及びアイドラースプロケット446Aがベルト内面に接し、従動スプロケット443Aがベルト外面に接するように掛け渡された両歯タイミングベルト443aと、両歯タイミングベルト443aにテンションを付与するテンションプーリ443bと、タイミングベルト442aにテンションを付与するテンションプーリ442bと、支持枠441によりZ軸回りに回転可能に支持され、第一と第二の伝達スプロケット444A及び445Aが同時回転を行うように連結支持する軸状の伝達体447Aとを備えている。【0060】上記各スプロケット442A,443A,444A,445A,446Aは全て有効径が等しく設定されており、またこれらは全て中心線C又は中心線Cと平行な軸回りに回転可能に支持されている。そして、第一の伝達スプロケット444Aと第二の伝達スプロケット445Aとは、伝達体447Aの下端部と上端部とに固定装備され、当該伝達体447Aを軸として同時回転を行う。また、第二の伝達スプロケット445Aとアイドラースプロケット446Aとが両歯タイミングベルト443aの内面に接し、従動スプロケット443Aは両歯タイミングベルト443aの外面に接するように掛け渡されているため、第二の伝達スプロケット445Aとアイドラースプロケット446Aとは同じ方向に回転し、従動スプロケット443Aはこれらと逆方向に回転を行う。【0061】これらの構成により、支持体441を制止させた状態で入力軸41を所定角度回転させると、各スプロケット442A,444A,445A,443A及び各タイミングベルト442a,443aを介して、出力軸42には、入力軸41と同じ角度だけ逆方向に回転が伝達される。また、例えば、入力軸41及び主動スプロケット442Aを制止させた状態で、支持体441を中心線C回りに回動させると、タイミングベルト442aに引っ張られて第一の伝達スプロケット444Aは支持体441の回動と逆方向に回動角度と同じ角度で伝達体447Aを中心とする回転を行う。これにより、第二の伝達スプロケット445Aも同じ方向に同じ角度だけ連動回転を行う。その結果、従動スプロケット443Aは、支持体41の回動に伴う回動と第二の伝達スプロケット445Aから両歯タイミングベルト443aを通じて伝達される回転とにより、支持体441の回動方向に支持体41の二倍の角度で回転を行うこととなる。従って、軸間伝達部44Aは、ベルト機構により軸間伝達部44と全く同じ機能を実現している。【0062】かかる構成により、差動伝達機構40Aは、ヒッチステッチ回避のために回動台43を回動させると、支持枠441は回動台43と同じ方向に半分の角度で回動を行うこととなる。その結果、出力軸42は入力軸41に対して、回動台43と同じ方向に同じ角度だけ回転するため、釜13Aが中心線C回りに回動しても、釜13Aは支軸14A回りに位相の変動が生じなくなり、釜13Aによる上糸捕捉等の動作タイミングは適正な状態が保たれる。【0063】なお、ミシン100Aは、ミシン100と同じ制御装置90を備え、同じようにヒッチステッチ回避制御を実行する。従って、縫製データに基づく縫製パターンの全体に渡ってパーフェクトステッチで縫いを行うことが可能である。このように、ミシン100Aは、ミシン100と同一の技術的効果を奏すると共に、差動伝達機構40Aの軸間伝達部44Aにおいて、タイミングベルト442a,443aを用いたベルト機構を採用するため、差動歯車機構を採用するミシン100よりも静音化を図ることが可能となる。【0064】なお、ミシン100Aでは、釜13Aとして垂直半回転釜を採用しているが、釜伝達部45Aの従動かさば歯車452Aから支軸14Aに全回転を伝達する構造とすれば、垂直全回転釜を搭載することも可能である。また、このミシン100Aの軸間伝達部44Aをミシン100に搭載しても良いし、ミシン100の軸間伝達部44をミシン100Aに搭載しても良い。【0065】(軸間伝達部の他の例)図22は歯車機構とベルト機構とを組み合わせて用いた軸間伝達部44Bの例を示す一部構成を省略した斜視図、図23は軸間伝達部44Bの原理説明図である。なお、この軸間伝達部44Bにおいて前述した軸間伝達部44Aと同一の構成については同じ符号を付して重複する説明は省略するものとする。即ち、この軸間伝達部44Bは、入力軸41の上端部に固定装備された主動スプロケット442Aと、タイイングベルト442aを介して、主動スプロケット442Aと連結された第一の伝達スプロケット444Aと、その下端部に第一の伝達スプロケット444Aが固定装備されると共に支持枠441に回転可能に支持された軸状の伝達体447Aと、伝達体447Aの上端部に固定装備された伝達平歯車445Bと、出力軸42の下端部に固定装備され、伝達平歯車445Bに噛合する従動平歯車443Bとを備えている。【0066】上記構成により、軸間伝達部44Bは、入力軸41と出力軸42との間で互いに逆回転の伝達を可能とする。また、入力軸41を静止させた状態での支持枠441の中心線C回りの回動により、第一の伝達スプロケット444Aが回動方向と逆方向に回動角度と同じ角度で回転し、伝達平歯車445Bを通じて従動平歯車443B及び出力軸42に、支持枠441の回動角度の二倍の回動を伝達することができる。従って、この軸間伝達部44Bは、前述した軸間伝達部44Aと全く同一の機能を実現する。そして、この軸間伝達部44Bは、構成の一部に平歯車443B、445Bを採用しているので、入力軸41と出力軸42との間での逆回転方向への伝達を容易に実現することができ、ベルト機構で逆回転を伝達する軸間伝達部44Aに比べて部品点数を低減し、構成の簡易化を図ることが可能である。なお、この軸間伝達部44Bは、入力軸41側にベルト機構を設け、出力軸42側に歯車機構を設ける構成としているが、入力軸41側に歯車機構を設け、出力軸42側にベルト機構を設ける構成としてもよい。【0067】(その他)なお、回動付与機構46は、ベルトとスプロケットの構成により回動モータ463から回動台43及び支持枠441に回動動作を付与しているが、回動付与機構46から歯車機構により回動台43及び支持枠441を回動させる構成としても良い。その場合、回動台43と支持枠441には同方向の回動動作を付与し、回動台43に対する回動角度を支持枠441に対する回動角度の二倍とすることを必須とする。また、同一軸により同時回転を行う二つの歯車を用いて回動台43及び支持枠441に回動動作を付与することも必須とする。
A
2012139437
2011000102
20110104
null
null
20120726
2012139437
20120726
null
null
5778429
20150717
null
null
null
超音波診断装置
000003078,594164542
株式会社東芝,東芝メディカルシステムズ株式会社
100089118
酒井 宏明
藤井 友和,西野 正敏,樋口 治郎,中嶋 修,大森 慈浩,松永 智史
null
8
A61B 8/00 (20060101)
A61B 8/00
6
null
null
null
0
24
4C601
4C601 BB03 ,4C601 EE04 ,4C601 EE16 ,4C601 FF03 ,4C601 GA20 ,4C601 JC07 ,4C601 JC09 ,4C601 JC11 ,4C601 JC20 ,4C601 KK24
【課題】穿刺針が明瞭に描出された超音波画像を生成することができる超音波診断装置を提供することである。【解決手段】実施形態の超音波診断装置は、画像生成部と、検出部と、画像生成制御部とを有する。画像生成部は、超音波プローブから3次元領域に対して送信した超音波の反射波信号から生成されたボリュームデータに基づいて、超音波画像を生成する。検出部は、前記画像生成部によって生成された超音波画像のうち、前記3次元領域内にある穿刺針が描出された穿刺針画像を検出するか、又は、前記画像生成部によって超音波画像が生成される際に用いられたボリュームデータに基づいて前記3次元領域内にある前記穿刺針を検出して穿刺針データとして出力する。画像生成制御部は、前記穿刺針画像又は前記穿刺針データを用いて、前記穿刺針が描出された表示用画像を生成するように前記画像生成部を制御する。【選択図】図8
【請求項1】超音波プローブから3次元領域に対して送信した超音波の反射波信号から生成されたボリュームデータに基づいて、超音波画像を生成する画像生成部と、前記画像生成部によって生成された超音波画像のうち、前記3次元領域内にある穿刺針が描出された穿刺針画像を検出するか、又は、前記画像生成部によって超音波画像が生成される際に用いられたボリュームデータに基づいて前記3次元領域内にある前記穿刺針を検出して穿刺針データとして出力する検出部と、前記穿刺針画像又は前記穿刺針データを用いて、前記穿刺針が描出された表示用画像を生成するように前記画像生成部を制御する画像生成制御部とを有することを特徴とする超音波診断装置。【請求項2】前記画像生成制御部は、前記検出部によって複数の穿刺針画像が検出された場合に、該複数の穿刺針画像を重畳させた前記表示用画像を生成するように前記画像生成部を制御し、前記検出部によって前記ボリュームデータにおける複数断面にわたって前記穿刺針が検出された場合に、該複数断面分の穿刺針データを用いて前記表示用画像を生成するように前記画像生成部を制御することを特徴とする請求項1に記載の超音波診断装置。【請求項3】前記検出部は、前記穿刺針画像又は前記穿刺針データを用いて前記穿刺針が現に進行している進行方向を算出し、算出した進行方向と予め想定されている前記穿刺針の被検体に対する挿入方向とのなす進行角度を検出し、前記画像生成制御部は、前記ボリュームデータから生成する断面画像の断面方向を前記検出部によって検出された進行角度に基づいて変更させるとともに、該断面方向における断面画像を用いて前記表示用画像を生成するように前記画像生成部を制御することを特徴とする請求項1又は2に記載の超音波診断装置。【請求項4】前記検出部は、前記画像生成部によって生成された複数の断面画像から前記穿刺針を表す輝度が含まれる断面画像を前記穿刺針画像として検出し、又は、前記画像生成部によって超音波画像が生成される際に用いられたボリュームデータから前記穿刺針を表す輝度の情報を含むデータ領域を穿刺針データとして検出することを特徴とする請求項1~3のいずれか一つに記載の超音波診断装置。【請求項5】前記検出部は、前記超音波プローブが被検体に当接される当接位置、前記超音波プローブの前記被検体への挿入方向、及び、前記穿刺針の被検体内における位置に基づいて、前記複数の断面画像又は前記ボリュームデータのうち前記穿刺針を表す輝度を検出する対象範囲を変動させることを特徴とする請求項4に記載の超音波診断装置。【請求項6】前記検出部は、前記画像生成部によって生成された複数の断面画像から生体組織の移動量を算出し、算出した移動量が所定の閾値以上である生体組織を含む断面画像を前記穿刺針画像として検出し、又は、前記画像生成部によって超音波画像が生成される際に用いられたボリュームデータから生体組織の移動量を算出し、算出した移動量が所定の閾値以上であるデータ領域を前記穿刺針データとして検出することを特徴とする請求項1~3のいずれか一つに記載の超音波診断装置。
【請求項1】超音波プローブから3次元領域に対して送信した超音波の反射波信号から生成されたボリュームデータに基づいて、超音波画像を生成する画像生成部と、前記画像生成部によって生成された超音波画像のうち、前記3次元領域内にある穿刺針が描出された穿刺針画像を検出するか、又は、前記画像生成部によって超音波画像が生成される際に用いられたボリュームデータに基づいて前記3次元領域内にある前記穿刺針を検出して穿刺針データとして出力する検出部と、前記穿刺針画像又は前記穿刺針データを用いて、前記穿刺針が描出された表示用画像を生成するように前記画像生成部を制御する画像生成制御部とを有することを特徴とする超音波診断装置。
【技術分野】【0001】本発明の実施形態は、超音波診断装置に関する。
【背景技術】【0002】従来、超音波診断装置は、超音波プローブが受信した超音波の反射波信号に基づいて、生体組織の超音波画像を略リアルタイムで生成して表示できることから、生体組織検査やラジオ波焼灼治療(RFA:RadioFrequencyAblation)などの穿刺が行われる場合に用いられることがある。例えば、医師は、穿刺針の進行方向における断面画像を略リアルタイムで確認しながら、ターゲットとなる病変以外の部位に影響がないように穿刺を行う。
【発明が解決しようとする課題】【0004】本発明が解決しようとする課題は、穿刺針が明瞭に描出された超音波画像を生成することができる超音波診断装置を提供することである。
【課題を解決するための手段】【0005】実施形態の超音波診断装置は、画像生成部と、検出部と、画像生成制御部とを有する。画像生成部は、超音波プローブから3次元領域に対して送信した超音波の反射波信号から生成されたボリュームデータに基づいて、超音波画像を生成する。検出部は、前記画像生成部によって生成された超音波画像のうち、前記3次元領域内にある穿刺針が描出された穿刺針画像を検出するか、又は、前記画像生成部によって超音波画像が生成される際に用いられたボリュームデータに基づいて前記3次元領域内にある前記穿刺針を検出して穿刺針データとして出力する。画像生成制御部は、前記穿刺針画像又は前記穿刺針データを用いて、前記穿刺針が描出された表示用画像を生成するように前記画像生成部を制御する。
null
【発明を実施するための形態】【0007】(第1の実施形態)まず、第1の実施形態に係る超音波診断装置の構成について説明する。図1は、第1の実施形態に係る超音波診断装置の構成例を示すブロック図である。図1に例示するように、第1の実施形態に係る超音波診断装置1は、超音波プローブ10と、入力装置20と、モニタ30と、装置本体100とを有する。【0008】超音波プローブ10は、複数の圧電振動子を有し、これら複数の圧電振動子は、後述する装置本体100が有する送受信部110から供給される駆動信号に基づき超音波を発生する。また、超音波プローブ10は、被検体からの反射波信号を受信して電気信号に変換する。また、超音波プローブ10は、圧電振動子に設けられる整合層と、圧電振動子から後方への超音波の伝播を防止するバッキング材などを有する。なお、超音波プローブ10は、装置本体100と着脱自在に接続される。【0009】超音波プローブ10から被検体に超音波が送信されると、送信された超音波は、被検体の体内組織における音響インピーダンスの不連続面で次々と反射され、反射波信号として超音波プローブ10が有する複数の圧電振動子にて受信される。受信される反射波信号の振幅は、超音波が反射される不連続面における音響インピーダンスの差に依存する。なお、送信された超音波パルスが、移動している血流や心臓壁などの表面で反射された場合の反射波信号は、ドプラ効果により、移動体の超音波送信方向に対する速度成分に依存して、周波数偏移を受ける。【0010】ここで、第1の実施形態における超音波プローブ10は、超音波により被検体Pを2次元で走査するとともに、被検体Pを3次元で走査することが可能な超音波プローブである。具体的には、第1の実施形態における超音波プローブ10は、被検体Pを2次元で走査する複数の超音波振動子を所定の角度(揺動角度)で揺動させることで、被検体Pを3次元で走査するメカニカルスキャンプローブである。【0011】また、第1の実施形態における超音波プローブ10には、医師が超音波画像を参照しながら生体組織検査やラジオ波焼灼治療などの穿刺を行うために、穿刺アダプタ11が取り付けられる。かかる穿刺アダプタ11には、被検体Pに挿入される穿刺針12が取り付けられる。穿刺アダプタ11は、超音波プローブに着脱されるアタッチメントであり、穿刺を行う場合に決まった穿刺角度及び位置で穿刺針12が被検体Pに刺されるようにする。なお、ここでいう穿刺角度とは、超音波プローブ10が当接される被検体Pの生体表面に対する穿刺針12の挿入角度を示す。このような超音波プローブ10を用いる医師は、穿刺針12が描出された超音波画像を参照しながら、穿刺アダプタ11に取り付けられた穿刺針12を被検体Pのターゲット部位まで挿入する。【0012】入力装置20は、装置本体100と接続され、パネルスイッチ、ロータリエンコーダ、タッチコマンドスクリーン、キーボード、フットスイッチ、トラックボールなどを有する。かかる入力装置20は、超音波診断装置1の操作者からの各種設定要求を受け付け、受け付けた各種設定要求を装置本体100に転送する。例えば、入力装置20は、操作者から超音波プローブ10に装着された穿刺アダプタ11の穿刺角度の設定を受け付ける。また、入力装置20は、操作者から穿刺針12の撮影要求を受け付ける。【0013】モニタ30は、超音波診断装置1の操作者が入力装置20を用いて各種設定要求を入力するためのGUI(GraphicalUserInterface)を表示したり、装置本体100において生成された超音波画像などを表示したりする。【0014】装置本体100は、超音波プローブ10が受信した反射波信号に基づいて超音波画像を生成する。かかる装置本体100は、図1に例示するように、送受信部110と、Bモード処理部120と、ドプラ処理部130と、画像生成部140と、画像メモリ150と、内部記憶部160と、制御部170とを有する。【0015】送受信部110は、トリガ発生回路、遅延回路及びパルサ回路などを有し、制御部170による制御のもと、超音波プローブ10に駆動信号を供給する。パルサ回路は、所定のレート周波数で、送信超音波を形成するためのレートパルスを繰り返し発生する。また、遅延回路は、超音波プローブ10から発生される超音波をビーム状に集束して送信指向性を決定するために必要な圧電振動子ごとの遅延時間を、パルサ回路が発生する各レートパルスに対し与える。また、トリガ発生回路は、レートパルスに基づくタイミングで、超音波プローブ10に駆動信号(駆動パルス)を印加する。すなわち、遅延回路は、各レートパルスに対し与える遅延時間を変化させることで、圧電振動子面からの送信方向を任意に調整する。なお、送信方向あるいは送信方向を決定する遅延時間は内部記憶部160に記憶されている。【0016】また、送受信部110は、アンプ回路、A/D(Analog/Digital)変換器、加算器などを有し、超音波プローブ10が受信した反射波信号に対して各種処理を行うことにより、反射波データを生成する。アンプ回路は、反射波信号をチャンネルごとに増幅してゲイン補正処理を行う。A/D変換器は、ゲイン補正された反射波信号をA/D変換し、デジタルデータに受信指向性を決定するのに必要な遅延時間を与える。加算器は、A/D変換器によって処理された反射波信号の加算処理を行って反射波データを生成する。加算器の加算処理により、反射波信号の受信指向性に応じた方向からの反射成分が強調される。なお、送信と同様、受信方向あるいは受信方向を決定する遅延時間は記憶部180に記憶されている。【0017】このように、送受信部110は、超音波の送受信における送信指向性と受信指向性とを制御する。【0018】Bモード処理部120は、送受信部110から反射波データを受け取り、対数増幅、包絡線検波処理などを行って、信号強度が輝度の明るさで表現されるデータ(Bモードデータ)を生成する。【0019】ドプラ処理部130は、送受信部110から受け取った反射波データから速度情報を周波数解析し、ドプラ効果による血流や組織、造影剤エコー成分を抽出し、平均速度、分散、パワー等の血流情報を多点について算出する。【0020】なお、第1の実施形態におけるBモード処理部120及びドプラ処理部130は、2次元の反射波データおよび3次元の反射波データの両方について処理可能である。すなわち、第1の実施形態におけるBモード処理部120は、2次元の反射波データから2次元のBモードデータを生成し、3次元の反射波データから3次元のBモードデータを生成する。また、第1の実施形態におけるドプラ処理部130は、2次元の反射波データから2次元のドプラデータを生成し、3次元の反射波データから3次元のドプラデータを生成する。なお、以下では、3次元のBモードデータおよび3次元のドプラデータを「ボリュームデータ」と記載する。【0021】画像生成部140は、Bモード処理部120が生成したBモードデータから反射波信号の強度を輝度にて表したBモード画像を生成する。また、画像生成部140は、ドプラ処理部130が生成したドプラデータから移動体情報を表す平均速度画像、分散画像、パワー画像、または、これらの組み合わせ画像としてのドプラ画像を超音波画像として生成する。【0022】具体的には、画像生成部140は、2次元のBモードデータから断面画像であるBモード画像を生成し、2次元のドプラデータから断面画像であるドプラ画像を生成する。また、画像生成部140は、ボリュームデータからレンダリング処理(例えば、ボリュームレンダリング処理やサーフェースレンダリング処理など)により、3次元の情報を反映させた2次元画像であるボリューム画像を生成する。例えば、画像生成部140は、超音波プローブ10の位置に視点を設定することでボリューム画像を生成する。また、画像生成部140は、ボリュームデータを所定の断面で切断したMPR(MultiPlanarReconstructions)画像を生成することが可能である。【0023】なお、第1の実施形態における画像生成部140は、超音波プローブ10が所定の揺動角度毎に被検体を2次元で走査することにより、Bモード処理部120によって生成される揺動角度毎の2次元のBモードデータの各々から断面画像であるBモード画像を生成する。以下では、画像生成部140によって生成される断面画像のうち、超音波プローブ10が被検体Pの生体表面に当接される当接位置と、穿刺針12のターゲット部位とを含む面(以下、「基準断面」と表記する場合がある)に相当する断面画像を「基準断面画像」と表記する場合がある。【0024】また、画像生成部140は、超音波スキャンの走査線信号列を、テレビなどに代表されるビデオフォーマットの走査線信号列に変換(スキャンコンバート)し、表示用画像としての超音波画像を生成し、生成した表示用画像としての超音波画像をモニタ30に表示制御する。【0025】画像メモリ150は、画像生成部140が生成した断面画像であるBモード画像及びドプラ画像、ボリューム画像、表示用の超音波画像などを記憶するメモリである。なお、画像メモリ150は、記憶しているデータの合計容量が自装置の記憶容量を超えた場合には、例えば、生成時の古いデータから順次破棄する。【0026】内部記憶部160は、超音波送受信、画像処理および表示処理を行うための制御プログラムや、診断情報(例えば、患者ID、医師の所見など)や、診断プロトコルや各種ボディマークなどの各種データを記憶する。また、内部記憶部160は、必要に応じて、画像メモリ150が記憶する画像の保管などにも使用される。なお、内部記憶部160が記憶するデータは、図示しないインターフェース回路を経由して、外部の周辺装置へ転送することができる。【0027】また、第1の実施形態における内部記憶部160は、超音波プローブ10が被検体Pに当接される当接位置に関する情報や、超音波プローブ10に取り付けられた穿刺アダプタ11から被検体Pに対して挿入される穿刺針12の穿刺角度を記憶する。具体的には、内部記憶部160は、入力装置20を介して操作者により設定された超音波プローブ10の当接位置及び穿刺角度を記憶する。【0028】制御部170は、超音波診断装置1における処理全体を制御する。具体的には、制御部170は、入力装置20を介して操作者から入力された各種設定要求に基づき、上述した送受信部110、Bモード処理部120、ドプラ処理部130及び画像生成部140による処理を制御する。なお、制御部170については、後に詳述する。【0029】以上、第1の実施形態に係る超音波診断装置1の全体構成について説明した。かかる構成のもと、第1の実施形態に係る超音波診断装置1は、穿刺針12が挿入された被検体Pの生体組織を撮像し、穿刺針12が描出された超音波画像を生成する。具体的には、第1の実施形態に係る超音波診断装置1は、被検体Pに挿入された穿刺針12が基準断面から離れる方向に曲がった場合であっても、穿刺針12が明瞭に描出された超音波画像を生成する。【0030】以下に、図2~図7を用いて、被検体P内で穿刺針12が曲がった場合に、断面画像に穿刺針12が描出されなくなる理由を説明し、次に、図8~図12を用いて、第1の実施形態に係る超音波診断装置1について詳細に説明する。なお、以下では、超音波診断装置1がBモード画像を表示する例について説明するが、第1の実施形態に係る超音波診断装置1は、Bモード画像とともにドプラ画像を表示する場合にも適用することができる。【0031】図2は、穿刺針12が挿入された被検体Pの一例を示す図である。図2に示した例において、被検体P内にターゲットとなる病変等であるターゲット部位T10が存在する。ここで、操作者が、入力装置20を介して、被検体Pの生体表面に超音波プローブ10を当接する当接位置と、穿刺針12の穿刺角度αを設定したものとする。また、図2に示した例において、穿刺針12は、操作者により設定された当接位置及び穿刺角度αにより被検体P内に挿入されて直進した場合には、ターゲット部位T10に到達するものとする。また、図2に示した例において、超音波プローブ10が揺動角度「0°」で2次元に走査することにより、Bモード処理部120によって2次元のBモードデータB10が生成されるものとする。また、超音波プローブ10が揺動角度「0°」で2次元に走査する走査面は、基準断面であるものとする。なお、図2に示した例では、被検体Pを模式的な矩形で示したが、これは説明を簡略するためであり、実際には被検体Pは矩形ではない。【0032】図3は、図2のA矢視図である。図3に示すように、穿刺針12は、曲がっておらずターゲット部位T10に直進している。このような場合、図2に示したBモードデータB10から画像生成部140により生成される断面画像には、穿刺針12が明瞭に描出される。【0033】図4に、図2に示したBモードデータB10から生成される断面画像の一例を示す。図4に示すように、穿刺針12が直進している場合には、図2に示したBモードデータB10から生成される断面画像G11には、被検体P内に挿入されている穿刺針12が描出される。すなわち、超音波診断装置1は、基準断面画像である断面画像G11を略リアルタイムに表示することで、医師等の操作者に穿刺針12の挿入状態を確認させることが可能になるとも考えられる。【0034】ここで、穿刺針12は、一般に、極細形状であり、被検体P内の生体組織と接触することで曲がる場合がある。穿刺針12が被検体P内で曲がった場合には、図4に例示した基準断面画像G11に穿刺針12の一部が描出されない場合がある。この点について、図5~図7を用いて具体的に説明する。【0035】図5は、穿刺針12が挿入された被検体Pの一例を示す図である。図5に示した例では、穿刺針12が、図2に示した状態よりもターゲット部位T10に向けて被検体P内に更に挿入されたものとする。【0036】図6は、図5のA矢視図である。図6に示すように、図5に示した穿刺針12は、被検体P内において基準断面から離れる方向に曲がっている。具体的には、穿刺針12の一部分12aは、穿刺針12の挿入方向に進行しており、ターゲット部位T10方向へ直進しているが、穿刺針12の一部分12aは、基準断面から離れる方向に曲がっている。このような場合、図5に示したBモードデータB10から画像生成部140により生成される断面画像には、刺針12の一部分12bが描出されない。【0037】図7に、図5に示したBモードデータB10から生成される断面画像の一例を示す。図7に示すように、穿刺針12が基準断面から離れる方向に曲がっている場合には、図5に示したBモードデータB10から生成される断面画像G12には、穿刺針12の一部分12aが描出されるが、穿刺針12の一部分12bが描出されない。具体的には、図7に示した断面画像G12の領域R1には、奥行き方向に穿刺針12の一部分12bが存在するが描出されない。このため、医師等の操作者は、断面画像G12がモニタ30に表示された場合であっても、穿刺針12の挿入状態を正確に確認することができない。【0038】第1の実施形態に係る超音波診断装置1は、穿刺針12が図6に例示したように基準断面から離れる方向に曲がった場合であっても、画像生成部140及び制御部170による処理により、穿刺針12が明瞭に描出された超音波画像を生成する。具体的には、第1の実施形態に係る超音波診断装置1は、画像生成部140によって生成される複数の断面画像から、穿刺針12が描出された断面画像を検出する。そして、超音波診断装置1は、複数の断面画像を検出した場合に、かかる複数の断面画像を重畳することにより、穿刺針12が明瞭に描出された表示用の超音波画像を生成する。このような超音波診断装置1について詳細に説明する。【0039】図8を用いて、図1に示した制御部170について説明する。図8は、第1の実施形態における制御部170の構成例を示すブロック図である。図8に例示するように、第1の実施形態における制御部170は、検出部171と、画像生成制御部172と、警告部173とを有する。【0040】検出部171は、画像生成部140によって生成された超音波画像のうち穿刺針12が描出される超音波画像を検出する。第1の実施形態における検出部171は、画像生成部140によって生成された被検体Pの複数の断面画像から、穿刺針12を表す画素が含まれる断面画像を検出する。具体的には、穿刺針12は強反射体であることから、穿刺針12を表す輝度は生体組織を表す輝度よりも高い。したがって、検出部171は、例えば、断面画像の各画素のうち所定の閾値よりも輝度が高い画素を、穿刺針12を表す画素とする。なお、以下では、画像生成部140によって生成された複数の断面画像のうち、穿刺針12を表す画素が含まれる断面画像を「穿刺針画像」と表記する場合がある。【0041】なお、第1の実施形態における検出部171は、超音波プローブ10が被検体Pに当接される当接位置、超音波プローブ10の被検体Pへの挿入方向、及び、穿刺針12の被検体P内における位置に基づいて、画像生成部140によって生成された複数の断面画像から、穿刺針12を表す輝度を検出する対象範囲を3次元的に変動させる。具体的には、検出部171は、画像生成部140によって生成された断面画像のうち、穿刺針12を表す輝度を検出する対象範囲を、超音波プローブ10が被検体Pに当接される当接位置近傍の領域にする。また、検出部171は、穿刺針12を表す輝度を検出する対象範囲を、時間経過とともに、超音波プローブ10の被検体Pへの挿入方向に拡大する。また、検出部171は、穿刺針12を表す輝度を検出する対象範囲を、直近の時相において穿刺針12を表す輝度が検出された領域の近傍にする。【0042】例えば、検出部171は、画像生成部140によって生成された複数の断面画像から穿刺針画像が検出されていない間は、画像生成部140によって順次生成される複数の断面画像から基準断面画像を選択し、選択した基準断面画像の全画素のうち、超音波プローブ10が被検体Pに当接される当接位置の近傍の画素に穿刺針12を表す画素が含まれるか否かを判定する。このとき、検出部171は、超音波プローブ10の当接位置に関する情報を内部記憶部160から取得する。【0043】このように基準断面画像を選択する理由は、穿刺針画像が検出されていない間は、穿刺針12が被検体Pに挿入されておらず、穿刺針12が被検体Pに挿入される挿入位置は、基準断面画像の当接位置の近傍となる可能性が高いからである。ただし、検出部171は、穿刺針画像が検出されていない間は、基準断面画像だけでなく基準断面画像と隣接するいくつかの断面画像を選択してもよい。ここでいう「隣接する断面画像」とは、断面画像生成時における超音波プローブ10の揺動角度が隣接していることを示し、例えば、揺動角度X1で超音波プローブ10により2次元に走査されることで生成された断面画像Y1と、揺動角度X2で超音波プローブ10により2次元に走査されることで生成された断面画像Y2とは隣接する。【0044】また、検出部171は、画像生成部140によって生成された複数の断面画像から穿刺針画像を検出した場合には、かかる複数の断面画像から穿刺針画像と隣接するいくつかの断面画像を選択し、選択した断面画像の全画素のうち、穿刺針画像において検出した穿刺針12の位置の近傍の画素に穿刺針12を表す画素が含まれるか否かを判定する。【0045】ここで、図9及び図10を用いて、検出部171による検出処理の一例について説明する。図9は、画像生成部140によって生成される断面画像及びボリューム画像の一例を示す図である。また、図10は、異なる時相における断面画像の一例を示す図である。【0046】図9に示した例では、超音波プローブ10が所定の揺動角度毎に被検体Pを走査することで、Bモード処理部120は、2次元のBモードデータB10、B21、B22、B23、B31、B32及びB33を生成するものとする。また、画像生成部140は、BモードデータB10、B21、B22、B23、B31、B32、B33の各々から断面画像を生成するものとする。ここでは、超音波プローブ10が揺動角度「0°」で2次元に走査する走査面が基準断面であり、かかる走査により得られる2次元のBモードデータB10から生成される断面画像が基準断面画像であるものとする。なお、図9に示したように超音波プローブ10が被検体Pを走査した場合には、Bモード処理部120は、図9の右図に例示するように、ボリュームデータV10を生成することもできる。【0047】図9に示した例において、超音波診断装置1は、略リアルタイムにボリュームデータを生成するために、異なる時相毎に超音波プローブ10に被検体Pを走査させる。これにより、画像生成部140は、各時相において、複数の2次元のBモードデータから、複数の断面画像を生成する。【0048】ここで、図9に示した例では、図5及び図6に示したように、穿刺針12が被検体P内で曲がったものとする。このとき、検出部171は、画像生成部140によって生成された複数の断面画像から、穿刺針12を表す画素が含まれる穿刺針画像を検出する。図10を用いて具体的に説明する。【0049】図10に示した例において、時相t=0では、穿刺針12が被検体Pに挿入されていないものとする。したがって、図10に示すように、時相t=0においては、画像生成部140によってBモードデータB10から生成された断面画像G010には穿刺針12が描出されない。同様に、時相t=0においては、画像生成部140によってBモードデータB21、B22、B31の各々から生成された断面画像G021、G022、G031には穿刺針12が描出されない。なお、ここでは、図示することを省略するが、時相t=0においては、画像生成部140によってBモードデータB23、B32、B33の各々から生成された各断面画像にも穿刺針12が描出されない。【0050】このとき、検出部171は、画像生成部140によって生成された複数の断面画像のうち、基準断面画像である断面画像G010を選択する。そして、検出部171は、断面画像G010の全画素のうち、超音波プローブ10が被検体Pに当接される当接位置近傍の領域R11に穿刺針12を表す画素が含まれるか否かを判定する。ここでは、検出部171は、領域R11に穿刺針12を表す画素を検出しない。したがって、検出部171は、時相t=0においては、穿刺針画像を検出しない。【0051】また、図10に示した例において、時相t=1以降に、穿刺針12が被検体Pに挿入されたものとする。図10に示すように、時相t=1においては、BモードデータB10から生成された断面画像G110には穿刺針12の一部分12cが描出されている。一方、BモードデータB21、B22、B31の各々から生成された断面画像G121、G122及びG131には穿刺針12が描出されていない。また、ここでは、図示することを省略するが、時相t=1においては、BモードデータB23、B32、B33の各々から生成された各断面画像にも穿刺針12が描出されない。【0052】このとき、検出部171は、画像生成部140によって生成された複数の断面画像のうち、基準断面画像である断面画像G110を選択する。そして、検出部171は、時相t=0の場合と同様に、断面画像G110の領域R11に穿刺針12を表す画素が含まれるか否かを判定する。ここでは、検出部171は、断面画像G110の領域R11に穿刺針12を表す画素を検出する。したがって、検出部171は、断面画像G110を穿刺針画像として検出する。【0053】続いて、検出部171は、穿刺針画像として検出した断面画像G110に隣接する断面画像G121及びG131を選択する。そして、検出部171は、選択した断面画像G121及びG131の領域R11に穿刺針12を表す画素が含まれるか否かを判定する。ここでは、検出部171は、断面画像G121及びG131から穿刺針12を表す画素を検出しない。したがって、検出部171は、断面画像G121及びG131を穿刺針画像として検出しない。すなわち、検出部171は、時相t=1においては、断面画像G110を穿刺針画像として検出する。なお、時相t=1においては、断面画像G110のみから穿刺針12が描出されるので、穿刺針12は、基準断面上に位置することが考えられる。【0054】また、時相t=2においては、BモードデータB10から生成された断面画像G210には穿刺針12の一部分12dが描出されている。一方、BモードデータB21、B22、B31の各々から生成された断面画像G221、G222及びG231には穿刺針12が描出されていない。また、ここでは、図示することを省略するが、BモードデータB23、B32、B33の各々から生成された各断面画像にも穿刺針12が描出されない。【0055】このとき、検出部171は、画像生成部140によって生成された複数の断面画像のうち、基準断面画像である断面画像G210を選択する。ここで、検出部171は、1つ前の時相t=1において断面画像G110の領域R11から穿刺針12を表す画素を検出したので、断面画像G210については領域R11よりも広範囲の領域R12に穿刺針12を表す画素が含まれるか否かを判定する。このように検出対象の領域を広げる理由は、時相t=1のときよりも、1つ後の時相t=2のときの方が、穿刺針12が被検体Pの内部まで挿入される可能性があるからである。ここでは、検出部171は、断面画像G210の領域R12に穿刺針12を表す画素を検出する。したがって、検出部171は、断面画像G210を穿刺針画像として検出する。【0056】続いて、検出部171は、穿刺針画像として検出した断面画像G210に隣接する断面画像G221及びG231を選択する。そして、検出部171は、選択した断面画像G221及びG231の領域R12に穿刺針12を表す画素が含まれるか否かを判定する。ここでは、検出部171は、断面画像G221及びG231から穿刺針12を表す画素を検出しない。したがって、検出部171は、断面画像G221及びG231を穿刺針画像として検出しない。すなわち、検出部171は、時相t=2においては、断面画像G210を穿刺針画像として検出する。なお、断面画像G110に描出されている穿刺針12cよりも、断面画像G210に描出されている穿刺針12dの方が長いので、断面画像G210は、時相t=1よりも時相t=2の方が被検体Pの内部まで穿刺針12が挿入されたことを示している。【0057】また、時相t=3においては、BモードデータB10から生成された断面画像G310には穿刺針12の一部分12eが描出されている。また、BモードデータB21から生成された断面画像G321には穿刺針12の一部分12fが描出されている。一方、BモードデータB22、B31の各々から生成された断面画像G322及びG331には穿刺針12が描出されていない。また、ここでは、図示することを省略するが、BモードデータB23、B32、B33の各々から生成された各断面画像にも穿刺針12が描出されない。【0058】このとき、検出部171は、画像生成部140によって生成された複数の断面画像のうち、基準断面画像である断面画像G310を選択する。そして、検出部171は、上記と同様の理由により、断面画像G310については領域R12よりも広範囲の領域R13に穿刺針12を表す画素が含まれるか否かを判定する。ここでは、検出部171は、断面画像G310の領域R13に穿刺針12を表す画素を検出する。したがって、検出部171は、断面画像G310を穿刺針画像として検出する。【0059】続いて、検出部171は、穿刺針画像として検出した断面画像G310に隣接する断面画像G321及びG331を選択する。そして、検出部171は、選択した断面画像G321及びG331の領域R13に穿刺針12を表す画素が含まれるか否かを判定する。ここでは、検出部171は、断面画像G321から穿刺針12を表す画素を検出し、断面画像G331から穿刺針12を表す画素を検出しない。また、検出部171は、穿刺針画像として検出した断面画像G321に隣接する断面画像G322等を選択するが、かかる断面画像G322等から穿刺針12を表す画素を検出しないものとする。すなわち、検出部171は、時相t=3においては、断面画像G310及びG321を穿刺針画像として検出する。【0060】なお、断面画像G210に描出されている穿刺針12dと、断面画像G310に描出されている穿刺針12eとが略同一の長さであるので、断面画像G210と断面画像G310とを比較しただけでは、時相t=2から時相t=3の間において、穿刺針12は被検体P内で停止しているかのように見える。しかし、断面画像G321に穿刺針12fが描出されている。したがって、断面画像G310及びG321は、被検体Pの挿入された穿刺針12が、基準断面からBモードデータB21の走査面の方向に曲がっていることを示している。【0061】このようにして、検出部171は、画像生成部140によって生成された複数の断面画像から、穿刺針12を表す画素が含まれる穿刺針画像を検出する。すなわち、検出部171は、画像生成部140によって各時相において生成される各断面画像の画素から高輝度体を検出することにより、穿刺針12の挙動を検出する。【0062】図8の説明に戻って、画像生成制御部172は、検出部171によって検出された穿刺針画像を用いて、穿刺針12が描出された表示用画像を生成するように画像生成部140を制御する。第1の実施形態における画像生成制御部172は、検出部171によって複数の穿刺針画像が検出された場合には、かかる複数の穿刺針画像を重畳させた表示用画像を生成するように画像生成部140を制御する。また、第1の実施形態における画像生成制御部172は、検出部171によって1つの穿刺針画像が検出された場合には、かかる穿刺針画像を表示用画像とするように画像生成部140を制御する。【0063】ここで、図10に示した例を用いて、画像生成制御部172による処理の一例について説明する。まず、図10に示した例において、時相t=0である場合には、検出部171は、穿刺針画像を検出しない。かかる場合には、画像生成制御部172は、基準断面画像である断面画像G010を表示用画像とするように画像生成部140を制御してもよい。これにより、画像生成部140は、表示用画像としての断面画像G010をモニタ30に表示制御する。【0064】また、時相t=1である場合には、検出部171は、断面画像G110を穿刺針画像として検出する。かかる場合には、画像生成制御部172は、断面画像G110を表示用画像とするように画像生成部140を制御する。これにより、画像生成部140は、表示用画像としての断面画像G110をモニタ30に表示制御する。【0065】また、時相t=2である場合には、検出部171は、断面画像G210を穿刺針画像として検出する。かかる場合には、画像生成制御部172は、断面画像G210を表示用画像とするように画像生成部140を制御する。これにより、画像生成部140は、表示用画像としての断面画像G210をモニタ30に表示制御する。【0066】また、時相t=3である場合には、検出部171は、断面画像G310及びG321を穿刺針画像として検出する。かかる場合には、画像生成制御部172は、断面画像G310と断面画像G321とを重畳させることで表示用画像を生成するように画像生成部140を制御する。これにより、画像生成部140は、断面画像G310と断面画像G321とを重畳させた表示用画像を生成し、生成した表示用画像をモニタ30に表示制御する。【0067】ここで、図11に、画像生成部140によって重畳された表示用画像の一例を示す。図11に示した表示用画像G300は、図10に示した断面画像G310と断面画像G321とが重畳させた画像である。図11に示すように、表示用画像G300は、断面画像G310と断面画像G321とが重畳されているので、断面画像G310に描出された穿刺針12の一部分12eと、断面画像G321に描出された穿刺針12の一部分12fとが描出されている。【0068】具体的には、図7に示した断面画像G12の領域R1には描出されなかった穿刺針12が、表示用画像G300の領域R1には断面画像G321に描出された穿刺針12の一部分12fが描出されている。すなわち、画像生成部140は、断面画像G310と断面画像G321とを重畳することで、穿刺針が明瞭に画像化された表示用画像G300を生成することができ、かかる表示用画像G300をモニタ30に表示制御することで、医師等の操作者に穿刺針が明瞭に画像化された超音波画像を確認させることができる。【0069】なお、画像生成部140は、複数の断面画像を重畳する場合に、複数の断面画像を同一の重みで重畳してもよい。または、画像生成部140は、重畳対象の断面画像のうち基準断面画像を最も高く重み付けをし、基準断面画像に隣接する断面画像ほど高い重み付けをして、各断面画像を重畳してもよい。【0070】このように、第1の実施形態に係る超音波診断装置1は、図10に示した例のように、穿刺針12が被検体P内に挿入された場合には、断面画像G010、断面画像G110、断面画像G210、断面画像G300(図11)の順にモニタ30に表示する。これにより、医師等の操作者は、穿刺針12が被検体P内に挿入されている状況を正確かつ略リアルタイムに確認することが可能になる。【0071】図8の説明に戻って、警告部173は、画像生成制御部172が画像生成部140に重畳させる穿刺針画像の数が所定の閾値よりも多い場合に、穿刺針12がターゲット部位T10に進行していないと判定し、モニタ30に警告画面を表示制御したり、図示しないスピーカ等にアラート音を鳴らしたりする。言い換えれば、警告部173は、検出部171によって検出された穿刺針画像の数が所定の閾値よりも多い場合に、上記のように警告する。これは、穿刺針画像の数が多いほど、穿刺針12が挿入方向からずれていることを示しているからである。これにより、医師等の操作者は、穿刺針12がターゲット部位T10に進行していないことを認識することができる。【0072】次に、図12を用いて、第1の実施形態に係る超音波診断装置1による処理の手順について説明する。図12は、第1の実施形態に係る超音波診断装置1による処理手順を示すフローチャートである。【0073】図12に示すように、超音波診断装置1は、操作者から穿刺針12の撮影開始要求を受け付けたか否かを判定する(ステップS101)。ここで、撮影開始要求を受け付けない場合には(ステップS101否定)、超音波診断装置1は、待機状態となる。【0074】一方、撮影開始要求を受け付けた場合には(ステップS101肯定)、画像生成部140は、超音波プローブ10が被検体Pを3次元で走査することによりBモード処理部120から得られるBモードデータを用いて、断面画像を生成する(ステップS102)。続いて、制御部170の検出部171は、画像生成部140によって生成された複数の断面画像から、穿刺針12を表す画素が含まれる穿刺針画像を検出する(ステップS103)。【0075】続いて、画像生成制御部172は、検出部171によって複数の穿刺針画像が検出された場合には(ステップS104肯定)、かかる複数の穿刺針画像を重畳させることで表示用画像を生成するように画像生成部140を制御する。これにより、画像生成部140は、複数の穿刺針画像を重畳させた表示用画像を生成し、生成した表示用画像をモニタ30に表示制御する(ステップS105)。【0076】一方、画像生成制御部172は、検出部171によって1つの穿刺針画像が検出された場合には(ステップS104否定)、かかる穿刺針画像を表示用画像とするように画像生成部140を制御する。これにより、画像生成部140は、検出部171によって検出された穿刺針画像をモニタ30に表示制御する(ステップS106)。【0077】そして、超音波診断装置1は、撮影終了要求を操作者から受け付けたか否かを判定し(ステップS107)、撮影終了要求を受け付けなかった場合(ステップS107否定)、ステップS102に戻る。一方、超音波診断装置1は、撮影終了要求を受け付けた場合(ステップS107肯定)、処理を終了する。【0078】上述してきたように、第1の実施形態に係る超音波診断装置1は、被検体Pの複数の断面画像を生成する画像生成部140と、画像生成部140によって生成された複数の断面画像から穿刺針12を表す画素が含まれる穿刺針画像を検出する検出部171と、検出部171によって複数の穿刺針画像が検出された場合に、複数の穿刺針画像を重畳させた表示用画像を画像生成部140に生成される画像生成制御部172とを有する。【0079】これにより、第1の実施形態に係る超音波診断装置1は、穿刺針12が明瞭に描出された超音波画像を生成することができる。例えば、超音波診断装置1は、被検体Pに挿入された穿刺針12が基準断面から離れる方向に曲がった場合であっても、複数の穿刺針画像を重畳させた表示用画像をモニタ30に表示するので、穿刺針12が被検体P内に挿入されている状況を正確かつ略リアルタイムに確認させることができる。【0080】さらに、第1の実施形態に係る超音波診断装置1は、複数の断面画像から穿刺針12を表す画素が含まれる穿刺針画像を検出し、検出した穿刺針画像を重畳するので、穿刺針12を明瞭に表示するために最小限の断面画像を用いて表示用画像を生成することができる。例えば、断面画像を重畳させる範囲を操作者に設定させることも考えられるが、操作者にとって、穿刺針12がどの程度曲がるかを予測することは困難である。したがって、断面画像を重畳させる範囲を操作者に設定させると、重畳範囲が狭すぎる場合には、表示用画像に穿刺針12の一部が描出されなくなるおそれがあり、一方、重畳範囲が広すぎる場合には、生体組織が穿刺針12の上に重なって表示される結果、表示用画像に穿刺針12が明瞭に描出されなくなるおそれがある。第1の実施形態に係る超音波診断装置1は、穿刺針12が曲がっている状態に伴って略最小限の断面画像を重畳するので、穿刺針12が明瞭に描出された画像を表示することができる。【0081】なお、上記第1の実施形態では、超音波プローブ10がメカニカルスキャンプローブである例を用いて説明したが、第1の実施形態は、超音波プローブ10が、複数の超音波振動子がマトリックス状に配置されることで被検体Pを3次元で超音波走査することが可能な2次元超音波プローブである場合にも適用することができる。図13を用いて具体的に説明する。図13は、2次元超音波プローブによる走査の一例を示す図である。【0082】超音波プローブ10が2次元超音波プローブである場合には、例えば、Bモード処理部120は、超音波プローブ10が3次元領域に対して送信した超音波の反射波信号を用いて、ボリュームデータを生成する。かかる場合に、画像生成部140は、図13に示した例のように、Bモード処理部120によって生成されたボリュームデータを用いて、被検体Pの断面画像G40~G46を生成する。なお、図13に示した例では、断面画像G40が、基準断面画像であるものとする。【0083】このような場合に、検出部171は、画像生成部140によって生成された断面画像G40~G46から、穿刺針12を表す画素が含まれる穿刺針画像を検出する。検出部171は、画像生成部140によって異なる時相において生成される断面画像について穿刺針画像を検出する処理を行う。そして、画像生成制御部172は、上記第1の実施形態と同様に、検出部171によって複数の穿刺針画像が検出された場合には、かかる複数の穿刺針画像を重畳させた表示用画像を生成するように画像生成部140を制御し、検出部171によって1つの穿刺針画像が検出された場合には、かかる穿刺針画像を表示用画像として表示するように画像生成部140を制御する。【0084】例えば、画像生成制御部172は、検出部171が断面画像G40を穿刺針画像として検出した場合には、断面画像G40を表示用画像とするように画像生成部140を制御する。また、例えば、画像生成制御部172は、検出部171が、断面画像G40及びG44を穿刺針画像として検出した場合には、断面画像G40と断面画像G44とを重畳させた表示用画像を生成するように画像生成部140を制御する。このように、第1の実施形態に係る超音波診断装置1は、超音波プローブ10が2次元超音波プローブである場合にも、穿刺針12が明瞭に描出された超音波画像を生成することができる。【0085】また、上記第1の実施形態では、検出部171が、画像生成部140によって生成された複数の断面画像から穿刺針12を表す画素が含まれる穿刺針画像を検出する例を示した。しかし、検出部171は、画像生成部140によって超音波画像が生成される際に用いられたボリュームデータのうち穿刺針12に基づいて3次元領域内にある穿刺針12を検出してデータ(以下、「穿刺針データ」と表記する場合がある)として出力してもよい。例えば、検出部171は、Bモード処理部120によって生成される2次元のBモードデータ群や3次元のBモードデータのうち、穿刺針12の輝度を示す情報を含むデータ領域を穿刺針データとして検出してもよい。かかる場合に、画像生成制御部172は、検出部171によって検出された穿刺針データを用いて、穿刺針12が描出された表示用画像を生成するように画像生成部140を制御する。このとき、画像生成制御部172は、検出部171によってボリュームデータにおける複数断面にわたって穿刺針12が検出された場合に、かかる複数断面分の穿刺針データを用いて表示用画像を生成するように画像生成部140を制御する。例えば、画像生成制御部172は、検出部171によって検出された複数断面分の穿刺針データを含む領域からMIP(MaximumIntensityProjection)画像を生成するように画像生成部140を制御してもよい。【0086】図9に示した例を用いて説明すると、検出部171は、BモードデータB10、B21~B23、B31~B33のうち、穿刺針12の輝度を示す情報が含まれるBモードデータを穿刺針データとして検出する。このとき、検出部171がBモードデータB10を穿刺針データとして検出した場合には、画像生成制御部172は、BモードデータB10を用いて、穿刺針12が描出された表示用画像を生成するように画像生成部140を制御する。これにより、画像生成部140は、例えば、図10に例示した断面画像G010や断面画像G110等を生成し、モニタ30に表示制御する。また、検出部171がBモードデータB10及びB21を穿刺針データとして検出した場合には、画像生成制御部172は、BモードデータB10及びB21を用いて、穿刺針12が描出された表示用画像を生成するように画像生成部140を制御する。これにより、画像生成部140は、BモードデータB10及びB21を用いて、図11に例示した断面画像G300等を生成する。または、画像生成部140は、BモードデータB10から断面画像を生成するとともに、BモードデータB21から断面画像を生成し、双方の断面画像を重畳することで図11に例示した断面画像G300等を生成する。そして、画像生成部140は、生成した断面画像G300等をモニタ30に表示制御する。【0087】また、上記第1の実施形態では、検出部171が、画像生成部140によって生成された複数の断面画像から一部の断面画像を選択し、選択した断面画像の全画素のうち一部の画素に穿刺針12を表す画素が含まれるか否かを判定する例を示した。しかし、検出部171は、画像生成部140によって生成された全ての断面画像について、穿刺針12を表す画素が含まれるか否かを判定してもよい。また、検出部171は、選択した断面画像の全画素について、穿刺針12を表す画素が含まれるか否かを判定してもよい。【0088】(第2の実施形態)上記第1の実施形態では、検出部171によって複数の穿刺針画像が検出された場合に、画像生成制御部172が画像生成部140に対して複数の穿刺針画像を重畳させる例を示した。第2の実施形態では、穿刺針12の進行方向に追従させて断面画像の断面方向を変動させる例について説明する。なお、第2の実施形態における超音波プローブ10は、2次元超音波プローブであるものとする。【0089】まず、図14を用いて、第2の実施形態における制御部270について説明する。図14は、第2の実施形態における制御部270の構成例を示すブロック図である。なお、第2の実施形態に係る超音波診断装置2の構成は、図1に示した超音波診断装置1の構成と同様であるので、ここでは説明を省略する。また、以下では、図8に示した処理部と同様の機能を有する処理部には同一符号を付すこととして、その詳細な説明を省略する。【0090】図14に例示するように、第2の実施形態における制御部270は、検出部171と、進行角検出部271と、画像生成制御部272と、警告部273とを有する。検出部171は、図8に示した検出部171と同様の処理を行う。【0091】進行角検出部271は、検出部171によって検出された穿刺針画像を用いて、穿刺針12が現に進行している進行方向を算出し、算出した進行方向と穿刺針12の挿入方向とのなす角度(以下、「進行角度」という)を検出する。【0092】具体的には、上記の検出部171は、画像生成部140によって生成された複数の断面画像から、穿刺針12を表す画素が含まれる断面画像を穿刺針画像として検出する。進行角検出部271は、検出部171によって検出された穿刺針画像内の画素にうち穿刺針12を表す画素を時系列に取得することで、穿刺針12が現に進行している進行方向を算出する。そして、進行角検出部271は、算出した穿刺針12の進行方向と、内部記憶部160に記憶されている穿刺針12の穿刺角度との差異を進行角度として検出する。【0093】図15に、進行角検出部271によって検出される進行角度の一例を示す。図15に示した例では、穿刺針12は、当接位置とターゲット部位T10とを結ぶ直線上の方向である挿入方向により被検体Pに挿入されたが、現に進行している進行方向がかかる挿入方向と異なる。具体的には、穿刺針12は、挿入方向に対して角度「θ」ずれて進行している。かかる場合に、進行角検出部271は、穿刺針12の進行方向を算出し、算出した進行方向と、穿刺針12の挿入方向と差異である進行角度「θ」を検出する。【0094】なお、進行角検出部271による穿刺針12の進行方向算出手法は、上記例に限られない。例えば、進行角検出部271は、オプティカルフロー等を用いて、異なる時相の穿刺針画像から穿刺針12の動きベクトルを算出し、算出した動きベクトルの向き成分を穿刺針12の進行方向としてもよい。【0095】図14の説明に戻って、画像生成制御部272は、Bモード処理部120によって生成されたボリュームデータから生成する断面画像の断面方向を、進行角検出部271によって検出された進行角度に基づいて変更するように画像生成部140を制御する。さらに、画像生成制御部272は、かかる進行角度における被検体Pの断面画像を用いて、穿刺針12が描出された表示用画像を生成するように画像生成部140を制御する。【0096】図13等を用いて説明したように、超音波診断装置1は、穿刺針12が被検体Pに挿入される場合には、穿刺針12の挿入方向を含む面を基準断面とし、かかる基準断面における被検体Pの断面画像を用いて、穿刺針12が描出された超音波画像を表示する。すなわち、図13に示した例では、断面画像G40~G46を用いて表示用画像を生成する。第2の実施形態における画像生成制御部272は、穿刺針12の進行方向が挿入方向と異なる場合に、被検体Pの断面方向を穿刺針12の進行方向と略同一にすることで、断面画像に含まれる穿刺針12の領域を拡大させる。【0097】図16を用いて、画像生成制御部272による処理の一例を説明する。図16は、2次元超音波プローブによる走査の一例を示す図である。ここでは、画像生成部140は、まず、図13に例示したように、ボリュームデータを穿刺針12の挿入方向を含む面により切断した断面画像を表示用画像として用いるものとする。そのような状態において、図15に例示したように、被検体Pに挿入された穿刺針12の進行方向が挿入方向からずれたものとする。このとき、進行角検出部271は、進行角度「θ」を検出する。かかる場合に、画像生成制御部272は、図16に示した例のように、断面画像を生成する場合に、断面画像の断面方向を進行角度「θ」とするように画像生成部140を制御する。これにより、画像生成部140は、被検体Pの断面画像G50~G53を生成する。なお、図16に示した例では、断面画像G50が、基準断面画像となる。【0098】なお、画像生成制御部272は、断面角度を変動させる処理とともに、第1の実施形態における画像生成制御部172と同様に、検出部171によって検出された穿刺針画像を用いて、穿刺針12が描出された表示用画像を生成するように画像生成部140を制御してもよい。すなわち、画像生成制御部272は、断面画像の断面方向を進行角度と略同一にする処理を行うとともに、進行角度における断面画像から検出部171によって複数の穿刺針画像が検出された場合には、かかる複数の穿刺針画像を重畳した表示用画像を生成するように画像生成部140を制御してもよい。【0099】警告部273は、進行角検出部271によって検出された進行角度が所定の閾値よりも大きい場合に、穿刺針12がターゲット部位T10に進行していないと判定し、モニタ30に警告画面を表示制御したり、図示しないスピーカ等にアラート音を鳴らしたりする。これにより、医師等の操作者は、穿刺針12がターゲット部位T10に進行していないことを認識することができる。【0100】次に、図17を用いて、第2の実施形態に係る超音波診断装置2による処理の手順について説明する。図17は、第2の実施形態に係る超音波診断装置2による処理手順を示すフローチャートである。【0101】図17に示すように、超音波診断装置2は、操作者から穿刺針12の撮影開始要求を受け付けたか否かを判定する(ステップS201)。ここで、撮影開始要求を受け付けない場合には(ステップS201否定)、超音波診断装置2は、待機状態となる。【0102】一方、撮影開始要求を受け付けた場合には(ステップS201肯定)、画像生成部140は、超音波プローブ10が被検体Pを3次元で走査することによりBモード処理部120から得られるBモードデータを用いて、断面画像を生成する(ステップS202)。続いて、制御部270の検出部171は、画像生成部140によって生成された複数の断面画像から、穿刺針12を表す画素が含まれる穿刺針画像を検出する(ステップS203)。【0103】続いて、進行角検出部271は、検出部171によって検出された穿刺針画像を用いて、進行角度を検出する(ステップS204)。続いて、画像生成制御部272は、Bモード処理部120によって生成されたボリュームデータから生成する断面画像の断面方向を、進行角検出部271によって検出された進行角度に変更するように画像生成部140を制御する(ステップS205)。これにより、画像生成部140は、変更後の進行角度により切断された断面画像を生成する。【0104】そして、超音波診断装置2は、撮影終了要求を操作者から受け付けたか否かを判定し(ステップS206)、撮影終了要求を受け付けなかった場合(ステップS206否定)、ステップS202に戻る。一方、超音波診断装置2は、撮影終了要求を受け付けた場合(ステップS206肯定)、処理を終了する。【0105】上述したように、第2の実施形態に係る超音波診断装置2は、被検体Pの複数の断面画像を生成する画像生成部140と、画像生成部140によって生成された複数の断面画像から穿刺針12を表す画素が含まれる穿刺針画像を検出する検出部171と、検出部171によって検出された複数の穿刺針画像を用いて、穿刺針12の進行角度を算出する進行角検出部271と、断面画像の断面方向を、進行角検出部271によって検出された進行角度に変更するように画像生成部140を制御する画像生成制御部272とを有する。【0106】これにより、第2の実施形態に係る超音波診断装置2は、穿刺針12が被検体P内において基準断面から離れる方向に曲がった場合であっても、断面画像に含まれる穿刺針12の領域を拡大させることができる。また、第2の実施形態に係る超音波診断装置2は、穿刺針12が基準断面から離れるほど、断面画像の断面方向にターゲット部位T10が含まれなくなるので、断面画像にターゲット部位T10が描出されなくなる。このため、医師等の操作者は、断面画像にターゲット部位T10が描出されなくなり始めた場合に、穿刺針12がターゲット部位T10へ向かっていないことを確認できる。【0107】また、第1の実施形態に係る超音波診断装置1と第2の実施形態に係る超音波診断装置2とを組み合わせ場合には、断面画像の断面方向を進行角度に合わせるとともに、複数の穿刺針画像を重畳した表示用画像を生成することができるので、少数の穿刺針画像を重畳した表示用画像を生成することができる。この結果、生体組織が穿刺針12の上に重なって表示されることを防止することができ、穿刺針12が明瞭に描出された超音波画像を生成することができる。【0108】なお、上記第2の実施形態では、超音波プローブ10が2次元超音波プローブである例を用いて説明したが、第2の実施形態は、超音波プローブ10がメカニカルスキャンプローブである場合にも適用することができる。図18を用いて具体的に説明する。図18は、メカニカルスキャンプローブによる走査の一例を示す図である。【0109】図18に示した例において、穿刺針12が挿入される前は、BモードデータB10から生成される断面画像が基準断面画像であったものとする。このとき、図15に例示したように、被検体Pに挿入された穿刺針12の進行方向と挿入方向とのなす角度が「θ」になったものとする。かかる場合に、画像生成制御部272は、基準断面画像の断面方向が「θ」になるように画像生成部140を制御する。すなわち、図18に示した例の場合には、進行角検出部271によって検出された進行角度が「θ」である場合に、画像生成制御部272は、基準断面画像がBモードデータB60から生成されるように、画像生成部140を制御する。【0110】また、上記第1及び第2の実施形態では、検出部171が、画像生成部140によって生成された複数の断面画像から、穿刺針12を表す画素(輝度)が含まれる断面画像を検出する例を示した。言い換えれば、上記の検出部171は、断面画像に含まれる高輝度体の位置情報を取得することで、穿刺針12の挙動を検出する。ここで、被検体Pに穿刺針12を挿入すると、穿刺針12の移動に伴って、被検体P内の生体組織も移動する。したがって、検出部171は、時相の異なる複数の断面画像から、被検体P内における生体組織の移動量を算出し、算出した移動量が所定の閾値以上である生体組織を含む断面画像を穿刺針画像として検出してもよい。【0111】また、上記第1の実施形態において、検出部171が、画像生成部140によって超音波画像が生成される際に用いられたボリュームデータのうち穿刺針データを検出する例について説明した。しかし、検出部171は、ボリュームデータから、被検体P内における生体組織の移動量を算出し、算出した移動量が所定の閾値以上であるデータ領域を穿刺針データとして検出してもよい。【0112】なお、生体組織の移動を検出する処理は、上記例に限られない。例えば、超音波診断装置1及び2は、組織ドプラ法によって各組織の移動情報を取得してもよい。また、超音波診断装置1及び2は、超音波エラストグラフィを用いて、被検体P内の生体組織の動きを判定し、組織が移動している部位に穿刺針12が進行していることを検出することもできる。【0113】また、上記第1及び第2の実施形態では、検出部171は、画像生成部140によって生成された複数の断面画像を用いて穿刺針12の挙動を検出する例を示した。しかし、検出部171は、Bモード処理部120によって生成されるボリュームデータや、スキャンコンバート後のボリューム画像から、穿刺針12を表す画素が含まれる位置情報を取得することで、穿刺針12の挙動を検出してもよい。具体的には、検出部171は、3次元空間の直交座標により各情報が配置されるボリュームデータやボリューム画像から、穿刺針12を表す画素が含まれる3次元空間座標を取得することで、穿刺針12の3次元的な挙動を検出することができる。【0114】また、上記第1及び第2の実施形態では、超音波プローブ10に穿刺アダプタ11及び穿刺針12が取り付けられる例を示したが、上記第1及び第2の実施形態は、穿刺アダプタ11及び穿刺針12が取り付けられていない超音波プローブ10を有する超音波診断装置にも適用することができる。すなわち、上記第1及び第2の実施形態は、医師が直接穿刺針12を被検体Pに挿入する場合にも適用することができる。【0115】以上説明した少なくともひとつの実施形態によれば、超音波画像のうち穿刺針が描出される穿刺針画像を検出する検出部と、検出部によって検出された穿刺針画像を用いて、穿刺針が描出された表示用画像を生成するように制御する画像生成制御部とを有することにより、穿刺針が明瞭に描出された超音波画像を生成することができる。【0116】本発明のいくつかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら新規な実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれるとともに、特許請求の範囲に記載された発明とその均等の範囲に含まれる。
A
2012139438
2011000103
20110104
null
null
20120726
2012139438
20120726
null
null
null
null
null
null
null
画像処理装置
000153498
株式会社日立メディコ
100096091
井上 誠一
後藤 良洋
null
8
A61B 6/03 (20060101)
A61B 6/03 360D
4
null
null
null
0
14
4C093
4C093 AA22 ,4C093 AA30 ,4C093 FD09 ,4C093 FD11 ,4C093 FD13 ,4C093 FF16 ,4C093 FF19 ,4C093 FF28 ,4C093 FF34 ,4C093 FG05 ,4C093 FH06
【課題】組織の構造的特徴を示す情報を利用して、医用画像に描画される対象領域の組織を正確に識別することが可能な画像処理装置を提供する。【解決手段】本発明の画像処理装置100は、骨のCT画像には海綿質を示す低CT値領域があることに着目し、CT画像から抽出した対象領域について構造的特徴を表す特徴量を算出し、算出した特徴量が所定の条件に該当するか否かを判定することにより対象領域が骨であるか石灰化であるかを判別し、判別結果情報に応じて前記対象領域に対して異なる処理を施す。上述の特徴量としては、対象領域全体における高CT値領域の割合、対象領域の各分割領域の平均CT値差、或いは対象領域に設定した任意線に沿ってCT値をサンプリングしたCT値曲線の形状等を用いることができる。【選択図】図2
【請求項1】医用画像から抽出した対象領域について組織の構造的特徴を示す特徴量を算出する算出手段と、前記算出手段により算出された特徴量が所定の条件に該当するか否かを判定することにより、前記対象領域が骨であるか石灰化であるかを判別する判別手段と、前記判別手段による判別結果に応じて前記対象領域に対して異なる処理を施す画像処理手段と、を備えることを特徴とする画像処理装置。【請求項2】前記算出手段は、前記特徴量を算出するために、1または複数の閾値を用いて前記対象領域内部を閾値処理する閾値処理手段と、前記閾値処理手段によって抽出された各抽出領域の前記対象領域に占める割合を算出する割合算出手段と、を備え、前記判別手段は、前記割合算出手段により算出された割合の大きさに基づいて、前記対象領域が骨であるか石灰化であるかを判別することを特徴とする請求項1に記載の画像処理装置。【請求項3】前記算出手段は、前記特徴量を算出するために、前記対象領域の輪郭点情報に基づいて該対象領域を複数の分割領域に分割する分割手段と、前記分割手段により分割された各分割領域についてそれぞれ濃度値の統計値を算出する統計値算出手段と、を備え、前記判別手段は、各分割領域の前記統計値を比較し、その比較結果に基づいて、前記対象領域が骨であるか石灰化であるかを判別することを特徴とする請求項1に記載の画像処理装置。【請求項4】前記算出手段は、前記特徴量を算出するために、前記対象領域の輪郭線情報に基づいて該対象領域内に所定の線を設定する線設定手段と、前記線設定手段によって設定された線に沿って該線上の各画素の画素値をサンプリングしたCT値曲線を生成するCT値曲線生成手段と、を備え、前記判別手段は、前記CT値曲線の形状に基づいて前記対象領域が骨であるか構造的特徴を示す特徴量石灰化であるかを判別することを特徴とする請求項1に記載の画像処理装置。
【請求項1】医用画像から抽出した対象領域について組織の構造的特徴を示す特徴量を算出する算出手段と、前記算出手段により算出された特徴量が所定の条件に該当するか否かを判定することにより、前記対象領域が骨であるか石灰化であるかを判別する判別手段と、前記判別手段による判別結果に応じて前記対象領域に対して異なる処理を施す画像処理手段と、を備えることを特徴とする画像処理装置。
【技術分野】【0001】本発明は、CT画像、MR画像、US画像等の医用画像に対する画像処理に関する。
【背景技術】【0002】従来より、CT(ComputedTomography)画像、MR(MagneticResonance)画像、US(Ultrasound)画像等の医用画像を用いた診断が行われている。また、医用画像から異常陰影を検出するCAD(ComputerAidedDiagnosis)と呼ばれるコンピュータ支援診断装置も開発されている。例えば、動脈硬化の進行度測定には、動脈石灰化の有無を調べることが有効であるとの報告もあり(非特許文献1、p69「結論」欄等)、医用画像から石灰化部位をより正確に抽出できるようにすることが望まれている。【0003】一方、従来、骨画像をその他の組織と弁別し、医用画像から骨画像を適切に除去する手法として、例えば特許文献1等に記載される手法が提案されている。特許文献1には、キャリブレーション(骨画像の画素値はそのままで、骨以外の画像の画素値を平均値aveに均一化する処理)後の画像の画素値ヒストグラムを求め、この画素値ヒストグラムを用いて骨を弁別するための適切な閾値を求め、骨画像とその他組織の像とを弁別する手法について記載されている(特許文献1の明細書段落[0026])。
【発明が解決しようとする課題】【0006】しかしながら、石灰化と骨は類似したCT値を持つため、両者を区別することは困難であった。特に、上述の特許文献1の手法では各画素値の出現頻度を示す画素値ヒストグラムを用いて骨とその他の組織とを弁別するための閾値を算出するため、画素数が少ない医用画像では信頼性の高いヒストグラムを得ることができず、骨画像の弁別を正確に行うことが難しかった。このように、医用画像において、濃度値が類似するが異なる組織を正確に識別することは困難であるという問題があった。【0007】本発明は、以上の問題点に鑑みてなされたものであり、組織の構造的特徴を示す情報を利用して、医用画像に描画される対象領域の組織を正確に識別することが可能な画像処理装置を提供することを目的とする。
【課題を解決するための手段】【0008】前述した目的を達成するために、本発明は、医用画像から抽出した対象領域について組織の構造的特徴を示す特徴量を算出する算出手段と、前記算出手段により算出された特徴量が所定の条件に該当するか否かを判定することにより、前記対象領域が骨であるか石灰化であるかを判別する判別手段と、前記判別手段による判別結果に応じて前記対象領域に対して異なる処理を施す画像処理手段と、を備えることを特徴とする画像処理装置である。
【発明の効果】【0009】本発明により、組織の構造的特徴を示す情報を利用して、医用画像に描画される対象領域の組織を正確に識別することが可能な画像処理装置を提供できる。
【発明を実施するための形態】【0011】以下図面に基づいて、本発明の実施形態を詳細に説明する。[第1の実施の形態]まず、図1を参照して、本発明の画像処理装置100を適用する画像処理システム1の構成について説明する。【0012】図1に示すように、画像処理システム1は、表示装置107、入力装置109を有する画像処理装置100と、画像処理装置100にネットワーク110を介して接続される画像データベース111と、医用画像撮影装置112とを備える。【0013】画像処理装置100は、画像生成、画像解析等の処理を行うコンピュータである。画像処理装置100は、図1に示すように、CPU(CentralProcessingUnit)101、主メモリ102、記憶装置103、通信インタフェース(通信I/F)104、表示メモリ105、マウス108等の外部機器とのインタフェース(I/F)106を備え、各部はバス113を介して接続されている。【0014】CPU101は、主メモリ102または記憶装置103等に格納されるプログラムを主メモリ102のRAM上のワークメモリ領域に呼び出して実行し、バス113を介して接続された各部を駆動制御し、画像処理装置100が行う各種処理を実現する。【0015】また、本発明においてCPU101は、処理対象とする画像に対して、後述する骨・石灰化判別処理(図2)を実行する。【0016】主メモリ102は、ROM(ReadOnlyMemory)、RAM(RandomAccessMemory)等により構成される。ROMは、コンピュータのブートプログラムやBIOS等のプログラム、データ等を恒久的に保持している。また、RAMは、ROM、記憶装置103等からロードしたプログラム、データ等を一時的に保持するとともに、CPU101が各種処理を行う為に使用するワークエリアを備える。【0017】記憶装置103は、HDD(ハードディスクドライブ)や他の記録媒体へのデータの読み書きを行う記憶装置であり、CPU101が実行するプログラム、プログラム実行に必要なデータ、OS(オペレーティングシステム)等が格納される。プログラムに関しては、OSに相当する制御プログラムや、アプリケーションプログラムが格納されている。これらの各プログラムコードは、CPU101により必要に応じて読み出されて主メモリ102のRAMに移され、各種の手段として実行される。【0018】通信I/F104は、通信制御装置、通信ポート等を有し、画像処理装置100とネットワーク110との通信を媒介する。また通信I/F104は、ネットワーク110を介して、画像データベース111や、他のコンピュータ、或いは、X線CT装置、MRI装置等の医用画像撮影装置112との通信制御を行う。I/F106は、周辺機器を接続させるためのポートであり、周辺機器とのデータの送受信を行う。例えば、マウス108やスタイラスペン等のポインティングデバイスをI/F106を介して接続させるようにしてもよい。【0019】表示メモリ105は、CPU101から入力される表示データを一時的に蓄積するバッファである。蓄積された表示データは所定のタイミングで表示装置107に出力される。【0020】表示装置107は、液晶パネル、CRTモニタ等のディスプレイ装置と、ディスプレイ装置と連携して表示処理を実行するための論理回路で構成され、表示メモリ105を介してCPU101に接続される。表示装置107はCPU101の制御により表示メモリ105に蓄積された表示データを表示する。【0021】入力装置109は、例えば、キーボード等の入力装置であり、操作者によって入力される各種の指示や情報をCPU101に出力する。操作者は、表示装置107、入力装置109、及びマウス108等の外部機器を使用して対話的に画像処理装置100を操作する。なお、表示装置107及び入力装置109は、例えば、タッチパネルディスプレイのように一体となっていてもよい。この場合、入力装置109のキーボード配列がタッチパネルディスプレイに表示される。【0022】ネットワーク110は、LAN(LocalAreaNetwork)、WAN(WideAreaNetwork)、イントラネット、インターネット等の各種通信網を含み、画像データベース111やサーバ、他の情報機器等と画像処理装置100との通信接続を媒介する。【0023】画像データベース111は、医用画像撮影装置112によって撮影された画像データを蓄積して記憶するものである。図1に示す画像処理システム1では、画像データベース111はネットワーク110を介して画像処理装置100に接続される構成であるが、画像処理装置100内の例えば記憶装置103に画像データベース111を設けるようにしてもよい。【0024】次に、図2、図3を参照して、第1の実施の形態の画像処理装置100の動作について説明する。画像処理装置100のCPU101は、主メモリ102から図2に示す骨・石灰化判別処理に関するプログラム及びデータを読み出し、このプログラム及びデータに基づいて処理を実行する。また、処理対象とするCT画像は画像データベース111または記憶装置103から読み出され、主メモリ102に格納されているものとする。【0025】まず、CPU101は、閾値Aによる閾値処理を行い、CT画像から対象領域を抽出する(ステップS101、ステップS102)。閾値Aはどのような方法で与えられてもよく、予め決定された値としてもよいし、入力される値としてもよい。ただし、骨または石灰化は、その他の軟部組織より大きなCT値を示すため、閾値Aとしては、石灰化及び骨と軟部組織とを区別する値が設定されるものとする。また、閾値処理では、閾値A以上の画素値を有する領域を抽出する。以下の説明では、抽出された対象領域をARi(i=1,2,・・・,N)と呼ぶこととする。【0026】次に、CPU101は、閾値Aより高い閾値Bを設定し(ステップS103)、複数の対象領域ARiの中からi=1の対象領域(最初に処理する対象領域)AR1を指定し(ステップS104)、指定した対象領域AR1内部を閾値Bにより閾値処理して、抽出領域BR1(高CT値領域)を得る(ステップS105)。閾値Bはどのような方法で与えられてもよく、予め決定された値としてもよいし、入力される値としてもよい。【0027】CPU101は、対象領域AR1に対する抽出領域BR1の面積の割合(面積比r)を算出する(ステップS106)。【0028】骨は、その構造上、中央部に海綿質と呼ばれる領域が存在し、またその周囲に緻密質と呼ばれる領域が存在している。海綿質は比較的低いCT値を示し、また、緻密質領域は海綿質に比べ高いCT値を示す。海綿質は、上述の閾値Aによって抽出されるが、閾値Bによっては抽出されない。従って、対象領域ARiの内部に、閾値Bによって抽出されない一群の低CT領域が存在することとなる。一方、石灰化は一般に領域全体が比較的均一なCT値となり、内部に一群の低CT値領域を持たない(低CT値が点在することはある)。従って、石灰化と骨とで、対象領域ARiに対する抽出領域BRi(高CT値領域)の割合(面積比r=BRi/ARi)を比較すると、骨の方が小さい値を示す。【0029】CPU101は、面積比rを所定の値と比較し(ステップS107)、面積比rが所定の値より小さい場合は(ステップS107;YES)、処理中の対象領域(AR1)を骨と判別し、その対象領域AR1に対して骨の場合に適用すべき処理を施す。例えば、画像から骨画像を削除する処理であれば対象領域AR1を削除し、逆に骨画像を残し、石灰化像を削除する処理であれば対象領域AR1を残す(ステップS108)。【0030】また、面積比rが所定の値以上と判定された場合は(ステップS107;NO)、処理中の対象領域(AR1)を石灰化と判別し、その対象領域AR1に対して石灰化の場合に適用すべき処理を施す。例えば、画像から骨画像を削除する処理であれば対象領域AR1は石灰化であるので残し、逆に石灰化像を削除する処理であれば対象領域AR1を削除する(ステップS109)。【0031】CPU101は、全ての対象領域ARiを指定したか否かを判定し(ステップS110)、まだ指定されていない対象領域ARiがある場合は(ステップS110;NO)、カウンタiに「1」を加算して、次の対象領域AR2について、ステップS105~ステップS109の処理を繰り返し実行する。全ての対象領域ARiが指定され、閾値Bによる閾値処理(ステップS105)、面積比rの算出(ステップS106)、骨か石灰化であるかの判別(ステップS107)、及び判別結果に基づく画像処理(ステップS108、ステップS109)が終了すると(ステップS110;YES)、一連の骨・石灰化判別処理を終了する。【0032】図3は、図2の骨・石灰化判別処理において抽出される対象領域ARiと、対象領域内部のCT値分布について説明する図である。図3に示すように、CT画像2から閾値Aによって対象領域AR1~AR7が抽出されるものとする。このうち、図3(a)左図に示す対象領域AR1の内部を閾値Bで閾値処理すると図3(b)左図に示すような高CT値領域BR1が抽出されるものとし、また、図3(a)右図に示す対象領域AR2の内部を閾値Bで閾値処理すると図3(b)右図に示すような高CT値領域BR2が抽出されるものとする。【0033】対象領域AR1の内部には閾値Bでは抽出されない低CT値領域があるため、面積比r(=BR1/AR1)は所定値より小さくなり、対象領域AR1は骨と判別される。また、対象領域AR2については、閾値Bによる抽出領域BR2は対象領域AR2とほぼ同じ領域が抽出され、面積比rが所定値以上となり、対象領域AR2は石灰化と判別される。同様に、全ての対象領域ARiについて、骨と石灰化の判別が行われ、判別結果に応じた処理が施される。【0034】なお、上述の例では、対象領域内部の濃度値分布を離散的に多値化する閾値として、1つの閾値Bを用いたがこれに限定されず、2つ以上の閾値B、C、・・・を設定し、各閾値による抽出領域の面積比を求め、面積比の大きさによって対象領域が骨であるか石灰化であるかを識別するようにしてもよい。また、上述の例では、骨と石灰化とを区別する例を示したが、本実施の形態を他の組織の識別に応用することも可能である。【0035】この場合、例えば、閾値Bより大きい閾値Cで対象領域ARiを閾値処理した際に抽出される領域CRi(不図示)と対象領域ARiとの面積比r’=CRi/ARiを求め、この面積比r’の大きさの判定を更に行うようにする。これにより、対象領域内部が異なる3つ以上の領域に分けられる。これらの領域の割合によって組織の構造が明確となるため、どの組織の特徴を示すかを判定できる。このように、複数の閾値により抽出された各領域の面積比の大きさを判定することにより、対象領域がどの組織の特徴を示すかを定量的に判定でき、正確に組織を判別可能となる。【0036】以上説明したように、第1の実施の形態において、画像処理装置100はCT画像2から抽出した対象領域ARiについて、内部のCT値分布を表す特徴量として、対象領域全体における高CT値領域(閾値Bによる抽出領域BRi)の割合(面積比r)を算出し、この高CT値領域(抽出領域BRi)の割合(面積比r)の大きさに基づいて、対象領域が骨であるか石灰化であるかを判別し、判別結果に応じて対象領域ARiに対して異なる処理を施す。【0037】このように対象領域の内部のCT値分布を、対象領域全体における高CT値領域(或いは低CT値領域)の割合(面積比r)の大きさによって表せば、対象領域の内部の構造的特徴や組成の特徴を数値化して表すことが可能となり、対象領域が骨であるか石灰化であるかを正確に識別することが可能となる。そして、識別された異なる組織に対してそれぞれ適切な処理を行うことが可能となり、医用画像の描画、病変候補の抽出、その他、元の医用画像に対して行う種々の処理をより正確に行うことが可能となる。【0038】特に、骨と石灰化のように、CT値が近いが異なる構造的特徴を持つ組織の識別に有効である。例えば骨等のように内部が二つ以上の異なる組成(海綿質、緻密質)を持つ組織を、例えば石灰化等の均一な組織と区別するのに好適である。また、複数の閾値によって対象領域ARiの内部を多値化し、各領域BRi、CRi、・・・とARiとの面積比(例えば、ARi:BRi:CRi、またはBRi/ARi及びCRi/ARi等)を算出すれば、3つ以上の異なる組成を有する組織を識別することも可能となる。【0039】なお、上述の実施の形態では、医用画像の一例として2次元断面図を想定しているが、一連の断層像を積み上げた3次元ボリューム画像に応用してもよい。この場合、上述の面積比に代えて体積比を求めればよい。【0040】[第2の実施の形態]次に、図4~図5を参照して、本発明に係る画像処理装置100の動作の第2の実施の形態について説明する。【0041】一般に、CT画像等に描画される骨は、内部のCT値分布の対称性が乏しいとされる。そこで、第2の実施の形態の画像処理装置100は、対象領域の内部を輪郭点情報に基づいて分割し、各分割領域についての平均濃度値の比較値(差分値)を組織の判別の特徴量として、対象領域が骨であるか石灰化であるかを判別する。【0042】図4に示すように、まず、CPU101は、図2のステップS101~ステップS102と同様に、閾値Aによる閾値処理を行い、CT画像から対象領域ARiを抽出する(ステップS201)。【0043】次に、CPU101は、複数の対象領域ARiの中からi=1の対象領域(最初に処理する対象領域)AR1を指定し(ステップS202)、指定した対象領域AR1の複数の輪郭点のうち最も遠い2つの輪郭点P1,P2を求める(ステップS203)。【0044】更に、CPU101は、輪郭点P1,P2の中間点で対象領域AR1を2つの分割領域X,Y(以下、X領域、Y領域という)に分割し(ステップS204)、X領域の平均CT値avCT_X、Y領域の平均CT値avCT_Yを求める(ステップS205)。そして、各分割領域の平均CT値の絶対値差分abs(avCT_X-avCT_Y)を算出し、絶対値差分の大きさを判定する(ステップS206)。【0045】各領域の平均CT値の絶対値差分が所定値より大きい場合は(ステップS206;YES)、CT値分布の対称性が低いと判断できる。すなわち、CPU101は、処理中の対象領域(ARi)を骨と判別し、この対象領域ARiに対して骨の場合に適用すべき処理を施す。例えば、画像から骨を削除する処理であれば対象領域ARiを削除し、逆に骨を残し、石灰化を削除する処理であれば対象領域ARiを残す(ステップS207)。【0046】また、各領域の平均CT値の絶対値差分が所定値以下であると判定された場合は(ステップS206;NO)、CPU101は、処理中の対象領域(ARi)を石灰化と判別し、この対象領域ARiに対して石灰化の場合に適用すべき処理を施す。例えば、画像から骨を削除する処理であれば対象領域ARiは石灰化であるので残し、逆に石灰化を削除する処理であれば対象領域ARiを削除する(ステップS208)。【0047】CPU101は、全ての対象領域ARiを指定したか否かを判定し(ステップS209)、まだ指定されていない対象領域ARiがある場合は(ステップS209;NO)、カウンタiに「1」を加算して、次の対象領域について、ステップS203~ステップS208の処理を繰り返し実行する。全ての対象領域ARiが指定され、全ての対象領域について輪郭点情報に基づく分割処理(ステップS203、ステップS204)、各分割領域X,Yの平均CT値算出(ステップS205)、各分割領域X,Yの平均CT値の絶対値差分の算出及び判定(ステップS206)、判定結果に基づく画像処理(ステップS207、ステップS208)が終了すると(ステップS209;YES)、一連の骨・石灰化判別処理を終了する。【0048】図5は、図4の骨・石灰化判別処理(2)において抽出される対象領域ARiと、対象領域ARiの輪郭点情報に基づく領域分割について説明する図である。図5(a)、(b)に示すように、ステップS203~ステップS204の処理によって対象領域ARiが長手方向に2分割され、一方がX領域、他方がY領域とされる。石灰化の場合は、その構造的な特徴から対象領域ARiの内部全体が均一な濃度値分布を示すため、X領域とY領域との平均CT値はほぼ等しく、絶対値差分は0に近い値を示す。一方、骨の場合は、その構造的な特徴から石灰化の場合と比べて内部のCT値分布が非対称となることが多いため、X領域とY領域との平均CT値に差が生じやすい。そのため、X領域とY領域との平均CT値の絶対値差分が所定値よりも大きい値を示す場合は、骨と判定される。【0049】なお、第2の実施の形態の骨・石灰化判別処理において、領域の分割の仕方は任意である。例えば、図5(c)に示すように、最も遠い輪郭点P1,P2を結ぶ直線が対象領域ARiの外部を通る場合でも輪郭点P1、P2の中間点を通る分割線で分割し、各分割領域の平均CT値の差分を求めればよい。また、図5(d)、(e)に示すように、対象領域が円形であり、最も遠い輪郭点の組み合わせが複数ある場合にも各輪郭点の組み合わせを結ぶ直線の中間点で分割すればよい。【0050】更に、対象領域を3つ以上の領域に分割するようにしてもよい。例えば、図5(f)に示すように、対象領域ARiを長手方向に3分割し、各領域X,Y,Zについてそれぞれ平均CT値を算出し、ある基準領域(例えばY領域)の平均CT値との絶対値差分を求め、各領域における平均CT値の差の大きさが所定値より大きい場合は、対象領域ARiを骨と判定し、各領域の平均CT値の差の大きさが所定値より小さい場合は、対象領域ARiを石灰化と判定する。【0051】以上説明したように、第2の実施の形態では、CT画像から抽出した対象領域ARiについて、内部のCT値分布を表す特徴量として、対象領域ARiを複数の領域に分割し、各分割領域における平均CT値を比較し(差分し)、この平均CT値の比較結果に基づき対象領域ARiが骨であるか石灰化であるかを判別し、判別結果に応じて対象領域ARiに対して異なる処理を施す。【0052】このように対象領域内部のCT値分布の特徴を、少なくとも2つの分割領域の各平均CT値の差分値によって表せば、組織の構造的な非対称性を対象領域の内部のCT値分布の非対称性(すなわち位置依存性)として明確に数値化できるので、対象領域が骨であるか石灰化であるかを正確に識別することが可能となる。【0053】なお、上述の実施の形態では、各分割領域の平均CT値を比較する例を示したが、平均CT値に限定されず、分散、標準偏差、最頻値等の統計値を用いて各分割領域のCT値分布を比較してもよい。【0054】[第3の実施の形態]次に、図6~図7を参照して、本発明に係る画像処理装置100の動作の第3の実施の形態について説明する。【0055】第3の実施の形態の画像処理装置100は、対象領域内部に設定した任意の線に沿って線上の位置とCT値との関係を示すCT値曲線(図7の4a、4b)を作成し、CT値曲線の形状に基づいて対象領域が骨であるか石灰化であるかを判別する。【0056】図6に示すように、まず、CPU101は、閾値Aによる閾値処理を行い、CT画像から対象領域ARiを抽出する(ステップS301)。この対象領域ARiの抽出処理は、第1の実施の形態のステップS101~ステップS102と同様である。【0057】次に、CPU101は、複数の対象領域ARiの中からi=1の対象領域(最初に処理する対象領域)AR1を指定し(ステップS302)、指定した対象領域AR1に任意の線を設定する(ステップS303)。ここで設定する線は、対象領域AR1を縦断または横断する曲線または直線とする。例えば、対象領域AR1の芯線(図7の一点鎖線41)や、芯線と直交する線(図7の実線矢印42~46)等が好適である。【0058】CPU101は設定した線(図7の例では芯線41)に沿ってCT値をサンプリングし、芯線上の位置とCT値との関係を示すCT値曲線を得る(ステップS304)。【0059】図7は、対象領域AR1とAR2について、芯線41上の位置とCT値との関係を示したグラフ4の一例であり、4aは対象領域が骨である場合のCT値曲線、4bは対象領域が石灰化である場合のCT値曲線である。図7に示すように、対象領域が骨である場合、内部に海綿質を示す低CT値領域が存在するため、CT値曲線4aは中央部付近に窪みを有する。一方、対象領域が石灰化である場合は海綿質のような低CT値領域が存在しないため、CT値曲線4bに示すように比較的均一なCT値分布を示す。【0060】CPU101は、ステップS304で求めたCT値曲線の形状を解析し、CT値曲線の中央付近の窪みの深さが所定値より大きいか否かを判定する。判定の結果、CT値曲線の窪みの深さが所定値より大きい場合は(ステップS305;YES)、処理中の対象領域(ARi)を骨と判別し、この対象領域ARiに対して骨の場合に適用すべき処理を施す。例えば、画像から骨を削除する処理であれば対象領域ARiを削除し、逆に骨を残して石灰化を削除する処理であれば対象領域ARiを残す(ステップS306)。【0061】また、CT値曲線の窪みの深さが所定値以下である場合は(ステップS305;NO)、処理中の対象領域(ARi)を石灰化と判別し、その対象領域ARiに対して石灰化の場合に適用すべき処理を施す。例えば、画像から骨を削除する処理であれば対象領域ARiは石灰化であるので残し、逆に骨のみを残し、石灰化を削除する処理であれば対象領域ARiを削除する(ステップS307)。【0062】CPU101は、全ての対象領域ARiを指定したか否かを判定し(ステップS308)、まだ指定されていない対象領域ARiがある場合は(ステップS308;NO)、カウンタiに「1」を加算して、次の対象領域について、ステップS303~ステップS305の処理を繰り返し実行する。全ての対象領域ARiが指定され、全ての対象領域について対象領域を縦断または横断する線の設定(ステップS303)、CT値曲線の作成(ステップS304)、CT値曲線の窪みの深さによる判定(ステップS305)、判定結果に基づく画像処理(ステップS306、ステップS307)が終了すると(ステップS308;YES)、一連の骨・石灰化判別処理を終了する。【0063】なお、対象領域に設定する縦断線(または横断線)は1本に限らず、複数としてもよい。例えば、図7に示すように、芯線41と直交する複数の直線42,43,・・・を設定し、各直線42,43,・・・に沿ってCT値をプロットしたCT値曲線を作成する(不図示)。この場合、設定した直線42,43,・・・の数と同数のCT値曲線を得ることが可能となり、全てのCT値曲線の形状を判定し、所定の深さより大きい窪みを有するCT値曲線の数が所定の割合以上(例えば、1/3以上)となる場合に、対象領域を骨と判別する。図7に示す直線46のように、実際には骨であるが、場所によっては石灰化と同様に低CT値領域が存在しない場合もある。このような場合であっても、複数のCT値曲線を作成して判別することで精度よく判別することができる。【0064】以上説明したように、第3の実施の形態では、CT画像から抽出した対象領域について、内部のCT値分布を表す特徴量として、対象領域内部に設定した任意線に沿ってCT値をサンプリングしたCT値曲線を求め、このCT値曲線の形状に基づき対象領域が骨であるか石灰化であるかを判別し、判別結果に応じて対象領域に対して異なる処理を施す。このように対象領域内部のCT値分布の特徴を、CT値曲線によって表せば、対象領域の構造的特徴によって生じるCT値分布の位置依存性を明確に表すことが可能となり対象領域が骨であるか石灰化であるかを正確に識別することが可能となる。また上述の任意線を複数設定し、複数のCT値曲線について形状を判定すれば、より詳細にCT値分布の特徴を調べることができ、骨と石灰化とをより正確に判別できる。【0065】以上、第1~第3の実施の形態に示すように、本発明の画像処理装置100は、骨と石灰化との組成の違い(構造的特徴)に着目し、CT画像から抽出した対象領域について内部のCT値分布を表す特徴量を算出し、算出した特徴量が所定の条件に該当するか否かを判定することにより対象領域が骨であるか石灰化であるかを判別し、判別結果に応じて対象領域に対して異なる処理を施す。そして、特徴量として、対象領域全体における高(或いは低)CT値領域の割合(第1の実施の形態の面積比)、対象領域の各分割領域の平均CT値差(第2の実施の形態の絶対値差分)、対象領域に設定した任意線に沿ってCT値をサンプリングした曲線の形状(第3の実施の形態の曲線の窪みの有無)等を用いることが挙げられる。【0066】また、第1乃至第3の実施の形態に示す特徴量のうち少なくとも2つを組み合わせ、対象領域が骨であるか石灰化であるかを判別するようにしてもよい。例えば、図8のフローチャートに示すように、CPU101はCT画像から閾値Aによって対象領域ARiを抽出し、抽出した各対象領域ARiについて、図2のステップS105~ステップS107を実行する。すなわち、対象領域全体における高CT値領域の割合(第1の実施の形態の面積比r)を算出し、その割合(面積比)に基づいて対象領域が骨であるか石灰化であるかを判別する(ステップS403)。【0067】骨と判別された場合は(ステップS403;骨)、更に、図4のステップS203~ステップS206を実行する。すなわち、対象領域の輪郭線情報に基づいて対象領域を分割し、各分割領域の平均CT値差(第2の実施の形態の絶対値差分)を算出し、平均CT値差(絶対値差分)の大きさに基づいて対象領域が骨であるか石灰化であるかを判別する(ステップS404)。【0068】骨と判別された場合は(ステップS404;骨)、更に、図6のステップS303~ステップS305を実行する。すなわち、対象領域を縦断または横断する任意線を設定し、その線に沿ってCT値をサンプリングしたCT値曲線の形状(第3の実施の形態のCT値曲線の窪みの有無)を求め、形状に基づいて対象領域が骨であるか石灰化であるかを判別する(ステップS405)【0069】骨と判別された場合は(ステップS405;骨)、処理中の対象領域ARiを骨と判別し、該領域に対して骨の場合に適用すべき処理を施す。例えば、画像から骨を削除する処理であれば対象領域ARiを削除し、逆に骨を残して石灰化を削除する処理であれば対象領域ARiを残す(ステップS406)。【0070】また、ステップS403~ステップS404のいずれかにおいて、石灰化と判別された場合は、処理中の対象領域ARiを石灰化と判別し、該領域に対して石灰化の場合に適用すべき処理を施す。例えば、画像から骨を削除する処理であれば対象領域ARiは石灰化であるので残し、逆に石灰化を削除する処理であれば対象領域ARiを削除する(ステップS407)。【0071】CPU101は、全ての対象領域ARiを指定したか否かを判定し(ステップS408)、まだ指定されていない対象領域ARiがある場合は(ステップS408;NO)、カウンタiに「1」を加算して、次の対象領域について、ステップS403~ステップS407の処理を繰り返し実行する。全ての対象領域ARiが指定され、全ての対象領域について骨・石灰化の判別と、判別結果に基づく画像処理が終了すると(ステップS408;YES)、一連の骨・石灰化判別処理を終了する。【0072】なお、図8のフローチャートにおいて、ステップS403、ステップS404、及びステップS405のうちいずれか1つのステップが省略されてもよい。また、ステップS403、ステップS404、ステップS405の処理順序を変更してもよい。【0073】その他、当業者であれば、本願で開示した技術的思想の範疇内において、各種の変更例又は修正例に想到し得ることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。
A
2012139439
2011000139
20110104
null
null
20120726
2012139439
20120726
null
null
5544310
20140516
null
null
null
ベッドサイドスコープ用洗浄消毒装置
000113263
HOYA株式会社
100091317
三井 和彦
細木 義弘
null
8
A61B 1/12 (20060101)
A61B 1/12
8
null
null
null
0
9
4C061,4C161
4C061 GG07 ,4C061 GG09,4C161 GG07 ,4C161 GG09
【課題】持ち運び性や洗浄消毒作業が簡単で、しかも装置としてコストを低く抑えることができるベッドサイドスコープ用洗浄消毒装置を提供すること。【解決手段】操作部52を保持するための操作部保持部13が内部に形成された筒状の洗浄ボトル10と、洗浄ボトル10の上端開口14を水密に塞ぐ状態に上端開口14に着脱自在に取り付けられた蓋体15と、洗浄ボトル10内から底孔16を通って洗浄ボトル10外に延出する挿入部51を緩く囲む状態になるように洗浄ボトル10の底孔16部に基端が連通接続された可撓性の挿入部収納チューブ21と、挿入部収納チューブ21が底孔16から下方に向かって延出する状態になるように、洗浄ボトル10を載置するためのボトル支持台30とが設けられている。【選択図】図1
【請求項1】可撓性の挿入部の基端に操作部が連結された構成のベッドサイドスコープを洗浄消毒するためのベッドサイドスコープ用洗浄消毒装置であって、上記ベッドサイドスコープを出し入れするための上端開口が上端部に開口形成されて、上記操作部を保持するための操作部保持部が内部に形成されると共に、上記挿入部を下方に延出させるための底孔が底部に開口形成された筒状の洗浄ボトルと、上記洗浄ボトルの上記上端開口を水密に塞ぐ状態に上記上端開口に着脱自在に取り付けられた蓋体と、上記洗浄ボトル内から上記底孔を通って上記洗浄ボトル外に延出する上記挿入部を緩く囲む状態になるように上記洗浄ボトルの底孔部に基端が連通接続された可撓性の挿入部収納チューブと、上記挿入部収納チューブが上記底孔から下方に向かって延出する状態になるように、上記洗浄ボトルを載置するためのボトル支持台とが設けられていることを特徴とするベッドサイドスコープ用洗浄消毒装置。【請求項2】上記洗浄ボトルの中間部分がその上下の部分より細く括れた形状に形成されていて、その括れ部が、上記操作部保持部として上記操作部の下端部付近を載せて保持するようになっている請求項1記載のベッドサイドスコープ用洗浄消毒装置。【請求項3】上記洗浄ボトルの少なくとも一部が透明に形成されていて、その透明部に、上記洗浄ボトル内に入れられる液量の目安となる液量目安線が形成されている請求項1又は2記載のベッドサイドスコープ用洗浄消毒装置。【請求項4】上記挿入部収納チューブが上記洗浄ボトルに対し着脱可能に取り付けられている請求項1、2又は3記載のベッドサイドスコープ用洗浄消毒装置。【請求項5】上記挿入部収納チューブの先端が封止されている請求項1ないし4のいずれかの項に記載のベッドサイドスコープ用洗浄消毒装置。【請求項6】上記挿入部収納チューブの先端が開放されている請求項1ないし4のいずれかの項に記載のベッドサイドスコープ用洗浄消毒装置。【請求項7】上記ボトル支持台が、複数の線材を組み合わせて連結した枠状に形成されている請求項1ないし6のいずれかの項に記載のベッドサイドスコープ用洗浄消毒装置。【請求項8】上記ボトル支持台が、上記線材の一部を折り曲げることにより折り畳み可能に構成されている請求項7記載のベッドサイドスコープ用洗浄消毒装置。
【請求項1】可撓性の挿入部の基端に操作部が連結された構成のベッドサイドスコープを洗浄消毒するためのベッドサイドスコープ用洗浄消毒装置であって、上記ベッドサイドスコープを出し入れするための上端開口が上端部に開口形成されて、上記操作部を保持するための操作部保持部が内部に形成されると共に、上記挿入部を下方に延出させるための底孔が底部に開口形成された筒状の洗浄ボトルと、上記洗浄ボトルの上記上端開口を水密に塞ぐ状態に上記上端開口に着脱自在に取り付けられた蓋体と、上記洗浄ボトル内から上記底孔を通って上記洗浄ボトル外に延出する上記挿入部を緩く囲む状態になるように上記洗浄ボトルの底孔部に基端が連通接続された可撓性の挿入部収納チューブと、上記挿入部収納チューブが上記底孔から下方に向かって延出する状態になるように、上記洗浄ボトルを載置するためのボトル支持台とが設けられていることを特徴とするベッドサイドスコープ用洗浄消毒装置。
【技術分野】【0001】この発明はベッドサイドスコープ用洗浄消毒装置に関する。
【背景技術】【0002】内視鏡室での内視鏡検査は患者にとって負担が大きい場合がある。そこで、病室のベッドや在宅のままで内視鏡検査を手軽に行うことができるよう、簡便なベッドサイドスコープ(簡易型内視鏡)が用いられている(例えば、特許文献1)。【0003】ただし、そのようなベッドサイドスコープであっても、使用後に洗浄消毒等を行う必要があることに変わりがない。そこで従来は、使用済の内視鏡を収容袋に収容した状態で搬送ケース内に入れて洗浄消毒することができるようにしたものがある(例えば、特許文献2)。
【発明が解決しようとする課題】【0005】内視鏡を洗浄消毒するためには、洗浄消毒液が必要である。そこで、特許文献2に記載された発明においては、洗浄消毒液を補給するための洗浄消毒ユニットが搬送ケースに内蔵されていて、複数の接続チューブで収容袋内やその他の被洗浄部と連通接続される。【0006】しかし、特許文献2に記載された発明においては、そのような複数の接続チューブの接続及び分離作業が洗浄消毒毎に毎回必要となるので、洗浄消毒作業が煩雑であると共に、搬送ケースが大型で重いものになってしまい、持ち運び性に優れず、且つ装置としてコスト高なものになっていた。【0007】本発明は、持ち運び性や洗浄消毒作業が簡単で、しかも装置としてコストを低く抑えることができるベッドサイドスコープ用洗浄消毒装置を提供することを目的とする。
【課題を解決するための手段】【0008】上記の目的を達成するため、本発明のベッドサイドスコープ用洗浄消毒装置は、可撓性の挿入部の基端に操作部が連結された構成のベッドサイドスコープを洗浄消毒するためのベッドサイドスコープ用洗浄消毒装置であって、ベッドサイドスコープを出し入れするための上端開口が上端部に開口形成されて、操作部を保持するための操作部保持部が内部に形成されると共に、挿入部を下方に延出させるための底孔が底部に開口形成された筒状の洗浄ボトルと、洗浄ボトルの上端開口を水密に塞ぐ状態に上端開口に着脱自在に取り付けられた蓋体と、洗浄ボトル内から底孔を通って洗浄ボトル外に延出する挿入部を緩く囲む状態になるように洗浄ボトルの底孔部に基端が連通接続された可撓性の挿入部収納チューブと、挿入部収納チューブが底孔から下方に向かって延出する状態になるように、洗浄ボトルを載置するためのボトル支持台とが設けられているものである。【0009】なお、洗浄ボトルの中間部分がその上下の部分より細く括れた形状に形成されていて、その括れ部が、操作部保持部として操作部の下端部付近を載せて保持するようになっていてもよく、洗浄ボトルの少なくとも一部が透明に形成されていて、その透明部に、洗浄ボトル内に入れられる液量の目安となる液量目安線が形成されていてもよい。そのような場合に、挿入部収納チューブが洗浄ボトルに対し着脱可能に取り付けられていてもよい。【0010】また、挿入部収納チューブの先端が封止されていてもよく、或いは、挿入部収納チューブの先端が開放されていてもよい。また、ボトル支持台が、複数の線材を組み合わせて連結した枠状に形成されていてもよく、その場合に、ボトル支持台が、線材の一部を折り曲げることにより折り畳み可能に構成されていてもよい。
【発明の効果】【0011】本発明によれば、細長い挿入部収納チューブがつながれた洗浄ボトルとボトル支持台とをバラバラにして簡単に持ち運ぶことができ、構造が簡単かつ小型なものになるので装置としてコストを低く抑えることができ、しかも、病室や在宅検査等でも洗浄ボトルに内視鏡を浸漬するだけで内視鏡の洗浄消毒を簡単に行うことができる。
【発明を実施するための形態】【0013】以下、図面を参照して本発明の実施例を説明する。図1はベッドサイドスコープ用洗浄消毒装置を示している。ベッドサイドスコープ用洗浄消毒装置は大きく分けると、洗浄ボトル10と、洗浄ボトル10の下端部に連通する状態に接続された挿入部収納チューブ21と、洗浄ボトル10を垂直に保持するためのボトル支持台30とにより構成されている。【0014】洗浄ボトル10は、ほぼ清涼飲料水用のペットボトルを逆さにして、中間部分に括れ部13が形成されたような筒状に形成されている。洗浄ボトル10は、例えばPET等のような透明なプラスチック材等で形成されている。ただし、他の素材で形成されていても差し支えない。【0015】11は、括れ部13より上側に位置する上側タンク部、12は、括れ部13より下側に位置する下側タンク部である。なお、下側タンク部12を設けずに、括れ部13が洗浄ボトル10の下端まで形成された形状に構成してもよい。【0016】洗浄ボトル10の上端部(即ち、上側タンク部11の上端部)には、洗浄消毒対象であるベッドサイドスコープを洗浄ボトル10内に出し入れするための上端開口14が開口形成されている。15は、上端開口14を水密に塞ぐ状態に上端開口14に着脱自在に取り付けられた蓋体である。蓋体15は上端開口14に螺合している。【0017】洗浄ボトル10の下端部(即ち、下側タンク部12の下端部)には、底孔16が形成されている。この底孔16部分の構成は、公知の清涼飲料水用ペットボトルの口元と同様であり、その外周部にねじキャップ22が着脱自在に螺合されている。【0018】ただし、ねじキャップ22は底孔16を塞ぐためだけのものではなく、可撓性を有する薄い筒状の挿入部収納チューブ21の基端を、底孔16部分に連通接続した状態に固定している。なお、ねじキャップ22が、洗浄ボトル10に対して着脱不能に固定されたものであっても差し支えない。【0019】ボトル支持台30は、洗浄ボトル10を床面よりある程度高い位置に垂直に保持することができる簡便な構成のものであればよい。図1には、洗浄ボトル10をボトル支持台30より上方に浮かせて図示してあるが、後述する図4等に図示されるように、洗浄ボトル10の下端から下方に向かう挿入部収納チューブ21が、床面に沿った状態の時に小さな曲率半径で急激に曲げられないようにしておくのがよい。【0020】図1に示されるように、ボトル支持台30は複数の金属材又はプラスチック材の線材を組み合わせて連結した枠状に形成されており、例えば、洗浄ボトル10の周囲を囲んで保持する複数のリング状線材32と、その複数のリング状線材32をつなぎ合わさった状態に連結する複数の縦線材31とからなる。複数のリング状線材32のうちの載置用リング状線材32Aには、洗浄ボトル10の底部が載置されて保持される。【0021】90°間隔で4本設けられている縦線材31の下半部の足部31Aは各々、図1に二点鎖線で図示されているように上方に折り曲げることができ、それによって、ボトル支持台30を運搬し易い状態に折り畳むことができる。したがって、持ち運びが容易である。33と34は各々、使用時に足部31Aが広がりすぎるのを防止するために、着脱自在に装着された広がり抑制リングである。【0022】図2は、蓋体15が着脱自在に取り付けられている上側タンク部11の上端部の部分縦断面である。蓋体15は、洗浄ボトル10の上端開口14の外周面に形成されたネジ部18に螺合装着されると共に、内周面のシール嵌合部19において水密にシールされた状態に洗浄ボトル10に取り付けられている。したがって、図3に示されるように、洗浄ボトル10を上下ひっくり返した状態にしても、蓋体15部分から漏水するようなことがない。【0023】蓋体15は上端開口14の大きさに相当する円形の平面を備えている。したがって洗浄ボトル10は、ボトル支持台30に保持されていない保管状態では、図3に示されるように上下を反対向きにひっくり返した状態の方が安定がよい。【0024】そこで、使用準備が整うまでの間、洗浄ボトル10は図3に示されるように上下ひっくり返した状態で保管される。その場合、洗浄ボトル10内には洗浄液又は消毒液等が充填されている。【0025】その充填量を適正なものにするために、少なくとも下側タンク部12は透明な材質で形成されていて、液量目安線17がその全周に(必ずしも全周でなくてもよいが)形成され、洗浄液又は消毒液等が、液量目安線17の位置まで充填されて準備されている。【0026】この段階においては、挿入部収納チューブ21は平らに潰されて渦巻き状に巻かれて畳まれた状態になっている。その外側からゴムバンド等を巻き付けてその状態を固定し、使用時にゴムバンド等を取り外すようにしてもよい。【0027】図3に示されるような洗浄ボトル10は、洗浄処理用の洗浄液入りと消毒処理用の消毒液入りの他、すすぎ処置用として、洗浄ボトル10内に液が充填されていないものを準備するとよい。挿入部収納チューブ21は、洗浄用及び消毒用の場合は先端が封止されており、すすぎ用の場合には先端が開放されている。装置はこれで全部なので、小型で運搬し易く、また装置コストを極めて低く抑えることができる。【0028】図4~図6は、洗浄ボトル10がボトル支持台30に載せられて、洗浄ボトル10から蓋体15が外された状態を示している。図4、図5に示されるように、洗浄ボトル10は主にボトル支持台30の載置用リング状線材32Aに載せられた状態になって保持されている。【0029】挿入部収納チューブ21の先端21aは封止されており、保管時に洗浄ボトル10内に充填されていた洗浄消毒液40が洗浄ボトル10内から挿入部収納チューブ21内にも流入して充満する。挿入部収納チューブ21内に気泡が残っている場合には、挿入部収納チューブ21を指先等でしごいて気泡を洗浄ボトル10内側に押し出せばよい。【0030】図6には、括れ部13の上端部の形状が部分的に見えている。図4におけるVII-VII断面を図示する図7に示されるように、洗浄ボトル10は括れ部13において細幅な形状に形成されていて、その上端部付近にベッドサイドスコープ50の操作部52が上方から嵌め込まれて保持されるようになっている。【0031】図8は、そのようにセットされた洗浄ボトル10内に、ベッドサイドスコープ50が、洗浄消毒のために収納された状態を図示している。図9は、その状態を斜め上方から見た斜視図、図10は、図8におけるX-X断面図であり、括れ部13に操作部52が保持された状態が示されている。【0032】図9に示される54は、ベッドサイドスコープ50の操作部52の側面に設けられている光源ユニット接続筒53(観察光源となる豆電球又はLED等とその電源電池)とがユニットになっている光源ユニットが接続される筒状の部材)を水密に封止する着脱自在な防水蓋である。なお、接続筒側に豆電球又はLEDを配置するようにしてもよい。【0033】豆電球等の電源となる電池は、洗浄消毒時にはケースごと取り外され、それに代えて防水蓋54が取り付けられる。なお、ベッドサイドスコープ50が、電池ケースに代えて、DC電源コード又は接続式ライトガイドファイババンドル等が着脱自在に接続される構造を備えたものであっても差し支えない。【0034】図8に示されるように、洗浄ボトル10内から底孔16を通って洗浄ボトル10外に延出するベッドサイドスコープ50の挿入部51は、洗浄ボトル10の底孔16部分に基端が連通接続された可撓性の挿入部収納チューブ21内に緩く囲まれた状態になって、全周面が洗浄液又は消毒液にさらされる。【0035】このようにして、ベッドサイドスコープ50の可撓性を有する挿入部51と操作部52を洗浄液又は消毒液内に一定時間以上浸漬することにより、その洗浄、消毒を行うことができる。使用済みの洗浄ボトル10の上端開口14には、ベッドサイドスコープ50を取り出した後で蓋体15を取り付け、排水処理施設等において排水する。【0036】洗浄消毒後のベッドサイドスコープ50を水道水等ですすぐ場合には、図11に示されるように、挿入部収納チューブ21の先端21aが開放された装置を用い、洗浄ボトル10の上端開口14から洗浄ボトル10内に水道水等を一定時間以上流水として流し込み、挿入部収納チューブ21の先端21aから排出させる。【0037】このようにして、本発明のベッドサイドスコープ用洗浄消毒装置を用いることにより、病室のベッドや在宅のままで内視鏡検査後のベッドサイドスコープの洗浄消毒を手軽に行うことができる。なお、消毒、洗浄、すすぎ等の手順は使用状態に対応して決めればよく、環境によっては、洗浄消毒液のみの一回で終了することが可能の場合もあり、逆に、念をいれて洗浄、消毒を行う必要がある場合には、例えば、洗浄、すすぎ、消毒、すすぎの4工程等としても差し支えない。
A
2012139440
2011000140
20110104
null
null
20120726
2012139440
20120726
null
null
null
null
null
null
null
性交補助器具
511004782
永盛 敬将
100140143
加藤 恭
永盛 敬将
null
8
A61F 5/41 (20060101)
A61F 5/41
9
null
null
null
0
13
4C098
4C098 AA05 ,4C098 EE03
【課題】性交時に子宮に対し陰茎により過度な物理的な刺激が与えられることを防止するための手軽かつ確実な手段を提供する。【解決手段】性交補助器具1は、シリコン樹脂の環状体であり、陰茎の挿入を受容する孔11を内側に有する。性交補助器具1の外径Dは孔11の内径dよりも例えば1.5cm長い。また、性交補助器具1の厚さは例えば1cmである。孔11の内側面上には装着時における尿道の圧迫を回避するための溝12が設けられている。性交補助器具1の両端面の各々の上には凸部13および凹部14が設けられ、隣接して配置された2個の性交補助器具1の凸部13および凹部14が互いに係合し、それらの性交補助器具1の連結が行われる。ユーザは連結により厚さを調節した性交補助器具1を陰茎に装着することで、性交補助器具1により陰茎の膣に対する挿入の深さを制限し、陰茎の先端による子宮に対する望ましくない衝突を防止することができる。【選択図】図1
【請求項1】性交時に陰茎の外側面上に装着される全周が連続した、もしくは全周の一部が不連続の環状体であって、前記環状体は装着時における前記陰茎の長手方向の長さが最大の部分において1センチメートル以上であり、当該長手方向と垂直な方向における外縁の幅と前記陰茎の挿入を受容する孔の縁部である内縁の幅との差が最大の部分において1.5センチメートル以上である性交補助器具。【請求項2】前記環状体は中空部を有する弾性体を少なくとも一部に備え、前記中空部に空気が圧入されることにより膨張し、前記陰茎の挿入を受容する孔の直径が変化する請求項1に記載の性交補助器具。【請求項3】前記環状体は環状の弾性体と、前記環状の弾性体の外周上に配置されたベルトとを備え、前記ベルトは周方向の長さを変更することにより前記環状の弾性体を外側から締め付ける締め付け機構を備える請求項1に記載の性交補助器具。【請求項4】全周の一部が不連続となるような切れ目を有する環状体である請求項1乃至3のいずれかに記載の性交補助器具。【請求項5】前記陰茎の挿入を受容する孔の壁面である内側面上に、前記長手方向に延伸する溝を有する請求項1乃至3のいずれかに記載の性交補助器具。【請求項6】前記長手方向に垂直な2つの端面のうち少なくとも一方の端面上に1以上の凸部または1以上の凹部を有する請求項1乃至5のいずれかに記載の性交補助器具。【請求項7】前記長手方向に垂直な2つの端面の各々の上に1以上の凸部または1以上の凹部を有し、前記2つの端面のうちの一の端面上に配置されている前記1以上の凸部または1以上の凹部は、当該一の端面に対向する位置に隣接して配置される同一形状の性交補助器具が有する端面上に配置されている1以上の凸部または1以上の凹部と互いに係合する形状および配置を有する請求項6に記載の性交補助器具。【請求項8】一方の端部が開口し他方の端部が閉鎖されたチューブ状の弾性体であり、性交時に陰茎の先端部から根元方向に向かう所定の長さの領域を覆うように装着されるサック部と、前記陰茎に装着された状態の前記サック部の外側面上に連結された請求項1乃至6のいずれかに記載の性交補助器具とを備える避妊器具。【請求項9】前記性交補助器具が、前記サック部の前記閉鎖された端部から所定距離だけ離れた位置において前記サック部に連結されている請求項8に記載の避妊器具。
【請求項1】性交時に陰茎の外側面上に装着される全周が連続した、もしくは全周の一部が不連続の環状体であって、前記環状体は装着時における前記陰茎の長手方向の長さが最大の部分において1センチメートル以上であり、当該長手方向と垂直な方向における外縁の幅と前記陰茎の挿入を受容する孔の縁部である内縁の幅との差が最大の部分において1.5センチメートル以上である性交補助器具。
【技術分野】【0001】本発明は、男女の性交時に男性器に装着して用いられる器具に関する。
【背景技術】【0002】男女の性交時において、男性器の陰茎の長さに対し女性器の膣の長さが短いと、陰茎の先端が子宮口にあたり、場合によっては子宮内に陰茎の一部が到達してしまう。そのため、子宮が損傷を受けたり、子宮内に望ましくない細菌が入り込んだり、という問題を生じる危険性がある。特に女性が妊娠中の場合、子宮口に対する物理的な刺激や、精液に含まれるプロスタグランディン等の物質による生理学的な反応により、子宮が収縮しやすくなり、切迫早産が誘発されるなど、人命に関わる深刻な問題を招く場合もある。【0003】上記の事情に対し、例えば妊娠中の性行為をなるべく控える、またはコンドームを用いて精液による子宮の収縮を回避する、といったことが行われている。【0004】なお、後述する本願にかかる性交補助器具とはその解決しようとする課題が全く異なるが、本願発明にかかる性交補助器具とその構造が一部類似している既知の技術として、例えば特許文献1および特許文献2に開示されている避妊具がある。【0005】特許文献1には、陰茎に装着されるコンドームの脱落を防止するために、陰茎に装着されたコンドームの上からコンドームを締め付け固定するためのリングが開示されている。【0006】また、特許文献2には、性行為感染症を防止するために、陰茎に装着されるコンドームの開口部周辺に鍔状のカラーを設けたコンドームが開示されている。
【発明が解決しようとする課題】【0008】上述したように、妊娠中に性行為を控え、もしくはコンドームを用いて性行為をすることによって、妊娠中の切迫早産等の危険性を低減することができる。しかしながら、陰茎の先端が子宮に対し物理的な刺激を与え、場合によっては子宮内に細菌等を誘導してしまう、という問題を解決する有効な手段が見当たらない。特に、妊娠中に性行為が行われる場合、例えコンドームが用いられたとしても子宮口を物理的に刺激して切迫早産等の深刻な問題を招きかねない、という点に関しては、人命に関する重要な問題であるため、手軽かつ確実にそのような問題を解決するための手段が強く望まれる。【0009】本発明は、上述した事情に鑑み、性交時に子宮に対し陰茎により過度な物理的な刺激が与えられることを防止するための手軽かつ確実な手段を提供することを目的とする。
【課題を解決するための手段】【0010】上記の課題を解決するため、本発明は、性交時に陰茎の外側面上に装着される全周が連続した、もしくは全周の一部が不連続の環状体であって、前記環状体は装着時における前記陰茎の長手方向の長さが最大の部分において1センチメートル以上であり、当該長手方向と垂直な方向における外縁の幅と前記陰茎の挿入を受容する孔の縁部である内縁の幅との差が最大の部分において1.5センチメートル以上である性交補助器具を提供する(第1の実施態様)。【0011】上述の第1の実施態様において、前記環状体は中空部を有する弾性体を少なくとも一部に備え、前記中空部に空気が圧入されることにより膨張し、前記陰茎の挿入を受容する孔の直径が変化する構成が採用されてもよい(第2の実施態様)。【0012】また、上述の第1の実施態様において、前記環状体は環状の弾性体と、前記環状の弾性体の外周上に配置されたベルトとを備え、前記ベルトは周方向の長さを変更することにより前記環状の弾性体を外側から締め付ける締め付け機構を備える構成が採用されてもよい(第3の実施態様)。【0013】また、上述の第1乃至第3のいずれかの実施態様において、全周の一部が不連続となるような切れ目を有する環状体である構成が採用されてもよい(第4の実施態様)。【0014】また、上述の第1乃至第3のいずれかの実施態様において、前記陰茎の挿入を受容する孔の壁面である内側面上に、前記長手方向に延伸する溝を有する構成が採用されてもよい(第5の実施態様)。【0015】また、上述の第1乃至第5のいずれかの実施態様において、前記長手方向に垂直な2つの端面のうち少なくとも一方の端面上に1以上の凸部または1以上の凹部を有する構成が採用されてもよい(第6の実施態様)。【0016】また、上述の第6の実施態様において、前記長手方向に垂直な2つの端面の各々の上に1以上の凸部または1以上の凹部を有し、前記2つの端面のうちの一の端面上に配置されている前記1以上の凸部または1以上の凹部は、当該一の端面に対向する位置に隣接して配置される同一形状の性交補助器具が有する端面上に配置されている1以上の凸部または1以上の凹部と互いに係合する形状および配置を有する構成が採用されてもよい(第7の実施態様)。【0017】また、本発明は、一方の端部が開口し他方の端部が閉鎖されたチューブ状の弾性体であり、性交時に陰茎の先端部から根元方向に向かう所定の長さの領域を覆うように装着されるサック部と、前記陰茎に装着された状態の前記サック部の外側面上に連結された上述の第1乃至第6のいずれかの実施態様にかかる性交補助器具とを備える避妊器具を提供する(第8の実施態様)。【0018】また、上述の第8の実施態様において、前記性交補助器具が、前記サック部の前記閉鎖された端部から所定距離だけ離れた位置において前記サック部に連結されている構成が採用されてもよい(第9の実施態様)。
【発明の効果】【0019】本発明の第1の実施態様にかかる性交補助器具によれば、性行為時にユーザが陰茎を環状体である当該性交補助器具の内側の孔に差し入れた後、陰茎の根元部に配置して当該性交補助器具を装着することにより、当該性交補助器具の陰茎の軸方向の長さ、すなわち性交補助器具の厚さだけ、膣に挿入可能な陰茎の長さが短くなる。従って、適当な厚さの性交補助器具を利用することにより、もしくは必要に応じて複数個の性交補助器具を使用することにより、性交時に陰茎の先端部が子宮口に衝突することを容易かつ確実に防止することができる。【0020】本発明の第2の実施態様にかかる性交補助器具によれば、ユーザは例えば空気入れにより当該性交補助器具の内部に設けられた中空部に空気を圧入することにより、当該性交補助器具を膨張させ、その内側の孔の直径を小さくすることにより、ユーザの陰茎の直径に応じた適当なサイズとなるように当該性交補助器具のサイズを調整することができる。その結果、ユーザは当該性交補助器具が使用時に陰茎からずれる問題を回避することができる。【0021】本発明の第3の実施態様にかかる性交補助器具によれば、ユーザは弾性体の外側面上に配置されたベルトの長さを調整することにより、陰茎に装着された弾性体を外側から適当な強さで締め付けることができる。その結果、ユーザは当該性交補助器具が使用時に陰茎からずれる問題を回避することができる。【0022】本発明の第4の実施態様にかかる性交補助器具によれば、環状体の一部に切れ目が設けられているため、陰茎の裏側側面、すなわち陰茎の円周側面のうちユーザから見て下側に位置する側面に近い位置に陰茎の軸方向に延伸している尿道がその切れ目の間に位置するように当該性交補助器具を陰茎に装着することにより、精液の流路を確保することができる。【0023】本発明の第5の実施態様にかかる性交補助器具によれば、環状体の内側側面に溝が設けられているため、陰茎の裏側側面、すなわち陰茎の円周側面のうちユーザから見て下側に位置する側面に近い位置に陰茎の軸方向に延伸している尿道がその溝に位置するように当該性交補助器具を陰茎に装着することにより、精液の流路を確保することができる。【0024】本発明の第6の実施態様にかかる性交補助器具によれば、例えば装着時にユーザの陰茎の先端側に位置する端面上に設けられた凸部もしくは凹部により形成される凹凸により、性交時において女性の陰部に適当な刺激を与えることができる。【0025】本発明の第7の実施態様にかかる性交補助器具によれば、その厚さを調整するために当該性交補助器具を複数同時に陰茎に装着する場合、互いに隣接する当該性交補助器具間を互いに対向する端面の各々に設けられた凹凸が互いに係合することにより、それらの性交補助器具を連結することができる。その結果、ユーザはそれらの複数の性交補助器具が陰茎の軸周りに個々に回転したり、互いに離れたりすることによる不快感を回避することができる。【0026】本発明の第8の実施態様にかかる避妊器具によれば、上述した第1乃至第6のいずれかの実施態様にかかる性交補助器具により得られる効果に加え、精液の膣内への放出を回避することができる。従って、女性が妊娠していない時には避妊を行うことができ、また女性が妊娠中には精液による子宮への悪影響を回避することができる。【0027】本発明の第9の実施態様にかかる避妊器具によれば、陰茎に対する装着時において、性交補助器具の配置位置よりさらに陰茎の根元側の部分をもサック部により覆うことができるため、例えば性交補助器具がサック部の開口側の端部に配置されている場合、すなわち性交補助器具より根元側の陰茎をサック部が覆わない場合と比較して、陰茎とサック部との接触面の面積が広く、より望ましい装着感を得ることができる。
【発明を実施するための形態】【0029】[1.第1実施形態]以下に、本発明の第1実施形態にかかる性交補助器具1を説明する。性交補助器具1は、性交時に男性のユーザが陰茎の根元部分に1個または複数個、装着することにより、陰茎の膣への挿入の深さを浅く保ち、陰茎の先端が子宮に衝突することを防止するための器具である。【0030】図1は、性交補助器具1の外観を模式的に示した斜視図である。性交補助器具1は例えば適度な弾性を有するシリコン樹脂等の弾性素材を環状体に成形したものである。性交補助器具1はその内側に陰茎の挿入を受容するための孔11を有している。【0031】孔11の壁面、すなわち性交補助器具1の内側面上には、装着時における陰茎の軸方向(長手方向)に延伸する溝12が設けられている。溝12は、性交補助器具1が陰茎に装着される際、陰茎の裏側、すなわち陰茎の外周面上のうちユーザから見て下側に位置する側に配置されることにより、その中を尿道が通過し、性交補助器具1が精液の流路を圧迫することを防止する目的で設けられている。【0032】性交補助器具1の装着時における陰茎の軸方向の2つの端面の各々の上には、凸部13と凹部14が設けられている。凸部13および凹部14は、2つの性交補助器具1をそれらの軸が一致するように隣接して配置した際に、互いに対向する端面上の凸部13および凹部14が係合するように、それらのサイズ、形状および配置位置が定められている。【0033】例えば、図1に示される性交補助器具1の奥側の端面(図示されていない端面)上には、手前の端面上に配置されている凸部13のちょうど裏側にあたる位置に凹部14が、また手前の端面上に配置されている凹部14のちょうど裏側にあたる位置に凸部13が配置されている。【0034】また、凸部13と凹部14の形状は凸か凹かの違いを除き概ね同じであるが、それらの大きさは凸部13が凹部14よりやや大きく構成されており、隣接した2つの性交補助器具1の端面上の凸部13が、それに対向する位置に配置されている他方の性交補助器具1の凹部14に嵌り込み、弾性により凹部14を内側から押圧することにより、凸部13と凹部14が使用時に外れない程度に程良く係合する。【0035】性交補助器具1のサイズはユーザの陰茎のサイズおよび性交相手の女性の膣の長さに応じた様々なサイズが準備される。ただし、性交補助器具1は陰茎の膣に対する挿入の深さを調節するという目的を達するためには、図1における性交補助器具1の外径Dは性交補助器具1の内径dより1.5センチメートル以上長いことが望ましい。この長さが短いと、性交補助器具1が膣内に入ってしまい、その目的を達しないおそれがあるためである。【0036】なお、後述するように、性交補助器具1の端面の外縁および内縁(孔の縁部)の形状は円形に限られず、他の形状であってもよいが、その場合、軸方向に垂直な方向における外縁の幅と内縁の幅との差が最大の部分において1.5センチメートル以上であることが望ましい。【0037】また、性交補助器具1の軸方向の長さ、すなわち図1における厚さTは、やはり性交補助器具1の目的を達するためには、1センチメートル以上であることが望ましい。なお、厚さが場所により異なる場合は、それらの最大の厚さが1センチメートル以上であることが望ましい。厚さがそれより薄いと、例え内径dに対する外径Dの長さが十分であっても、性交補助器具1自体が倒れるように変形し、その役割を果たさないおそれがあるためである。【0038】図2は、性交補助器具1の使用時の様子を模式的に示した図である。図2においては、例として、性交補助器具1が3個、連結された状態で陰茎に装着されている。例えば、厚さTが1センチメートルの場合、連結された3個の性交補助器具1により陰茎の挿入が妨げられ、陰茎の挿入可能な深さは陰茎全体の長さより3センチメートル短くなる。従って、膣の長さが陰茎の長さから3センチメートルだけ減じた長さより長ければ、性行為時に陰茎の先端が子宮口に達することはない。その結果、陰茎の先端が子宮に衝突することにより生じる問題が回避される。【0039】[2.第1実施形態の変形例]上述した第1実施形態にかかる性交補助器具1は、本発明の技術的思想の範囲内において様々に変形可能である。以下にそのような変形の例を示す。【0040】図3は、性交補助器具1の変形例の外観を模式的に示した斜視図である。図3(a)に示される性交補助器具2は、溝12に代えて切れ目22を備えている。すなわち、性交補助器具2を構成する環状体の周方向における全周の一部が不連続となっており、その不連続部が切れ目22となっている。切れ目22を備える性交補助器具2においても、切れ目22の位置に尿道を配置するように性交補助器具2を陰茎に装着することによって、精液の流路を確保することができる。【0041】このように、本願において、環状体とは、性交補助器具1のように周方向の全周が連続している形状に限られず、性交補助器具2のように周方向の一部が不連続であっても、陰茎の少なくとも円周の半分以上を囲い込む形状を全て含む広い概念を意味する。【0042】図3(b)に示される性交補助器具3は、その端面の外縁の形状が円形ではなく、例としてハート型となるように環状体が成形されている。このような形状とすることにより、本発明にかかる性交補助器具にデザイン性を持たせることができるとともに、その形状によっては、上下方向の位置を容易に確認することができる。なお、端面の外縁の形状は円形およびハート型に限られず、例えば星型など、様々な形状が採用可能である。【0043】このように、本願において、環状体とは、その端面の外縁および内縁の形状が円形のものに限られず、例えば外縁に関してはハート型、星型など円形以外の形状であってもよいし、また内縁に関しては溝12を設けるなどにより、やはり円形以外の形状であってもよく、上述したように、陰茎の少なくとも円周の半分以上を囲い込む形状を全て含む広い概念である。【0044】また、図には示されていないが、性交補助器具の素材やその表面に着色を施すことにより、色や模倣によるデザイン性を高めてもよい。【0045】さらに、性交補助器具の装着時における軸方向の断面の形状は単一である必要はなく、いわゆる外側面上に凹凸を持たせ、デザイン性を高めてもよい。また、外側面上に着色を施すことによりデザイン性を高めてもよい。【0046】図3(c)に示される性交補助器具4は、その端面上に多数の凸部43および多数の凹部44が設けられている。これらの凹凸は、性交時に女性器の表面に押圧されることで、適度な刺激を与えるようにその配置、形状、サイズ、および数が定められている。これにより、より快適な性行為が可能となる。【0047】なお、凸部43および凹部44は装着時に女性器に対向する側の端面上にのみ設けられていてもよいし、表裏の別をなくすために、両側の端面の各々の上に設けられていてもよい。【0048】また、両方の端面上に凸部43および凹部44が設けられている場合、それらが性交補助器具1における凸部13および凹部14と同様に、隣接した2つの性交補助器具4の互いに対向する端面上で互いに係合するように配置されていてもよい。その場合、2以上の性交補助器具4を連結することが可能となり、陰茎の挿入の深さを調整するために2以上の性交補助器具4を同時に使用する際に、それらが互いにずれることなく望ましい。【0049】ところで、上述した性交補助器具1およびその変形例である性交補助器具2、性交補助器具3および性交補助器具4はいずれも、陰茎の挿入を受容する孔11の直径、すなわち図1における内径dの大きさを調整する機能は持たず、ユーザによって異なる陰茎の直径の各々に適用可能なように、例えば2ミリメートル刻みで異なる多数のサイズのものが予め準備され、それらからユーザが自分に適するサイズのものを選択して使用する、ということが想定されている。なお、ユーザは性交補助器具の素材が有する弾性を考慮して、自分の陰茎の直径よりやや小さい内径のサイズを選択することになる。【0050】これに対し、図4には、性交補助器具1の他の変形例として、内径dの大きさを調整する機能を備えた性向補助器具の模式的な斜視図が示されている。図4(a)に示される性交補助器具5は、その内部に、例えばドーナツ形状の中空部55が設けられている。なお、図4(a)の右の図は図4(a)の左の図に示される矢印c方向に性交補助器具5を切断した場合の断面を模式的に示している。中空部55は、図示せぬ経路により外部に対し開口しており、その経路の開口部には空気栓56が配置されている。空気栓56は、外部から圧入される空気の圧力により内側に開くが、内部からの圧力により外側には開かない弁を有しており、当該弁が閉じている状態で中空部55を外部に対し密封する。ただし、空気栓56が備える弁は、例えば図4(a)において矢印xで示される厚さ方向に押圧を受けると開く。【0051】ユーザは、例えば性交補助器具5を陰茎に装着した後、空気入れ99を用いて空気栓56から中空部55に対し空気を圧入することで、性交補助器具5を内部から膨張させ、内径dを小さくし、性交補助器具5を陰茎に適度にフィットさせることができる。【0052】ユーザは使用後、矢印xの方向に押圧を加え中空部55内の空気を抜くことで内径dを大きくして、性交補助器具5による陰茎の締め付けを緩めた後、性交補助器具5を陰茎から取り外す。【0053】図4(b)に示される性交補助器具6は、シリコン等の弾性素材を成形した環状体の外周上にベルト67が配置されている。ベルト67の一方の端部付近の表面には、例えば周方向に対し垂直に延伸する畝状の凸部が1ミリメートル毎に平行に設けられている。性交補助器具6の外周上には、上述の畝状の凸部が設けられているベルト67の端部付近の挿入を受容し、挿入されたベルト67を締め付けることによりその位置で固定する固定具68が配置されている。なお、ベルト67上に設けられている畝状の凸部は、固定具68による位置決めを確実にするために設けられている。【0054】固定具68は、例えばベルト67が環状体を締め付ける方向、すなわち図4(b)における矢印yの方向に移動する場合にはその移動を許容し、ベルト67が矢印yと逆の方向に移動する場合にはその移動を行わせないようにロックする。ただし、固定具68は、例えば図4(b)において矢印zで示される厚さ方向に押圧を受けると、そのロックをリリースする。【0055】ユーザは、例えば性交補助器具6を陰茎に装着した後、ベルト67の端部を引っ張ってその周方向の長さを短くすることで、ベルト67により環状体を締め付ける。固定具68はその位置でベルト67をロックする。これにより、ユーザは内径dを小さくし、性交補助器具6を陰茎に適度にフィットさせることができる。【0056】ユーザは使用後、矢印zの方向に押圧を加え固定具68によるベルト67のロックをリリースし内径dを大きくして、性交補助器具6による陰茎の締め付けを緩めた後、性交補助器具6を陰茎から取り外す。【0057】なお、ベルト67と固定具68の構造は上述したものに限られず、ベルトをユーザが容易に締めたり緩めたりすることを可能とする構造のものであればいずれのものが採用されてもよい。例えば、環状体の本体から放射方向外側に突起するピンを設けておき、先端付近に周方向に等間隔に孔を開けたベルトの適当な孔にそのピンを挿入してベルトを望ましい周方向の長さで固定する締め付け機構などが他の例として考えられる。【0058】ところで、上述した性交補助器具1およびその変形例は、例えば厚さ1センチメートルの同じ形状の性交補助器具を必要に応じて連結して用いることが想定されているが、様々な厚さの性交補助器具を準備しておき、ユーザがそれらの中から適当な厚さのものを1つ選択して用いるようにしてもよい。その場合、連結のための凸部13および凹部14は不要となる。【0059】[3.第2実施形態]以下に、本発明の第2実施形態にかかる避妊器具7を説明する。避妊器具7は、上述した本発明にかかる性交補助器具に対し、コンドームの機能を加えたものである。【0060】図5は、避妊器具7の外観を模式的に示した図である。避妊器具7は既存のコンドームと同様に一方の端部が開口し、他方の端部が閉鎖された薄いシリコンゴム等の弾性素材でできたチューブ状のサック部79と、サック部79の軸方向の中腹部(サック部79の軸方向の中間位置とは限られない)の外側面上に性交補助器具1が連結された構造を備えている。ただし、避妊器具7が備える性交補助器具1には連結のための凸部13および凹部14は不要のため設けられていない。【0061】なお、サック部79と性交補助器具1との連結の方法は、例えば一体成型によるがそれに限られず、接着剤による接着や熱溶着などの他の方向が採用されてもよい。【0062】図5に示されるように、避妊器具7においてサック部79の先端部、すなわち閉鎖された端部から離所定の距離の位置に固定されている性交補助器具1により、性交時における陰茎の挿入の深さが制限される。すなわち、図5における長さLが膣に挿入される陰茎の長さとなる。従って、ユーザは膣の長さより短い長さLに性交補助器具1が配置された避妊器具7を選択し陰茎に装着することにより、性交時に陰茎の先端が子宮口に達することを防止することができる。【0063】なお、第1実施形態にかかる性交補助器具においては、陰茎の根元部分に性交補助器具が固定され、その厚さにより挿入の深さが決定される。これに対し、避妊器具7においては、装着時にサック部79の閉鎖された端部が陰茎の先端に固定され、サック部79により性交補助器具1の陰茎の軸方向における位置決めが行われる。従って、避妊器具7の使用時に性交補助器具1は必ずしも陰茎の根元に配置されるわけではなく、通常は図5に示されるように、陰茎の軸方向の中腹に配置されることになる。【0064】避妊器具7によれば、性行為時に陰茎の先端が子宮に達することが防止されるとともに、精液の膣内に対する放出が防止される。従って、女性が妊娠していない場合には避妊の目的が達せられ、女性が妊娠している場合には精液による子宮収縮といった望ましくない状況を招く危険性が低減される。【0065】[4.第2実施形態の変形例]上述した第2実施形態にかかる避妊器具7は、本発明の技術的思想の範囲内において様々に変形可能である。以下にそのような変形の例を示す。【0066】図6は、避妊器具7の一変形例の外観を模式的に示した斜視図である。図6に示される避妊器具8は、避妊器具7が備えるサック部79に代えて、サック部79より長手方向の長さが短いサック部89を備えている。サック部89の長さは陰茎の挿入の深さであり、サック部89の開口している端部の外縁外側に性交補助器具1が連結されている。そのため、図6に示されるように、避妊器具8が陰茎に装着された状態において、陰茎の根元側の一部はサック部89により覆われない。【0067】避妊器具8によっても、装着時に陰茎の中腹に配置される性交補助器具1により陰茎の挿入の深さが制限されるため、避妊器具7と同様の効果を得ることができる。なお、避妊器具8は避妊器具7と比較してサック部と陰茎との接触面の面積が狭いため、装着時のフィット感が少ない、使用時に避妊器具8が陰茎からずれやすい、といった短所を持つ場合もあるが、その一方で装着がより簡易である、製造が容易となりコスト安となる、といった長所を持つ場合がある。【0068】図7は、避妊器具7の他の変形例の外観を模式的に示した斜視図である。図7に示される避妊器具9は、避妊器具7が備える性交補助器具1に代えて、厚さがサック部79に連結されている部分から放射方向外側に向かうに従い薄くなる形状の性交補助器具91を備えている。【0069】避妊器具においては、性交補助器具がサック部に連結されているため、複数個の性交補助器具を連結使用する必要がなく、そのため、性交補助器具がサック部に連結されている部分においてはしっかりとした位置決めのためにその厚さを厚くし、性交補助器具の外周部においては陰茎の挿入を制限するに十分な厚さがあれば、むしろその厚さが薄い方が素材の節約や重さの軽量化等の点で望ましい。避妊器具9はそのようなメリットをもたらす形状の性交補助器具91が採用された避妊器具の一例である。【0070】なお、上述した避妊器具7およびその変形例である避妊器具8においては、性交補助器具1が性交補助器具として用いられているが、第1実施形態の変形例で述べたような他の構造の性交補助器具(例えば、性交補助器具2、性交補助器具3、性交補助器具4、性交補助器具5および性交補助器具6)のいずれが用いられてもよい。【0071】以上説明した第1実施形態もしくはその変形例にかかる性交補助器具、また第2実施形態もしくはその変形例にかかる避妊器具に関し述べた形状、素材、サイズ等はあくまで例示であって、それらにより本発明が限定的に解釈されるべきではない。例えば、溝12を半円柱形状とする代わりに矩形柱形状としたり、性交補助器具や避妊器具の弾性体の素材として天然ゴムやウレタンゴムなど、シリコン樹脂以外の素材を用いたりするなど、様々な変更が可能であるが、それらは全て本発明の技術的思想の範囲内に含まれる。
A
2012139441
2011000217
20110104
null
null
20120726
2012139441
20120726
null
null
5677862
20150109
null
null
null
超音波診断装置及び超音波診断プログラム
000003078,594164542
株式会社東芝,東芝メディカルシステムズ株式会社
100089118
酒井 宏明
郡司 隆之,赤木 和哉,小林 豊,小笠原 勝,星野 伸一
null
8
A61B 8/00 (20060101)
A61B 8/00
8
null
null
null
0
25
4C601
4C601 DE04 ,4C601 DE06 ,4C601 EE08 ,4C601 HH14 ,4C601 HH15 ,4C601 HH16 ,4C601 JC37 ,4C601 KK24
【課題】超音波診断装置に係る処理負荷を軽減すること。【解決手段】実施の形態の超音波診断装置では、超音波プローブから被検体の撮影領域に送信された超音波の反射波を時系列に沿って収集する。また、撮影領域に対し超音波を送信する第1の送信と、撮影領域の一部からなる部分領域に対し超音波を送信する第2の送信とを任意の順番で行うように超音波プローブを制御する。また、第1の送信によって得られた反射波に基づいて第1の超音波画像を生成し、第2の送信によって得られた反射波に基づいて第2の超音波画像を生成する。また、第1の超音波画像と、第2の超音波画像とを合成した合成画像を生成する。そして、第1の送信及び第2の送信が行われた時刻に基づいて、第1の超音波画像と、合成画像とを時系列に沿って出力する。【選択図】図3
【請求項1】超音波プローブから被検体の撮影領域に送信された超音波の反射波を時系列に沿って収集する収集部と、撮影領域に対し前記超音波を送信する第1の送信と、前記撮影領域の一部からなる部分領域に対し前記超音波を送信する第2の送信とを任意の順番で行うように前記超音波プローブを制御する送信制御部と、前記第1の送信によって得られた反射波に基づいて第1の超音波画像を生成する第1の超音波画像生成部と、前記第2の送信によって得られた反射波に基づいて第2の超音波画像を生成する第2の超音波画像生成部と、前記第1の超音波画像と、前記第2の超音波画像とを合成した合成画像を生成する超音波画像合成部と、前記第1の送信及び前記第2の送信が行われた時刻に基づいて、前記第1の超音波画像と、前記合成画像とを時系列に沿って出力する超音波画像出力部とを備えたことを特徴とする超音波診断装置。【請求項2】前記送信制御部は、前記第1の送信時点については、前記撮影領域全体に超音波を送信し、前記第2の送信時点については、前記撮影領域のうち前記部分領域に超音波を送信する一方前記他の領域に超音波を送信しないよう制御することを特徴とする請求項1に記載の超音波診断装置。【請求項3】前記送信制御部は、前記第1の送信を行うごとに、1回あるいは複数回前記第2の送信を行うよう制御することを特徴とする請求項1または2に記載の超音波診断装置。【請求項4】前記超音波画像出力部により出力される超音波画像内における前記部分領域の位置を示す位置情報を出力する位置情報出力部を更に備えたことを特徴とする請求項1~3のいずれかに記載の超音波診断装置。【請求項5】前記第1の超音波画像の画素値に基づいて造影剤を検出する検出部を更に備え、前記第2の超音波画像生成部は、前記検出部により検出された画素値が第1の閾値を超えると、前記第2の超音波画像の生成を開始し、前記画素値が当該第1の閾値を超えた後当該画素値の増加度が第2の閾値以下となると、前記第2の超音波画像の生成を終了することを特徴とする請求項1~4のいずれかに記載の超音波診断装置。【請求項6】時系列に沿った前記超音波画像を記憶する記憶部と、前記第1の超音波画像と前記合成画像のうち、少なくともいずれか一方について、間引いた上で前記記憶部に格納する格納部とを更に備えたことを特徴とする請求項1~5のいずれかに記載の超音波診断装置。【請求項7】超音波プローブから被検体に送信された超音波の反射波を時系列に沿って収集する収集部と、前記収集部により収集された前記反射波に基づいて、前記被検体の超音波画像を時系列に沿って複数生成する超音波画像生成部と、前記超音波画像生成部により生成された超音波画像において、前記被検体に投与された造影剤の濃度に関する画素値を検出する検出部とを備え、前記超音波画像生成部は、前記検出部により検出された画素値が第1の閾値を超えると、前記超音波画像を生成する生成間隔を当該第1の閾値を超える前と比較して短くし、画素値が第1の閾値を超えた後当該画素値の増加度が第2の閾値以下となると、前記超音波画像を生成する生成間隔を当該第2の閾値以下となる前と比較して長くすることを特徴とする超音波診断装置。【請求項8】超音波プローブから被検体の撮影領域に送信された超音波の反射波を時系列に沿って収集する収集手順と、撮影領域に対し前記超音波を送信する第1の送信と、前記撮影領域の一部からなる部分領域に対し前記超音波を送信する第2の送信とを任意の順番で行うように前記超音波プローブを制御する送信制御手順と、前記第1の送信によって得られた反射波に基づいて第1の超音波画像を生成する第1の超音波画像生成手順と、前記第2の送信によって得られた反射波に基づいて第2の超音波画像を生成する第2の超音波画像生成手順と、前記第1の超音波画像と、前記第2の超音波画像とを合成した合成画像を生成する超音波画像合成手順と、前記第1の送信及び前記第2の送信が行われた時刻に基づいて、前記第1の超音波画像と、前記合成画像とを時系列に沿って出力する超音波画像出力手順とをコンピュータに実行させることを特徴とする超音波診断プログラム。
【請求項1】超音波プローブから被検体の撮影領域に送信された超音波の反射波を時系列に沿って収集する収集部と、撮影領域に対し前記超音波を送信する第1の送信と、前記撮影領域の一部からなる部分領域に対し前記超音波を送信する第2の送信とを任意の順番で行うように前記超音波プローブを制御する送信制御部と、前記第1の送信によって得られた反射波に基づいて第1の超音波画像を生成する第1の超音波画像生成部と、前記第2の送信によって得られた反射波に基づいて第2の超音波画像を生成する第2の超音波画像生成部と、前記第1の超音波画像と、前記第2の超音波画像とを合成した合成画像を生成する超音波画像合成部と、前記第1の送信及び前記第2の送信が行われた時刻に基づいて、前記第1の超音波画像と、前記合成画像とを時系列に沿って出力する超音波画像出力部とを備えたことを特徴とする超音波診断装置。【請求項7】超音波プローブから被検体に送信された超音波の反射波を時系列に沿って収集する収集部と、前記収集部により収集された前記反射波に基づいて、前記被検体の超音波画像を時系列に沿って複数生成する超音波画像生成部と、前記超音波画像生成部により生成された超音波画像において、前記被検体に投与された造影剤の濃度に関する画素値を検出する検出部とを備え、前記超音波画像生成部は、前記検出部により検出された画素値が第1の閾値を超えると、前記超音波画像を生成する生成間隔を当該第1の閾値を超える前と比較して短くし、画素値が第1の閾値を超えた後当該画素値の増加度が第2の閾値以下となると、前記超音波画像を生成する生成間隔を当該第2の閾値以下となる前と比較して長くすることを特徴とする超音波診断装置。【請求項8】超音波プローブから被検体の撮影領域に送信された超音波の反射波を時系列に沿って収集する収集手順と、撮影領域に対し前記超音波を送信する第1の送信と、前記撮影領域の一部からなる部分領域に対し前記超音波を送信する第2の送信とを任意の順番で行うように前記超音波プローブを制御する送信制御手順と、前記第1の送信によって得られた反射波に基づいて第1の超音波画像を生成する第1の超音波画像生成手順と、前記第2の送信によって得られた反射波に基づいて第2の超音波画像を生成する第2の超音波画像生成手順と、前記第1の超音波画像と、前記第2の超音波画像とを合成した合成画像を生成する超音波画像合成手順と、前記第1の送信及び前記第2の送信が行われた時刻に基づいて、前記第1の超音波画像と、前記合成画像とを時系列に沿って出力する超音波画像出力手順とをコンピュータに実行させることを特徴とする超音波診断プログラム。
【技術分野】【0001】本発明の実施形態は、超音波診断装置及び超音波診断プログラムに関する。
【背景技術】【0002】被検体に送信された超音波の反射波を受信し、受信した反射波に基づいて超音波画像を生成する超音波診断装置がある。超音波診断装置は、時系列に沿った複数の超音波画像を生成し、生成した超音波画像各々を時系列に沿って出力する。【0003】ここで、超音波診断装置により生成される超音波画像のフレームレートを高くすることがある。フレームレートとは、単位時間あたりに超音波画像が何回更新されるかを示す。例えば、心拍数に応じて自動的にフレームレートを高くする手法がある。
【発明が解決しようとする課題】【0005】本発明が解決しようとする課題は、超音波診断装置にかかる処理負荷を軽減することである。
【課題を解決するための手段】【0006】実施の形態の超音波診断装置は、収集部と、送信制御部と、第1の超音波画像生成部と、第2の超音波画像生成部と、超音波画像合成部と、超音波画像出力部とを備える。収集部は、超音波プローブから被検体の撮影領域に送信された超音波の反射波を時系列に沿って収集する。送信制御部は、撮影領域に対し前記超音波を送信する第1の送信と、前記撮影領域の一部からなる部分領域に対し前記超音波を送信する第2の送信とを任意の順番で行うように前記超音波プローブを制御する。第1の超音波画像生成部は、前記第1の送信によって得られた反射波に基づいて第1の超音波画像を生成する。第2の超音波画像生成部は、前記第2の送信によって得られた反射波に基づいて第2の超音波画像を生成する。超音波画像合成部は、前記第1の超音波画像と、前記第2の超音波画像とを合成した合成画像を生成する。超音波画像出力部は、前記第1の送信及び前記第2の送信が行われた時刻に基づいて、前記第1の超音波画像と、前記合成画像とを時系列に沿って出力する。
null
【発明を実施するための形態】【0008】(第1の実施形態)(第1の実施形態に係る超音波診断装置の全体像)第1の実施形態に係る超音波診断装置の全体像について簡単に説明する。以下に詳細に説明するように、第1の実施形態に係る超音波診断装置は、被検体の撮影領域について、時系列に沿って複数の超音波画像を生成する。また、第1の実施形態に係る超音波診断装置は、被検体の撮影領域のうち任意の部分領域については、撮影領域のうち部分領域を除く他の領域と比較してフレームレートが高くなるように、時系列に沿って複数の超音波画像を生成する。【0009】図1は、第1の実施形態に係る超音波診断装置により生成される超音波画像の一例について簡単に示す図である。図1において、超音波画像101は、超音波診断装置により生成される超音波画像の一例を示す。図1に示す例では、超音波画像101は、画像領域102と画像領域103と画像領域104とを有する。【0010】ここで、撮影領域は、超音波画像101により示される範囲全体を示す。例えば、図1に示す例では、画像領域102と画像領域103と画像領域104とが該当する。また、部分領域は、撮影領域の任意の一部を示す。図1に示す例では、部分領域は、画像領域103が該当する。また、他の領域は、撮影領域のうち部分領域を除く領域を示す。図1に示す例では、他の領域は、画像領域102及び画像領域104が該当する。【0011】すなわち、図1の例では、超音波診断装置は、画像領域103のフレームレートが、画像領域102及び画像領域104のフレームレートと比較して高くなるように、被検体の撮影領域の超音波画像を時系列に沿って複数生成する。例えば、超音波診断装置は、画像領域102と画像領域104とについて、30Hzで新たな画像となり、画像領域103について、90Hzで新たな画像となるような時系列に沿った複数の超音波画像を生成する。【0012】図2は、第1の実施形態に係る超音波診断装置による超音波画像の生成処理の全体像を示す図である。図2において、スキャン範囲111からスキャン範囲114は、超音波診断装置により時系列に沿って反射波が収集された範囲を示す。図2に示す例では、スキャン範囲111とスキャン範囲114により示される範囲は、撮影領域全面となる。また、スキャン範囲112とスキャン範囲113により示される範囲は、同一の部分領域となる。なお、スキャン範囲112とスキャン範囲113については、参考までに、撮影領域全面を示す点線を併せて示した。【0013】また、超音波画像121から超音波画像124は、超音波診断装置により生成される超音波画像を示す。また、超音波画像121から超音波画像124は、それぞれ、スキャン範囲111からスキャン範囲114がスキャンされた時点における超音波画像を示す。【0014】ここで、超音波診断装置は、撮影領域に対し超音波を送信する第1の送信と、撮影領域の一部からなる部分領域に対し超音波を送信する第2の送信とを任意の順番で行うように超音波プローブを制御する。【0015】例えば、図2のスキャン範囲111やスキャン範囲114に示すように、超音波診断装置は、撮影領域全体について反射波を収集する。そして、図2の超音波画像121や超音波画像124に示すように、超音波診断装置は、撮影領域全面についての超音波画像を生成する。以下では、撮影領域全体について反射波が収集された時点を「第1の送信時点」とも称し、第1の送信時点について生成された超音波画像を「第1の超音波画像」とも称する。つまり、超音波診断装置は、第1の送信によって得られた反射波に基づいて第1の超音波画像を生成する。【0016】また、図2のスキャン範囲112やスキャン範囲113に示すように、超音波診断装置は、部分領域について反射波を収集する。以下では、部分領域について反射波が収集された時点を「第2の送信時点」とも称する。また、第2の送信時点について生成された超音波画像を「第2の超音波画像」とも称する。第2の送信時点は、連続する二つの第1の送信時点の間にある。つまり、超音波診断装置は、第2の送信によって得られた反射波に基づいて第2の超音波画像を生成する。図2に示す例では、スキャン範囲112やスキャン範囲113についての超音波画像となる。【0017】また、超音波診断装置は、図2の超音波画像122や超音波画像123に示すように、第1の超音波画像と第2の超音波画像とを合成した合成画像を生成する。つまり、超音波診断装置は、第2の送信時点における超音波画像として、部分領域については、第2の送信時点において収集された反射波に基づき、他の領域については第1の送信時点において収集された反射波に基づく超音波画像となる合成画像を生成する。【0018】より詳細な一例をあげて、第2の送信時点について合成される合成画像について説明する。例えば、スキャン範囲112に超音波が送信された第2の送信時点を用いて説明する。この場合、超音波診断装置は、スキャン範囲112に対応する部分については、第2の超音波画像となり、スキャン範囲112以外の部分については、超音波画像121となる合成画像を生成する。【0019】より詳細な一例をあげて説明する。超音波診断装置は、第1の送信時点については、撮影領域全体に超音波を送信し、第2の送信時点については、撮影領域のうち部分領域に超音波を送信する一方他の領域に超音波を送信しないよう制御する。また、超音波診断装置は、第1の送信を行うごとに、1回あるいは複数回前記第2の送信を行うよう制御する。【0020】なお、図2に示す例では、連続する2つの第1の送信時点の間に2つの第2の超音波画像を生成する場合を示したが、これに限定されるものではない。例えば、超音波診断装置は、1つの第2の超音波画像を生成しても良く、3つ以上の第2の超音波画像を生成しても良い。【0021】このように、第1の実施形態に係る超音波診断装置によれば、部分領域のフレームレートを向上することが可能であり、超音波診断装置にかかる処理負荷を抑えることが可能である。すなわち、利用者がフレームレートを上げたい部分については、フレームレートが向上する超音波画像を表示可能である。また、第1の実施形態に係る超音波診断装置によれば、部分領域についての超音波画像だけでなく、その他の領域についての超音波画像も表示される結果、広い範囲について時系列に沿った超音波画像も利用者が確認しつつ、利用者がフレームレートを上げたい部分についてはフレームレートを向上することが可能である。また、この結果、撮影領域全面のフレームレートを向上する手法と比較して超音波診断装置にかかる処理負荷を軽減可能である。【0022】(第1の実施形態に係る超音波診断装置の構成)第1の実施形態に係る超音波診断装置について説明する。図3は、第1の実施形態に係る超音波診断装置の構成の一例を示すブロック図である。図3に示すように、超音波診断装置は、超音波探触子10と、超音波送受信部20と、信号処理部30と、画像データ収集部40と、画像信号収集メモリ50と、操作パネル60と、表示制御部70と、モニタ71と、制御処理部80と、記憶部90と、演算処理部100とを有する。【0023】超音波探触子10は、超音波画像診断装置に着脱自在に接続される。超音波探触子10は、被検体に超音波を送信し、送信した超音波の反射波を受信する。なお、超音波探触子10は、「超音波プローブ」とも称する。【0024】超音波送受信部20は、制御処理部80による制御に従って、超音波探触子10から被検体の撮影領域に送信された超音波の反射波を時系列に沿って収集する。超音波送受信部20は、「収集部」とも称する。具体的には、超音波送受信部20は、超音波を発生させるための高周波パルスを超音波探触子10に送信する。また、超音波送受信部20は、超音波探触子10によって受信された反射波を収集する。また、超音波送受信部20は、収集した反射波を電気的に処理し、処理結果となる信号である反射波データを信号処理部30に送信する。反射波を「エコー信号」とも称する。【0025】より詳細には、超音波送受信部20は、第1の送信時点において、被検体の撮影領域全体に超音波を送信するための高周波パルスを超音波探触子10に送信する。また、第2の送信時点において、超音波送受信部20は、被検体の部分領域に超音波を送信するための高周波パルスを超音波探触子10に送信する。すなわち、超音波送受信部20は、超音波探触子10に、第1の送信時点において撮影領域全体に超音波を送信させ、第2の送信時点において部分領域に超音波を送信させる一方他の領域に超音波を送信させないようにする。すなわち、超音波送受信部20は、撮影領域に対し超音波を送信する第1の送信と、撮影領域の一部からなる部分領域に対し超音波を送信する第2の送信とを任意の順番で行うように超音波探触子10を制御する。この結果、撮影領域全体に超音波を送信させた場合には、超音波送受信部20は、撮影領域全面について反射波を収集する。また、部分領域に超音波を送信させる一方他の領域に超音波を送信させないようにした場合には、超音波送受信部20は、部分領域についての反射波を収集する一方、他の領域についての反射波を収集されない。【0026】信号処理部30は、超音波送受信部20から受信した反射波データを処理する。信号処理部30は、各種目的別の信号処理ユニットを有する。図3に示す例では、信号処理部30は、エコー信号処理部31と、トレース信号処理部32と、ビデオ信号処理部33とを有する。【0027】エコー信号処理部31は、図示しないBモード処理ユニットとドプラ処理ユニットとを含む。ここで、Bモード処理ユニットは、超音波送受信部20から反射波データを受信し、対数増幅、包絡線検波処理などを行うことで、信号強度が輝度の明るさで表現されるデータを生成する。Bモード処理ユニットにより生成されたデータは、「Bモードデータ」とも称される。【0028】また、ドプラ処理ユニットは、超音波送受信部20から受信した反射波データから速度情報を周波数解析し、ドプラ効果による血流や組織、造影剤エコー成分を抽出し、平均速度、分散、パワーなどの移動体情報を多点について抽出したデータを生成する。ドプラ処理ユニットにより生成されたデータは、「ドプラデータ」とも称される。【0029】なお、ドプラ処理ユニットやBモード処理ユニットにより生成されたデータは、反射波ごとの信号列で表される信号処理後の超音波ラスタデータであり、「ローデータ(RawData)」とも称する。【0030】また、トレース信号処理部32は、ドップラ画像や、Bモード像の時間軸像(モーション画像(M像))を生成する。また、ビデオ信号処理部33は、ローデータをビデオ信号とする。なお、ビデオ信号は、ローデータをもとにスキャンコンバージョンし、モニタに表示した画像および、文字や数値、マーク類を表示した画像を1フレーム単位で変換した信号である。【0031】画像データ収集部40は、超音波送受信部20により収集された反射波に基づいて、被検体の撮影領域の超音波画像を時系列に沿って複数生成する。具体的には、画像データ収集部40は、第1の送信時点について、撮影領域全面についての超音波画像を生成する。また、画像データ収集部40は、第2の送信時点について、部分領域についての超音波画像を生成する。図3に示す例では、画像データ収集部40は、画像データ制御部41と、画面データ生成部42と、スキャン部位制御部43とを有する。【0032】画面データ生成部42は、ローデータから超音波画像データを生成する。スキャン部位制御部43は、フレームレートや部分領域を示す情報を制御処理部80から受信し、受信した情報を画像データ制御部41に入力する。【0033】画像データ制御部41は、信号処理部30による処理結果となるローデータを信号処理部30から受信し、受信したローデータを画面データ生成部42に送信する。また、画像データ制御部41は、画面データ生成部42から超音波画像データを受信する。ここで、画像データ制御部41は、スキャン部位制御部43により入力された情報に基づいて、第1の送信時点について生成された超音波画像については、そのまま画像信号収集メモリ50に格納し、第2の送信時点について生成された部分領域についての超音波画像については、部分領域の超音波画像として画像信号収集メモリ50に格納する。【0034】画像信号収集メモリ50は、画像データ収集部40により生成された超音波画像データを記憶する。すなわち、画像信号収集メモリ50は、時系列に沿って生成された超音波画像データを記憶する。なお、実施例1では、画像信号収集メモリ50に記憶された超音波画像データは、スキャンコンバージョン前の超音波画像データであるものとして説明する。【0035】操作パネル60は、超音波診断装置の利用者が各種設定を入力するためのGUI(GraphicalUserInterface)を表示し、超音波画像診断装置に対する操作内容を利用者から受け付ける。例えば、操作パネル60は、例えば、超音波画像の生成する旨の指示を利用者から受け付け、超音波画像を表示する旨の指示を利用者から受け付ける。なお、利用者から受け付ける操作内容の詳細については、適宜後述する。【0036】表示制御部70は、後述するように、スキャンコンバージョンが行われた後の超音波画像データを制御処理部80から受信すると、モニタ71に表示する。具体的には、表示制御部70は、第1の超音波画像と合成画像とを時系列に沿って出力する。すなわち、第1の送信及び第2の送信が行われた時刻に基づいて、第1の超音波画像と、合成画像とを時系列に沿って出力する。表示制御部70は、「超音波画像出力部」とも称する。なお、実施例1では、モニタ71が、超音波診断装置の一部である場合を用いて説明するが、これに限定されるものではなく、超音波診断装置とは別の外部装置であっても良い。また、モニタ71は、操作パネル60と同一の装置であっても良い。【0037】制御処理部80は、各種の処理手順などを規定したプログラムを記憶する内部メモリを有し、超音波診断装置による処理全体を制御する。制御処理部80は、例えば、ASIC(ApplicationSpecificIntegratedCircuit)、FPGA(FieldProgrammableGateArray)、CPU(CentralProcessingUnit)、MPU(MicroProcessingUnit)などが該当する。【0038】具体的には、制御処理部80は、操作パネル60を介して利用者から入力された各種設定や、記憶部90から読み込んだ各種制御プログラム及び各種設定情報に基づき、超音波送受信部20と信号処理部30と画像データ収集部40とを制御する。また、制御処理部80は、後述するように、スキャンコンバージョン後の超音波画像データを表示制御部70に送信することで、モニタ71から超音波画像を表示する。【0039】制御処理部80は、以下に詳細に説明するように、被検体の撮影領域のうち任意の部分領域については、他の領域と比較してフレームレートが高くなるように、超音波診断装置の各部を制御する。制御処理部80による処理の詳細な一例については、フローチャートを用いて後述するため、ここでは説明を省略する。【0040】図3に示す例では、制御処理部80は、フレームレート向上範囲設定制御部81と、フレームレート向上範囲描画制御部82と、フレームレート値設定制御部83と、超音波スキャン制御部84と、フレームレート向上範囲リファレンス表示部85と、シネ再生制御部86と、保存制御部87とを有する。【0041】フレームレート向上範囲設定制御部81は、フレームレートを高くする部分領域を設定した上で超音波画像を生成するかを判定する。すなわち、部分領域からの指示や予め利用者に設定された条件に基づいて、フレームレートを高くする部分領域を設定した上で超音波画像を生成するか、撮影領域全面についてフレームレートが同一となるように超音波画像を生成するかを判定する。【0042】すなわち、フレームレート向上範囲設定制御部81は、フレームレートを高くする部分領域を設定した上で超音波画像を生成するか否かを判定する。この結果、通常の超音波画像生成処理を実行するか、部分領域を設定した上で超音波画像を生成する生成処理を実行するかについて、利用者が選択可能となる。例えば、任意に変更可能なユーザインターフェースを介して、部分領域を設定した上で超音波画像を生成するか否かが利用者によって選択されても良い。【0043】以下では、フレームレート向上範囲設定制御部81がフレームレートを高くする部分領域を設定した上で超音波画像を生成すると判定した場合について説明する。なお、フレームレート向上範囲設定制御部81がフレームレートを高くする部分領域を設定した上で超音波画像を生成しないと判定した場合には、制御処理部80は、撮影領域全面についてレートが同一となるように超音波画像を生成し、生成された超音波画像を表示する。【0044】フレームレート向上範囲設定制御部81は、フレームレートを高くする部分領域を設定した上で超音波画像を生成すると判定した場合には、プレスキャンを実行し、プレスキャン結果を表示する。そして、フレームレート向上範囲設定制御部81は、部分範囲を設定する処理を実行する。【0045】例えば、撮影領域のうち一部の領域が選択する操作を利用者が操作パネル60に対して行われると、フレームレート向上範囲設定制御部81は、利用者により選択された領域を部分領域とする。【0046】ここで、フレームレート向上範囲設定制御部81は、撮影領域のうち、任意の形状の領域を部分領域として設定して良い。図4-1から図4-5は、第1の実施形態における部分領域の形状の一例を示す図である。【0047】例えば、図4-1に示すように、フレームレート向上範囲設定制御部81は、撮影領域のうち一部の領域がビーム単位で利用者に選択されると、選択された領域を部分領域として設定する。図4-1に示すように、ビーム単位で部分領域を設定する場合には、部分領域の大きさはビーム単位で利用者により増減される。【0048】また、例えば、図4-2に示すように、フレームレート向上範囲設定制御部81は、撮影領域のうち局所的な一意の領域が利用者に選択されると、選択された局所的な一意の領域を部分領域として設定する。図4-2に示すように、局所的な一意の領域を部分領域として設定する場合には、利用者によって大きさも任意に拡大/縮小可能となる。【0049】なお、局所的な一意の領域を部分領域として設定する場合には、制御処理部80は、超音波探触子10が部分領域の最深部にフォーカスポイントを合わせた上でスキャンするように超音波送受信部20を制御しても良い。【0050】また、例えば、図4-3に示すように、フレームレート向上範囲設定制御部81は、カラーモード時にカラーで表示される領域を選択する旨の操作が利用者により行われると、カラーモード時にカラーで表示される領域を部分領域として設定する。なお、カラーモード時とは、Bモード画像にカラードプラ画像が合成されるモードを示す。また、カラーモード時におけるカラーで表示される領域とは、カラードプラ画像が表示される領域を示す。【0051】なお、カラーモード時にカラーで表示される領域を部分領域として設定する場合には、例えば、カラーモードが「ON」になると、部分領域を自動的に設定するようにしても良い。また、カラーモードが「ON」となっていない場合であっても、ある一定以上の流速値を自動認識し、認識した箇所を部分領域として設定しても良い。【0052】また、カラーモード以外にも画像スキャンにおける関心領域と連動して、部分領域を設定しても良い。例えば、ドプラサンプリング位置、Mモードのラスタ位置、組織ドプラ関心領域などを用いても良い。なお、心臓の壁運動などの組織の運動量を「組織ドプラ」と称し、組織ドプラ関心領域とは、組織ドプラに関心領域を設けることを示す。組織ドプラは、TDI(TissueDopplerImaging)とも称する。【0053】また、例えば、図4-4に示すように、フレームレート向上範囲設定制御部81は、動きがある部分を選択する旨の操作が利用者により行われると、超音波画像において一定以上の速度がある部分を抽出し、抽出した部分を部分領域として設定する。例えば、超音波診断装置が被検体の心臓の超音波画像を生成する場合には、フレームレート向上範囲設定制御部81は、動いている心臓の弁に対応する領域を部分領域として設定する。また、例えば、超音波診断装置が胎児の超音波画像を生成する場合には、フレームレート向上範囲設定制御部81は、胎児の心臓に対応する領域を部分領域として設定する。【0054】動きがある部分を部分領域として設定する場合についてより詳細な一例をあげて説明すると、例えば、一定以上の速度範囲を自動抽出することで、心臓の弁の動きに着目して部分領域を設定しても良い。また、例えば、エコー信号の輝度の高低に合わせて、動きがある部分を自動抽出し、部分領域を設定しても良い。なお、動きがある部分を抽出する手法については、任意の手法を用いても良い。【0055】また、例えば、図4-5に示すように、フレームレート向上範囲設定制御部81は、1つ又は2つ以上の部分領域を設定しても良い。図4-5に示す例では、フレームレート向上範囲設定制御部81が、2つの部分領域を設定する場合を示した。複数の部分領域を設定する場合には、部分領域ごとに異なる手法を用いて設定しても良い。例えば、フレームレート向上範囲設定制御部81は、一方の部分領域については、ビーム単位で設定し、他方の部分領域については、局所的な一意な領域を設定しても良い。部分領域を複数設定する場合には、部分領域を1つ設定した後に、部分領域を設定する処理を再度行えば良い。【0056】例えば、フレームレート向上範囲設定制御部81は、1度設定した部分領域の位置を変えることなく固定して用いても良く、動かしても良い。また、例えば、超音波画像が生成される撮影領域の位置が変動することがあることを踏まえ、フレームレート向上範囲設定制御部81は、設定時における部分領域に含まれる画像と同一の画像を含む領域が部分領域となるように、パターンマッチングを用いて部分領域の位置を動かしても良い。【0057】なお、部分領域の設定は、手動で行っても良く、自動で行っても良い。また、設定した部分領域については、画面上に表示したままにしても良く、表示しなくても良い。また、なお、プレスキャンとは、通常の超音波画像生成処理と同様の処理を示す。すなわち、フレーム向上範囲設定制御部81は、プレスキャン時には、超音波探触子10が撮影領域全面に超音波を送信するように超音波送受信部20を制御し、撮影領域全面についての超音波画像が生成されるように信号処理部30や画像データ収集部40を制御する。【0058】フレームレート向上範囲描画制御部82は、フレームレート向上範囲設定制御部81で決定された部分領域の範囲を出力する。例えば、フレームレート向上範囲描画制御部82は、フレームレート向上範囲設定制御部81により設定された部分領域を、スキャン部位制御部43や超音波送受信部20に設定する。【0059】フレームレート値設定制御部83は、部分領域のフレームレートと撮影領域全体についてのフレームレートとを決定する。例えば、フレームレート値設定制御部83は、部分領域のフレームレートや撮影領域全体についてフレームレート利用者から受け付け、受け付けたフレームレートを決定する。【0060】また、例えば、フレームレート値設定制御部83は、部分領域のフレームレートを自動的に設定したり、予め設定したりしても良い。また、撮影領域全面についての超音波画像を生成するフレームレートは、深部方向の距離の変更や、スキャンレンジ幅、周波数の変更により変更されることがある。このことを踏まえ、フレームレート値設定制御部83は、部分領域のフレームレートを予め設定する場合には、通常のフレームレートの1.5倍、2倍といった設定の仕方を用いても良い。【0061】また、フレームレート値設定制御部83は、決定したフレームレートをスキャン部位制御部43や超音波送受信部20に設定する。その後、例えば、超音波送受信部20は、設定されるフレームレートに基づいて、超音波探触子10に撮影領域の全面に超音波を送信させるタイミングや、超音波探触子10に撮影領域の部分領域に超音波を送信させるタイミングを決定する。例えば、撮影領域全面についてのフレームレートが「30Hz」であり、部分領域のフレームレートが「90Hz」であると設定された場合を用いて説明する。この場合、超音波送受信部20は、「30Hz」で超音波探触子10に撮影領域の全面に超音波を送信させるとともに、部分領域のフレームレートが「90Hz」となるように、「30Hz」で超音波が撮影領域の全面に送信されるタイミングとタイミングとの間に、「90Hz」間隔にて2回部分領域に超音波を送信させる。すなわち、部分領域のフレームレートは、部分領域に超音波が送信されるタイミングにおける超音波画像だけでなく、撮影領域全面に超音波が送信されるタイミングにおける超音波画像も含めて実現すればよいフレームレートであることを踏まえ、部分領域に超音波が送信されるタイミングが決定される。【0062】なお、フレームレート値設定制御部83は、決定したフレームレートをスキャン部位制御部43や超音波送受信部20に設定するのではなく、撮影領域全面に超音波を送信するタイミングと、部分領域に超音波を送信するタイミングとを設定しても良い。【0063】超音波スキャン制御部84は、超音波スキャンを開始し、フレームレート値に応じたスキャン制御を行う。具体的には、超音波スキャン制御部84は、超音波送受信部20や信号処理部30、画像データ収集部40を制御することで、画像データ収集部40に、第1の送信時点について、第1の超音波画像を生成させて画像信号収集メモリ50に格納させ、第2の送信時点について部分領域についての第2の超音波画像を生成させて画像信号収集メモリ50に格納させる。つまり、超音波スキャン制御部84は、第1の送信によって得られた反射波に基づいて第1の超音波画像を生成させて画像信号収集メモリ50に格納させ、第2の送信によって得られた反射波に基づいて第2の超音波画像を生成させて画像信号収集メモリ50に格納させる。【0064】また、超音波スキャン制御部84は、時系列に沿った超音波画像データを生成して表示する。具体的には、画像信号収集メモリ50に記憶された超音波画像を取得し、取得した超音波画像に対してスキャンコンバージョンを実行する。そして、超音波スキャン制御部84は、スキャンコンバージョン後の超音波画像を表示制御部70に送ることでモニタ71から時系列に沿って生成された複数の超音波画像を表示する。すなわち、超音波スキャン制御部84は、第1の超音波画像と合成画像とを時系列に沿って出力する。なお、超音波スキャン制御部84は、「第1の超音波画像生成部」や「第2の超音波画像生成部」、「超音波画像合成部」とも称する。【0065】また、ここで、超音波スキャン制御部84は、超音波画像の合成処理を実行する。具体的には、画像信号収集メモリ50に記憶された部分領域についての第2の超音波画像と、撮影領域についての第1の超音波画像とを合成することで、第2の送信時点における超音波画像となる合成画像を生成する。すなわち、超音波スキャン制御部84は、2つの第1の送信時点間にある任意の時点における超音波画像である合成画像として、部分領域については、第2の送信時点において収集された反射波に基づき、他の領域については、第2の送信時点の直前にある第1の送信時点において収集された反射波に基づく超音波画像となる合成画像を生成する。言い換えると、超音波スキャン制御部84は、第2の送信時点における超音波画像として、直前の第1の送信時点について生成された超音波画像のうち、部分領域に対応する画像部分について、第2の送信時点において収集された反射波に基づいて生成された部分領域についての超音波画像に更新された超音波画像を生成する。【0066】フレームレート向上範囲リファレンス表示部85は、表示制御部70によりモニタ71に出力される超音波画像内における部分領域の位置を示す位置情報を出力する。フレームレート向上範囲リファレンス表示部85は、「位置情報出力部」とも称する。図5は、第1の実施形態におけるフレームレート向上範囲リファレンス表示部により出力される位置情報の一例を示す図である。図5において、画面領域141は、超音波画像が表示される画面を示し、画面領域142は、超音波画像のうち部分領域を示すリファレンス143を表示する画面を示す。【0067】図5に示す例では、フレームレート向上範囲リファレンス表示部85は、画面領域141とは別に、部分領域を示すリファレンス143を含む画面領域142を表示する。なお、図5に示す例は一例であり、フレームレート向上範囲リファレンス表示部85は、任意の手法にて、任意の形態の位置情報を表示して良い。例えば、図5に示す例では、リファレンスが表示されると煩わしい場合があることを踏まえ、画面領域141とは別画面となる画面領域142にリファレンス143を表示する場合を示した。すなわち、フレームレート向上範囲リファレンス表示部85が、超音波画像と重ならない領域に、部分領域がどの範囲かを示すリファレンス画面を表示する場合を例に示した。ただし、これに限定されるものではない。例えば、画面領域141の超音波画像においてもリファレンスを表示しても良い。【0068】なお、リファレンスを表示する画面領域は、利用者が任意の大きさに変更可能にしても良く、任意の位置に移動可能にしても良い。また、リファレンス画面を利用者が選択することをトリガとして、部分領域の設定を利用者から改めて受け付けるようにしても良く、部分領域を設定する手法を利用者から改めて受け付けるようにしても良い。【0069】また、一部の領域のみ画像を拡大して表示する既存技術であるスポットズームを実行した際に、ズーム前の全体画像をリファレンス画面として小さく表示し、ズームした画像を画面中央に大きく表示しても良い。この際、ズームされる範囲が、部分領域に対応するようにしても良い。【0070】シネ再生制御部86は、利用者によりシネ再生を実行する操作が行われると、利用者により選択された時点より過去の時点における超音波画像を時系列にさかのぼって表示するシネ再生を実行する。また、シネ再生制御部86は、第1の超音波画像と合成画像とのうち、少なくともいずれか一方について、間引いた上でシネ再生しても良い。例えば、シネ再生制御部86は、第1の超音波画像と合成画像とを同じ割合にて間引いた上で、シネ再生しても良い。【0071】保存制御部87は、利用者により超音波画像を保存する操作が行われると、超音波画像を保存する。具体的には、第1の超音波画像と合成画像とのうち、少なくともいずれか一方について、間引いた上で記憶部90に格納する。例えば、保存制御部87は、第1の超音波画像と合成画像とについて、同じ割合にて間引いても良い。より詳細な一例をあげて説明すると、保存制御部87は、一律1/2に間引いた上で格納しても良く、一律1/3に間引いた上で格納しても良い。また、例えば、保存制御部87は、第1の超音波画像と合成画像とについて、それぞれ異なる割合にて間引いても良い。保存制御部87は、「格納部」とも称する。【0072】記憶部90は、例えば、RAM(RandomAccessMemory)やROM(ReadOnlyMemory)、フラッシュメモリ(FlashMemory)などの半導体メモリ素子、又は、ハードディスクや光ディスクなどが該当する。記憶部90は、超音波送受信、画像処理及び表示処理を行うための制御プログラムを記憶する。また、記憶部90は、画像データ収集部40により超音波画像データが生成された際の状態を示す情報を記憶する。図3に示す例では、記憶部90が、モニタ71に超音波画像を表示させる際の条件を示す画像再生条件91を記憶する場合を例に示した。【0073】また、記憶部90は、時系列に沿った超音波画像を記憶する。具体的には、記憶部90は、保存制御部87により格納された超音波画像を記憶する。【0074】演算処理部100は、制御処理部80による制御のもと、超音波画像生成処理などの各種演算処理を行う。【0075】[超音波診断装置による処理]図6を用いて、第1の実施形態に係る超音波診断装置による処理の流れの一例を示す。図6は、第1の実施形態に係る超音波診断装置による処理の流れの一例を示すフローチャートである。【0076】図6に示すように、超音波診断装置では、処理開始タイミングとなると、フレームレートを高くする部分領域を設定した上で超音波画像を生成するかを判定する(ステップS100)。例えば、フレームレートを高くする部分領域を設定した上で超音波画像を生成する旨の条件が予め利用者により超音波診断装置に設定された場合には、生成すると判定する。【0077】ここで、フレームレートを高くする部分領域を設定した上で超音波画像を生成しないと判定した場合には(ステップS100否定)、制御処理部80は、撮影領域全面についてフレームレートが同一となるように超音波スキャンを実行し(ステップS410)、生成された超音波画像を表示する(ステップS710)。【0078】一方、制御処理部80では、フレームレートを高くする部分領域を設定した上で超音波画像を生成すると判定した場合には(ステップS100肯定)、フレームレート向上範囲設定制御部81が、プレスキャンを実行し(ステップS200)、プレスキャン結果を表示する(ステップS210)。【0079】そして、フレームレート向上範囲設定制御部81は、手動で部分領域を設定するか否かを判定する(ステップS300)。例えば、手動で設定する旨の条件が予め利用者により超音波診断装置に設定された場合には、手動で設定すると判定する。【0080】ここで、フレームレート向上範囲設定制御部81は、手動で設定すると判定した場合には(ステップS300肯定)、手動で設定する際に用いる条件である手動条件が、ビーム単位であるか否かを判定する(ステップS310)。例えば、ビーム単位で設定する旨の指示を利用者から受け付けた場合や予め設定されていた場合には、フレームレート向上範囲設定制御部81は、ビーム単位で設定すると判定する。一方、例えば、局所的な一意の範囲で設定する旨の指示を利用者から受け付けた場合や予め設定されていた場合には、フレームレート向上範囲設定制御部81は、局所的な一意の範囲で設定すると判定する。【0081】ここで、フレームレート向上範囲設定制御部81は、ビーム単位であると判定した場合には(ステップS310肯定)、利用者からビームの選択を受け付け、ビーム単位で部分領域を設定する(ステップS311)。一方、フレームレート向上範囲設定制御部81は、ビーム単位であると判定しなかった場合には(ステップS310否定)、局所的な一意の範囲であると判定し、利用者から任意の領域の選択を受け付け、局所的な一意の範囲で部分領域を設定する(ステップS312)。【0082】また、フレームレート向上範囲設定制御部81は、手動で設定すると判定しなかった場合には(ステップS300否定)、自動で設定すると判定し、フレームレート向上範囲設定制御部81は、部分領域を自動設定するのに用いる自動条件を決定する処理を実行する。図6に示す例では、フレームレート向上範囲設定制御部81は、カラーモードの範囲を部分領域として設定するかを判定し(ステップS321)、カラーモードの範囲を部分領域として設定すると判定した場合には(ステップS321肯定)、カラーモードの範囲を部分領域として設定する(ステップS322)。一方、フレームレート向上範囲設定制御部81は、カラーモードの範囲を部分領域として設定すると判定しなかった場合には(ステップS321否定)、一定以上の速度範囲を部分領域として設定する(ステップS323)。【0083】なお、部分領域を複数設定する場合には、ステップS300からステップS323のステップのうち該当するステップを繰り返す。【0084】そして、フレームレート値設定制御部83は、部分領域のフレームレートを設定する(ステップS400)。例えば、フレームレート値設定制御部83は、利用者から受け付けたフレームレートを設定したり、予め設定されていた値を設定したりする。【0085】そして、超音波スキャン制御部84は、超音波スキャンを開始し(ステップS500)、フレームレート値に応じたスキャン制御を行う(ステップS600)。すなわち、超音波スキャン制御部84は、超音波送受信部20や信号処理部30、画像データ収集部40を制御することで、画像データ収集部40に、第1の送信時点について、第1の超音波画像を生成させて画像信号収集メモリに格納させ、第2の送信時点について部分領域についての超音波画像を生成させて画像信号収集メモリに格納させる。【0086】そして、超音波スキャン制御部84は、時系列に沿った超音波画像データを生成して表示する(ステップS700)。つまり、超音波スキャン制御部84は、画像信号収集メモリ50に記憶された超音波画像を取得し、取得した超音波画像に対してスキャンコンバージョンを実行する。また、ここで、超音波スキャン制御部84は、部分領域については、第2の送信時点において収集された反射波に基づき、他の領域については、第2の送信時点の直前にある第1の送信時点において収集された反射波に基づく合成画像を生成する。そして、超音波スキャン制御部84は、スキャンコンバージョン後の第1の超音波画像と合成画像とを時系列に沿って出力する。【0087】その後、フレームレート向上範囲リファレンス表示部85は、部分領域を示すリファレンスを表示する(ステップS800)。【0088】なお、上記の処理手順は、上記の順番に限定されるものではなく、処理内容を矛盾させない範囲で適宜変更しても良い。例えば、図6に示す例では、フレームレートを高くする部分領域を設定した上で超音波画像を生成するかを判定する場合を例に示したが、これに限定されるものではない。例えば、ステップS100を実行することなく、全ての場合において、フレームレートを高くする部分領域を設定した上で超音波画像を生成しても良い。また、例えば、図6に示す例では、リファレンスを表示する場合を例に示したが、これに限定されるものではなく、リファレンスを表示しなくても良い。【0089】(第1の実施形態の効果)上述したように、第1の実施形態によれば、超音波診断装置は、超音波プローブから被検体の撮影領域に送信された超音波の反射波を時系列に沿って収集する。また、超音波診断装置は、撮影領域に対し超音波を送信する第1の送信と、撮影領域の一部からなる部分領域に対し超音波を送信する第2の送信とを任意の順番で行うように超音波探触子10を制御する。また、超音波診断装置は、第1の送信によって得られた反射波に基づいて第1の超音波画像を生成する。また、超音波診断装置は、第2の送信によって得られた反射波に基づいて第2の超音波画像を生成する。また、超音波診断装置は、第1の超音波画像と、第2の超音波画像とを合成した合成画像を生成する。また、超音波診断装置は、第1の送信及び第2の送信が行われた時刻に基づいて、第1の超音波画像と、合成画像とを時系列に沿って出力する。【0090】この結果、部分領域のフレームレートを向上することが可能であり、超音波診断装置にかかる処理負荷を抑えることが可能である。すなわち、利用者がフレームレートを上げたい部分については、フレームレートが向上する超音波画像を表示可能である。また、第1の実施形態に係る超音波診断装置によれば、部分領域についての超音波画像だけでなく、その他の領域についての超音波画像も表示される結果、広い範囲について時系列に沿った超音波画像も利用者が確認しつつ、利用者がフレームレートを上げたい部分についてはフレームレートを向上することが可能である。また、この結果、撮影領域全面のフレームレートを向上する手法と比較して超音波診断装置にかかる処理負荷を軽減可能である。【0091】また、第1の実施形態によれば、画像生成処理の負荷を軽減可能であり、画像データサイズを抑制することが可能であり、記憶装置を利用する他の機能に対する負荷分散の低減に寄与することが可能である。また、併せて、検査者が所望する診断部位に限定した送受信速度向上に寄与することが可能である。また、任意の部分についてフレームレートを向上することが可能であり、循環器分野の心疾患診、産科分野における胎児心臓診断及び、腹部分野の造影診断などへの活用及び、診断向上に寄与することが可能である。【0092】また、第1の実施形態によれば、超音波診断装置では、超音波プローブは、第1の超音波画像が生成される時点については、撮影領域全体に超音波を送信し、第2の超音波画像が生成される時点については、撮影領域のうち部分領域に超音波を送信する一方他の領域に超音波を送信しない。この結果、超音波診断装置にかかる処理負荷を抑えることが可能であり、スキャン時間の増大を抑制することが可能である。【0093】また、第1の実施形態によれば、超音波診断装置では、第1の送信を行うごとに、1回あるいは複数回前記第2の送信を行うよう制御する。この結果、撮影領域内に動きの早い部分がいくつあったとしても、動きの速い部分各々についてフレームレートを向上しつつ、超音波診断装置にかかる処理負荷を抑えることが可能である。【0094】また、第1の実施形態によれば、超音波診断装置は、超音波画像内における部分領域の位置を示す位置情報を出力する。この結果、部分領域がどこかを利用者が確実に確認可能である。【0095】また、第1の実施形態によれば、超音波診断装置は、時系列に沿った超音波画像を記憶する。また、超音波診断装置は、第1の超音波画像と合成画像とのうち、少なくともいずれか一方について、間引いた上で記憶部に格納する。すなわち、リアルタイム診断では、高いフレームレートで診断したいが、保存画像に関しては、データを間引いても良いという状況があることを踏まえ、表示する際にはレートを上げた上で、保存されるデータ量を抑える。この結果、保存データサイズを抑えることが可能であり、データ容量が抑えられた結果保存データの転送時間についても抑えることが可能である。【0096】(第2の実施形態)(第2の実施形態に係る超音波診断装置の全体像)第2の実施形態に係る超音波診断装置について説明する。以下では、第1の実施形態における超音波診断装置と同様の点については、説明を省略する。以下に詳細に説明するように、第2の実施形態に係る超音波診断装置は、被検体に投与された造影剤の染まり具合に合わせて、フレームレートを高くする。【0097】具体的には、超音波診断装置は、超音波画像の撮影対象となる被検体に造影剤が投与された後、時系列に沿って複数生成される超音波画像の画素値が第1の閾値を超えると、超音波画像を生成する生成間隔を第1の閾値を超える前と比較して短くする。言い換えると、超音波診断装置は、フレームレートを高くする。その後、超音波診断装置は、画素値が第1の閾値を超えた後画素値の増加度が第2の閾値以下となると、超音波画像を生成する生成間隔を当該第2の閾値以下となる前と比較して長くする。言い換えると、超音波診断装置は、フレームレートを低くする。【0098】被検体に造影剤を投与した上で超音波画像を撮影する造影診断は、長時間の収集になることが多く、一般的に5~20分、長い場合で40分程度要する。また、造影診断において、造影剤を投与した後に、ある一定以上の画素値になってから画素値が増加している間に着目して診断したい場合がある。このことを踏まえ、第2の実施形態に係る超音波診断装置は、造影剤を投与した後に、ある一定以上の画素値になってから画素値が増加している間、フレームレートを高くする。【0099】(第2の実施形態に係る超音波診断装置の構成)図7は、第2の実施形態に係る超音波診断装置の構成の一例を示す図である。なお、図7では、第1の実施形態と同様の部については、図3と同一の符号を付与し、説明を省略する。図7に示すように、第2の実施形態に係る超音波診断装置の制御処理部200は、検出部201と、超音波スキャン制御部202と、保存制御部203を有する。【0100】検出部201は、第1の超音波画像の画素値に基づいて造影剤を検出する。例えば、検出部201は、画像データ収集部40により生成された超音波画像において、被検体に投与された造影剤の濃度に関する画素値を検出する。検出部201は、「検出部」とも称する。検出部201は、例えば、時系列に沿って生成される複数の超音波画像それぞれについて、画素各々の輝度値の平均値を算出する。【0101】なお、上述した説明では、検出部201が輝度値を用いる場合を例に示したが、これに限定されるものではなく、任意の画素値を用いても良い。また、検出部201は、超音波画像のうち、特定の領域内にある画素各々についての画素値の平均値を算出しても良い。【0102】超音波スキャン制御部202は、検出部201により検出された画素値が第1の閾値を超えると、超音波画像を生成する生成間隔を当該第1の閾値を超える前と比較して短くする。また、超音波スキャン制御部202は、画素値が第1の閾値を超えた後画素値の増加度が第2の閾値以下となると、超音波画像を生成する生成間隔を第2の閾値以下となる前と比較して長くする。【0103】すなわち、超音波スキャン制御部202は、画素値が第1の閾値を超えた後、画素値の増加度が第2の閾値以下となるまでの間、フレームレートを他の期間と比較して高くする。なお、ここで、第1の閾値や第2の閾値は、利用者が任意の値を設定して良い。なお、超音波スキャン制御部202によるフレームレートの変更処理の詳細な一例については、フローチャートを用いて後述する。【0104】図8は、第2の実施形態における輝度値とフレームレートとの関係の一例を示す図である。図8に示す表において、縦軸は、超音波画像の画素各々の輝度値の平均値を示し、横軸は、時間を示す。図8に示す例では、第1の閾値が輝度値「10」であり、第2の閾値が「10(輝度値)/1s」となる場合を例に示した。また、「0s(秒)」において、造影剤が被検体に投与され、「5s」に輝度値の平均値が「10」を超え、「10s(秒)」に輝度値の増加度が「2(輝度値)/1s(秒速)」以下となった場合を用いて説明する。【0105】図8に示す例では、「5s(秒)」の段階において、検出部201により検出された輝度値の平均値が「10」を超えており、超音波スキャン制御部202は、超音波画像を生成するフレームレートを「5s(秒)」前と比較して高くする。その後、「10s(秒)」の段階において、検出部201により検出された輝度値の増加度が「2(輝度値)/1s(秒速)」以下となり、超音波スキャン制御部202は、超音波画像を生成するフレームレートを「5s(秒)」から「10s(秒)」において用いていたフレームレートと比較して低くする。つまり、超音波スキャン制御部202は、「5s(秒)」から「10s(秒)」の間において、フレームレートが高くなるように制御する。【0106】保存制御部203は、超音波スキャン制御部202により時系列に沿って複数生成された超音波画像を間引いた上で記憶部90に格納する。なお、超音波画像を間引く意義について簡単に説明する。リアルタイム診断では、高いフレームレートで診断したいが、保存画像に関しては、データを間引いても良い場合がある。このことを踏まえ、保存制御部203は、格納時に、超音波画像を間引いた上で格納する。【0107】なお、保存制御部203は、任意の割合にて間引いて良い。例えば、保存制御部203は、一律間引きとしても良く、フレームレートによって間引く割合を変化させても良い。【0108】図9-1及び図9-2は、第2の実施形態における保存制御部による格納処理の一例を示す図である。図9-1及び図9-2において、(1)は、間引く前の超音波画像各々を示し、(2)は、間引いた後の超音波画像各々を示す。また、図9-1及び図9-2の(2)では、説明の便宜上、間引かれた超音波画像を点線にて示した。図9-1に示す例では、保存制御部203が、一律で間引く場合を示した。図9-2に示す例では、保存制御部203が、フレームレートによって間引く割合を変える場合を例に示した。【0109】図9-1に示す例では、保存制御部203は、1/2の割合にて超音波画像を間引いた上で、記憶部90に格納する。また、図9-2に示す例では、保存制御部203は、フレームレートが高い時間帯の超音波画像については、2/3に間引いた上で記憶部90に格納し、フレームレートが低い時間帯の超音波画像については、1/2に間引いた上で記憶部90に格納する。【0110】(第2の実施形態における超音波スキャン制御部による処理)図10は、第2の実施形態における超音波スキャン制御部による処理の一例を示す図である。具体的には、図10を用いて、超音波スキャン制御部202によるフレームレートの変更処理について説明する。【0111】図10に示すように、超音波画像の生成が開始されると、超音波スキャン制御部202は、画素値が第1の閾値以上であるかを判定する(ステップS901)。ここで、第1の閾値以上でないと判定した場合には(ステップS901否定)、超音波スキャン制御部202は、通常のフレームレートで超音波画像を生成する(ステップS902)。【0112】一方、超音波スキャン制御部202は、第1の閾値以上であると判定した場合には(ステップS901肯定)、フレームレートを上げた上で超音波画像を生成する(ステップS903)。【0113】そして、超音波スキャン制御部202は、フレームレートを上げた上後、画素値の増加度が第2の閾値以下であるかを判定する(ステップS904)。ここで、超音波スキャン制御部202は、画素値の増加度が第2の閾値以下でないと判定した場合には(ステップS904否定)、フレームレートそのままで超音波画像を生成する(ステップS905)。つまり、ステップS903にて上げた後のフレームレートを用いて超音波画像を生成する。【0114】一方、超音波スキャン制御部202は、画素値の増加度が第2の閾値以下であると判定した場合には(ステップS904肯定)、フレームレートを下げた上で超音波画像を生成する(ステップS906)。つまり、例えば、超音波スキャン制御部202は、通常のフレームレートに戻した上で超音波画像を生成する。【0115】(第2の実施形態に係る超音波診断装置の効果)上述したように、第2の実施形態によれば、超音波診断装置は、超音波プローブから被検体に送信された超音波の反射波を時系列に沿って収集する。また、超音波診断装置は、収集した反射波に基づいて、被検体の超音波画像を時系列に沿って複数生成する。また、超音波診断装置は、第1の超音波画像の画素値に基づいて造影剤を検出する。また、超音波診断装置は、検出した画素値が第1の閾値を超えると、超音波画像を生成する生成間隔を第1の閾値を超える前と比較して短くする。そして、超音波診断装置は、画素値が第1の閾値を超えた後画素値の増加度が第2の閾値以下となると、超音波画像を生成する生成間隔を第2の閾値以下となる前と比較して長くする。この結果、造影剤の染まり具合に併せてフレームレートを向上することが可能である。【0116】また、第2の実施形態によれば、超音波診断装置は、超音波プローブから被検体に送信された超音波の反射波を時系列に沿って収集する。また、超音波診断装置は、収集した反射波に基づいて、被検体の超音波画像を時系列に沿って複数生成する。そして、超音波診断装置は、生成した超音波画像をモニタ71にて表示する。また、超音波診断装置は、時系列に沿って複数生成された超音波画像を間引いた上で所定の記憶部に格納する。この結果、表示する際にはレートを上げた上で、保存されるデータ量を抑えることができ、保存データサイズを抑えることが可能であり、データ容量が抑えられた結果保存データの転送時間についても抑えることが可能である。【0117】(第3の実施形態)さて、上述した実施形態以外にも、その他の実施形態にて実施されても良い。そこで、以下では、その他の実施形態を示す。【0118】(画像合成)例えば、上述した第1の実施形態では、画像データ収集部40により生成された超音波画像に基づいて、制御処理部80が第2の送信時点における合成画像を生成する場合について説明したが、これに限定されるものではない。例えば、制御処理部80は、超音波送受信部20により収集される反射波データに基づいて合成画像を生成しても良い。つまり、制御処理部80は、第1の送信時点において収集された反射波データのうち、部分領域についての反射波データについて、第2の送信時点において収集された反射波データで上書きし、上書き後の反射波データに基づいて超音波画像を生成することで、合成画像を生成しても良い。【0119】(画像データ収集部)また、例えば、上述した第1の実施形態では、制御処理部80が合成画像を生成する場合について説明したが、これに限定されるものではない。例えば、画像データ収集部40が合成画像を生成しても良い。【0120】(第2の送信時点におけるスキャン範囲)また、例えば、上述した第1の実施形態では、第2の送信時点において、その他の領域に超音波を送信しない場合を例に説明したが、これに限定されるものではない。例えば、第1の送信時点だけでなく、第2の送信時点においても、撮影領域全面に超音波を送信するようにしても良い。この場合、第2の送信時点において収集された反射波データのうち、部分領域に対応する反射波データのみを信号処理部30が処理しても良く、画像データ収集部40が部分領域に対応する反射波データについての信号処理部30による処理結果のみを使用しても良い。【0121】(検出部)また、例えば、上述した第1の実施形態では、超音波診断装置が検出部201を有さない場合を例に示したが、これに限定されるものではない。例えば、上述した第1の実施形態における制御処理部80が、検出部201を有しても良い。この場合、制御処理部80は、検出部により検出された画素値が第1の閾値を超えると、第2の超音波画像の生成を開始し、画素値が第1の閾値を超えた後画素値の増加度が第2の閾値以下となると、第2の超音波画像の生成を終了する。この結果、造影剤の染まり具合に併せて、部分領域のフレームレートを向上しつつ、装置への処理負荷を軽減することが可能である。【0122】(間引き)また、例えば、上述した第1の実施形態では、保存制御部87が、超音波画像を間引いた上で格納する場合を例に説明したが、これに限定されるものではない。例えば、保存制御部87は、超音波画像を間引くことなく格納しても良い。【0123】また、上述した第2の実施形態では、造影剤の染まり具合にあわせてフレームレートを高くする手法と、超音波画像を間引いた上で格納する手法とをあわせて実行する場合を例に示したが、これに限定されるものではない。例えば、造影剤の染まり具合にあわせてフレームレートを高くする手法と、超音波画像を間引いた上で格納する手法とのうち、いずれか一方のみを実行しても良い。【0124】(システム構成)また、本実施形態において説明した各処理のうち、自動的に行われるものとして説明した処理の全部又は一部を手動的に行うこともでき、あるいは、手動的に行われるものとして説明した処理の全部又は一部を公知の方法で自動的に行うこともできる。例えば、部分領域について適用するフレームレートを自動的に設定しても良く、手動にて設定しても良い。【0125】この他、上述文書中や図面中で示した処理手順、制御手順、具体的名称、各種のデータやパラメータを含む情報については(図1~図10)、特記する場合を除いて任意に変更することができる。【0126】また、図示した各装置の各構成要素は機能概念的なものであり、必ずしも物理的に図示の如く構成されていることを要しない。すなわち、各装置の分散・統合の具体的形態は図示のものに限られず、その全部又は一部を、各種の負荷や使用状況などに応じて、任意の単位で機能的又は物理的に分散・統合して構成することができる。例えば、図3に示す例では、記憶部90を超音波診断装置の外部装置としてネットワーク経由で接続するようにしても良い。【0127】(その他)なお、本実施形態で説明した超音波診断プログラムは、インターネットなどのネットワークを介して配布することができる。また、超音波診断プログラムは、ハードディスク、フレキシブルディスク(FD)、CD-ROM、MO、DVDなどのコンピュータで読み取り可能な記録媒体に記録され、コンピュータによって記録媒体から読み出されることによって実行することもできる。【0128】(実施形態の効果)以上述べた少なくともひとつの実施形態に係超音波診断装置によれば、超音波プローブから被検体の撮影領域に送信された超音波の反射波を時系列に沿って収集する。また、撮影領域に対し超音波を送信する第1の送信と、撮影領域の一部からなる部分領域に対し超音波を送信する第2の送信とを任意の順番で行うように超音波プローブを制御する。また、第1の送信によって得られた反射波に基づいて第1の超音波画像を生成し、第2の送信によって得られた反射波に基づいて第2の超音波画像を生成する。また、第1の超音波画像と、第2の超音波画像とを合成した合成画像を生成する。そして、第1の送信及び第2の送信が行われた時刻に基づいて、第1の超音波画像と、合成画像とを時系列に沿って出力することにより、超音波診断装置に係る処理負荷を軽減可能である。【0129】本発明のいくつかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれると同様に、特許請求の範囲に記載された発明とその均等の範囲に含まれるものである。
A
2012139442
2011000290
20110104
null
null
20120726
2012139442
20120726
null
null
5780583
20150724
null
null
null
食感の生理学的評価装置
000006138,504176911
株式会社明治,国立大学法人大阪大学
100116850
廣瀬 隆行
舘村 卓,河合 利彦,外山 義雄,大森 敏弘,松尾 光郎,山本 昌志
null
8
A61B 5/11 (20060101)
A61B 5/10 310K ,A61B 5/10 310J ,A61B 5/10 310L
4
null
null
null
0
12
null
null
【課題】本発明は,複雑な食品の官能評価を適切かつ客観的に行うことができる食感の生理学的評価装置を提供することを目的とする。【解決手段】本発明は,基本的には,被験者の左右両側の咬筋及び舌骨上筋群の筋電図を測定することで,下顎運動及び舌運動を把握することができ,さらに,食品が嚥下されるタイミングを計測し,上記の筋電図により得られるデータと合わせて解析を行うことで,複雑な食感を客観的かつ生理学的に評価できるという知見に基づく。この装置は,基本的には,被験者の咬筋部位に取り付けられる第1の筋電計11と,被験者の舌骨上筋群部位に取り付けられる第2の筋電計12と,被験者ののど部に取り付けられる嚥下計測計13と,第1の筋電計11,第2の筋電計12及び嚥下計測計13と接続された制御装置14とを含む。【選択図】図1
【請求項1】被験者の咬筋部位に取り付けられる第1の筋電計(11)と,被験者の舌骨上筋群部位に取り付けられる第2の筋電計(12)と,被験者ののど部に取り付けられ,食物が嚥下されるタイミングを計測する嚥下計測計(13)と,前記第1の筋電計(11),前記第2の筋電計(12)及び前記嚥下計測計(13)から計測情報を受領できるように接続された制御装置(14)と,を含み,前記制御装置(14)は,前記第1の筋電計(11)及び前記第2の筋電計(12)のいずれかから受け取った計測情報に基づき,食品が口腔内に入れられる時間を計測するための摂食開始時間計測部(21)と,前記嚥下計測計(13)が計測した計測情報,及び前記摂食開始時間計測部(21)が計測した食品が口腔内に入れられる時間に基づき,食品が嚥下されるのに要した時間を求めるための嚥下時間演算部(22)と,前記第1の筋電計(11)の計測情報に基づいて,咬筋の筋活動量を求める咬筋の筋活動量演算部(23)と,前記第2の筋電計(12)の計測情報に基づいて,舌骨上筋群の筋活動量を求める舌骨上筋群の筋活動量演算部(24)と,を有する,食感の生理学的評価装置。【請求項2】前記第1の筋電計(11)は,被験者の左右の咬筋部位に取り付けられる,請求項1に記載の食感の生理学的評価装置。【請求項3】前記嚥下計測計(13)は,マイクロフォンである,請求項1に記載の食感の生理学的評価装置。【請求項4】前記制御装置(14)は,前記嚥下時間演算部(22)が求めた食品が嚥下されるのに要した時間に基づいて,食品が口腔内に入れられる時間から前記食品が嚥下されるまでの時間を複数の時期に分ける時間分節部(25)と,前記時間分節部(25)が分節した,複数の時期のそれぞれについて,単位時間あたりの咬筋の筋活動量及び単位時間あたりの舌骨上筋群の筋活動量を求める単位時間の筋活動量演算部(26)と,をさらに有する,請求項1に記載の食感の生理学的評価装置。
【請求項1】被験者の咬筋部位に取り付けられる第1の筋電計(11)と,被験者の舌骨上筋群部位に取り付けられる第2の筋電計(12)と,被験者ののど部に取り付けられ,食物が嚥下されるタイミングを計測する嚥下計測計(13)と,前記第1の筋電計(11),前記第2の筋電計(12)及び前記嚥下計測計(13)から計測情報を受領できるように接続された制御装置(14)と,を含み,前記制御装置(14)は,前記第1の筋電計(11)及び前記第2の筋電計(12)のいずれかから受け取った計測情報に基づき,食品が口腔内に入れられる時間を計測するための摂食開始時間計測部(21)と,前記嚥下計測計(13)が計測した計測情報,及び前記摂食開始時間計測部(21)が計測した食品が口腔内に入れられる時間に基づき,食品が嚥下されるのに要した時間を求めるための嚥下時間演算部(22)と,前記第1の筋電計(11)の計測情報に基づいて,咬筋の筋活動量を求める咬筋の筋活動量演算部(23)と,前記第2の筋電計(12)の計測情報に基づいて,舌骨上筋群の筋活動量を求める舌骨上筋群の筋活動量演算部(24)と,を有する,食感の生理学的評価装置。
【技術分野】【0001】本発明は,食感を生理学的に評価するための装置及びその装置に関する。
【背景技術】【0002】例えば,「食べ易さ」,「飲み込みやすさ」,「口溶け」,「食べ応え」,及び「食感の単調さ・複雑さ」は,口に含んだ瞬間から飲み込むまでの一連の全ての活動を評価することでもたらされる感覚である。こうした感覚の視覚化・数値化は,食品試料の力学的特性や官能評価では困難であり,例えば筋電位計測を用いた生理学的評価が有用と考えられている。【0003】特開2009-39516号公報(下記,特許文献1)には,飲食品の嚥下時におけるヒト咽頭部の筋肉の表面筋電位の波形データを周波数で解析することで,嚥下感覚(のどごし感)を評価する方法が開示されている。この文献で「のどごし感」を評価する主な対象は,飲料である。このため,咀嚼について測定されていない。また,この方法は,ヒト咽頭部の筋肉の表面筋電位の波形データを周波数解析するものであるため,まさに液体の「のどごし感」しか評価できない。【0004】特開2001-218769号公報(下記,特許文献2)には,食品が嚥下される際の咽頭部における物性を,超音波を用いたドップラー法によって測定する装置が開示されている。この方法は,食品を飲み込んだ時の流速を,ドップラー効果を利用して測定することで,液体の「のどごし感」を評価するものである。【0005】特開2005-34343号公報(下記,特許文献3)には,咬合力を測定することにより,食感を再現するための装置が開示されている。
【発明が解決しようとする課題】【0007】上記の特許文献1及び2は,主に液体(たとえば,ビール)の「のどごし感」を評価するものである。このため,これらの文献では,関心となる部位が「のど」の部位であり,咀嚼段階については検討されていない。このため,食品の官能評価を適切かつ客観的に行うことはできない。【0008】上記の特許文献3は,単に咬合力を再現する装置である。このため,この文献に開示された装置では,食品の官能評価を適切かつ客観的に行うことはできない。【0009】そこで,本発明は,複雑な食品の官能評価を適切かつ客観的に行うことができる食感の生理学的評価装置を提供することを目的とする。
【課題を解決するための手段】【0010】本発明は,基本的には,被験者の左右両側の咬筋及び舌骨上筋群の筋電図を測定することで,下顎運動及び舌運動を把握することができ,これにより食感を客観的かつ生理学的に評価できるという知見に基づく。さらに,例えば,嚥下音を採取して,食品が嚥下されるタイミングを計測し,上記の筋電図により得られるデータと合わせて解析を行うことで,複雑な食感を客観的かつ生理学的に評価できるという知見に基づく。【0011】本発明の第1の側面は食感の生理学的評価装置に関する。この装置は,基本的には,被験者の咬筋部位に取り付けられる第1の筋電計11と,被験者の舌骨上筋群部位に取り付けられる第2の筋電計12と,被験者ののど部に取り付けられる嚥下計測計13と,第1の筋電計11,第2の筋電計12及び嚥下計測計13と接続された制御装置14とを含む。【0012】第1の筋電計11は,被験者の咬筋部位に取り付けられ,被験者の咬筋の筋電情報を制御装置14へ伝えるための装置である。第1の筋電計11は,被験者の左右の咬筋部位にそれぞれ取り付けられてもよい。第2の筋電計12は,被験者の舌骨上筋群部位に取り付けられ,被験者の舌骨上筋群の筋電情報を制御装置14へ伝えるための装置である。嚥下計測計13は,被験者ののど部に取り付けられ,被験者の口腔内に入った食品(飲料や固形物)が,のみ込まれるタイミングを測定するための装置である。嚥下計測計13の例は,被験者ののど(たとえば,のど仏部分)に取り付けられたマイクロフォンである。嚥下計測計13の別の例は,特許文献1に開示されたヒト咽頭部の筋肉の表面筋電計や,特許文献2に開示されたドップラー計測計である。【0013】制御装置14は,第1の筋電計11,第2の筋電計12及び嚥下計測計13が計測した計測情報を受け取り,各種演算を行うための装置である。制御装置14は,摂食開始時間計測部21と,嚥下時間演算部22と,筋活動量演算部23と,筋活動量演算部24と,を有する。摂食開始時間計測部21は,第1の筋電計11及び第2の筋電計12のいずれかから受け取った計測情報に基づき,食品が口腔内に入れられる時間を計測するための装置である。嚥下時間演算部22は,嚥下計測計13が計測した計測情報及び摂食開始時間計測部21が計測した時間に基づき,食品が嚥下されるのに要した時間を求めるための装置である。咬筋の筋活動量演算部23は,第1の筋電計11の計測情報に基づいて,咬筋の筋活動量を求めるための装置である。舌骨上筋群の筋活動量演算部24は,第2の筋電計12の計測情報に基づいて,舌骨上筋群の筋活動量を求めるための装置である。【0014】制御装置14は,時間分節部25と,単位時間の筋活動量演算部26とをさらに有してもよい。時間分節部25は,嚥下時間演算部22が求めた食品が嚥下されるのに要した時間に基づいて,食品が口腔内に入れられる時間から食品が嚥下されるまでの時間を複数の時期に分けるための装置である。筋活動量演算部26は,時間分節部25が分節した,複数の時期のそれぞれについて,単位時間あたりの咬筋の筋活動量及び単位時間あたりの舌骨上筋群の筋活動量を求める単位時間の装置である。
【発明の効果】【0015】本発明の食感の生理学的評価装置は,被験者の左右両側の咬筋及び舌骨上筋群の筋電図を測定することで,下顎運動及び舌運動を把握し,嚥下計測計により食品が嚥下される時間を計測するので,食品の官能評価を適切かつ客観的に行うことができる。
【発明を実施するための形態】【0017】以下,図面を参照しつつ本発明を具体的に説明する。本発明は,以下に説明する実施の形態に限定されない。本発明は,以下に説明する実施の形態に適宜修正を加えたものも含む。図1は,本発明の食感の生理学的評価装置のブロック図である。図1に示される通り,この装置は,基本的には,被験者の咬筋部位に取り付けられる第1の筋電計11と,被験者の舌骨上筋群部位に取り付けられる第2の筋電計12と,被験者ののど部に取り付けられる嚥下計測計13と,第1の筋電計11,第2の筋電計12及び嚥下計測計13と接続された制御装置14とを含む。【0018】第1の筋電計11は,被験者の咬筋部位に取り付けられ,被験者の咬筋の筋電情報を制御装置14へ伝えるための装置である。第1の筋電計11は,被験者の左右の咬筋部位にそれぞれ取り付けられてもよい。被験者の咬筋の筋電情報を計測する装置は,これらの筋電図をとるための装置として,すでに知られている。このため,本発明では,すでに知られた咬筋の筋電計を適宜用いることができる。【0019】第2の筋電計12は,被験者の舌骨上筋群部位に取り付けられ,被験者の舌骨上筋群の筋電情報を制御装置14へ伝えるための装置である。被験者の舌骨上筋群の筋電情報を計測する装置は,これらの筋電図をとるための装置として,すでに知られている。このため,本発明では,すでに知られた舌骨上筋群の筋電計を適宜用いることができる。【0020】嚥下計測計13は,被験者ののど部に取り付けられ,被験者の口腔内に入った食品(飲料や固形物)が,のみ込まれるタイミングを測定するための装置である。嚥下計測計13の例は,被験者ののど(たとえば,のど仏部分)に取り付けられたマイクロフォンである。嚥下計測計13の別の例は,特許文献1に開示されたヒト咽頭部の筋肉の表面筋電計や,特許文献2に開示されたドップラー計測計である。【0021】図2は,制御装置14の基本構成を示すブロック図である。制御装置14は,第1の筋電計11,第2の筋電計12及び嚥下計測計13が計測した計測情報を受け取り,各種演算を行うための装置である。図2に示される通り,制御装置14の例は,入力部31,出力部32,演算部33,制御部34,及び記憶部35を有する。そして,それぞれの要素はバスにより接続されており,情報の授受を行うことができるようにされている。また,記憶部35のメインメモリには制御プログラムが格納されている。制御部34は,入力部31からの入力情報を解析するとともに,制御プログラムからの制御指令に基づいて,適宜記憶部35から必要な情報を読み出し,演算部34により必要な演算処理を行わせ,記憶部35に記憶する。そして,演算処理された情報を適宜出力部32から出力する。制御装置14は,例えば,インターネット,LAN,又は無線LANにより他のクライアント又はサーバと情報の授受を行うことができるように接続されてもよい。【0022】制御装置14は,摂食開始時間計測部21と,嚥下時間演算部22と,咬筋の筋活動量演算部23と,舌骨上筋群の筋活動量演算部24と,を有する。こられの各要素は,ハードウェアにより実現されてもよいし,ハードウェアとソフトウェアの協働により実現されてもよい。摂食開始時間計測部21は,第1の筋電計11及び第2の筋電計12のいずれかから受け取った計測情報に基づき,食品が口腔内に入れられる時間を計測するための装置である。嚥下時間演算部22は,嚥下計測計13が計測した計測情報及び摂食開始時間計測部21が計測した時間に基づき,食品が嚥下されるのに要した時間を求めるための装置である。咬筋の筋活動量演算部23は,第1の筋電計11の計測情報に基づいて,咬筋の筋活動量を求めるための装置である。舌骨上筋群の筋活動量演算部24は,第2の筋電計12の計測情報に基づいて,舌骨上筋群の筋活動量を求めるための装置である。【0023】以下,本発明の食感の生理学的評価装置の動作例を説明する。食品が,被験者により摂取される。すると,被験者による咀嚼運動が開始される。咀嚼運動が開始されると,被験者の咬筋及び舌骨上筋群の活動が盛んになる。【0024】第1の筋電計11は,被験者の左右の咬筋の筋電情報を計測し,制御装置14へ伝える。一方,第2の筋電計12は,被験者の舌骨上筋群の筋電情報を制御装置14へ伝える。制御装置14は,適宜記憶部35に第1の筋電計11及び第2の筋電計12の計測情報を記憶する。摂食開始時間計測部21は,記憶部35に記憶される第1の筋電計11及び第2の筋電計12の計測情報を比較して,咬筋の筋電情報又は舌骨上筋群の筋電情報が著しく増加した場合に,摂食開始と判断する。たとえば,摂食開始時間計測部21は,第1の筋電計11及び第2の筋電計12の計測情報の1秒又は数秒の平均値を求め,この平均値が平常時の所定倍以上(たとえば2倍以上,又は3倍以上)となった場合に,摂食が開始されたと判断してもよい。また,第1の筋電計11及び第2の筋電計12の計測情報から解析された咬筋の筋活動量又は舌骨上筋群の筋活動量が所定値以上となった場合に,摂食が開始されたと判断してもよい。この場合,所定値を記憶部35に記憶しておき,摂食開始時間計測部21は,求められた咬筋の筋活動量又は舌骨上筋群の筋活動量と,記憶部から読みだされた所定値とを比較することで,摂食開始時間を求めればよい。【0025】嚥下時間演算部22が,嚥下計測計13が計測した計測情報及び摂食開始時間計測部21が計測した時間に基づき,食品が嚥下されるのに要した時間を求める。たとえば,嚥下計測計13がマイクロフォンの場合,記憶部34は嚥下されたことを判断するための閾値を記憶する。制御装置14は,入力部31を介して,嚥下計測計13が計測した計測情報が入力されると,記憶部34が記憶した閾値を読み出し,演算部に計測値と閾値とを比較する演算を行わせる。そして,計測値が閾値をこえた場合に,食品が嚥下されたと判断する。そして,摂食開始時間計測部21は,食品が嚥下された時間を記憶部34に記憶する。そして,摂食開始時間計測部21は,記憶部34から,摂食開始時間と食品が嚥下された時間とを読み出して,演算部33に食品が嚥下されるのに要した時間を求めさせる。このようにして,嚥下時間演算部22が,食品が嚥下されるのに要した時間を求める。【0026】咬筋の筋活動量演算部23は,第1の筋電計11の計測情報に基づいて,咬筋の筋活動量を求める。この咬筋の筋活動量は,左右の咬筋の合計筋活動量であってもよい。舌骨上筋群の筋活動量演算部24は,第2の筋電計12の計測情報に基づいて,舌骨上筋群の筋活動量を求める。制御装置14は,筋電図を求めるのと同様の方法で,計測情報に基づいて筋電を示す波形を求める。そして,たとえば,最大筋電位を100%として,計測中における筋電位を交流電圧の実効値(Vrms)により正規化する。そして,各サイクルの波形の面積を求めることで,仕事量に関する相対値を得る。【0027】上記のようにすることで,本発明の装置は,咬筋の筋活動量,舌骨上筋群の筋活動量,及び食品が嚥下されるのに要した時間を求めることができる。そして,複数の食品について,こられのデータを比較することで,のどごしのみならす,口腔内及び咽頭を含む様々な筋肉の運動を考慮した食感評価を達成できる。【0028】本発明の好ましい態様は,制御装置14が,時間分節部25と,単位時間の筋活動量演算部26とをさらに有するものである。時間分節部25は,嚥下時間演算部22が求めた食品が嚥下されるのに要した時間に基づいて,食品が口腔内に入れられる時間から食品が嚥下されるまでの時間を複数の時期に分けるための装置である。筋活動量演算部26は,時間分節部25が分節した,複数の時期のそれぞれについて,単位時間あたりの咬筋の筋活動量及び単位時間あたりの舌骨上筋群の筋活動量を求めるための装置である。【0029】時間分節部25は,嚥下時間演算部22が求めた食品が嚥下されるのに要した時間に基づいて,食品が口腔内に入れられる時間から食品が嚥下されるまでの時間を複数の時期に分ける。たとえば,食品が嚥下されるまでの時間が15秒であれば,5秒ずつ前期,中期及び後期のように分けてもよい。この処理は,たとえば,記憶部に記憶された食品が嚥下されるまでの時間,期間の分割数,及び分割割合に関する情報を読み出す。そのうえで,期間の分割数,及び分割割合に関する情報に基づいて,食品が嚥下されるまでの時間を分節すればよい。たとえば,食品が嚥下されるまでの時間が15秒であり,期間の分割数が3で,分割割合が1:1:1の場合,5秒ずつ前期,中期及び後期のように分ければよい。そして,この5秒ずつという情報を記憶部34に標準分節時間として記憶してもよい。そのうえで,他の食品についても,5秒ずつ前期,中期及び後期に合わせて分節して評価してもよい。【0030】単位時間の筋活動量演算部26は,複数の時期のそれぞれについて,単位時間あたりの咬筋の筋活動量及び単位時間あたりの舌骨上筋群の筋活動量を求める。たとえば,先の例では,前期,中期及び後期の平均値(1秒当たり)を求めてもよい。単位時間の筋活動量演算部26は,記憶部から筋活動量を読み出すとともに,時間分節部25による分節時間を読み出して,単位時間当たりの筋活動量を求める演算を行えばよい。【0031】本発明は,コンピュータを,摂食開始時間計測手段と,嚥下時間演算手段と,咬筋の筋活動量演算手段と,舌骨上筋群の筋活動量演算手段とを含む手段を実現する装置として機能させるためのプログラムをも提供する。摂食開始時間計測手段,嚥下時間演算手段,咬筋の筋活動量演算手段,舌骨上筋群の筋活動量演算手段は,それぞれ,摂食開始時間計測部21,嚥下時間演算部22,咬筋の筋活動量演算部23,舌骨上筋群の筋活動量演算部24に対応する手段である。また,本発明は,コンピュータを上記の手段のみならず,時間分節手段及び嚥下時間演算手段を含む手段として機能させるためのプログラムをも提供する。【0032】本発明は,さらに上記のプログラムを格納したコンピュータ読み取り可能な情報記録媒体をも提供する。このような情報記録媒体の例は,DVD,CD,FD,メモリーカード,メモリースティック及びHDである。【実施例1】【0033】プロセスチーズの食感に関する筋電図学的評価実施例1は,年齢22~42歳の健常成人7名を被験者とした実験に関する。試料として,対照プロセスチーズ(従来の一般的なプロセスチーズ),および新規開発品(スマートチーズ)を2.1×2.8×8mmの一口大の大きさにカットしたものを使用した。被験者の左右両側の咬筋及び舌骨上筋群に筋電計を取り付けた。また,被験者の喉頭部にマイクロフォンを取り付けた。左右両側の咬筋は下顎運動を反映する。また,舌骨上筋群は舌運動を反映する。嚥下音を採取することで,嚥下のタイミングを計測できる。図3は,実施例1において,各種センサを取り付けた様子を示す図面代用写真である。被験者に各々のプロセスチーズを6回ずつ摂食してもらい,試料を口腔内に入れてから完全に嚥下するまでの筋電図を測定した。測定した筋活動を生体電器アンプに導出し,BIOPACシステムズ社製MP150システムを用いてパソコンにデータを取り込んだ。【0034】採取した筋電図は,原波形のマイナスの部分をプラスに折り返し,積分波形に変換し,全ての被験作業の中で各々の筋についての最大筋電位を100%としてその他の筋電位を%Vrms(交流電圧の実効値)として正規化することで筋活動量を求めた。さらに,波形の面積を解析ソフト(BIOPACシステムズ社製AcqknowledgeVer.3.9.0)を用いて筋活動量を解析した。なお,波形の面積は交流の1サイクルの間の2乗の平均の平方根(rootmeansquare)とよばれ,筋肉の仕事量と相関するといわれているものである。解析項目は,試料を口腔内に入れてから完全に嚥下するまでの処理時間,左右咬筋の筋活動量を合算したもの及び舌骨上筋群の筋活動量とした。さらに,経時的な筋活動量の変化を検討するために,処理時間を前期,中期,後期に3分割し,各セクションでの咬筋および舌骨上筋群の筋活動量,および,単位時間当たりの筋活動量を求めた。得られたそれぞれの結果を分析項目ごとに比較検討した。【0035】図4は,実施例1における測定試料を口腔内に入れてから完全に嚥下するまでの処理時間(秒)を示すグラフである。図4から,対照プロセスチーズと比較して新規開発品は,処理時間を有意に短縮したことがわかる。図5は,実施例1における左右咬筋の筋活動量の合算値(%Vrms)を示すグラフである。図5から,対照プロセスチーズと比較して新規開発品は,筋活動量が有意に少なく,下顎運動が少ないことが示された。図6は,実施例1における舌骨上筋群の筋活動量(%Vrms)を示すグラフである。図6から,新規開発品は,対照プロセスチーズと比較して,筋活動量が有意に少なく,舌運動も少ないことが示された。【0036】図7(a)は,実施例1における前期,中期,及び後期の左右咬筋の筋活動量の変化を示すグラフである。図7(b)は,実施例1における前期,中期,及び後期の舌骨上筋群の筋活動量の変化を示すグラフである。実施例1における筋活動量は仕事量と相関している。図7(a)及び図7(b)に示されるように,すべてのセクションにおいて,対照プロセスチーズと比較して新規開発品の方が小さな仕事量で処理できることが示された。【0037】図8は,実施例1における舌骨上筋群の,単位時間あたりの筋活動量の変化を時間軸に沿って解析した結果を示すグラフである。各プロットは各試料の前中後セクションの時間の中央に相当する位置に配置している。前期では,対照プロセスチーズと比較して新規開発品の方が高値を示したが,その後は,対照プロセスチーズと新規開発品の相違は認められなかった。しかしながら,新規開発品は処理時間が短いことから,各セクションおよび全体の仕事量が小さいことが推察された。【0038】図9は,咬筋について,舌骨上筋群と同様の解析を行なった結果を示すグラフである。図9に示される通り,新規開発品のほうが前期,中期で高い値を示したが,処理終了直前には従来品と同様の単位時間あたりの筋活動量で処理していることが示された。よって,新規開発品は,始めは大きな仕事量を必要とするが,その後急激に小さな仕事量で処理できることが明らかとなった。【0039】以上の結果より,新規開発品であるスマートチーズの食感を客観的に評価できることが明らかとなった。また,これらの結果は,官能評価,物性測定の結果と同等の結果が得られることも明らかとなり,本評価方法は,官能評価や物性測定の結果を的確に定量的に示すことができる。【実施例2】【0040】喫食量と処理時間による食感の評価実施例2では,年齢22~42歳の健常成人3名を被験者として実験を行った。試料として,明治Bigプリン,なめらかプリン,及び焼プリンの3種を使用した。各々の試料を,3g,7g,15g,及び30gになるように規定のスプーンにのせ,試料が被験者の口腔内に入れられてから完全に嚥下されるまでの処理時間を測定した。処理時間の測定方法は実施例1と同様の方法で行った。【0041】図10は,実施例2における各試料における喫食量と処理時間の関係を示すグラフである。図10から,明治Bigプリン(白丸)では,喫食量と処理時間に明確な量依存性が認められた。このことは,口腔内で処理されたものを順次嚥下するという単調な食感を表現しているといえる。また,なめらかプリン(黒丸)については,喫食量が少量(3g,及び7g)の場合は,処理時間に変化が無かった。一方,7g以上の喫食量では,喫食量と処理時間に明確な量依存性が認められた。一方,焼プリン(黒三角)では,15g以上の喫食量で処理時間が大きく変化し,処理するのに口腔内で精緻な調整が必要であることが客観的に評価できた。
A
2012139478
2011011377
20110104
null
null
20120726
2012139478
20120726
null
null
null
null
null
null
null
紫照明付き安全マスク
506314106
有限会社日新電気
null
null
井上 恭夫
null
8
A62B 18/02 (20060101)
A62B 18/02 C
1
null
null
null
0
5
2E185
2E185 AA07 ,2E185 BA20 ,2E185 CC32
【課題】暗いところでも常時ウイルスの殺菌効果があり、マスクが汚れたら分離して洗濯できる安全マスクを提供する。【解決手段】マスク4とは別個に照明装置が容易に着脱できる構造とし、紫の照明が発生させる小型の電球やLED等の照明を設置し、紫色が点灯するように電源2を有した装置をマスク4表面にドッキングさせる。マスク4表面は紫の照明で紫の可視光線と不可視光線の紫外線波長が照射される。この紫外線の波長の特性を応用してマスク4表面のウイルスを殺菌する。マスク4裏側は紫外線の影響及び皮膚への弊害を考慮して、紫外線が中和する色彩、又は波長が届かないガーゼや布等の素材で覆う構造とする。マスク4と照明装置は単体で容易に着脱出来る構造からなっており、マスク4が汚れたら切り離して洗濯ができるので常に清潔で使用できる。【選択図】図3
【請求項1】マスクと照明装置を別個に容易に着脱できる構造とし、紫色の照明が発生させる小型の電球やLED等の照明を設置し、紫色が点灯するように電源を有した装置をマスク表面にドッキングさせる。マスク表面は紫色の照明で紫の可視光線と不可視光線の紫外線の波長が照射される、その波長の特性を応用して、マスク表面のウイルスを殺菌する。マスク裏側は紫外線の影響及び皮膚への弊害を考慮して、紫外線が中和する色彩、又は波長が届かない布やガーゼ等の素材で覆う構造とする。ウイルスは紫外線に対しては何の抵抗力は持ちえないので、紫外線を照射されると簡単に殺菌される。マスクと照明装置は単体で容易に着脱できる構造からなっており、マスクが汚れたら分離して洗濯が出来るので常に清潔で新鮮なマスクを使用できることを特徴とした「紫照明付き安全マスク」をここに提供する。
【請求項1】マスクと照明装置を別個に容易に着脱できる構造とし、紫色の照明が発生させる小型の電球やLED等の照明を設置し、紫色が点灯するように電源を有した装置をマスク表面にドッキングさせる。マスク表面は紫色の照明で紫の可視光線と不可視光線の紫外線の波長が照射される、その波長の特性を応用して、マスク表面のウイルスを殺菌する。マスク裏側は紫外線の影響及び皮膚への弊害を考慮して、紫外線が中和する色彩、又は波長が届かない布やガーゼ等の素材で覆う構造とする。ウイルスは紫外線に対しては何の抵抗力は持ちえないので、紫外線を照射されると簡単に殺菌される。マスクと照明装置は単体で容易に着脱できる構造からなっており、マスクが汚れたら分離して洗濯が出来るので常に清潔で新鮮なマスクを使用できることを特徴とした「紫照明付き安全マスク」をここに提供する。
【技術分野】【0001】本発明は近年呼吸によるウイルスの空気感染でインフレインザ等が多発している、このウイルスの人体侵入を防ぐ為には、紫外線でウイルスを殺菌するしかないと言われており。紫外線がウイルスを殺菌する威力があることは周知である。現在大気中の紫外線を活用してウイルスを殺菌して抜群の効果を上げているマスクは下記に記している引例が2例ほどあるが、日没や消灯時間には紫外線の効果はなくなりウイルスの侵入は仕方がないという大きな欠点があった。そこで本発明者は日没や消灯しても電気の力を活用してマスク表面を紫色に‐照明する器具を用いて紫外線の波長を取り入れてウイルスを殺菌することを特徴とした紫外線照明マスクを発明した。マスクと照明装置は別個として容易に着脱出来る構造とする。マスクが汚れたら照明装置と着脱して洗濯し常に清潔な状態で使用する。以上のような特徴を有し、且つ体内に有害なウイルスを紫の可視光線とその外側に付属している不可視光線の紫外線が透過する波長の特性を活用した小型の電球及びLEDなどから発生する紫色の照明器具をマスクの表面に設置すれば、暗いところでも紫色が点灯してマスクの表面を常時紫外線が照射する、ウイルスは紫外線には全く抵抗力がないので簡単に殺菌される。またマスクと紫の照明装置は簡単に着脱できる構造とし、マスクが汚れたら分離して洗濯をすればいつも新鮮で清潔な状態で着用できる。「紫照明付きマスク」をここに出願するものである。
【背景技術】【0002】「特許文献1」は炭素繊維で作成された白いマスクに酸化チタンを展着させた白いマスクの表面から大気中の太陽光線や照明光線が照射される紫外線と、炭素繊維の白いマスクに展着されている酸化チタンが紫外線と光触媒が化学反応を起こしてウイルスを殺菌する原理が「特許文献1」である。「特許文献2」は紫色で作成した紫色のマスクを介して、紫の可視光線と不可視光線の近紫外線の波長が透過する。その紫と紫外線の波長の特性を応用してウイルス殺菌する原理のマスクが、「特許文献2」である。
【発明が解決しようとする課題】【0004】上記、呼吸によるインフェインザ等を予防する従来課題のマスクは全て、現在猛威を振るっているウイルスを殺菌するマスクは上記に2例があり、その1つは「特許文献1の特開2000-197711」(以下引例1という)がある、その原理は炭素繊維で作成された白いマスクに酸化チタンを展着し、大気中の紫外線と酸化チタンが光触媒の化学反応を利用してウイルスを殺菌する原理構造のマスクがある。もう1つは「特許文献2の特開20008-101918」(以下引例2という)があり紫のマスクを作成し、その紫の持つ特性で可視光線と不可視光線の近紫外線が持つ波長の特性を応用してウイルスを殺菌し、マスク裏側には紫外線が直接皮膚に浸透しない構造のしたマスクがある。上記に記した引例1並びに引例2のマスクは、太陽光線や電気照明が点灯いるときは威力を発揮するが、日没や照明を消灯してしまえば紫外線によるウイルスの殺菌効果等は皆無となる。そこで本発明者はマスクの表面に紫色の小型の照明器具を設置し、その紫色の照明から発生する紫の可視光線とそこに付属している紫外線の特性の波長を応用してウイルスを殺菌できることを特徴とした原理であれば日没や消灯などに左右されることなくウイルスを常時殺菌することが出来るものである。マスク表面に設置する紫色発光体の電源は乾電池あるいはソーラ電源又は100Vを変革した電源あるいはバッテリーに蓄電された電源を用いてもよい。以上のような構造に出来ている本願の発明により紫の照明を点灯する電球はLED照明等を用いれば電力消費が少ないので都合が良い、紫色の可視光線と不可視光線の紫外線の波長の特性によりマスク表面のウイルスは常時殺菌されているので、常に清潔な空気を呼吸できる。マスク裏側(呼吸側)紫外線の影響を考慮し、紫外線の波長が中和する色彩の素材あるいは、紫外線の波長が届かないガーゼ等の素材で覆えば皮膚への影響はない。またマスクと照明装置は別個とし、簡単に着脱できる構造とし、マスクが汚れたら分離して洗濯し清潔にして紫の照明装置とセットする。以上のような構造のしたのが本願である。
null
null
null
A
2012139479
2011011379
20110104
null
null
20120726
2012139479
20120726
null
null
null
null
null
null
null
着用できる寝具
510268071
西内 きみ
null
null
西内 きみ
null
8
A47G 9/02 (20060101)
A47G 9/02 T
3
null
null
null
0
4
3B102
3B102 BA00
【課題】自由に寝返りをうてて、肩が凝らない掛け布団でありながら、着用したままで日常動作ができる寝具を提供する。【解決手段】略直方体の布もしくは毛布素材によって搆成される掛け布団1であって、両手を通して着用できるように2つの開口部2ならびに前記開口部から連続的に形成された袖3が掛け布団1上に配置され、袖3の位置における掛け布団1の長手方向の横断面の両端と袖口4の先端とが略位置合わせされ、両者のそれぞれの接触面に面ファスナーなどの係止機構部5が配置されたことを特徴とする寝具。【選択図】図1
【請求項1】略直方体の布もしくは毛布素材によって構成される掛け布団であって、両手を通して着用できるように2つの開口部ならびに前記開口部から連続的に形成された袖が前記掛け布団上に配置され、袖の位置における前記掛け布団の長手方向の横断面の両端と袖口の先端とが略位置合わせされ、両者のそれぞれの接触面に面ファスナーなどの係止機構部が配置されたことを特徴とする寝具。【請求項2】請求項1記載の寝具において、前記掛け布団の長手方向の横断面の両端と袖口の先端との接触面が係止機構部によって係止され、前記掛け布団が平面形状となることを特徴とする寝具。【請求項3】請求項1記載の寝具において、前記掛け布団の袖に両手を通して着用し、袖の位置における前記掛け布団の長手方向の横断面の両端に配置された係止機構部同士が接触して係止することを特徴とする寝具。
【請求項1】略直方体の布もしくは毛布素材によって構成される掛け布団であって、両手を通して着用できるように2つの開口部ならびに前記開口部から連続的に形成された袖が前記掛け布団上に配置され、袖の位置における前記掛け布団の長手方向の横断面の両端と袖口の先端とが略位置合わせされ、両者のそれぞれの接触面に面ファスナーなどの係止機構部が配置されたことを特徴とする寝具。
【技術分野】【0001】この発明は、就寝時に体温が下がらないように保温するための寝具に関する。
null
null
null
null
【発明を実施するための形態】【0010】以下、本考案の実施の形態について図面を参照しながら詳細に説明する。図1は着用できる寝具の斜視図である。略直方体の布もしくは毛布素材によって搆成される掛け布団1であって、両手を通して着用できるように2つの開口部2ならびに前記開口部2から連続的に形成された袖3が前記掛け布団1上に配置され、袖3の位置における前記掛け布団1の長手方向の横断面の両端と袖口4の先端とが略位置合わせされ、両者のそれぞれの接触面に面ファスナーなどの係止機構部5が配置されている。【0011】図2は掛け布団1の長手方向の横断面の両端と袖口4とが係止された状態の着用できる寝具の斜視図である。掛け布団1の長手方向の横断面の両端と袖口4の先端の接触面とが係止機構部5によって係止され、掛け布団1が平面形状となる。そのため就寝時に掛け布団として使用しても、袖部分が邪魔とならず、快適に睡眠できる。【0012】図3は、袖3に両手を通して着用された状態の着用できる寝具の斜視図である。掛け布団1の袖3に両手を通して着用し、袖3の位置における掛け布団1の長手方向の横断面の両端に配置された係止機構部5同士が接触して係止することができる。そのため日常動作を行う際に、着用できる寝具が身体に固定されるため動きやすく、かつ身体が掛け布団1によって密閉されるため保温効果を得ることができ、暖かい。
A
2012139648
2011000066
20110104
null
null
20120726
2012139648
20120726
null
null
null
null
null
null
null
汚泥の減容化装置
304019160,506251834
網本 吉雄,株式会社エコフィールド
null
null
網本 吉雄,木原 秀和
null
8
C02F 11/12 (20060101), B01J 20/26 (20060101)
C02F 11/12 ZABZ ,B01J 20/26 D
4
null
null
null
0
7
4D059,4G066
4D059 AA05 ,4D059 BE25 ,4D059 BE51 ,4D059 BK30 ,4D059 CB04 ,4D059 CB18 ,4D059 CB27 ,4D059 DB11,4G066 AC11B,4G066 AE05B,4G066 AE06B,4G066 BA03 ,4G066 BA25 ,4G066 CA43 ,4G066 DA15 ,4G066 GA39 ,4G066 GA40
【課題】排水の生物学的処理法より発生する余剰汚泥を簡易かつ安価な設備で高効率に減容化する。【解決手段】本発明に係る材料は連続気泡を有する親水性樹脂であって該親水性樹脂で形成され汚泥を圧縮接触させて汚泥から水分を吸水する脱水手段と、脱水された汚泥を汚泥槽に回収する汚泥回収手段と、水膨潤した脱水手段を圧縮脱水して水分を回収する水分回収手段とで汚泥の減容化装置を構成する。【選択図】図1
【請求項1】連続気泡を有する親水性樹脂で形成され汚泥を圧縮接触させて汚泥から水分を吸水する脱水手段と、脱水された汚泥を回収する汚泥回収手段と、水膨潤した脱水手段を圧縮脱水して水分を回収する水分回収手段とを備えたことを特徴とする汚泥の減容化装置。【請求項2】脱水手段が、連続気泡を有するシート状の親水性樹脂の上下面を多孔性の布材でカバーした脱水ベルトを用いて構成されたベルトコンベアと、該ベルトコンベア上に投入された汚泥を親水性樹脂に圧縮接触させる疎水性部材で形成された押しつけロールとを備えていることを特徴とする請求項1記載の汚泥の減容化装置。【請求項3】脱水手段が、連続気泡を有する親水性樹脂で形成された吸水ロールと、疎水性部材で形成された押し付けロールとを備えていることを特徴とする請求項1記載の汚泥の減容化装置。【請求項4】脱水手段を形成する親水性樹脂の乾燥時の気孔率が85%以上95%以下である連続気泡を有することを特徴とする請求項1記載の汚泥の減容化装置。
【請求項1】連続気泡を有する親水性樹脂で形成され汚泥を圧縮接触させて汚泥から水分を吸水する脱水手段と、脱水された汚泥を回収する汚泥回収手段と、水膨潤した脱水手段を圧縮脱水して水分を回収する水分回収手段とを備えたことを特徴とする汚泥の減容化装置。
【技術分野】【0001】本発明は、食品廃棄物を主とする生ごみ等を生物処理した際に発生する余剰汚泥の減容化装置に関する。
【背景技術】【0002】食品廃棄物や畜肉残差などを発生する工場、店舗は中小事業者が多く、有機性排水を生物学的処理している。その場合には生物処理槽より発生する汚泥を余剰汚泥として濃縮及び/または脱水処理後、産業廃棄物として焼却や埋め立て等により処分されてきた。しかし、産業廃棄物として焼却するには、汚泥を燃焼する過程で水分を蒸発させなければならないため、多くの熱量が必要であり経済的でなかった。また産業廃棄物の埋立てでは、埋立地の確保が困難であった。これらのことから、余剰汚泥の減容化が求められていた。【0003】本発明者は種々の生物処理槽から発生する余剰汚泥を観察・分析した結果、上記方法も含めた処理法から発生する余剰汚泥中の水分が80%以上、場合により90%を超える含水率をもつことを発見した。よって余剰汚泥中の水分を除去できれば、余剰汚泥の減容は容易であることは自明の結論である。【0004】従来から、この余剰汚泥の減容化する方法として、特許文献12に示すように、余剰汚泥中の水分を加熱・除去する方法や装置あるいは特許文献13に示すように、高分子吸水体に中間的に吸水した後電気加熱などの方法で脱水する方法や装置が提案されている。
【発明が解決しようとする課題】【0006】しかしながら、上記従来のように余剰汚泥中の水分を直接加熱・除去する方法や中間的に高分子化合物に吸水し加熱して脱水する方法では、莫大なエネルギーを消費するものである。このため、消費エネルギーを抑えるものとして、エネルギーを回収するためのコジェネレーション(特許文献12)や浸透膜を利用して消費エネルギーを抑える(特許文献13)ようにしているが、設備費用、運転費用が大きく経済的でないという課題がある。【0007】本発明は、上記課題に鑑みてなしたもので、その目的とするところは、簡易かつ安価な設備で高効率な余剰汚泥の減容化装置を提供することにある。
【課題を解決するための手段】【0008】請求項1記載の発明は、連続気泡を有する親水性樹脂で形成され汚泥を圧縮接触させて汚泥から水分を吸水する脱水手段と、脱水された汚泥を回収する汚泥回収手段と、水膨潤した脱水手段を圧縮脱水して水分を回収する水分回収手段とを備えたことを特徴としたものである。【0009】請求項2記載の発明は、脱水手段が、連続気泡を有するシート状の親水性樹脂の上下面を多孔性の布材でカバーしたベルトを用いて構成されたベルトコンベアと、該ベルトコンベア上に投入された汚泥を親水性樹脂に圧縮接触させる疎水性部材で形成された押しつけロールとを備えていることを特徴としたものである。【0010】請求項3記載の発明は、脱水手段が、連続気泡を有する親水性樹脂で形成した吸水ロールと、疎水性部材で形成された押し付けロールとを備えていることを特徴としたものである。【0011】請求項4記載の発明は、脱水手段を形成する親水性樹脂の乾燥時の気孔率が85%以上95%以下である連続気泡を有することを特徴としたものである。
【発明の効果】【0012】請求項1記載の発明によれば、一般に製造・販売されている親水性樹脂を使用するので、何ら特殊な薬剤や機器を設備することなく安価で簡易な装置により汚泥より脱水することができ、使用形態も使用の現場、汚泥の状態などから選択することができる。また、該親水性樹脂の気泡は連続気泡であり絞ることで容易に気泡から水分を放出するため、連続気泡を持つ親水性樹脂を連続的に余剰汚泥と圧縮接触し、脱水後の汚泥と汚泥よりの水を分離する工程を組み合わせることによって高い効率で脱水できる。【0013】請求項2記載の発明によれば、ベルトコンベア方式で効率的に脱水でき、且つ親水性樹脂を布材でカバーすることにより、ベルトの強度が強化できる。【0014】請求項3記載の発明によれば、脱水手段をロール方式にすることにより、より簡単で経済的な装置が提供できる。【0015】請求項4記載の発明によれば、気孔率が高ければ高い程、脱水量が多くなり、逆に高すぎると機械強度が低下するが、気孔率が85%以上95%以下にすることで、機械強度を満足しつつ脱水量を高くできる。
【発明を実施するための形態】【実施例1】【0017】以下、本発明の実施例1について、図1乃至図3を参照し、具体的に説明する。本実施例の脱水手段は、4脱水ベルトと、汚泥を4脱水ベルトに圧縮接触させる10押しつけロールとを備えたベルトコンベア方式で構成したものである。【0018】4脱水ベルトは、連続気泡を乾燥時に80%有する親水性樹脂として市販のベルイータ(アイオン株式会社製)やシグナスロール(アイオン株式会社製)の1シートを使用して無端ベルト状に構成され、17支持台に支持されて11ベルト回転軸により駆動されるようになっている。また、図2に示すように、親水性樹脂の1シートの表面は、4脱水ベルトの移動に耐えうるように5タフタ布で被覆され、更に、4脱水ベルトはその側面と底面を補強鋼板(図示せず)にて補強されている。【0019】10押しつけロールは、市販のゴム製のロールを用い、4脱水ベルトの底面の補強鋼板に密接するように押しつけている。【0020】汚泥回収手段は、13脱水汚泥移送ガイドと14汚泥貯槽とで構成され、8汚泥移送ガイドより4脱水ベルト上に投入され、脱水手段で12脱水された汚泥を13脱水汚泥移送ガイドでかきとり、14汚泥貯槽に12脱水された汚泥を回収するようになっている。【0021】水分回収手段は、市販のゴム製ロールを用いた一対の15水絞りロールと16水分貯槽とで構成され、該15水絞りロールは、市販のゴム製ロールで形成され、脱水ベルトに食い込む程度にロール巾をしぼって形成されており、一対の15水絞りロールで水膨潤した4脱水ベルトを圧縮して脱水し、16水分貯槽に回収するようになっている。【0022】而して、8汚泥移送ガイドにより4脱水ベルトに汚泥を投入すると、図3に示すように、4脱水ベルト上の汚泥が10押しつけロールで4脱水ベルトに圧縮接触させられ、汚泥中の水分が4脱水ベルトを形成する親水性樹脂に吸水される。【0023】次に、本発明の効果を確認するために行った試験について説明する。試験装置は、2枚タフタ布(巾320mm×長さ2200mm)の間に4枚に分割された親水性樹脂のベルイーターE(D)のシート(縦480mm×横280mm×厚み30mm)を水にて膨潤させ柔らかくし、縦方向に挿入して脱水ベルトを構成し、脱水ベルトの移送速度が1m/分になるように脱水ベルト回転軸及び押しつけロールの回転速度を調節している。なお、汚泥は、南九州地区の畜肉加工工場の余剰汚泥を入手し使用した。【0024】毎分1.0kg±0.2kgの供給量にて脱水ベルトに汚泥を投入すると、1時間後の汚泥投入量は67.4kgとなり、汚泥貯槽には34.3kgの汚泥が溜まった。含水率は68%、減容化率は49.1%であった。また水分貯槽には30.2kgの水分が溜まった。【0025】廃棄汚泥の処理に多大の費用を要し、余剰汚泥量の低減はそのまま廃棄コストの低減につながるが、本発明は、連続気泡を有する親水性樹脂に汚泥を押しつけ圧縮接触させる簡単な装置で、容易に且つ高効率に汚泥中の水分を脱水することができ、結果廃棄する汚泥は大幅に減容できた。【0026】なお、上記実施例では連続気泡を乾燥時に80%有する親水性樹脂として市販のベルイータ(アイオン株式会社製)やシグナスロール(アイオン株式会社製)を用いたが、本発明はこれらの親水性樹脂に限定するものではない。また、押しつけロールや水絞りロールは市販のゴムロールを用いたが、これらのロールの材質は疎水性部材であればよく、樹脂製や金属製でもよい。更に、親水性樹脂をカバーする布材としてタフタ布を用いたが、これらは水分の透過性のよい多孔性でベルトの移動に耐えうる織布あるいは不織布を自在に選択することができる。【実施例2】【0027】次に、本発明の実施例2について、図4を参照し、具体的に説明する。本実施例の脱水手段は、6脱水ロールと、汚泥を6脱水ロールに圧縮接触させる18押しつけロールとを備えたロール方式で構成したものである。【0028】6脱水ロールは、乾燥時の気泡率が90%である連続気泡を持つ親水性樹脂(アイオン社製シグナスロール)を使用してロールを形成し、18押しつけロールは、市販のゴム製ロールで形成している。また、脱水量を高めるために、これらの脱水手段を上下2段に設けて2段階で脱水するようにしている。【0029】汚泥回収手段は14汚泥貯槽とかきとり板(図示せず)とで構成し、汚泥貯槽を脱水手段の下方に設けて、12脱水された汚泥が自然落下により溜められるようになっている。また、6脱水ロールに粘着した汚泥をかきとるかきとり板を6脱水ロールと軽く接触するように取付けて脱水汚泥の回収率を上げるようにしている。【0030】水分回収手段は、市販のゴム製ロールを用いた19水絞りロールと16水分貯槽とで構成され、該19水絞りロールを6脱水ロールに押しつけて設置し、6脱水ロールを圧縮して脱水し、自然落下により、下方の16水分貯槽に回収できるようにしている。【0031】而して、8汚泥移送ガイドにより、6脱水ロールと18押しつけロールの間に汚泥を投入し、18押しつけロールにより汚泥を6脱水ロールに圧縮接触させて水分を吸収させる。2段階の脱水手段で脱水された汚泥は自然落下により下方の14汚泥貯槽に移送される。また、6脱水ロールに吸収された水分は6脱水ロールの回転にて19水絞りロールにて脱水される。【0032】次に、本発明の効果を確認するために行った試験について説明する。試験装置は、親水性樹脂のロールは外径194mm長さ500mmを用いた。なお、汚泥は、南九州地区の畜肉加工工場の余剰汚泥を入手し使用した。【0033】8汚泥移送ガイドより毎分0.9kgの汚泥を1時間供給した。1時間後の汚泥投入量は56.0kgであった。14汚泥貯槽には26.8kgの汚泥が溜まった。含水率は66%、減容化率は52.1%であった。16水分貯槽には27.6kgの水分が溜まった。【0034】上記実施例1と同様に、簡単な装置で、容易に且つ高効率に汚泥中の水分を脱水することができ、結果廃棄する汚泥は大幅に減容できた。【0035】なお、上記実施例の脱水ロールユニットの構造を設置場所や汚泥の状況で応用できる。例えば脱水量を高めるには脱水ロールユニットを三段あるいは四段に増加すれば達成できる。逆に脱水が容易あるいは少量でよければ脱水ユニットを単段にすることも設備費用低減の点からもよい。
A
2012139649
2011000104
20110104
null
null
20120726
2012139649
20120726
null
null
null
null
null
null
null
エアー・フィルター・システム
508109690
ハンター・ファン・カンパニー
100127926
結田 純次
ステファン・エム・ガッチェル,ベンジャミン・デイヴィッド・フリーマン
null
8
B01D 46/52 (20060101), F24F 1/00 (20110101), F24F 13/28 (20060101)
B01D 46/52 Z ,F24F 1/00 371B ,F24F 1/00 371A
17
null
null
null
0
9
3L051,4D058
3L051 BB03 ,3L051 BC10,4D058 JA14 ,4D058 JB14 ,4D058 JB42 ,4D058 JB50 ,4D058 MA60 ,4D058 SA13
【課題】環境内の微生物の増殖を阻害し、滅菌するためのエアー・フィルター・システムを提供する。【解決手段】エアー・フィルター・システム10は、フィルター・ハウジング11、エアー・フィルター材12、及びフィルター材に電気的に連結された電気回路を有する。フィルター材は、導電性材料でコーティングされて、前部垂直ストリップ27及び後部ストリップ28を形成する、前部プリーツ頂部25と後部プリーツ頂部26を備えたプリーツの付いたエアー・フィルター材料23からなる。電気回路は、正の前部電気接点30及び後部電気接点を含む。前部電気接点30は、フィルター前部ストリップに水平に交差して配置されかつ電気的に接触をする、細長い前部電気接触バー33と電気的に接触をする後方表面を有する。後部電気接点は、細長い後部電気接触バー34と電気的に接触をする前方表面を有する。【選択図】図2
【請求項1】複数の細長い前部折り目頂部、及び複数の細長い後部折り目頂部を形成する、多数のプリーツの付いたエアー・フィルター材;該複数の細長い前部折り目頂部に塗布されて、複数の細長い導電性前部ストリップを形成する、第1の導電性コーティング;該複数の細長い後部折り目頂部に塗布されて、複数の細長い導電性後部ストリップを形成する、第2の導電性コーティング;該複数の細長い導電性前部ストリップと交差して伸びかつ電気的に接触している、細長い前部接触バー;該複数の細長い導電性後部ストリップと交差して伸びかつ電気的に接触している、細長い後部接触バー;及び上記多数のプリーツの付いたエアー・フィルター材の外周周りに取り付けられたフレーム;を含み、それにより電源を、前部接触バーと後部接触バーに連結させて、配置された電圧及びフィルター材を通じる電流を作出し、フィルター材に捕捉されることになる微生物の増殖を阻害し、滅菌し得る、エアー・フィルター・システム。【請求項2】フィルター材がポリプロピレン材料で作られている、請求項1に記載のエアー・フィルター・システム。【請求項3】複数の細長い導電性前部ストリップと交差して伸びかつ電気的に接触する、第2の細長い前部接触バー、及び該複数の細長い導電性後部ストリップと交差して伸びかつ接触する、第2の細長い後部接触バーを、さらに含む請求項1に記載のエアー・フィルター・システム。【請求項4】フレームに取り付けられ、前部接触バーと電気的に接触をしている前部電気接点部材を、さらに含む請求項1に記載のエアー・フィルター・システム。【請求項5】フレームに取り付けられ、後部接触バーと電気的に接触をしている後部電気接点部材を、さらに含む請求項4に記載のエアー・フィルター・システム。【請求項6】前部接触バーが柔軟な材料で出来ている、請求項1に記載のエアー・フィルター・システム。【請求項7】前部接触バーの柔軟な材料が、導電性カーボンフォーム又はフェルトである、請求項6に記載のエアー・フィルター・システム。【請求項8】後部接触バーが柔軟な材料で出来ている、請求項6に記載のエアー・フィルター・システム。【請求項9】前部接触バーの柔軟な材料及び後部接触バーの柔軟な材料が、導電性カーボンフォーム又はフェルトである、請求項8に記載のエアー・フィルター・システム。【請求項10】前記フレームが、外周壁、該外周壁から伸びる内向きの前部フランジ、及び該外周壁から伸びる内向きの後部フランジを含み、そしてここで前記前部電気接点部材が、上記フレームの前方で電気的に接触させるための前方表面及び該フレームの後側で上記前部接触バーと電気的に接触させるための後方表面を有し、そしてここで前記後部電気接点部材が、上記フレームの後方で電気的に接触させるための後方表面及び該フレームの前方で前記後部接触バーと電気的に接触させるための前方表面を有する、請求項1に記載のエアー・フィルター・システム。【請求項11】複数の細長い前部折り目頂部及び複数の細長い後部折り目頂部を形成する、多数のプリーツの付いたエアー・フィルター材;該複数の細長い前部折り目頂部に塗布されて、複数の細長い導電性前部ストリップを形成し、そして該複数の細長い後部折り目頂部に塗布されて、導電性後部ストリップを形成する導電性コーティング;該複数の細長い導電性前部ストリップと交差して伸びかつ電気的に接触する、細長い前部接触バー;該複数の細長い導電性後部ストリップと交差して伸びかつ接触する細長い後部接触バー;及び上記多数のプリーツの付いたエアー・フィルター材の外周周りに取り付けられるフレームであって、外周支持壁、少なくとも1つの該外周支持壁から伸びている前部フランジ、及び少なくとも1つの該外周支持壁から伸びている後部フランジを有する上記フレーム、該前部フランジに取り付けられ、該フレームの前方で電気的に接触させるための前方表面及び該フレームの後側で上記前部接触バーと電気的に接触させるための後方表面を有する前部接点、及び上記後部フランジに取り付けられ、上記フレームの後方で電気的に接触させるための後方表面と及び該フレームの前側で上記後部接触バーと電気的に接触させるための前方表面を有する後部接点;を含み、それにより電源を前部接触バーと後部接触バーに連結させて、配置された電圧とフィルター材を通じる電流を作出し、フィルター材に捕捉されることになる微生物の増殖を阻害し滅菌し得る、エアー・フィルター・システム。【請求項12】フィルター材がポリプロピレン材料で作られている、請求項11に記載のエアー・フィルター・システム。【請求項13】複数の細長い導電性前部ストリップと交差して伸びかつ電気的に接触する、第2の細長い前部接触バー、及び多数の細長い導電性後部ストリップと交差して伸びかつ接触する、第2の細長い後部接触バーを、さらに含む請求項11に記載のエアー・フィルター・システム。【請求項14】前部接触バーが、柔軟な材料で作られている、請求項11に記載のエアー・フィルター・システム。【請求項15】前部接触バーの柔軟な材料が、導電性カーボンフォーム又はフェルトである、請求項14に記載のエアー・フィルター・システム。【請求項16】後部接触バーが、柔軟な材料で出来ている、請求項14に記載のエアー・フィルター・システム。【請求項17】前部接触バーの柔軟な材料及び後部接触バーの柔軟な材料が、導電性カーボンフォーム又はフェルトである、請求項16に記載のエアー・フィルター・システム。
【請求項1】複数の細長い前部折り目頂部、及び複数の細長い後部折り目頂部を形成する、多数のプリーツの付いたエアー・フィルター材;該複数の細長い前部折り目頂部に塗布されて、複数の細長い導電性前部ストリップを形成する、第1の導電性コーティング;該複数の細長い後部折り目頂部に塗布されて、複数の細長い導電性後部ストリップを形成する、第2の導電性コーティング;該複数の細長い導電性前部ストリップと交差して伸びかつ電気的に接触している、細長い前部接触バー;該複数の細長い導電性後部ストリップと交差して伸びかつ電気的に接触している、細長い後部接触バー;及び上記多数のプリーツの付いたエアー・フィルター材の外周周りに取り付けられたフレーム;を含み、それにより電源を、前部接触バーと後部接触バーに連結させて、配置された電圧及びフィルター材を通じる電流を作出し、フィルター材に捕捉されることになる微生物の増殖を阻害し、滅菌し得る、エアー・フィルター・システム。【請求項11】複数の細長い前部折り目頂部及び複数の細長い後部折り目頂部を形成する、多数のプリーツの付いたエアー・フィルター材;該複数の細長い前部折り目頂部に塗布されて、複数の細長い導電性前部ストリップを形成し、そして該複数の細長い後部折り目頂部に塗布されて、導電性後部ストリップを形成する導電性コーティング;該複数の細長い導電性前部ストリップと交差して伸びかつ電気的に接触する、細長い前部接触バー;該複数の細長い導電性後部ストリップと交差して伸びかつ接触する細長い後部接触バー;及び上記多数のプリーツの付いたエアー・フィルター材の外周周りに取り付けられるフレームであって、外周支持壁、少なくとも1つの該外周支持壁から伸びている前部フランジ、及び少なくとも1つの該外周支持壁から伸びている後部フランジを有する上記フレーム、該前部フランジに取り付けられ、該フレームの前方で電気的に接触させるための前方表面及び該フレームの後側で上記前部接触バーと電気的に接触させるための後方表面を有する前部接点、及び上記後部フランジに取り付けられ、上記フレームの後方で電気的に接触させるための後方表面と及び該フレームの前側で上記後部接触バーと電気的に接触させるための前方表面を有する後部接点;を含み、それにより電源を前部接触バーと後部接触バーに連結させて、配置された電圧とフィルター材を通じる電流を作出し、フィルター材に捕捉されることになる微生物の増殖を阻害し滅菌し得る、エアー・フィルター・システム。
【技術分野】【0001】関連出願の参照本出願人は、2009年9月1日出願の米国仮出願No.61/238,776の利益を主張する。【0002】本発明は、独立して、又は、冷暖房空調システムとともに機能するエアー・フィルターに係る。
【背景技術】【0003】大部分の冷暖房空調(HVAC)システムの空気の戻りには、HVACシステムの蒸発器、又は、加熱器のコイルを塞ぐ、又は、覆う可能性のある、浮遊粉塵、及び、他の浮遊汚染物質を除去するために、エアー・フィルターが備えられている。これらのフィルターはまた、病気を引き起こす可能性がある微生物をも捕捉する。【0004】独立して、又は、スタンドアロン・ユニットとして機能する、エアー・フィルター又は清浄器は、空気から汚染物質を除去するためにも存在している。そのユニットはまた、微生物を含む汚染物質を捕獲するためにフィルター材を用いている。【0005】従って、環境内の微生物の増殖を阻害し得るフィルター・システムへの要求が存在することがわかる。従って、本発明が主として意図しているのは、このことの提供である。
null
【課題を解決するための手段】【0006】エアー・フィルター・システムは、複数の細長い前部折り目頂部、及び複数の細長い後部折り目頂部を形成する、多数のプリーツの付いたエアー・フィルター材;複数の細長い前部折り目頂部に塗布されて、複数の細長い導電性前部ストリップを形成する、第1の導電性コーティング;複数の細長い後部折り目頂部に塗布されて、複数の細長い導電性後部ストリップを形成する、第2の導電性コーティング;複数の細長い導電性前部ストリップと交差して伸びかつ接触している、細長い前部接触バー;複数の細長い導電性後部ストリップと交差して伸びかつ接触している、細長い後部接触バー;及び、多数のプリーツの付いたエアー・フィルター材の外周周りに取り付けられたフレームを含む。この構成で、電源を、前部接触バーと後部接触バーに連結させて、配置された電圧及びフィルター材を通じる電流を作出し、フィルター材に捕捉されることになる微生物の増殖を阻害し、滅菌し得る。
null
【発明を実施するための形態】【0008】図面を参照しながら、本発明の好ましい形態のエアー・フィルター・システム10を示す。エアー・フィルター・システム10は、フィルター・ハウジング11、エアー・フィルター材12、及びフィルター材12に電気的に連結される付帯電気回路13を含む。エアー・フィルター・システム10は、フィルター・システムがスタンドアロン・システムとして、又は、追加のHVACシステムとして使用されるかによって、エアー・ブロワー15を含んでも、又は、含まなくてもよい。【0009】ハウジング11は、上壁17、底壁18、及び2つの相対して配置された側壁19を含む支持壁の矩形フレーム又は配列を有する。フレームはまた、支持壁から内向に伸びている内側前部フランジ21、及び内側後部フランジ22をも含んでいる。【0010】フィルター材12は、0.1μ以上の空気中粒子を99.7%の効率で濾過するとされている、ShuennBaoShingCorporationofChangHwaHsien、Taiwan製のポリプロピレンのメルトブロー材などの1枚のフィルター材料23から構成されている。フィルター材料23は、一連の垂直方向のプリーツ24を形成するために折り曲げられるが、多数のプリーツの方向は重要ではない。フィルター材の前部側は、水平に並んだ垂直前部プリーツ頂部25を有し、一方、フィルター材の後部側は、水平に並んだ垂直後部プリーツ頂部26を有する。本明細書に使用されている様な用語、前(front)、及び後(rear)は、フィルターを通る空気流に対してフィルターの方向が、前部は後部の上流の位置であることを表わすように意図されている。フィルター材12は、プリーツの形状を保つための、従来のくし状部及びスタビライザを含んでよい。【0011】電気回路13の一部として、前部、及び、後部プリーツ頂部25と26は、導電性材料の前部垂直線、又はストリップ27及び後部垂直線、又はストリップ28を各頂部25と26に沿って形成するために、エアー・フィルター材料23に結合するカーボン系インクの様な、電気導電性インク、塗料、又は他の材料で処理、又は塗布される。【0012】電気回路13はまた、正の前部電気接点又は接点部材30、及び負の後部電気接点又は接点部材31をも含んでいて、それぞれはKunshanHanpinDianziCo.,Ltd.製のアルミニウム・ホイルの様な導電性ホイルで作り得る。前部電気接点30は、導電性インクのフィルター前部垂直線27に対して、水平に交差して配置されかつ電気的に接触する細長い前部電気接触バー33と電気的に接触をする後方表面30’を有する。同様に、後部電気接点31は、導電性インクのフィルター後部垂直線27に対して、水平に交差して配置されかつ電気的に接触をする細長い後部電気接触バー34と電気的に接触をする前方表面31’を有する。前部及び後部電気接触バー33と34は、Taiwan、TainanHsien、YungKang市にあるChao-YangElectricMfg.、Co.、LTD製の導電性フォームの様な、柔軟な、導電性カーボンフォーム又はフェルトで作り得る。前部電気接点30は、高圧直流電源36に電気的に連結され、一方後部電気接点31は、電流が前部接点30から後部接点31に流れる様にアースに連結される。電圧供給源36との連結を速やかに容易にするために、前部電気接点30は露出前側表面30”を有し、後部電気接点31は露出後側表面31”を有する。この様なものとして、フィルターは電源に連結され、フィルター装置と関連した付属装置上に取り付けられた、露出した対応電気接点と直接接触をするために置かれた、接点31と32とともに、所定の位置に取り付け得る。接点31と32と付属電気接点との間を確実に接触させるために、前部接点前方表面30’は、好ましくは前部フランジ21の前方表面から前方に突き出ている。同様に、後部接点後方表面30’は、後部フランジ22の後方表面から後方に突き出ていることが好ましい。【0013】使用するには、直流電源36は、好ましくは、電圧範囲が、2キロボルトから17キロボルト(好ましくは12キロボルト)で、電流範囲が1から50マイクロ・アンペア(好ましくは、40マイクロ・アンペア)の直流電源を供給する。正電流が前部接点30に加えられ、一方負電流が後部接点31に加えられる。この電流は、前部接点30及び後部接点31に送られ、これは次に電流を前部及び後部電気ストリップ33と34に送る。電流は次に、フィルター材プリーツのフィルター前部及び後部垂直線27と28に送られる。電流は、図5の矢印にて描かれている様に、フィルター材を通って流れる。このフィルター材を通る電流は、図6の垂直の線で表わされる静電場を創り出す。【0014】配置された(fielded)高電圧及びフィルター材を通じて流れる一定量の電流は、汚染された空気がフィルターを通過するときに、フィルター材に捕捉されることになる微生物の増殖を阻害し、滅菌する環境をもたらす。【0015】従って、微生物の増殖を阻害するエアー・フィルター・システムが、ここに提供されることがわかる。なお、具体的に挙げられているものに加えて、以下の請求項にて記述されている本発明の趣旨と範囲を逸脱することなく、本明細書に記述されている特定の好ましい実施態様に、多くの変更を加えることができることは当然のことと理解すべきである。
A
2012139650
2011000116
20110104
null
null
20120726
2012139650
20120726
null
null
null
null
null
null
null
ペットボトルのキャップ選別装置
592094519
ダイオーエンジニアリング株式会社
100142217
小笠原 宜紀
大西 誠人
null
8
B07C 5/342 (20060101), B07C 5/36 (20060101)
B07C 5/342 ,B07C 5/36
4
null
null
null
0
8
3F079
3F079 AD14 ,3F079 BA15 ,3F079 CA31 ,3F079 CA32 ,3F079 CB25 ,3F079 CB33 ,3F079 CB35 ,3F079 CC03 ,3F079 DA11 ,3F079 EA11
【課題】ペットボトルのキャップを材質及び色で高精度に自動選別できるペットボトルのキャップ選別装置を提供する。【解決手段】キャップを一列に整列させて順次供給するキャップ整列装置と、キャップを一列に整列させて搬送する選別部コンベア22と、キャップの材質を検出する材質検出器32と、キャップの色を検出するカラーセンサ42と、キャップの通過を検出する投光器52a~52c及び光電センサ53a~53cと、選別部コンベア22による搬送方向と交差する方向にエアーを噴射する噴射ノズル62a~62cが設けられており、材質検出器32及びカラーセンサ42、並びに、投光器52a~52c及び光電センサ53a~53cの検出結果に応じて、噴射ノズル62a~62cからエアーを噴射して材質検出器32及びカラーセンサ42の検出結果に対応する材質及び色のキャップを落下させる選別手段とを備える。【選択図】図3
【請求項1】ペットボトルのキャップを表又は裏が上に向くようにして一列に整列させて順次供給する供給手段と、前記供給手段により供給された前記キャップをそのまま一列に整列させて搬送する搬送手段と、前記キャップの材質を該キャップの横又は斜めから検出する材質検出手段と、前記キャップの色を該キャップの横又は斜めから検出する色検出手段と、前記キャップの通過を検出する通過検出手段と、前記搬送手段による搬送方向と交差する方向に横又は斜めからエアーを噴射する噴射ノズルが設けられており、前記材質検出手段及び前記色検出手段、並びに、前記通過検出手段の検出結果に応じて、前記噴射ノズルからエアーを噴射して前記材質検出手段及び前記色検出手段の検出結果に対応する材質及び色のキャップを落下させる選別手段と、前記選別手段により落下するキャップを材質及び色毎に回収する回収手段とを備えることを特徴とするペットボトルのキャップ選別装置。【請求項2】前記噴射ノズルは、最接近した前記キャップとノズル口との距離が最短化するように前記搬送手段に隣接して設けられていることを特徴とする請求項1に記載のペットボトルのキャップ選別装置。【請求項3】前記通過検出手段は、前記選別手段毎に、前記搬送手段の上流において前記噴射ノズルの近傍に設けられていることを特徴とする請求項1又は請求項2に記載のペットボトルのキャップ選別装置。【請求項4】前記供給手段,前記搬送手段,前記材質検出手段,前記色検出手段,前記通過検出手段,前記通過手段,及び前記選別手段は、夫々並列して2系統設けられており、前記選別手段において、2系統設けられた噴射ノズルの噴射方向を互いに向き合うようにしていることを特徴とする請求項1乃至請求項3のうち何れか1つに記載のペットボトルのキャップ選別装置。
【請求項1】ペットボトルのキャップを表又は裏が上に向くようにして一列に整列させて順次供給する供給手段と、前記供給手段により供給された前記キャップをそのまま一列に整列させて搬送する搬送手段と、前記キャップの材質を該キャップの横又は斜めから検出する材質検出手段と、前記キャップの色を該キャップの横又は斜めから検出する色検出手段と、前記キャップの通過を検出する通過検出手段と、前記搬送手段による搬送方向と交差する方向に横又は斜めからエアーを噴射する噴射ノズルが設けられており、前記材質検出手段及び前記色検出手段、並びに、前記通過検出手段の検出結果に応じて、前記噴射ノズルからエアーを噴射して前記材質検出手段及び前記色検出手段の検出結果に対応する材質及び色のキャップを落下させる選別手段と、前記選別手段により落下するキャップを材質及び色毎に回収する回収手段とを備えることを特徴とするペットボトルのキャップ選別装置。
【技術分野】【0001】本発明は、ペットボトルのキャップを材質及び色で高精度に自動選別できるようにしたペットボトルのキャップ選別装置に関する。
【背景技術】【0002】一般に、リサイクルの対象となる廃プラスチックの大きさや形状は、例えば、ペットボトルのボトル本体のように比較的大きく定形のものから、家電廃棄物等に含まれるプラスチック部品が破砕されたプラスチック片のように比較的小さく不定形のものまで多岐にわたっている。【0003】リサイクルを目的とする廃プラスチックの選別装置として、従来、近赤外線の吸収スペクトルを利用した技術が知られている(例えば、特許文献1を参照。)。この廃プラスチックの選別装置は、(1)ボトル類のキャップ等の小さいもの、(2)トレイ、ビニール及びフィルム等の軽いもの、(3)ボトル類等の重いもの、の3種類に大分別する前処理をした後、ボトル類等の重い廃プラスチックをベルトコンベアにより搬送しつつ近赤外線を照射し、その反射光や透過光を検出し、その検出結果に応じてベルトコンベア上の廃プラスチックをエアー噴射等により所定の排出経路に排出させる形態が採用されている。【0004】この廃プラスチックの選別装置によれば、(3)ボトル類等の重い廃プラスチックに対して、プラスチックの材質を高精度に選別することができる。
【発明が解決しようとする課題】【0006】しかしながら、従来の廃プラスチックの選別装置は、(1)ボトル類のキャップ等の小さいものに対しては、振動スクリーンコンベアで篩い落として除外するという形態になっており、小さいサイズの廃プラスチック、例えばペットボトルのキャップを材質で高精度に選別することはできなかった。【0007】このため、これまで回収されたペットボトルのキャップは材質や色を選別しないで混合したままの状態でリサイクルをせざるを得ず、再生品の品質面、特に耐久性に問題があった。【0008】そこで、本発明が解決しようとする課題は、ペットボトルのキャップを材質及び色で高精度に自動選別できるペットボトルのキャップ選別装置を提供することである。
【課題を解決するための手段】【0009】この発明は、前記課題を解決するためになされたもので、請求項1の発明に係るペットボトルのキャップ選別装置は、ペットボトルのキャップを表又は裏が上に向くようにして一列に整列させて順次供給する供給手段と、前記供給手段により供給された前記キャップをそのまま一列に整列させて搬送する搬送手段と、前記キャップの材質を該キャップの横又は斜めから検出する材質検出手段と、前記キャップの色を該キャップの横又は斜めから検出する色検出手段と、前記キャップの通過を検出する通過検出手段と、前記搬送手段による搬送方向と交差する方向に横又は斜めからエアーを噴射する噴射ノズルが設けられており、前記材質検出手段及び前記色検出手段、並びに、前記通過検出手段の検出結果に応じて、前記噴射ノズルからエアーを噴射して前記材質検出手段及び前記色検出手段の検出結果に対応する材質及び色のキャップを落下させる選別手段と、前記選別手段により落下するキャップを材質及び色毎に回収する回収手段とを備えることを特徴としている。【0010】請求項1に記載のペットボトルのキャップ選別装置によれば、ペットボトルのキャップは、表又は裏が上に向くようにして一列に整列されて順次供給され、そのまま一列に整列されて搬送されるので、キャップライナーの材質や色を検出することなく、キャップの外部側面の材質や色を検出することができるので、キャップの材質や色を高精度に検出することができる。【0011】また、請求項1に記載のペットボトルのキャップ選別装置によれば、キャップの材質を該キャップの横又は斜めから検出する材質検出手段と、キャップの色を該キャップの横又は斜めから検出する色検出手段が設けられているので、従来のプラスチックの材質による選別に加えて、色についても選別することができる。【0012】さらに、請求項1に記載のペットボトルのキャップ選別装置によれば、選別手段の上流にキャップの通過を検出する通過検出手段を設け、その検出タイミングを基準として選別手段においてエアー噴射を行うので、キャップ位置にずれが生じても、エアー噴射のタイミングを正確に合わせることができ、エアー噴射による安定した選別が可能となる。【0013】請求項2の発明に係るペットボトルのキャップ選別装置は、請求項1に記載の発明において、前記噴射ノズルは、最接近した前記キャップとノズル口との距離が最短化するように前記搬送手段に隣接して設けられていることを特徴としている。【0014】請求項2に記載のペットボトルのキャップ選別装置によれば、請求項1の効果に加えて、キャップと噴射ノズル口との距離が近く、目的の対象物をピンポイントにエアー噴射することができ、目的外の対象物がエアー噴射されることがないので、キャップをより高精度に選別することができる。【0015】請求項3の発明に係るペットボトルのキャップ選別装置は、請求項1又は請求項2に記載の発明において、前記通過検出手段は、前記選別手段毎に、前記搬送手段の上流において前記噴射ノズルの近傍に設けられていることを特徴としている。【0016】請求項3に記載のペットボトルのキャップ選別装置によれば、請求項1又は請求項2の効果に加えて、通過検出手段が搬送手段の上流に選別手段毎に設けられており、通過検出手段毎に検出タイミングの基準が調節されるので、通過検出手段の上流でキャップ位置にずれが生じても、エアー噴射のタイミングを正確に合わせることができ、エアー噴射によるより安定した選別が可能となる。【0017】請求項4の発明に係るペットボトルのキャップ選別装置は、請求項1乃至請求項3のうち何れか1つに記載の発明において、前記供給手段,前記搬送手段,前記材質検出手段,前記色検出手段,前記通過検出手段,前記通過手段,及び前記選別手段は、夫々並列して2系統設けられており、前記選別手段において、2系統設けられた噴射ノズルの噴射方向を互いに向き合うようにしていることを特徴としている。【0018】請求項4に記載のペットボトルのキャップ選別装置によれば、請求項1乃至請求項3のうち何れか1つの効果に加えて、各種手段が2系統設けられており、選別手段において、2系統設けられた噴射ノズルの噴射方向を互いに向き合うようにしているので、落下するキャップは並列する2つの搬送手段の間に夫々落下するため、同一種別であれば回収手段を共有でき、種別毎に1つの回収手段を設ければ足りる。
【発明の効果】【0019】本発明によれば、ペットボトルのキャップを材質及び色で高精度に自動選別できるペットボトルのキャップ選別装置を提供することができる。
【発明を実施するための形態】【0021】以下、本発明を適用した具体的な実施の形態について、図面を参照しながら詳細に説明する。【0022】1.ペットボトルのキャップ選別装置1の構成本発明は、例えば図1及び図2に示すような構成のペットボトルのキャップ選別装置1に適用される。ここで、図1は、同選別装置1の平面図であり、図2は、同選別装置1の正面図である。【0023】このペットボトルのキャップ選別装置1は、ペットボトルのキャップCを表又は裏が上に向くようにして一列に整列させて順次供給する供給手段11(例えば、図1及び図2のキャップ整列装置12)と、供給手段11により供給されたキャップCをそのまま一列に整列させて搬送する搬送手段21(例えば、図1及び図2の選別部コンベア22)とを主要部として備えている。【0024】また、同選別装置1は、キャップCの材質をキャップCの横又は斜めから検出する材質検出手段31(例えば、図3の材質検出器32)と、キャップCの色をキャップCの横又は斜めから検出する色検出手段41(例えば、図3のカラーセンサ42)と、キャップCの通過を検出する通過検出手段51(例えば、図3の投光器52,光電センサ53)とを主要部として備えている。【0025】さらに、同選別装置1は、搬送手段21による搬送方向と交差する方向に横又は斜めからエアーを噴射する噴射ノズル62が設けられており、材質検出手段31及び色検出手段41、並びに、通過検出手段51の検出結果に応じて、噴射ノズル62からエアーを噴射して材質検出手段31及び色検出手段41の検出結果に対応する材質及び色のキャップCを落下させる選別手段61(例えば、図3の材質検出器32,カラーセンサ42,投光器52,光電センサ53,噴射ノズル62,判別装置63,電磁弁64,エアーコンプレッサー65)と、選別手段61により落下するキャップCを材質及び色毎に回収する回収手段71(例えば、図3の回収ホッパー72)とを主要部として備えている。【0026】供給手段11の前段に、投入ホッパー2及び篩機4が設けられており、ここにキャップCが投入されると、キャップCは、リフトコンベア3により装置最上部の篩機4に供給され、篩機4でゴミ等の不要物が篩い落とされた後、供給手段11に供給される。投入ホッパー2以降は、図1に示すように、2系統分、各種手段が設けられており、回収手段71及びその後段の粉砕機73は各系統で共有されるようになっている。【0027】供給手段11は、キャップ整列装置12で構成されており、キャップCを表又は裏が上に向くようにして、キャップC同士を重畳させることなく、一列に整列させて搬送手段21に対して順次供給する。【0028】搬送手段21は、選別部コンベア22で構成されており、供給手段11から遠ざかる向きに搬送するようになっている。選別部コンベア22の幅は、キャップC1つ分強のサイズで、選別部コンベア22の上で、キャップCの一列が保持されるようになっている。【0029】材質検出手段31は、投光器及び近赤外線センサが組み込まれた材質検出器32で構成されている。投光器は、キャップCに向かって近赤外線を照射する機能を有する、例えばハロゲンタングステンランプが設けられている。材質検出器32は、選別部コンベア22の最上流に位置し、キャップCの材質をキャップCの横又は斜めから検出できるように設けられている。材質検出器32は、2系統設けらており、センシング方向が互いに向き合うように設置されている。材質検出器32は、キャップCからの近赤外線の反射光を受光し、受光結果をコンピュータ等の判別装置63に出力する。【0030】判別装置63は、入力された受光結果を既知のプラスチックの近赤外線スペクトルと対比されることにより材質が検出(判別)され、その判別結果に基づいて後述の選別手段61におけるエアー噴射が制御される。【0031】具体的な検出手法は限定されるものではないが、例えば反射光から吸光ピークを求め、その吸光ピークの位置(波長)に応じて、1660~1669nm:ポリエチレンテレフタレート(PET)、1677~1698nm:ポリスチレン(PS)、1710~1726nm及び1726~1735nm:ポリプロピレン(PP)、1716~1729nm及び1746~1754nm:ポリ塩化ビニール(PVC)、1710~1735nm:ポリエチレン(PE)といった判別が可能である。【0032】色検出手段41は、カラーセンサ42で構成されている。カラーセンサ42は、測定した色をカラーフィルタによってRGB成分に分解し、夫々の色成分の強度をフォトダイオードで検知する仕組みである。カラーセンサ42は、近赤外線センサ33の1つ下流に位置し、キャップCの色をキャップCの横又は斜めから検出できるように、2系統設けられたカラーセンサ42のセンシング方向が互いに向き合うように設けられている。カラーセンサ42は、キャップCからの反射光を受光し、受光結果をコンピュータ等の判別装置63に出力する。【0033】通過検出手段51は、投光器52と光電センサ53とで構成されており、選別部コンベア22を挟んで、一方側に投光器52が配置され、他方側に光電センサ53が配置されている。通過検出手段51は、色検出手段41よりも下流であって、噴射ノズル62の上流近傍に配置され、噴射ノズル62とセットで設けられている。なお、通過検出手段51は、必ずしも、噴射ノズル62とセットでなくてもよい。例えば、色検出手段41よりも下流であって、一番上流にある噴射ノズル62の上流近傍に少なくとも1つ設けてあればよい。【0034】光電センサ53は、投光器52から常時発せられる光を受光しており、キャップCによってその光が遮られると、コンピュータ等の判別装置63にキャップCの検出タイミングを出力する。【0035】選別手段61は、材質検出器32と、カラーセンサ42と、投光器52と、光電センサ53と、噴射ノズル62、判別装置63と、電磁弁64と、エアーコンプレッサー65とで構成されている。噴射ノズル62は、例えば、選別部コンベア22の上流から下流に向けて、白色のポリエチレン(PE)を選別する噴射ノズル62a、有色のポリエチレン(PE)を選別する噴射ノズル62b、白色のポリプロピレン(PP)を選別する噴射ノズル62c、有色のポリプロピレン(PP)を選別する噴射ノズル62dの順で配置する。【0036】噴射ノズル62は、判別装置63に接続された電磁弁64を介して、エアーコンプレッサー65に接続されており、判別装置63の制御によって電磁弁64が開かれると、ノズル口からエアーが噴射され、判別装置63の制御によって電磁弁64が閉じられると、エアーの噴射が止まるようになっている。噴射ノズル62は、最接近したキャップCとノズル口との距離が最短化するように、選別部コンベア22に隣接して設けられている。【0037】回収手段71は、回収ホッパー72で構成されている。回収ホッパー72は、2系統ある選別部コンベア22の間に選別される種類毎に設けられており、落下するキャップCを回収できるように構成されている。【0038】回収ホッパー72で回収されたキャップCは、その後段の粉砕機73によって、細かく粉砕されて、プラスチック片Pが生成される。【0039】2.ペットボトルのキャップ選別装置1の動作ここでは、同選別装置1の動作について説明する。なお、「1.ペットボトルのキャップ選別装置1の構成」の項目の説明と重複する部分については説明を省略する。【0040】選別部コンベア22は、一列に整列した状態のキャップCを下流に搬送する。先ず、材質検出器32は、投光器から発せられた近赤外線のキャップCによる反射光を受光し、受光結果をコンピュータ等の判別装置63に出力する。判別装置63は、材質検出器32から入力された受光結果の吸光ピークの位置(波長)から、キャップCの材質を、ポリエチレン(PE)、ポリプロピレン(PP)、それ以外に大別する。【0041】次に、カラーセンサ42は、キャップCからの反射光を受光し、受光結果をコンピュータ等の判別装置63に出力する。判別装置63は、カラーセンサ42から入力された受光結果のRGBの色成分の夫々の強度から、キャップCの色を白色か有色かに大別する。【0042】この時点で、キャップCの材質と色が判明しているので、判別装置63は、光電センサ53a,b,c,dのうち、キャップCの材質と色に対応する光電センサ53からの検出タイミングの入力を待ち、実際に入力がされた時、その検出タイミングを基準として、選別部コンベア22の流速及び噴射ノズル62のノズル口位置と光電センサ53のセンシング位置との距離から計算される、キャップCが噴射ノズル62のノズル口位置に到達する時間の経過後に、電磁弁64を開いて、所定時間経過後に閉じるように電磁弁64を制御する。【0043】そして、キャップCの材質と色に対応する噴射ノズル62からエアーが噴射され、エアーが噴射されたキャップCは、回収ホッパー72の中に落下する。キャップCは、この工程を2系統で繰り返すことにより、材質及び色で高精度に自動選別される。【0044】なお、本発明は前述した実施の形態のみに限定されるものではなく、本発明の要旨を逸脱しない範囲において種々の変更が可能であることは勿論である。
A
2012139651
2011000142
20110104
null
null
20120726
2012139651
20120726
null
null
null
null
null
null
null
フィルタエレメント
000241500
トヨタ紡織株式会社
100068755
恩田 博宣
竹内 和昭
null
8
B01D 46/52 (20060101), B29C 53/24 (20060101),//B01D 39/16 (20060101), B29L 16/00 (20060101), B29L 31/14 (20060101)
B01D 46/52 A ,B29C 53/24 ,B01D 39/16 A ,B29L 16:00 ,B29L 31:14
5
null
null
null
0
7
4D019,4D058,4F209
4D019 AA01 ,4D019 BA13 ,4D019 BB03 ,4D019 CA02 ,4D019 CB04 ,4D019 CB06,4D058 JA14 ,4D058 JB14 ,4D058 JB25 ,4D058 KA08 ,4D058 SA07,4F209 AC03 ,4F209 AG04 ,4F209 AH03 ,4F209 NA08 ,4F209 NB01 ,4F209 NG02 ,4F209 NK07
【課題】簡易な構成で、濾過性能を損なうことなく、負圧による濾材シートの変形を抑えて濾材シート間の密着を抑制することができるフィルタエレメントを提供する。【解決手段】フィルタエレメント10は、濾材シート11の長手方向と直交する方向に延びるように山折り部12と谷折り部13が山折りの折り線14aと谷折りの折り線14bにより交互に形成されて襞状に構成されている。そして、エアが谷折り部13側から山折り部12側へ通過するようになっている。山折り部12には折り筋16により突起17が平面菱形状に形成され、該突起17は隣り合う山折り部12に互いに対向するように形成されている。この突起17の前後には折り目18によって突起17を保持する保持部分19が形成されている。該保持部分19の少なくとも先端部19aにおける折り目18が加熱プレス加工により形成されている。【選択図】図1
【請求項1】濾材シートの長手方向と直交する方向に延びるように山折り部と谷折り部を交互に形成して襞状に構成するとともに、エアが谷折り部側から山折り部側へ通過するように構成したフィルタエレメントであって、前記山折り部には折り筋により突起を形成するとともに、該突起の前後には折り目によって突起を保持する保持部分を形成し、該保持部分の少なくとも先端部における折り目を加熱プレス加工により形成したことを特徴とするフィルタエレメント。【請求項2】前記突起は、隣り合う山折り部に互いに対向するように形成されていることを特徴とする請求項1に記載のフィルタエレメント。【請求項3】前記突起は、平面菱形状に形成されていることを特徴とする請求項1又は請求項2に記載のフィルタエレメント。【請求項4】前記保持部分の先端における折り目は平面V字状に形成され、そのV字状をなす折り目が加熱プレス加工により形成されていることを特徴とする請求項1から請求項3のいずれか1項に記載のフィルタエレメント。【請求項5】前記加熱プレス加工は、保持部分の先端に折り目をつけると同時に行われることを特徴とする請求項4に記載のフィルタエレメント。
【請求項1】濾材シートの長手方向と直交する方向に延びるように山折り部と谷折り部を交互に形成して襞状に構成するとともに、エアが谷折り部側から山折り部側へ通過するように構成したフィルタエレメントであって、前記山折り部には折り筋により突起を形成するとともに、該突起の前後には折り目によって突起を保持する保持部分を形成し、該保持部分の少なくとも先端部における折り目を加熱プレス加工により形成したことを特徴とするフィルタエレメント。
【技術分野】【0001】本発明は、例えば自動車のエンジンに外気を導入するための空気導入路に配置されるエアフィルタに用いられ、不織布を折曲げて多数の襞を形成したフィルタエレメントに関する。
【背景技術】【0002】一般に、この種のフィルタエレメントにおいては、濾材シートに折り線により山折り部と谷折り部が交互に配列されて襞状に形成されている。このフィルタエレメントでは、エアフィルタの使用時にエンジンやファンの負圧によって濾材シートが撓み変形し、濾材シートの対向部分が密着して濾過機能が低下するおそれがある。そのような事態を回避するために、濾材シートの対向部分にコルゲート(突起)を設けることがある。そして、それらのコルゲート同士が当たることで、濾材シートの密着が防止されている。【0003】このような濾材シートの密着を防止するフィルタエレメントとして、例えば特許文献1の襞折りフィルタエレメントが知られている。すなわち、該襞折りフィルタエレメントは、1枚の濾紙に山襞形成用折筋を形成し、該山襞形成用折筋上にて互いに交叉して菱形をなすとともに斜め方向に延びる谷襞形成用折筋を形成し、これらの折筋により山襞及び谷襞が形成される。さらに、谷襞形成用折筋の交点間に菱形をなす折返し襞形成用折筋及び該折返し襞形成用折筋の中央部に折込み襞形成用折筋を形成し、折返し襞形成用折筋を折目としてエレメントを折返して折返し角部が形成され、折込み襞形成用折筋を折目として折込み襞部が形成され、全体として波状の折返し襞折り構造となっている。【0004】このように、フィルタエレメントの襞折構造に改良を加えることにより、濾過面積を拡大させることができるとともに、保形性に優れ、変形を生じ難くすることができる。
【発明が解決しようとする課題】【0006】しかしながら、特許文献1に記載されている従来構成では、山襞形成用折筋と谷襞形成用折筋により山襞と谷襞を形成し、折返し襞形成用折筋と折込み襞形成用折筋により折返し角部と折込み襞部を形成しなければならず、全体として構成が複雑である。しかも、濾過面が複数の面で構成されてそれらの濾過面のうちの一部にはエアが当たるようには構成されていないことから、濾過面全体が濾過に利用されず濾過性能が低下する。【0007】そこで、本発明の目的とするところは、簡易な構成で、濾過性能を損なうことなく、負圧による濾材シートの変形を抑えて濾材シート間の密着を抑制することができるフィルタエレメントを提供することにある。
【課題を解決するための手段】【0008】上記目的を達成するために、請求項1に記載の発明のフィルタエレメントは、濾材シートの長手方向と直交する方向に延びるように山折り部と谷折り部を交互に形成して襞状に構成するとともに、エアが谷折り部側から山折り部側へ通過するように構成したフィルタエレメントであって、前記山折り部には折り筋により突起を形成するとともに、該突起の前後には折り目によって突起を保持する保持部分を形成し、該保持部分の少なくとも先端部における折り目を加熱プレス加工により形成したことを特徴とする。【0009】請求項2に記載の発明のフィルタエレメントは、請求項1に係る発明において、前記突起は、隣り合う山折り部に互いに対向するように形成されていることを特徴とする。請求項3に記載の発明のフィルタエレメントは、請求項2に係る発明において、前記突起は、平面菱形状に形成されていることを特徴とする。【0010】請求項4に記載の発明のフィルタエレメントは、請求項1から請求項3のいずれか1項に係る発明において、前記保持部分の先端における折り目は平面V字状に形成され、そのV字状をなす折り目が加熱プレス加工により形成されていることを特徴とする。【0011】請求項5に記載の発明のフィルタエレメントは、請求項4に係る発明において、前記加熱プレス加工は、保持部分の先端に折り目をつけると同時に行われることを特徴とする。
【発明の効果】【0012】本発明によれば、次のような効果を発揮することができる。本発明のフィルタエレメントでは、山折り部には折り筋により突起が形成されるとともに、該突起の前後には折り目によって突起を保持する保持部分が形成され、該保持部分の少なくとも先端部における折り目が加熱プレス加工により形成されている。このため、例えばエンジンの負圧による濾材シートの変形を抑え、濾材シート間の密着を抑制する構成は、山折り部の突起と該突起を保持する保持部分とで簡単に形成されている。また、フィルタエレメントが負圧を受けて濾材シート間が接近したときには、濾材シートが山折り部の突起に当たり、濾材シートの密着が規制され、濾過面積を確保することができる。加えて、突起は保持部分で保持されていることから、その初期形状が十分に維持される。【0013】従って、本発明のフィルタエレメントによれば、簡易な構成で、濾過性能を損なうことなく、負圧による濾材シートの変形を抑えて濾材シート間の密着を容易に抑制することができる。
【発明を実施するための形態】【0015】以下、本発明を具体化した実施形態を図1~図5に基づいて詳細に説明する。図1~図3に示すように、フィルタエレメント10は、濾材シート11の長手方向(図3の左右方向)と直交する方向に延びるように山折り部12と谷折り部13とが山折りの折り線14aと谷折りの折り線14bにより交互に形成されて襞状に構成されている。そして、図2の矢印に示すように、エア15は谷折り部13側から山折り部12側へ通過するようになっている。このフィルタエレメント10は不織布により形成され、該不織布はポリプロピレン樹脂(PP)、ポリエチレンテレフタレート樹脂(PET)等の熱可塑性樹脂によって形成されている。【0016】該フィルタエレメント10の襞延長方向の両端部にはそれぞれ図示しない端板が固定され、エアフィルタのケース内に支持されるようになっている。なお、実施形態の図面においては、フィルタエレメント10の山折り部12と谷折り部13の数は実際よりも少なく描かれている。【0017】前記山折り部12の頂部には、折り筋16の形成により平面菱形状をなす突起17が形成されている。この突起17は、隣り合う山折り部12間で互いに対向するように形成され、フィルタエレメント10にエンジンやファンの負圧が作用して濾材シート11が変形しようとしたとき突起17同士が当たるように構成されている。【0018】図5の二点鎖線に示すように、濾材シート11の長手方向における突起17の襞列方向の両側には、折り目18によって突起17を保持する保持部分19が平面三角形状に形成されている。該保持部分19は、二等辺の斜め折り目18aとそれらの中央部で山折り部12の折り線14aの直角方向に延びる中間折り目18bとにより形成されている。この保持部分19の先端部19aを形成する折り目18は、加熱プレス加工により塑性変形されて形成されている。この加熱プレス加工は、加熱状態で保持部分19の先端部19aに折り目18をつけると同時に行われる。【0019】続いて、フィルタエレメント10の製作方法について説明する。図4に示すように、濾材シート11の展開状態で、山折りの折り線14aと谷折りの折り線14bを濾材シート11の長手方向と直交する方向に一定間隔をおいて交互に形成する。次いで、山折り部12となる折り線14a上に折り筋16を平面菱形状に付けて突起17を形成するとともに、その突起17の前後に連なるように2本の斜め折り目18aとそれらの中央に中間折り目18bを形成する。このとき、図5の二点鎖線に示す保持部分19の先端部19aにおける折り目18には、前記折り目18をつけると同時に加熱プレス加工を施す。このようにして、図1に示すようなフィルタエレメント10が得られる。【0020】次に、上記のように構成されたフィルタエレメント10の作用について説明する。さて、図2に示すように、フィルタエレメント10を備えたエアフィルタの使用時には、エンジンやファンの負圧が作用して図中の矢印に示すような谷折り部13側から山折り部12側へのエア15の流れが生じ、エア15はフィルタエレメント10を通過する際に塵埃等が濾過され、清浄化される。この際、フィルタエレメント10の下流側に強い負圧が作用すると、エア15の圧力によって濾材シート11が下流側へ膨らみ、隣接する山折り部12間の間隔が狭くなり、濾材シート11間が密着しようとする。濾材シート11が密着すると、エア15の通過面積が減少し、圧力損失の急激な上昇を招く。【0021】しかしながら、このとき、隣接する山折り部12の頂部には折り筋16により突起17が互いに対向するように形成されている。そのため、対向する濾材シート11が近づくと、図2中の二点鎖線に示すように対向する突起17同士が当たって濾材シート11の動きが止められる。従って、濾材シート11はそれ以上の変形が規制され、濾材シート11間の密着が回避される。その結果、エア15の通過面積が十分に確保されるとともに、圧力損失の上昇が抑制される。【0022】以上の実施形態により発揮される効果について以下にまとめて説明する。(1)本実施形態におけるフィルタエレメント10では、山折り部12には突起17が形成されるとともに、該突起17の前後には保持部分19が形成され、該保持部分19の先端部19aにおける折り目18が加熱プレス加工により形成されている。このため、エンジンの負圧によるフィルタエレメント10の変形を抑え、濾材シート11間の密着を抑制する構成が、山折り部12の突起17と保持部分19とで簡単に形成されている。また、フィルタエレメント10が負圧を受けて濾材シート11間が接近したときには、山折り部12の突起17によって濾材シート11の密着が規制される。加えて、突起17は保持部分19で保持されていることから、その初期形状が十分に維持される。【0023】従って、本実施形態のフィルタエレメント10によれば、簡易な構成で、濾過性能を損なうことなく、負圧による濾材シート11の変形を抑えて濾材シート11間の密着を抑制することができる。その結果、濾材シート11の裂けや破れを防止して、フィルタエレメント10の寿命を延長させることができる。(2)前記突起17は、隣り合う山折り部12に互いに対向するように形成されている。このため、フィルタエレメント10にエンジンやファンの負圧が作用して対向する濾材シート11が密着する方向に変形したとき、対向する突起17同士が当たって濾材シート11の密着を有効に抑制することができる。(3)前記突起17は平面菱形状に形成されることにより、突起17の高さを確保して突起17の機能を十分に発揮することができる。(4)前記保持部分19の先端部19aにおける折り目18は平面横V字状に形成され、その横V字状をなす折り目18が加熱を伴うプレス加工により形成されている。このため、保持部分19の先端部19aにおける折り目18が強制的に明瞭に形成され、濾材シート11が剛性の高い材料で形成されていても突起17を容易に形成することができるとともに、突起17の形状を良好に保持することができる。(5)前記加熱プレス加工は、保持部分19の先端部19aに折り目18をつけると同時に行われることにより、折り目18をつける作業工程を簡略化することができ、フィルタエレメント10を効率良く製作することができる。しかも、加熱プレス加工は保持部分19の先端部19aのみであることから、濾材シート11の目詰まりを抑制することができる。(6)前記濾材シート11は、突起17及び保持部分19以外の部分が一定の傾斜角度で傾斜配置されていることから、エア15が濾材シート11に均一に当たるとともに、十分な濾過面積を確保でき、良好な濾過性能を維持することができる。【0024】なお、前記各実施形態を次のように変更して実施することも可能である。・図6に示すように、前記突起17を、隣接する山折り部12間で互いに対向するように各一対ずつ又は複数対ずつ設けるとともに、これらの突起17を千鳥状に配置することができる。なお、1つの山折り部12における突起17の数は、フィルタエレメント10の大きさや目的に応じて適宜設定することができる。【0025】・図7に示すように、前記突起17を、平面円弧状に形成することもできる。この場合、突起17同士が当たるときの当接性を高めることができる。・図8に示すように、前記突起17を、平面六角形状に形成することもできる。この場合には、突起17に当接面17aが形成され、対向する突起17の当接面17a同士が当たり、突起17同士を安定した状態で当接させることができる。なお、この場合には、中間折り目18bが2本形成される。【0026】・図9に示すように、前記保持部分19の先端部19aにおける折り目18を平面円弧状に形成することも可能である。・前記突起17及び保持部分19を谷折り部13にも、前述した山折り部12の突起17及び保持部分19と同様に設けることができる。この場合、山折り部12の形状と谷折り部13の形状のバランスを保つことができ、フィルタエレメント10全体の形状を良好に形成することができる。【0027】・前記突起17は、隣接する山折り部12において対向配置されることなく、一方の山折り部12のみに形成することも可能である。・前記保持部分19の折り目18の全体を、加熱プレス加工により塑性変形させて形成することも可能である。
A
2012139652
2011000201
20110104
null
null
20120726
2012139652
20120726
null
null
null
null
null
null
null
ワイヤソースラッジからのグリコール除去方法
302006854
株式会社SUMCO
100094215
安倍 逸郎
泉 龍典,森田 悦郎,福田 泰夫,遠藤 光弘,沖田 憲治,溝内 重和
null
8
C02F 11/12 (20060101), C01B 33/02 (20060101), C02F 11/00 (20060101)
C02F 11/12 ZABC ,C01B 33/02 Z ,C02F 11/00 C
3
null
null
null
0
12
4D059,4G072
4D059 AA30 ,4D059 BE14 ,4D059 BE15 ,4D059 BF15 ,4D059 BJ00 ,4D059 BJ14 ,4D059 CC10 ,4D059 DA70 ,4D059 EB01,4G072 AA01 ,4G072 BB05 ,4G072 GG01 ,4G072 GG03 ,4G072 HH38 ,4G072 JJ47 ,4G072 MM03 ,4G072 MM22 ,4G072 RR12 ,4G072 UU30
【課題】シリコンスラッジ中の固形分に含まれるグリコールの低濃度化が容易かつ安価に図れ、製鋼用の成分調整用添加剤原料として再利用が可能なワイヤソースラッジからのグリコール除去方法を提供する。【解決手段】シリコンスラッジを、固形分のグリコール濃度が3重量%以下となる量の純水に分散させて希釈するので、固形分に含まれるグリコールの低濃度化が容易かつ安価に図れる。その後、シリコンスラッジを固液分離し、グリコールが除去された固形分を回収する。これにより、固形分が製鋼用の成分調整用添加剤原料として再利用ができる。【選択図】図1
【請求項1】シリコンインゴットのスライスを行うワイヤソーから排出されたグリコールを含むシリコンスラッジを、該シリコンスラッジ中の固形分のグリコール濃度が3重量%以下となる量の純水に分散させて希釈することで溶媒とし、その後、該溶媒を減圧状態で固液分離することで、前記シリコンスラッジの固形分を回収するワイヤソースラッジからのグリコール除去方法。【請求項2】前記ワイヤソーから排出された前記シリコンスラッジのグリコール濃度を35~40重量%とし、前記シリコンスラッジの純水による希釈倍率を15~25倍とした請求項1に記載のワイヤソースラッジからのグリコール除去方法。【請求項3】前記純水への前記シリコンスラッジの分散は、クロスフローろ過器の内部空間に収納された平板形状のろ過材の表面と平行に前記シリコンスラッジを含む純水が供給される際に発生する撹拌作用によって行われる請求項1または請求項2に記載のワイヤソースラッジからのグリコール除去方法。
【請求項1】シリコンインゴットのスライスを行うワイヤソーから排出されたグリコールを含むシリコンスラッジを、該シリコンスラッジ中の固形分のグリコール濃度が3重量%以下となる量の純水に分散させて希釈することで溶媒とし、その後、該溶媒を減圧状態で固液分離することで、前記シリコンスラッジの固形分を回収するワイヤソースラッジからのグリコール除去方法。
【技術分野】【0001】この発明は、ワイヤソースラッジからのグリコール除去方法、詳しくはワイヤソーを用いたシリコンインゴットのスライス時に発生するシリコンスラッジ中からグリコールを除去するワイヤソースラッジからのグリコール除去方法に関する。
【背景技術】【0002】ワイヤソーを用いてシリコンインゴットをスライスする際には、複数本のグルーブローラ間で走行中のワイヤ列に、例えば遊離砥粒およびグリコールを含む水溶性スラリーを供給しながら、シリコンインゴットを押し当てる。これにより、シリコンインゴットから多数のシリコンウェーハが切り出される。スライス中には、ワイヤソーから使用済みスラリーが排出される。使用済みスラリーは、スラリー回収タンクにいったん貯留後、スラリーポンプによりワイヤ列の上に循環供給される。スライス後の使用済みスラリーはスラリー回収タンクから回収され、遊離砥粒を遠心分離し、得られたシリコン(Si)粉、グリコール、遠心分離不能な遊離砥粒を含むシリコンスラッジに対して洗浄、乾燥などの後処理が順次施される。こうして回収されたシリコン粉、遠心分離不能な遊離砥粒の微粒子を含む固形分は、例えば製鋼用の成分調整用添加剤原料として再利用できる。【0003】しかしながら、水溶性スラリーを使用してシリコンインゴットをスライスした場合、シリコンスラッジ中には、有機化合物のグリコールが多量に含まれていた。そのため、これをそのまま炉内に投入すれば、一般的に0.3~2.0重量%とされる炭素鋼の炭素含有量が過剰となり、不良品が発生するおそれがあった。そこで、これを解消する従来技術として、例えば特許文献1に開示されたグリコール除去方法が知られている。特許文献1の方法は、シリコンスラッジを炉内に投入し、あらかじめその液分を蒸発させてから固形分を1050℃~1450℃の温度で焼成し、このときの焼成熱でグリコールを熱分解するものである。
【発明が解決しようとする課題】【0005】しかしながら、特許文献1に開示されたシリコンスラッジの焼成時にグリコールを焼失させる方法では、グリコールの熱分解に伴い二酸化炭素と水とが生成する。このうち、炭素酸化物である二酸化炭素を完全にガス化して除去することは、1400℃を超える焼成熱を加えても困難であった。その結果、シリコンスラッジの固形分には、炭酸が表面に付着するなどして炭素の含有量が増加し、これが固形分を炭素鋼の珪素濃度の調整材料として再利用したとき、製鋼後の炭素鋼の炭素含有量が規定量を超過する要因の1つとなっていた。【0006】そこで、発明者は鋭意研究の結果、ワイヤソーから排出されたグリコールを含むシリコンスラッジを多量の純水に分散させて希釈し、得られた溶媒を固液分離すれば、容易かつ安価に、シリコンスラッジ中の固形分のグリコール濃度が低下するとともに、固形分からのグリコールの分離も図れることを知見し、この発明を完成させた。【0007】この発明は、シリコンスラッジの固形分に含まれるグリコールの低濃度化を容易かつ安価に図ることができ、これにより、その固形分が添加された出鋼後の炭素鋼において、グリコールによる炭素含有量の増加を抑制することができるワイヤソースラッジからのグリコール除去方法を提供することを目的としている。
【課題を解決するための手段】【0008】請求項1に記載の発明は、シリコンインゴットのスライスを行うワイヤソーから排出されたグリコールを含むシリコンスラッジを、該シリコンスラッジ中の固形分のグリコール濃度が3重量%以下となる量の純水に分散させて希釈することで溶媒とし、その後、該溶媒を減圧状態で固液分離することで、前記シリコンスラッジの固形分を回収するワイヤソースラッジからのグリコール除去方法である。【0009】請求項2に記載の発明は、前記ワイヤソーから排出された前記シリコンスラッジのグリコール濃度を35~40重量%とし、前記シリコンスラッジの純水による希釈倍率を15~25倍とした請求項1に記載のワイヤソースラッジからのグリコール除去方法である。【0010】請求項3に記載の発明は、前記純水への前記シリコンスラッジの分散は、クロスフローろ過器の内部空間に収納された平板形状のろ過材の表面と平行に前記シリコンスラッジを含む純水が供給される際に発生する撹拌作用によって行われる請求項1または請求項2に記載のワイヤソースラッジからのグリコール除去方法である。
【発明の効果】【0011】請求項1に記載の発明によれば、ワイヤソーから排出されたグリコールを含むシリコンスラッジを、その固形分のグリコール濃度が3重量%以下となる量の純水に分散して希釈することで溶媒とする。これにより、シリコンスラッジ中の固形分に含まれるグリコールの低濃度化を容易かつ安価に図ることができる。その後、溶媒中からシリコンスラッジを減圧状態で固液分離し、グリコールが除去された固形分(シリコン粉、遊離砥粒の微粒子など)を回収する。回収後の固形分に含まれるグリコールは、その濃度が3重量%以下の微量である。そのため、固形分を、例えば製鋼用の成分調整用添加剤原料として、再利用しても、グリコールに起因した炭素鋼の炭素含有量の増加はほとんど生じない。【0012】請求項2に記載の発明によれば、ワイヤソーから排出されたシリコンスラッジのグリコール濃度を35~40重量%とし、シリコンスラッジの純水による希釈倍率を15~25倍とした場合、純水に分散されたシリコンスラッジのグリコール濃度を1.4~2.7重量%とすることができる。【0013】請求項3に記載の発明によれば、純水へのシリコンスラッジの分散時には、シリコンスラッジが含まれた純水をクロスフローろ過器に循環供給する。このとき、クロスフローろ過器の内部空間では、ろ過材の表面と平行に流れるシリコンスラッジが含まれた純水が、ろ過材に形成された多数の微細孔を篩目としてろ過(固液分離)される。その際、シリコンスラッジが含まれた純水は、各微細孔の表面側(流入側)の周壁面に衝突した際に発生するせん断力により撹拌される。このクロスフローろ過器へのシリコンスラッジが含まれた純水の循環供給を所定時間継続することで、純水へのシリコンスラッジの分散が行われる。これにより、グリコール濃度が高いシリコンスラッジを含む純水であっても、例えば撹拌羽根を回転させる撹拌方式のものなどに比べて短時間でシリコンスラッジを純水中に分散させることができる。
【発明を実施するための形態】【0015】この発明のワイヤソースラッジからのグリコール除去方法は、シリコンインゴットのスライスを行うワイヤソーから排出されたグリコールを含むシリコンスラッジを、その固形分のグリコール濃度が3重量%以下となる量の純水に分散させて希釈することで溶媒とし、その後、この溶媒を固液分離することで、シリコンスラッジの固形分を回収するものである。【0016】この発明によれば、ワイヤソーから排出されたグリコールを含むシリコンスラッジを、その固形分のグリコール濃度が3重量%以下となる量の純水に添加して撹拌し、シリコンスラッジを純水中に分散させて溶媒とする。これにより、シリコンスラッジの固形分のグリコール濃度が上記濃度まで希釈される。その結果、シリコンスラッジ中の固形分に含まれるグリコールの低濃度化を容易かつ安価に図ることができる。その後、溶媒からシリコンスラッジを固液分離し、グリコールが除去された固形分(シリコン粉、遊離砥粒の微粒子など)を回収する。また、回収された固形分は、そのグリコール濃度が3重量%以下と微量であるため、この固形分を、製鋼用の成分調整用添加剤原料として、再利用しても、グリコールに起因した炭素鋼の炭素含有量の増加はほとんど生じない。【0017】ワイヤソーは、例えば、ワイヤ列の上部にインゴット下面が当接するものでも、ワイヤ列の下部にインゴットの上面が押し当てられるものでもよい。グルーブローラの使用本数は、例えば2本、3本または4本である。ワイヤの素材としては、例えばピアノ線などの鋼線を採用することができる。ワイヤの直径は100~150μmである。シリコンインゴットとしては、例えば単結晶シリコン、多結晶シリコンなどを採用することができる。【0018】水溶性スラリーとしては、水分とグリコールとを含む液分に、遊離砥粒などの固形分を混ぜ合わせたものを採用することができる。グリコールは、水溶性スラリーの液分の主剤を構成し、分散剤が添加された水溶性スラリーを適切な粘度に調整するものである。【0019】遊離砥粒としては、SiC砥粒を採用することができる。遊離砥粒の平均粒径は10~20μmである。「ワイヤソースラッジ」とは、ワイヤソーによりシリコンインゴットを切断した際に発生した使用済みスラリーに含まれるシリコンスラッジを意味する。使用済みスラリー中には、スラリー成分の他に、遊離砥粒、シリコンインゴットのスライス時に発生したシリコン粉、ワイヤの切削屑などが含まれる。【0020】シリコンスラッジとは、使用済みスラリー中から遊離砥粒を遠心分離した際に残るシリコン粉と、遊離砥粒の微粒子と、不純物と、水分とグリコールが泥状に混ざり合ったものである。シリコンスラッジの固形分はシリコン粉と砥粒であるSiCと不純物とである。固形分(シリコン粉を含む)の平均粒径は1~10μmである。不純物とは、例えばCu、Fe、Ni、C、Cr、Znなどである。【0021】純水希釈後のシリコンスラッジに含まれる固形分のグリコール濃度が3重量%を超えれば、グリコールによる炭素成分が多くなり、成分調整用添加剤としての炭素濃度が多くなるため再利用できない。グリコール濃度は、より低減された方が好ましい。しかしながら、純水希釈量が多くなると純水コストが高くなるという不具合が生じる。特に、この発明では、シリコンスラッジ中の固形分のグリコール濃度を35~40重量%とした場合、シリコンスラッジの純水による希釈倍率を10~25倍とした方が望ましい。シリコンスラッジの純水による希釈倍率が15倍(固形分のグリコール濃度1重量%)未満では、シリコンスラッジ固形分に含まれるグリコールの濃度がターゲットである3重量%に近いため、攪拌条件や純水供給条件を細かく設定し、管理しなければならず、僅かな条件設定のばらつきによってターゲットを満足しない。また、25倍(固形分のグリコール濃度0.41量%)を超えれば、純水使用量が多くなり、純水コストが高くなる。シリコンスラッジの純水による好ましい希釈倍率は15~25倍である。この範囲であれば、攪拌条件や純水供給条件のばらつきを許容できる。もちろん、シリコンスラッジの純水への希釈倍率は、純水希釈後のシリコンスラッジ中の固形分のグリコール濃度が3重量%以下となれば任意である。【0022】また、この発明では、純水へのシリコンスラッジの分散として、クロスフローろ過器の内部空間に収納された平板形状のろ過材の表面と平行にシリコンスラッジを含む純水が供給される際に発生する撹拌作用によって行われる方法(以下、クロスフロー式撹拌方法)を採用した方が望ましい。すなわち、純水へのシリコンスラッジの分散時には、シリコンスラッジが含まれた純水をクロスフローろ過器に供給する。このとき、クロスフローろ過器の内部空間では、ろ過材の表面と平行に流れるシリコンスラッジを含んだ純水が、ろ過材に存在する多数の微細孔を篩目としてろ過(固液分離)される。その際、シリコンスラッジを含む純水は、各微細孔の表面側(流入側)の周壁面に衝突した際に発生するせん断力により撹拌される。これにより、純水へのシリコンスラッジの分散が行われる。その結果、例えばグリコール濃度が高いシリコンスラッジを含んだ純水であっても、従来の撹拌羽根による撹拌などに比べて、純水中にシリコンスラッジを短時間で分散させることができる。【0023】シリコンスラッジの純水へのその他の分散(撹拌)方法としては、例えばバブリング法、純水などが投入された密閉容器を振るシェイク法などを採用することができる。シリコンスラッジの純水による希釈倍率が5倍を超えない場合は、撹拌羽根による撹拌方法、シェイク法、バブリング法などによるシリコンスラッジの純水への分散を採用した方がよい。また、シリコンスラッジの純水による希釈倍率が10倍以上の場合には、クロスフロー式撹拌方法を採用した方が、シリコンスラッジを含む純水を、多数の微細孔が形成されたろ過材の表面と平行にポンプ圧送することで生じるシリコンスラッジのせん断による撹拌が容易に行われるために好ましい。【0024】シリコンスラッジの固液分離方法としては、全量ろ過を採用することができる。その際、濾過効率を高めるため、減圧状態でのろ過とする。減圧の程度としては、例えば0.01~0.02MPaである。全量ろ過方法で用いられるろ過材としては、例えばろ紙、メンブレンフィルター、セラミックス膜、スポンジなどを採用することができる。ろ過材の微細孔の孔径は0.2~30μmである。0.2μm未満ではろ過時間が長くなってしまう。また、30μmを超えれば、スラッジがろ過材を通過してしまう。微細孔の好ましい孔径は、0.2~1μmである。【0025】以下、この発明の実施例を具体的に説明する。ここでは、多結晶シリコン系太陽電池用のシリコンインゴットのワイヤソーによるスライス時に発生したワイヤソースラッジからのグリコール除去方法を例とする。【実施例】【0026】まず、図1~図6を参照して、この発明の実施例1に係るワイヤソースラッジからのグリコール除去方法を説明する。図1のフローシートに示すように、この発明の実施例1に係るワイヤソースラッジからのグリコール除去方法は、ワイヤソーから排出されたシリコンスラッジを回収するスラッジ回収工程と、回収されたシリコンスラッジを純水に分散させて希釈することで溶媒を得るスラッジ希釈工程と、溶媒中のシリコンスラッジを固液分離してシリコンスラッジの固形分を回収する固液分離工程と、固液分離されたシリコンスラッジ中の固形分を乾燥する固形分乾燥工程とを備えている。【0027】まず、図2を参照して、スラッジ回収工程を説明する。ワイヤソー100によるシリコンインゴットIのスライスは、3本のグルーブローラ112A~112C間で走行中のワイヤ列113に、遊離砥粒(SiC粉)を含む水溶性スラリーSを供給しながら、シリコンインゴットIを押し当てる。その際、ワイヤ111とシリコンインゴットIのワイヤ溝の奥部との間で挟まれた遊離砥粒の研削作用により、シリコンインゴットIから多数枚のシリコンウェーハがスライスされる。使用される水溶性スラリーSは、一般的に50重量%の固形分と50重量%の液分とからなる。このうち、固形分を構成する成分としては前記遊離砥粒の他、微量な炭素、Feが挙げられる。また、液分を構成する成分としては、ベース液のグリコールおよび純水の他、微量な分散剤、増粘剤、及び界面活性剤などが挙げられる。このうち、グリコールの含有量は、一般的に水溶性スラリー全体で40~50重量%である。【0028】スライス中には、ワイヤソー100からシリコン粉、遊離砥粒および研削液のグリコールなどを含む使用済みスラリーが排出される。使用済みスラリーは、スラリー回収タンクにいったん貯留後、スラリーポンプによりワイヤ列113に循環供給される。スライス後の使用済みスラリーは、スラリー回収タンクから回収される。その後、再使用される遊離砥粒を遠心分離することで、残渣としてのシリコンスラッジaが得られる(図3a)。シリコンスラッジaの主要成分としては、固形分cとしてのシリコン粉、遊離砥粒の微粒子、ワイヤに含まれたCu、Zn、Feと、液分dとしてのグリコールおよび水とが挙げられる。シリコンスラッジa中のグリコールの含有量は、シリコンスラッジ全体の35~39重量%である。なお、図2中、114はスラリーノズル、115はインゴットIの固定台である。【0029】次に、スラッジ希釈工程では、透明で筒形状のシェイク容器30の容器本体31に、所定量のシリコンスラッジaと、これを25倍に希釈するために必要な量の純水bとを投入する(図3a)。その後、シェイク容器30の蓋32を閉じ、容器本体31の底部にシリコンスラッジaの凝集体が見えなくなるまでシェイク容器30を約1分間振って、容器内のシリコンスラッジaと純水bとを撹拌する(図3b)。これにより、純水b中にシリコンスラッジaが分散され、シリコンスラッジaが25倍に希釈された溶媒となる。その結果、当初はシリコンスラッジa中に35~39重量%含まれていたグリコールは、後述する濾過容器(網状フィルタ)14による全量ろ過後の固形分cのグリコール濃度がLC-MS(LiquidChromatography/MassSpectrometry;液体クロマトグラフ質量分析装置)とLC-電気化学的分析装置とを用いて測定した際に0.4重量%となるまで低下する。固形分中のグリコールの測定時のサンプルとしては、固形分中のグリコールを純水で溶解した後、ろ過抽出した液を用いた。【0030】続いて、固液分離工程では、図4および図5に示すように、シェイク容器30から取り出されたシリコンスラッジaを含む純水bを固液分離装置に投入し、シリコンスラッジaの固形分cを回収する。まず、固液分離装置10を具体的に説明する。図4および図5に示すように、固液分離装置10は減圧全量ろ過方式のもので、負圧ポンプPが設けられた排気管11が連通され、上端面が開口された減圧槽12を有している。減圧槽12の上端部には、この開口を塞ぐように、内フランジ状のストッパを介して、格子状の支持トレイ13が載置されている。支持トレイ13には、多数の微細孔(孔径0.2~30μm)14aが形成された底板を有するテフロン(登録商標)製の濾過容器(網状フィルタ)14が取り出し可能に収納されている。【0031】固液分離時には、まず、シリコンスラッジaが分散された純水bを濾過容器14に投入する(図4)。次に、負圧ポンプPを作動して減圧槽12内を0.015MPaに負圧化する(図5)。これにより、濾過容器14の底板の微細孔14aおよび支持トレイ13の底板の孔を通し、純水bおよびシリコンスラッジaの液分dが下方へ吸引されてシリコンスラッジaの固形分cが底板の上に残る。その後、濾過容器14を支持トレイ13から取り出し、これを反転させることで、固液分離後のシリコンスラッジaの固形分(含水率20%、グリコール濃度0.4重量%)cを濾過容器14から排出して回収する。続く、固形分乾燥工程では、固液分離後のシリコンスラッジaの固形分cを数日間、自然乾燥させる。【0032】このように、ワイヤソー100から排出されたグリコール35~39重量%を含むシリコンスラッジaを、固形分のグリコール濃度が3重量%以下となる希釈25倍の純水bにより希釈したので、シリコンスラッジaに含まれるグリコールの低濃度化を容易かつ安価に図ることができる。また、回収後の固形分cに含まれるグリコールは、その濃度が3重量%以下となる微量である。そのため、固形分cを、製鋼用の成分調整用添加剤原料として再利用が可能となる。【0033】さらに、ワイヤソーから排出されたシリコンスラッジaのグリコール濃度を35~39重量%とし、シリコンスラッジaの純水bによる希釈倍率を25倍としたので、純水に分散されたシリコンスラッジaのグリコール濃度を0.4重量%とすることができる。これにより、製鋼用の成分調整用添加剤原料として再利用ができる。また、このグリコール35~39重量%を含むシリコンスラッジaを、その200倍の純水bにより希釈してもよい。これにより、固形分のグリコール濃度がLC-MS測定とLC-電気化学的分析装置とを用いて測定した結果、で0.07重量%となる。【0034】ここで、図6のグラフを参照して、実施例1に基づき、シリコンスラッジの固形分のグリコール濃度と、純水によるシリコンスラッジの希釈倍率との関係を検査した結果を説明する。希釈前のシリコンスラッジ中の固形分のグリコール濃度を40重量%とした場合、シリコンスラッジの希釈倍率が6倍程度で固形分のグリコール濃度は目標値の3重量%まで低下した。また、希釈倍率が10倍の場合の固形分のグリコール濃度は2重量%以下となり、希釈倍率が25倍での固形分のグリコール濃度は0.41重量%、希釈倍率が200倍でのグリコール濃度は0.07重量%であった。【0035】次に、図7および図8を参照して、この発明の実施例2に係るワイヤソースラッジからのグリコール除去方法を説明する。図7および図8に示すように、実施例2のワイヤソースラッジからのグリコール除去方法の特徴は、実施例1のシェイク容器30を用いたスラッジ希釈工程を、クロスフロー式ろ過装置20を使用して行った点である。【0036】図7に示すように、クロスフロー式ろ過装置20は、クロスフローろ過器を備えたろ過装置と略同一の構成体からなる撹拌(希釈)装置である。具体的には、クロスフロー式ろ過装置20は、シリコンスラッジaを含む純水bである原液eが貯液された原液タンク21と、電磁弁22付きの純水補給管23を介して、原液タンク21に純水bのみを補給する加水タンク24と、原液タンク21の液面の高さ(貯液量)を検知する液面センサ24Aと、原液タンク21の底部から循環ポンプ25により圧送された原液e中のシリコンスラッジaの固形分cをクロスフローろ過するクロスフローろ過器26を備えている。【0037】このうち、原液タンク21、循環ポンプ25、クロスフローろ過器26は、順次、原液eの循環路28の所定位置に離間して配設されている。クロスフローろ過器26の内部空間には、微細孔29aが多数形成され、かつ内部空間を原液eの導入室26aと透過液cの導出室26bとに2分割するろ過材29が配置されている(図7および図8)。導入室26aでは、原液eがろ過材29の表面と平行に流される。なお、循環路28には、循環ポンプ25とクロスフローろ過器26との間の部分に、流路弁41と、流量計42と、圧力計と43が下流へ向かって順に配設され、かつクロスフローろ過器26と3方弁45との間の部分に、圧力計44が設けられている。さらに、循環路28のうち、原料タンク21と循環ポンプ25との間の部分と、3方弁45との部分は、バイパス路46により連通されている。【0038】次に、図7および図8を参照して、クロスフロー式ろ過装置20を用いたシリコンスラッジaの純水bへの分散方法を説明する。循環ポンプ25を作動させることで、原液タンク21中の原液eがクロスフローろ過器26の導入室26aに圧送される。導入室26aでは、原液eがろ過材29の表面と平行に流され、その際、原液e中の純水bとグリコールを含む液分dとが、多数の微細孔29aを透過して導出室26bへ流入する(図8)。その後、透過したろ過液である純水bと液分dが器外へ排出され、所定の後処理が施される。【0039】一方、導入室26aにおいて、ろ過材29の微細孔29aを透過しなかった固形分cは、原液eの流れにしたがって循環路28に排出され、その後、原料タンク21へ戻される(図7)。このとき、原液eの純水bと液分dとの一部が微細孔29aを透過して除去されるため、循環路28に排出される原液eは固形分cが濃縮される。【0040】このように、クロスフロー式撹拌装置20を用いた純水bへのシリコンスラッジaの分散時には、ろ過材29の表面付近で、このろ過材29と平行に流れる原液eが、多数の微細孔29aを篩目としてろ過される。その際、原液eは各微細孔29aの導入室26a側の周壁面に衝突した際に発生するせん断力により撹拌される。その後も、上述した原液eのクロスフローろ過器26への循環供給を所定時間継続することで、純水bにシリコンスラッジaが分散されて溶媒となる。その結果、例えばグリコール濃度が高いシリコンスラッジaを含んだ原液eであっても、従来の撹拌羽根による撹拌などに比べて、純水b中にシリコンスラッジaを短時間で分散させることができる。【0041】例えば、まずシリコンスラッジaの重量に対して5倍希釈分の純水(15リットル)bを用いてクロスフロー式撹拌装置20を用いてろ過しながら、引き続き純水(75リットルを加水(28倍希釈)した場合、固形分cのグリコール濃度はLC-MS測定とLC-電気化学的分析装置とを用いて測定した結果、0.2重量%となった。ただし、5倍希釈時には目標とする3重量%を超えるLC-MS測定とLC-電気化学的分析装置とを用いた測定で13.4重量%となり、上述した炭素鋼の珪素濃度の調整用として再利用可能な濃度レベルには達することができなかった。実施例2では、このようなクロスフロー式ろ過装置20を使用してシリコンスラッジaを純水b中に分散するので、分散した溶媒を排出することでグリコールを除去できる。
A
2012139653
2011000244
20110104
null
null
20120726
2012139653
20120726
null
null
5578086
20140718
null
null
null
水系塗料の厚膜塗装方法
000001258
JFEスチール株式会社
100083253
苫米地 正敏
村瀬 正次,星野 俊幸
null
8
B05D 3/02 (20060101), B05D 3/00 (20060101)
B05D 3/02 F ,B05D 3/00 D
6
null
null
null
0
9
4D075
4D075 BB25Z,4D075 BB35Z,4D075 BB57Z,4D075 BB92Z,4D075 BB93Z,4D075 CA48 ,4D075 DA06 ,4D075 DB02 ,4D075 DC05 ,4D075 EA06 ,4D075 EB33 ,4D075 EC11 ,4D075 EC13
【課題】水系塗料による鋼材の厚膜塗装において、塗膜を速やかに乾燥させることで塗装を短時間で効率的に行い、且つ欠陥のない高品質の乾燥塗膜を得る。【解決手段】鋼材の表面に固形分が30~70mass%の水系塗料を150μm以上の厚さに塗布した後、その塗膜を乾燥させる方法であり、鋼材の表面に水系塗料を塗布した後、塗膜の水分量が塗布直後の水分量の60mass%以下になるまで10~40℃の雰囲気温度で自然乾燥させ、次いで、インダクションヒータにより鋼材を[雰囲気温度+1℃]~[雰囲気温度+20℃]で且つ80℃以下の温度であって、塗膜の膜厚方向での温度勾配(塗膜表層側温度<塗膜下層側温度)が5~140℃/mmとなるように加熱して、塗膜を乾燥させる。【選択図】なし
【請求項1】鋼材の表面に固形分が30~70mass%の水系塗料を150μm以上の厚さに塗布した後、その塗膜を乾燥させる方法であって、鋼材の表面に水系塗料を塗布した後、塗膜の水分量が塗布直後の水分量の60mass%以下になるまで10~40℃の雰囲気温度で自然乾燥させ、次いで、インダクションヒータにより鋼材を[雰囲気温度+1℃]~[雰囲気温度+20℃]で且つ80℃以下の温度であって、塗膜の膜厚方向での温度勾配(塗膜表層側温度<塗膜下層側温度)が5~140℃/mmとなるように加熱して、塗膜を乾燥させることを特徴とする水系塗料の塗装方法。【請求項2】インダクションヒータにより鋼材の加熱を行う雰囲気中で空気流を作り、この空気流を塗膜表面と接触させて冷却することを特徴とする請求項1に記載の水系塗料の塗装方法。【請求項3】インダクションヒータにより鋼材の加熱を行う雰囲気を冷却することを特徴とする請求項1に記載の水系塗料の塗装方法。【請求項4】インダクションヒータにより鋼材の加熱を行う雰囲気を冷却するとともに、この雰囲気中で空気流を作り、この空気流を塗膜表面と接触させて冷却することを特徴とする請求項1に記載の水系塗料の塗装方法。【請求項5】鋼構造物用部材に対して、その製作若しくは組み立て工場又は施工現場にて塗料の塗布と塗膜の乾燥を行うことを特徴とする請求項1~4のいずれかに記載の水系塗料の塗装方法。【請求項6】インダクションヒータにより鋼構造物用部材を加熱して塗膜を乾燥させる工程では、鋼構造物用部材に対してインダクションヒータを移動させながら鋼構造物用部材を加熱しつつ、その加熱部に対して送風機から送風を行うことを特徴とする請求項5に記載の水系塗料の塗装方法。
【請求項1】鋼材の表面に固形分が30~70mass%の水系塗料を150μm以上の厚さに塗布した後、その塗膜を乾燥させる方法であって、鋼材の表面に水系塗料を塗布した後、塗膜の水分量が塗布直後の水分量の60mass%以下になるまで10~40℃の雰囲気温度で自然乾燥させ、次いで、インダクションヒータにより鋼材を[雰囲気温度+1℃]~[雰囲気温度+20℃]で且つ80℃以下の温度であって、塗膜の膜厚方向での温度勾配(塗膜表層側温度<塗膜下層側温度)が5~140℃/mmとなるように加熱して、塗膜を乾燥させることを特徴とする水系塗料の塗装方法。
【技術分野】【0001】本発明は水系塗料の厚膜塗装方法に関するもので、詳細には厚膜塗装を乾燥させる手法に特徴を有する塗装方法に関するものである。
【背景技術】【0002】水系塗料は、溶媒として水を主成分としており、有機溶媒を主成分とするものに較べて水(溶媒)の蒸発速度が遅いため、塗布された後に乾燥しにくい欠点があり、この問題は特に厚膜塗装の場合に顕著である。そのため、鋼材などに水系塗料を厚膜塗装した場合、乾燥するまで養生するのに時間がかかる。この問題に対して従来では、塗布後の雰囲気温度を高めることにより塗料からの水(溶媒)の蒸発を促進させ、乾燥を速める対策が採られてきた。また、特許文献1には、水系塗料を塗布した後、乾燥炉で乾燥させる方法において、被塗物を乾燥炉に装入する前に、50~70℃の温風を吹き付け、塗料の固形分を80%以上とする予備乾燥を行う方法が開示されている。
【発明が解決しようとする課題】【0004】しかし、そのような従来法では、塗膜の表面から乾燥が進行してしまう、つまり塗膜表層側の水だけが蒸発して乾燥が進行してしまうため、塗膜下層側の塗料の水がうまく蒸発できず、結果的に乾燥が遅くなってしまう問題がある。さらに、塗膜下層側で蒸発した水分が、乾燥の速い表層部を拡散して大気中に抜けることができなくなるため、塗膜中に気泡を生成する塗膜欠陥や、水蒸気圧力が塗膜表面にクラックを生じさせる塗膜欠陥が生じやすいという問題がある。【0005】したがって本発明の目的は、水系塗料による鋼材の厚膜塗装において、鋼材に塗布された水系塗料を速やかに乾燥させることで、塗装を短時間で効率的に行うことができるとともに、欠陥のない高品質の乾燥塗膜を得ることができる塗装方法を提供することにある。
【課題を解決するための手段】【0006】鋼材表面に水系塗料を比較的薄い厚さで塗装した場合には、雰囲気温度を上昇させるなどの乾燥対策で対応が可能であるが、水系塗料を厚く塗装した場合には、さきに述べたように乾燥条件によっては乾燥を逆に遅らせる結果となり、また、塗膜欠陥を生じさせることになる。このような課題を解決すべく、本発明者らが検討した結果、以下のような知見を得た。【0007】(a)水系塗料による鋼材の厚膜塗装において、塗膜下層側の水(溶媒)の蒸発が阻害されることなく、塗膜を短時間で効率的に乾燥させるには、乾燥のために加熱する際に塗膜に表層側<下層側(鋼板側)という温度勾配を付けることが有効であり、そのような塗膜の温度勾配は、鋼材をインダクションヒータで加熱することで、塗膜が鋼材側から加熱されるようにすることで実現することができる。(b)上記のようにインダクションヒータを利用して塗膜を鋼材側から加熱することにより、塗膜下層側の水の蒸発が促進され、塗膜を短時間で効率的に乾燥させることができるとともに、水の蒸発が阻害されることによる塗膜欠陥の発生も抑えられる。しかし、これだけでは、欠陥のない高品質の乾燥塗膜は得られない。これは水を十分に含んだ厚い塗膜を最初からインダクションヒータで加熱すると、水の蒸発が激しすぎることに起因した塗膜欠陥が生じてしまうからである。このような問題に対しては、厚い塗膜から水をうまく逃しながら、塗膜を乾燥させることが必要であり、具体的には、まず最初に塗膜を自然乾燥させることで、塗膜中の水分量を適度に低減させ、その上で、鋼材をインダクションヒータで比較的低温に加熱することが必要である。(c)さらに、塗膜からの水の蒸発をより促進するには、インダクションヒータで加熱する際に塗膜面を空気流に接触させて冷却するなどの方法が有効である。【0008】本発明はこのような知見に基づきなされたもので、以下を要旨とするものである。[1]鋼材の表面に固形分が30~70mass%の水系塗料を150μm以上の厚さに塗布した後、その塗膜を乾燥させる方法であって、鋼材の表面に水系塗料を塗布した後、塗膜の水分量が塗布直後の水分量の60mass%以下になるまで10~40℃の雰囲気温度で自然乾燥させ、次いで、インダクションヒータにより鋼材を[雰囲気温度+1℃]~[雰囲気温度+20℃]で且つ80℃以下の温度であって、塗膜の膜厚方向での温度勾配(塗膜表層側温度<塗膜下層側温度)が5~140℃/mmとなるように加熱して、塗膜を乾燥させることを特徴とする水系塗料の塗装方法。[2]上記[1]の塗装方法において、インダクションヒータにより鋼材の加熱を行う雰囲気中で空気流を作り、この空気流を塗膜表面と接触させて冷却することを特徴とする水系塗料の塗装方法。【0009】[3]上記[1]の塗装方法において、インダクションヒータにより鋼材の加熱を行う雰囲気を冷却することを特徴とする水系塗料の塗装方法。[4]上記[1]の塗装方法において、インダクションヒータにより鋼材の加熱を行う雰囲気を冷却するとともに、この雰囲気中で空気流を作り、この空気流を塗膜表面と接触させて冷却することを特徴とする水系塗料の塗装方法。[5]上記[1]~[4]のいずれかの塗装方法において、鋼構造物用部材に対して、その製作若しくは組み立て工場又は施工現場にて塗料の塗布と塗膜の乾燥を行うことを特徴とする水系塗料の塗装方法。[6]上記[5]の塗装方法において、インダクションヒータにより鋼構造物用部材を加熱して塗膜を乾燥させる工程では、鋼構造物用部材に対してインダクションヒータを移動させながら鋼構造物用部材を加熱しつつ、その加熱部に対して送風機から送風を行うことを特徴とする水系塗料の塗装方法。
【発明の効果】【0010】本発明法によれば、水系塗料による鋼材の厚膜塗装において、鋼材に塗布された水系塗料を速やかに乾燥させることで、塗装を短時間で効率的に行うことができるとともに、欠陥のない高品質の乾燥塗膜を得ることができる。
【発明を実施するための形態】【0012】本発明法は、鋼材表面に水系塗料を厚膜塗装(塗布-乾燥)するための方法である。水系塗料とは、溶媒の主成分が水である塗料を指し、したがって、溶媒としては主成分である水以外に、例えば、エタノール、メタノール、イソプロピルアルコールなどのアルコール類、キシレン、トルエン、メチルエチルケトンなどの有機溶媒などの1種以上を水100質量部に対して30質量部以下の範囲で含むものであってもよい。また、水系塗料は固形分(有機樹脂、顔料など)が30~70mass%のものである。水系塗料の固形分が30mass%未満では、固形分が少なすぎるので厚膜塗装のためには重ね塗りが必要になり経済性を損なう。一方、70mass%を超えると、塗料粘度が著しく上昇し、スプレー塗装が困難になる欠点がある。本発明では、このような水系塗料を150μm以上の平均厚さ(wet)に塗装する場合を対象とする。水系塗料の塗装平均厚さが150μm未満では、溶媒(以下、単に「水」という)は比較的速やかに蒸発するので、本発明を適用する必要性に乏しい。塗装平均厚さの上限は特にないが、一般に3000μm程度が上限となる。【0013】さきに述べたように、水系塗料を厚膜塗装した場合において、塗膜下層側の水の蒸発が阻害されることなく、塗膜を効率的に乾燥させるには、乾燥のために加熱する際に塗膜に表層側<下層側(鋼板側)という温度勾配を付けることが有効であり、そのような塗膜の温度勾配は、被塗物である鋼材をインダクションヒータで加熱することで、塗膜が鋼材側から加熱されるようにすることで実現することができる。しかし、塗装直後の厚い塗膜をインダクションヒータで鋼材側から加熱すると、水の蒸発が激しすぎることに起因した塗膜欠陥が生じてしまう。そこで、本発明法では、最初に塗膜を自然乾燥させることで、塗膜中の水分量を適度に低減させ、その上で、鋼材をインダクションヒータで比較的低温に加熱する、という2段階の工程で乾燥を行い、厚い塗膜から水をうまく逃しながら、塗膜を乾燥させるものである。例えば、塗装鋼板を製造するための連続塗装ラインでは、塗装された鋼板をインダクションヒータで加熱して塗膜の乾燥焼付を行う場合があるが、この種のものは薄膜塗装(通常、膜厚10~80μm程度)であり、塗膜中の水はすぐに蒸発するので、本発明が解決しようとするような課題は生じ得ないし、当然本発明と同じような作用効果は得られない。すなわち、上記のような本発明の作用効果は、厚膜塗装(150μm以上)に特有のものである。【0014】第一の乾燥工程では、鋼材表面に塗布された塗料を、水分量(水分含有量)が塗布直後の水分量の60mass%以下になるまで10~40℃の雰囲気温度(いわゆる常温)で自然乾燥させる。このように固形分が30~70mass%の水系塗料の塗膜を、水分量が塗布直後の水分量の60mass%以下になるまで乾燥させることにより、次工程であるインダクションヒータによる加熱乾燥時に、塗膜中の水が激しく蒸発することが抑えられ、塗膜欠陥の発生が防止できる。この自然乾燥で蒸発させる水分量の上限は特にないが、塗料の早期乾燥の観点から、塗布直後の水分量の30mass%程度までを限度とすることが好ましい。【0015】引き続き第二の乾燥工程で、インダクションヒータにより鋼材(被塗物)を[雰囲気温度+1℃]~[雰囲気温度+20℃]で且つ80℃以下の温度に加熱して、塗膜を乾燥させる。ここで、雰囲気温度とは、インダクションヒータにより鋼材の加熱が行われる場所の温度であり、通常は常温である。このようなインダクションヒータによる鋼材の加熱により、塗膜に表層側温度<下層側(鋼板側)温度という温度勾配が生じる。このような膜厚方向温度勾配ができることにより、塗膜内の物質拡散が加速されることで塗膜内での水の移動と蒸発が促進され、塗膜を短時間で効率的に乾燥させることができる。このため、厚い塗膜から水の蒸発が阻害されることによる塗膜欠陥の発生も抑えられる。【0016】鋼材の加熱温度が[雰囲気温度+1℃]未満では、塗膜の加熱が不十分であり、乾燥に時間がかかるだけでなく、水の蒸発不足による塗膜欠陥が生じる恐れがある。一方、[雰囲気温度+20℃]を超え若しくは80℃を超えると、水の蒸発が激しくなり、塗膜表面に水蒸気の抜けた後があばた状に残る塗膜欠陥が多数生じてしまう。また、インダクションヒータによる鋼材の加熱により塗膜内に生じる膜厚方向での温度勾配(塗膜表層側温度<塗膜下層側温度)は、5~140℃/mm、好ましくは13~140℃/mmとする。ここで、本発明において塗膜内の膜厚方向での温度勾配(℃/mm)とは、[鋼材加熱温度(℃)-雰囲気温度(℃)]/塗装厚(mm)により求められる値である。膜厚方向温度勾配が5℃/mm未満では、塗膜の加熱が不足し、乾燥に時間がかかるだけでなく、水の蒸発不足による塗膜欠陥が生じる恐れがある。一方、膜厚方向温度勾配が140℃/mmを超えると、水の蒸発が激しくなり、塗膜表面に水蒸気の抜けた後があばた状に残る塗膜欠陥が多数生じてしまう。【0017】塗膜の膜厚方向での温度勾配は、鋼材加熱温度、雰囲気温度、雰囲気の空気の流れなどを制御することにより、調整することができる。インダクションヒータによる鋼材の加熱時間は、雰囲気温度や加熱温度によっても異なるが、膜厚方向の温度勾配を小さくする場合には数時間程度以上の加熱が好ましく、温度勾配を大きくとれる場合には、順次この時間を短くすることができる。加熱時間が長くなることについては、特に乾燥に不具合を生じることはないが、あまり長くなると不経済な乾燥方法となる。【0018】本発明法において、インダクションヒータで加熱する際に塗膜中の水の蒸発をより促進するには、塗膜表面はなるべく常温に近い温度に保持されることが好ましく、このために、(i)鋼材のインダクション加熱を行う雰囲気中で空気流を作り、この空気流を塗膜表面と接触させて冷却する、(ii)鋼材のインダクション加熱を行う雰囲気を冷却する、(iii)鋼材のインダクション加熱を行う雰囲気を冷却するとともに、この雰囲気中で空気流を作り、この空気流を塗膜表面と接触させて冷却する、などの方法を採ることが好ましい。上記(i)の方法では、例えば、インダクションヒータで加熱中の鋼材(被塗物)に送風機などにより空気流を送り、塗膜表面を冷却する。また、上記(ii)の方法では、例えば、インダクション加熱を行う雰囲気を空調機などを使用して一定温度に冷却保持することで雰囲気温度をコントロールする。上記(iii)の方法は、例えば、上記(i)、(ii)の方法を組み合わせることで実現できる。【0019】図1及び図2(図1のA部を部分的に拡大したもの)は、塗膜の乾燥を良好に行うことができる、塗膜の膜厚方向温度勾配と雰囲気流速(塗膜表面に接触する空気流の流速)の範囲を示したものであり、塗膜の乾燥状態を下記の基準で評価したものである。この試験では、雰囲気温度40℃において、鋼板面に固形分50mass%のエポキシ系水系塗料を300μmの平均厚みで塗布した。塗装直後から40℃にて3時間保持した後、雰囲気温度40℃で、塗膜の膜厚方向の温度勾配が所定の値に保持されるようにインダクションヒータで鋼材を断続的に3時間加熱するとともに、出力調整した送風機から鋼板と平行な方向に送風を行い、塗膜に所定の風速の空気流を接触させた。トータル24時間経過後の塗膜の状態を、以下の方法と評価基準で評価した。○:指触して、塗料が指に付着せず、指紋跡も残らない状態。また、塗膜表面にあばた状などの欠陥がなく、且つ後述する碁盤目剥離試験において、塗膜残存率が80%以上である。×:指触して、塗料が指に付着するか、指紋跡が残る状態。または、塗膜表面にあばた状などの欠陥が生じ、或いは後述する碁盤目剥離試験において、塗膜残存率が80%未満である。【0020】図1及び図2によれば、塗膜の膜厚方向温度勾配が5℃/mm未満では、上述したような理由により、雰囲気流速に関わりなく評価は×である。膜厚方向温度勾配が5℃/mm以上の場合、雰囲気流速が0.3m/sec以上であれば評価は○である。一方、雰囲気流速が0.3m/sec未満では、塗膜の膜厚方向温度勾配と雰囲気流速が図2中の点線より右側の条件を満足すれば評価は○であるが、雰囲気流速がそれよりも小さいと評価は×である。一方、膜厚方向温度勾配が13℃/mm以上であれば、雰囲気流速に関わりなく評価は○である。但し、上述したしたように膜厚方向温度勾配が140℃/mmを超えると、水の蒸発速度が著しく速くなり、あばた状などの塗膜欠陥を生じるようになるため評価は×となる。また、雰囲気流速が8m/secを超えると、大きな雰囲気流速によって塗膜表面が波打ったり、塗膜表面の乾燥が著しく進行して内部に水が残留するため、塗膜欠陥が生じやすくなるので、評価は×となる。なお、本発明法では、第一及び第二の乾燥工程ともに、雰囲気湿度は95%以下が好ましい。雰囲気湿度が95%を超えると、水蒸気の大気中への放出が大きく阻害され、本発明の効果が得られにくくなる。【0021】本発明法は、種々の鋼材の厚膜塗装に適用できるが、特に鋼構造物(例えば、橋梁、海洋構造物など)用の部材を、その製作又は組み立て工場や施工現場で厚膜塗装する場合に有用である。図3は、そのような実施形態を模式的に示したもので、大型鋼構造物用の部材として橋梁用桁1を塗装する場合を示している。図3(a)は被塗物である橋梁用桁材1の側面図、図3(b)は、塗布された水系塗料を適度に自然乾燥させた橋梁用桁1をインダクション加熱し、塗膜を乾燥させている状況を、橋梁用桁材1の正面から示したものである。図3では、移動可能なインダクションヒータ2が用いられ、インダクションヒータ2により橋梁用桁材1の各部を順次加熱し、塗膜の乾燥を行う。その際、橋梁用桁材1の長手方向一端側の外方に設置した送風機3から送風を行い、インダクション加熱を行う雰囲気中で空気流を作り、この空気流を塗膜表面と接触させて冷却する。なお、4はインダクション加熱部に対して効率的に送風を行うための簡易覆いである。【実施例】【0022】板厚6mmの鋼板(SS400,20cm×40cm)の片側に、固形分が50mass%の水系塗料(固形分:エポキシ系樹脂+顔料、溶媒:水)をエアレススプレーにて300μmの厚さ(wet)に塗布した。この塗装鋼材をすぐに、自然乾燥を想定して雰囲気温度が25~40℃に保持された恒温室内に入れ、垂直に置かれた状態で所定時間放置(自然乾燥)した後、インダクションヒータを使用して塗装鋼材を所定温度になるように断続的に3時間加熱した。このインダクション加熱では、恒温室内の温度(雰囲気温度)を調整するとともに、一部の実施例では、送風機により雰囲気中で空気流を作り、この空気流を塗膜表面と接触させた。その後、加熱処理を施したものは放置し、加熱処理を施さないものはそのまま放置し、24時間後に以下に示すような塗膜品質の評価を行った。【0023】塗装鋼材の塗装面中央の20mm×20mmの部分に対してJISK5600-5-6:1999に準拠した碁盤目付着性試験を行ない、塗膜の乾燥性を調べた。すなわち、カッターにより鋼板に達する1mm角の碁盤目を入れ、この碁盤目部分に粘着テープを付着させた後、強制的に引き剥がし、塗膜が剥離することなく残存した碁盤目の割合を求め、80%以上を合格とした。なお、残存した碁盤目については、JISK5600-5-6:1999の表1の「分類2」及びそれより程度がよいものを対象とした。また、塗膜中央の部分を指先で強くこすり、指先に塗料が付着するか、塗膜表面に指紋痕が残るかという観点から、塗膜の硬化度を以下の基準で評価した。この評価では“◎”を合格とした。◎:指先に塗料が付着せず、塗膜表面に指紋痕も残らない。○:指先に塗料は付着しないが、塗膜表面に指紋痕が残る。×:指先に塗料が付着し、且つ塗膜表面に指紋痕が残る。【0024】また、塗膜欠陥については、各試験片(20cm×40cm)の塗膜の外観を観察して欠陥の有無・個数を調べ、以下の基準で評価した。この評価では“◎”を合格とした。◎:塗膜欠陥が全くない。○:10cm2当たりの塗膜欠陥の平均個数が1個未満×:10cm2当たりの塗膜欠陥の平均個数が1個以上以上の塗膜品質の評価結果を、塗膜の乾燥条件とともに表1に示す。【0025】【表1】
A
2012139654
2011000280
20110104
null
null
20120726
2012139654
20120726
null
null
5789983
20150814
null
null
null
廃液処理装置
000006747
株式会社リコー
100070150
伊東 忠彦
阿萬 康知,志連 陽平,佐藤 達哉,工藤 健二,鈴木 章悟,近藤 浩,村田 省蔵,岡田 典晃,宇津木 綾,宮澤 秀之
null
8
C02F 1/72 (20060101), B01J 3/00 (20060101), A62D 3/34 (20070101),//A62D 101/22 (20070101)
C02F 1/72 Z ,B01J 3/00 ZABA ,A62D 3/34 ,A62D101:22
8
null
null
null
0
12
4D050
4D050 AA12 ,4D050 AB19 ,4D050 BB01 ,4D050 BB09 ,4D050 BC04 ,4D050 BD02
【課題】本発明は、加熱時に消費されるエネルギーを低減することが可能な廃液処理装置を提供することを目的とする。【解決手段】廃液処理装置100Aは、水及び有機物を含む廃液21aを処理し、水を亜臨界水、過熱水蒸気又は超臨界水に変化させると共に、有機物を酸化させる内管11と、内管11に廃液21aを供給する廃液供給部20と、内管11に空気を供給する空気供給部30を有し、内管11の外面には、コイル状の管に酸化カルシウムが充填されている熱交換型反応器15が接合されている。【選択図】図1
【請求項1】水及び有機物を含む廃液を処理する廃液処理装置であって、前記水を亜臨界水、過熱水蒸気又は超臨界水に変化させると共に、前記有機物を酸化させる反応器と、前記反応器に前記廃液を供給する手段と、前記反応器に酸化剤を供給する手段を有し、前記反応器は、気体と可逆的に反応することが可能な物質を含む熱交換型反応器と接触していることを特徴とする廃液処理装置。【請求項2】前記熱交換型反応器は、前記物質が充填されているコイル状又は円環状の中空構造体であることを特徴とする請求項1に記載の廃液処理装置。【請求項3】水及び有機物を含む廃液を処理する廃液処理装置であって、前記水を亜臨界水、過熱水蒸気又は超臨界水に変化させると共に、前記有機物を酸化させる内管及び外管からなる二重管構造を有する反応器と、前記内管に前記廃液を供給する手段と、前記内管に酸化剤を供給する手段を有し、前記内管と前記外管の間は、気体と可逆的に反応することが可能な物質が充填されている熱交換型反応器であることを特徴とする廃液処理装置。【請求項4】前記気体は、水蒸気であることを特徴とする請求項1乃至3のいずれか一項に記載の廃液処理装置。【請求項5】前記物質は、酸化カルシウムであることを特徴とする請求項4に記載の廃液処理装置。【請求項6】前記酸化剤は、酸素又は過酸化水素であることを特徴とする請求項1乃至5のいずれか一項に記載の廃液処理装置。【請求項7】前記反応器は、酸化触媒を含むことを特徴とする請求項1乃至6のいずれか一項に記載の廃液処理装置。【請求項8】前記酸化触媒は、二酸化マンガンであることを特徴とする請求項7に記載の廃液処理装置。
【請求項1】水及び有機物を含む廃液を処理する廃液処理装置であって、前記水を亜臨界水、過熱水蒸気又は超臨界水に変化させると共に、前記有機物を酸化させる反応器と、前記反応器に前記廃液を供給する手段と、前記反応器に酸化剤を供給する手段を有し、前記反応器は、気体と可逆的に反応することが可能な物質を含む熱交換型反応器と接触していることを特徴とする廃液処理装置。【請求項3】水及び有機物を含む廃液を処理する廃液処理装置であって、前記水を亜臨界水、過熱水蒸気又は超臨界水に変化させると共に、前記有機物を酸化させる内管及び外管からなる二重管構造を有する反応器と、前記内管に前記廃液を供給する手段と、前記内管に酸化剤を供給する手段を有し、前記内管と前記外管の間は、気体と可逆的に反応することが可能な物質が充填されている熱交換型反応器であることを特徴とする廃液処理装置。
【技術分野】【0001】本発明は、廃液処理装置に関する。
【背景技術】【0002】従来、廃液を処理する方法としては、焼却処理、生物処理等の方法が知られている。しかしながら、焼却処理は、前処理の脱水や固形分凝集において、エネルギーや薬品が必要となり、不完全燃焼によりダイオキシン類が発生するという問題があった。また、生物処理は、処理時間が長く、処理後に発生する活性汚泥が新たな廃棄物となるという問題があった。【0003】そこで、亜臨界水、過熱水蒸気、超臨界水等の熱水中で廃液を処理する方法が知られている。【0004】特許文献1には、電力用トランスやコンデンサなどの電力機器に含まれるPCBを無害化処理するPCB処理方法が開示されている。このPCB処理方法は、電力用トランス或いはコンデンサなどの電力機器の構成材を分割破砕する工程と、分割破砕した破砕片からPCBに汚染された紙、木或いは樹脂などの有機廃棄物を他の構成材から分離して取り出す工程と、取り出した有機廃棄物を水熱分解処理または超臨界水酸化処理する工程を含む。【0005】しかしながら、有機廃棄物を処理する際に加熱する必要があるため、多くのエネルギーが消費されるという問題がある。
【発明が解決しようとする課題】【0006】本発明は、上記従来技術が有する問題に鑑み、加熱時に消費されるエネルギーを低減することが可能な廃液処理装置を提供することを目的とする。
【課題を解決するための手段】【0007】請求項1に記載の発明は、水及び有機物を含む廃液を処理する廃液処理装置であって、前記水を亜臨界水、過熱水蒸気又は超臨界水に変化させると共に、前記有機物を酸化させる反応器と、前記反応器に前記廃液を供給する手段と、前記反応器に酸化剤を供給する手段を有し、前記反応器は、気体と可逆的に反応することが可能な物質を含む熱交換型反応器と接触していることを特徴とする。【0008】請求項2に記載の発明は、請求項1に記載の廃液処理装置において、前記熱交換型反応器は、前記物質が充填されているコイル状又は円環状の中空構造体であることを特徴とする。【0009】請求項3に記載の発明は、水及び有機物を含む廃液を処理する廃液処理装置であって、前記水を亜臨界水、過熱水蒸気又は超臨界水に変化させると共に、前記有機物を酸化させる内管及び外管からなる二重管構造を有する反応器と、前記内管に前記廃液を供給する手段と、前記内管に酸化剤を供給する手段を有し、前記内管と前記外管の間は、気体と可逆的に反応することが可能な物質が充填されている熱交換型反応器であることを特徴とする。【0010】請求項4に記載の発明は、請求項1乃至3のいずれか一項に記載の廃液処理装置において、前記気体は、水蒸気であることを特徴とする。【0011】請求項5に記載の発明は、請求項4に記載の廃液処理装置において、前記物質は、酸化カルシウムであることを特徴とする。【0012】請求項6に記載の発明は、請求項1乃至5のいずれか一項に記載の廃液処理装置において、前記酸化剤は、酸素又は過酸化水素であることを特徴とする。【0013】請求項7に記載の発明は、請求項1乃至6のいずれか一項に記載の廃液処理装置において、前記反応器は、酸化触媒を含むことを特徴とする。【0014】請求項8に記載の発明は、請求項7に記載の廃液処理装置において、前記酸化触媒は、二酸化マンガンであることを特徴とする。
【発明の効果】【0015】本発明によれば、加熱時に消費されるエネルギーを低減することが可能な廃液処理装置を提供することができる。
【発明を実施するための形態】【0017】次に、本発明を実施するための形態を図面と共に説明する。【0018】図1に、本発明の廃液処理装置の第一の実施形態を示す。水及び有機物を含む廃液21aを処理する廃液処理装置100Aは、水を亜臨界水、過熱水蒸気又は超臨界水に変化させると共に、有機物を酸化させる内管(反応器)11及び外管12からなる二重管構造を有する反応部10Aと、内管11に廃液21aを供給する廃液供給部20と、外管12に空気を供給する空気供給部30と、内管11から排出された生成物と熱交換する熱交換部40と、内管11から排出された生成物を気液分離する気液分離部50を有する。内管11の廃液21aが供給される側の端面には、外管12に供給された空気を内管11に導入する貫通孔11aが形成されている。また、内管11の廃液21aが供給される側と反対側の端部の近傍に、酸化触媒として、二酸化マンガン13が充填されている。【0019】また、内管11の外面には、コイル状のヒーター14が設置されている。このとき、内管11の内部の温度は、温度センサTで検出され、所定の温度になるようにヒーター14が制御される。【0020】さらに、内管11の外面には、コイル状の管15aに酸化カルシウム15bが充填されている熱交換型反応器15(図2参照)が接合されている。このとき、熱交換型反応器15の一端は、開閉弁16Aを介して、水17aが充填されている蒸発凝縮器17と接続されており、蒸発凝縮器17には、水17aの温度を調節する熱交換コイル17bが設置されている。なお、水17aを加熱する場合は、熱交換コイル17bをヒーターとして用いることができる。一方、熱交換型反応器15の他端は、開閉弁16Bを介して、真空ポンプ18と接続されている。また、蒸発凝縮器17及び真空ポンプ18は、開閉弁16Cを介して、接続されている。【0021】このとき、開閉弁16Aを開いた状態で、必要に応じて、熱交換コイル17bを用いて、水17aを加熱して水蒸気を発生させると、酸化カルシウム15bと反応して水酸化カルシウムが生成し、放熱される。このため、内管11を加熱することができる。この場合、水蒸気の移動を促進するために、開閉弁16Aを開く前に、開閉弁16B及び/又は16Cを開いた状態で、真空ポンプ18により、熱交換型反応器15及び/又は蒸発凝縮器17を脱気することが好ましい。【0022】一方、有機物を酸化させることにより発生した熱により、水蒸気と酸化カルシウム15bが反応することにより生成した水酸化カルシウムが加熱されると、水蒸気が発生し、蓄熱される。このとき、開閉弁16Aを開くと、発生した水蒸気が蒸発凝縮器17で凝縮する。一方、開閉弁16Bを開くと、発生した水蒸気が真空ポンプ18により外部に排出される。この場合、蒸発凝縮器17は、蒸発器として機能し、蒸発凝縮器17に適宜水17aを補充する必要がある。【0023】なお、廃液21aを処理している間、開閉弁16Aを開いた状態で、熱交換器を用いて、蒸発凝縮器17の水17aの温度を一定に制御すると、内管11の温度変化を抑制することができる。【0024】廃液供給部20は、廃液21aが貯蔵されているタンク21と、タンク21から内管11に廃液21aを連続供給するポンプ22と、開閉弁23を有する。このとき、タンク21には、攪拌羽根21bが設置されており、廃液21aを攪拌することができる。また、内管11に供給される廃液21aの圧力は、圧力センサP1で検出され、所定の圧力になるようにポンプ22が制御される。【0025】空気供給部30は、廃液が供給される圧力以上に空気を圧縮して外管12に連続供給するコンプレッサー31と、開閉弁32を有する。このとき、外管12に供給される空気の圧力は、圧力センサP2で検出され、所定の圧力になるようにコンプレッサー31が制御される。【0026】以上のようにして、内管11では、廃液供給部20から供給された廃液21aと、空気供給部30から外管12を経由して導入された空気が混合される。このとき、空気は、外管12を経由して導入されるため、予熱される。次に、熱交換型反応器15における放熱により、廃液21aに含まれる水が亜臨界水、過熱水蒸気又は超臨界水に変化されると共に、廃液21aに含まれる有機物が酸化されて低分子化される。このとき、必要に応じて、ヒーター14を用いて、内管11を加熱してもよい。さらに、低分子化された有機物は、二酸化マンガン13の触媒作用により完全酸化される。【0027】このとき、廃液21aの処理を開始した後は、有機物の酸化により発熱するため、ヒーター14による加熱又は熱交換型反応器15における放熱は、基本的に不要となる。【0028】熱交換部40は、二重管構造を有する向流型熱交換方式の熱交換器41と、水42aが貯蔵されているタンク42、タンク42から熱交換器41に水42aを供給するポンプ43を有する。このとき、熱交換器41に供給された水42aと、内管11から排出された生成物の間で熱交換することにより、水蒸気が発生する。【0029】気液分離部50は、熱交換器41から排出された生成物を大気圧まで減圧する背圧弁51と、減圧された生成物を気液分離する気液分離器52を有する。このとき、気液分離器52は、減圧された生成物を、無機酸等を僅かに含む水と、二酸化炭素ガス、窒素ガス等を含む気体に分離し、無機酸等を僅かに含む水が回収される。無機酸等を僅かに含む水は、水質基準を確認した後、工業用水として再利用される。【0030】なお、二酸化マンガン13の代わりに、PtO、PdO、Ag2O、CdO、V2O5、Cr2O3、CeO2、Al2O3、ThO2、RuO2、PtO2、CuO、NiO、Co3O4、Au等を用いてもよい。【0031】また、外管12に空気を供給する空気供給部30の代わりに、外管12にオゾンを供給するオゾン供給部や外管12に過酸化水素水を供給する過酸化水素水供給部を用いてもよい。【0032】さらに、酸化カルシウム15bの代わりに、硫酸カルシウム、酸化マグネシウム等の水蒸気と可逆的に反応することが可能な物質を用いてもよい。【0033】また、水17aの代わりに、アンモニア、メタノール等を用いてもよい。【0034】水17aの代わりに、アンモニアを用いる場合、アンモニアと可逆的に反応することが可能な物質としては、特に限定されないが、塩化カルシウム、塩化ニッケル等が挙げられる。【0035】水17aの代わりに、メタノールを用いる場合、メタノールと可逆的に反応することが可能な物質としては、特に限定されないが、臭化リチウム、塩化カルシウム等が挙げられる。【0036】さらに、内管11の内面に、熱交換型反応器15が接合されていてもよい。【0037】図3に、廃液処理装置100Aの変形例を示す。廃液処理装置100A'は、反応部10Aの代わりに、円環状の管15a'に酸化カルシウム(不図示)が充填されている熱交換型反応器15'が、内管11の外面に接合されており、熱交換型反応器15'の外面にコイル状のヒーター14が設置されている反応部10A'を用いる以外は、廃液処理装置100Aと同一の構成である。【0038】なお、ヒーター14は、内管11と熱交換型反応器15'の間に設置されていてもよい。【0039】図4に、廃液処理装置100A'の変形例を示す。廃液処理装置100A'は、反応部10A'の代わりに、外管12を省略して、内管(反応器)11からなる一重管構造を有する反応部10A'を用い、内管11に空気を供給する以外は、廃液処理装置100A'と同一の構成である。【0040】図5に、本発明の廃液処理装置の第二の実施形態を示す。なお、図5において、図1と同一の構成については、同一の符号を付して説明を省略する。水及び有機物を含む廃液21aを処理する廃液処理装置100Bは、水を亜臨界水、過熱水蒸気又は超臨界水に変化させると共に、有機物を酸化させる内管11'及び外管12からなる二重管構造を有する反応器10Bと、内管11'に廃液21aを供給する廃液供給部20と、外管12に空気を供給する空気供給部30と、内管11'から排出された生成物と熱交換する熱交換部40と、内管11'から排出された生成物を気液分離する気液分離部50を有する。内管11'の廃液21aが供給される側の端面には、外管12に導入された空気及び水蒸気を内管11'に導入する貫通孔11aが形成されている。また、内管11'の廃液21aが供給される側と反対側の端部の近傍に二酸化マンガン13が充填されている。【0041】また、内管11'の外面には、コイル状のヒーター14が設置されている。このとき、内管11'の内部の温度は、温度センサTで検出され、所定の温度になるようにヒーター14が制御される。【0042】さらに、内管11'と外管12の間には、酸化カルシウム(不図示)が充填されており、熱交換型反応器を構成している。このとき、酸化カルシウムは、メッシュ12aにより保持されている。また、外管12は、開閉弁16Aを介して、水17aが充填されている蒸発器17'と接続されており、蒸発器17'には、水17aの温度を調節する熱交換コイル17bが設置されている。なお、水17aを加熱する場合は、熱交換コイル17bをヒーターとして用いることができる。【0043】このとき、開閉弁16Aを開いた状態で、必要に応じて、熱交換コイル17bを用いて、水17aを加熱して水蒸気を発生させると、酸化カルシウムと反応して水酸化カルシウムが生成することにより熱が発生し、放熱される。このため、内管11を加熱することができる。【0044】一方、有機物を酸化させることにより発生した熱により、水蒸気と酸化カルシウムが反応することにより生成した水酸化カルシウムが加熱されて、水蒸気が発生し、蓄熱される。このとき、発生した水蒸気は、内管11に導入された後、生成物と共に、内管11から排出され、気液分離部50で気液分離される。この場合は、蒸発器17'に水17aを適宜補充する必要がある。【0045】以上のようにして、内管11'では、廃液供給部20から供給された廃液21aと、空気導入部30から外管12を経由して導入された空気が混合される。このとき、空気は、外管12を経由して導入されるため、予熱される。次に、内管11'と外管12の間における放熱により、廃液21aに含まれる水が亜臨界水、過熱水蒸気又は超臨界水に変化されると共に、廃液21aに含まれる有機物が酸化されて低分子化される。このとき、必要に応じて、ヒーター14を用いて、内管11'を加熱してもよい。さらに、低分子化された有機物は、二酸化マンガン13の触媒作用により完全酸化される。【0046】このとき、廃液21aの処理を開始した後は、有機物の酸化により発熱するため、ヒーター14による加熱又は内管11'と外管12の間における放熱は、基本的に不要となる。【0047】なお、蒸発器17'の代わりに、空気供給部30と同様の水蒸気供給部を設置して外管12に水蒸気を供給してもよい。【実施例】【0048】以下、本発明を実施例に基づいて、具体的に説明する。【0049】[実施例1]図1の廃液処理装置100Aを用いて、8質量%メタノール水溶液を処理した。【0050】まず、開閉弁16A、16B及び16Cを閉じた状態で、真空ポンプ18を作動させた後、開閉弁16Bを開き、熱交換型反応器15内の圧力を1Pa以下にして、開閉弁16Bを閉じた。次に、開閉弁16Cを開いて、脱気した後、開閉弁16Cを閉じ、真空ポンプ18を停止させた。さらに、開閉弁16Aを開いて、蒸発凝縮器17から熱交換型反応器15に水蒸気を供給し、酸化カルシウム15bと水蒸気を反応させ、内管11内の温度を330℃にした。この際、蒸発凝縮器17に水17aと熱交換する熱交換器を設置して、水17aの温度を15℃に制御した。【0051】次に、開閉弁23及び32を開き、ポンプ22を用いて、8質量%メタノール水溶液を10MPaで内管11に供給すると共に、コンプレッサー31を用いて、空気を10.5MPaで外管12に供給した。このとき、8質量%メタノール水溶液の内管11における滞留時間を1分間とした。また、内管11に充填する二酸化マンガン13を5gとした。【0052】次に、内管11から排出された生成物は、熱交換器41において、ポンプを用いて供給された水42aにより瞬時に25℃に冷却された。さらに、冷却された生成物は、背圧弁51により減圧された後、気液分離器52により液体成分と気体成分に分離された。【0053】気液分離器52により分離された液体成分と気体成分を分析したところ、TOC基準で99.999%の分解率が達成されていること、液体成分が水を含有すること、気体成分が二酸化炭素と水を含有することが確認された。この結果から、蒸発凝縮器17から熱交換型反応器15に水蒸気を供給することにより、8質量%メタノール水溶液の処理を開始できることが検証された。また、蒸発凝縮器17内の水17aの水位に変化が無いことが確認された。この結果から、蒸発凝縮器17から熱交換型反応器15に供給された水蒸気と酸化カルシウム15bが反応することにより生成した水酸化カルシウムが、メタノールの酸化により発生した熱により、酸化カルシウムと水蒸気に分解し、蒸発凝縮器17で水蒸気が凝縮したことが検証された。なお、熱交換器41から発生した水蒸気の温度は170℃であった。【0054】[実施例2]8質量%メタノール水溶液の代わりに、2質量%メタノール水溶液を用いた以外は、実施例1と同様にして、処理した。【0055】気液分離器52により分離された液体成分と気体成分を分析したところ、TOC基準で99.999%の分解率が達成されていること、液体成分が水を含有すること、気体成分が二酸化炭素と水を含有することが確認された。この結果から、蒸発凝縮器17から熱交換型反応器15に水蒸気を供給することにより、2質量%メタノール水溶液の処理を開始できることが検証された。また、蒸発凝縮器17内の水17aの水位に変化が無いことが確認された。この結果から、蒸発凝縮器17から熱交換型反応器15に供給された水蒸気と酸化カルシウム15bが反応することにより生成した水酸化カルシウムが、メタノールの酸化により発生した熱により、酸化カルシウムと水蒸気に分解し、蒸発凝縮器17で水蒸気が凝縮したことが検証された。なお、熱交換器41から発生した水蒸気の温度は170℃であった。【0056】[実施例3]8質量%メタノール水溶液の代わりに、5質量%メタノール水溶液を用いた以外は、実施例1と同様にして、処理した。【0057】気液分離器52により分離された液体成分と気体成分を分析したところ、TOC基準で99.999%の分解率が達成されていること、液体成分が水を含有すること、気体成分が二酸化炭素と水を含有することが確認された。この結果から、蒸発凝縮器17から熱交換型反応器15に水蒸気を供給することにより、5質量%メタノール水溶液の処理を開始できることが検証された。また、蒸発凝縮器17内の水17aの水位に変化が無いことが確認された。この結果から、蒸発凝縮器17から熱交換型反応器15に供給された水蒸気と酸化カルシウム15bが反応することにより生成した水酸化カルシウムが、メタノールの酸化により発生した熱により、酸化カルシウムと水蒸気に分解し、蒸発凝縮器17で水蒸気が凝縮したことが検証された。なお、熱交換器41から発生した水蒸気の温度は170℃であった。【0058】[実施例4]蒸発器17'の代わりに、空気供給部30と同様の水蒸気供給部を設置して外管12に水蒸気を供給した以外は、図5の廃液処理装置100Bと同一の構成の廃液処理装置を用いて、8質量%メタノール水溶液を処理した。【0059】水蒸気供給部の開閉弁を開き、コンプレッサーを用いて、水蒸気を0.05MPaで外管12に供給し、酸化カルシウムと水蒸気を反応させ、内管11'内の温度を450℃にした後、開閉弁を閉じた。【0060】次に、開閉弁23及び32を開き、ポンプ22を用いて、8質量%メタノール水溶液を10MPaで内管11'に供給すると共に、コンプレッサー31を用いて、空気を10.5MPaで外管12に供給した。このとき、8質量%メタノール水溶液の内管11'における滞留時間を1分間とした。また、内管11'に充填する二酸化マンガン13を5gとした。【0061】次に、内管11'から排出された生成物は、熱交換器41において、ポンプを用いて供給された水42aにより瞬時に25℃に冷却された。さらに、冷却された生成物は、背圧弁51により減圧された後、気液分離器52により液体成分と気体成分に分離された。【0062】同様の処理を2時間サイクルで5回実施した。【0063】気液分離器52により分離された液体成分と気体成分を分析したところ、5回の処理のいずれにおいても、TOC基準で99.999%の分解率が達成されていること、液体成分が水を含有すること、気体成分が二酸化炭素と水を含有することが確認された。この結果から、コンプレッサーを用いて、外管12に水蒸気を供給することにより、8質量%メタノール水溶液の処理を開始できることが検証された。また、コンプレッサーを用いて、外管12に供給された水蒸気と酸化カルシウムが反応することにより生成した水酸化カルシウムが、メタノールの酸化により発生した熱により、酸化カルシウムと水蒸気に分解したことが検証された。なお、熱交換器41から発生した水蒸気の温度は170℃であった。
A
2012139719
2011000129
20110104
null
null
20120726
2012139719
20120726
null
null
5626792
20141010
null
null
null
高強度鋼板の圧延方法
000001199
株式会社神戸製鋼所
100061745
安田 敏雄
柳 修介,難波 茂信,赤水 宏,小林 正宜,佐野 研一
null
8
B21B 1/26 (20060101), B21B 1/22 (20060101), B21B 3/00 (20060101), C22C 38/00 (20060101), C22C 38/04 (20060101), C21D 9/46 (20060101)
B21B 1/26 D ,B21B 1/22 K ,B21B 3/00 A ,C22C 38/00 301U ,C22C 38/04 ,C21D 9/46 F
2
null
null
null
0
11
4E002,4K037
4E002 AA07 ,4E002 AD01 ,4E002 AD05 ,4E002 BA01 ,4E002 BC07 ,4E002 BD03 ,4E002 BD07 ,4E002 CB01,4K037 EA06 ,4K037 EA15 ,4K037 EA16 ,4K037 EA27 ,4K037 EA28 ,4K037 EB05 ,4K037 EB09 ,4K037 FA02 ,4K037 FC04 ,4K037 FD04 ,4K037 FD05 ,4K037 FD08 ,4K037 FE01 ,4K037 FE06 ,4K037 JA02 ,4K037 JA03
【課題】設備の増設や製造工程の複雑化を招来することなく冷間圧延機への負荷を大幅に軽減しつつ冷間圧延を行う。【解決手段】本発明の高強度鋼板の圧延方法は、熱間圧延機と、熱間圧延機の下流側に配備されて熱間圧延された圧延材を冷却する冷却帯と、冷却帯の下流側に配備されて冷却された圧延材を巻き取る巻取機とを備える熱間圧延設備で、圧延材としてCを0.1~0.3mass%、Mnを1.0~3.0mass%、Siを0.8~2.0mass%含む高強度鋼板を圧延するに際して、熱間圧延機の最終圧延スタンドの出側温度が870℃~900℃になるように鋼板を熱間圧延した後、冷却帯中で熱間圧延された高強度鋼板を600~700℃の温度で10秒以上空冷し、空冷された鋼板を熱間圧延設備の下工程で冷間圧延することを特徴とするものである。【選択図】図1
【請求項1】圧延材を熱間圧延する熱間圧延機と、当該熱間圧延機の下流側に配備されて熱間圧延された前記圧延材を冷却する冷却帯と、当該冷却帯の下流側に配備されて冷却された前記圧延材を巻き取る巻取機とを備える熱間圧延設備で、前記圧延材としてCを0.1~0.3mass%、Mnを1.0~3.0mass%、Siを0.8~2.0mass%含む高強度鋼板を圧延するに際して、前記熱間圧延機の最終圧延スタンドの出側温度が870℃~900℃になるように前記高強度鋼板を熱間圧延した後、前記冷却帯中で熱間圧延された高強度鋼板を600~700℃の温度で10秒以上空冷し、前記空冷された高強度鋼板を前記熱間圧延設備の下工程で冷間圧延することを特徴とする高強度鋼板の圧延方法。【請求項2】前記巻取機において、前記冷却帯で空冷された高強度鋼板を450~550℃の温度で巻き取ることを特徴とする請求項1に記載の高強度鋼板の圧延方法。
【請求項1】圧延材を熱間圧延する熱間圧延機と、当該熱間圧延機の下流側に配備されて熱間圧延された前記圧延材を冷却する冷却帯と、当該冷却帯の下流側に配備されて冷却された前記圧延材を巻き取る巻取機とを備える熱間圧延設備で、前記圧延材としてCを0.1~0.3mass%、Mnを1.0~3.0mass%、Siを0.8~2.0mass%含む高強度鋼板を圧延するに際して、前記熱間圧延機の最終圧延スタンドの出側温度が870℃~900℃になるように前記高強度鋼板を熱間圧延した後、前記冷却帯中で熱間圧延された高強度鋼板を600~700℃の温度で10秒以上空冷し、前記空冷された高強度鋼板を前記熱間圧延設備の下工程で冷間圧延することを特徴とする高強度鋼板の圧延方法。
【技術分野】【0001】本発明は、高強度鋼板を熱間圧延に引き続いて冷間圧延するに際して冷間圧延機への負荷を低減しつつ圧延することができる高強度鋼板の圧延方法に関するものである。
【背景技術】【0002】近年、自動車や鉄道車両のフレームなどの主要構成部にいわゆるハイテン材を用いた高強度冷延鋼板が多用されるようになっている。この高強度冷延鋼板(以下、単に鋼板という)は、熱間圧延、冷間圧延、連続焼鈍に順番で圧延されており、これらの工程の中でも特に熱間圧延機に対する負荷を下げるために熱間圧延時の加工温度を高くした条件で一般に熱間圧延が行われている。【0003】ところが、自動車軽量化の流れの中で鋼板の製品板厚は薄くなる傾向にあり、その分だけ熱間圧延機に加えて冷間圧延機に対する負荷も上がる傾向にある。特に、冷間圧延工程での圧延荷重が高いSi-Mn系の鋼板の場合には、1回の冷間タンデム圧延では目標板厚まで圧延できず冷間タンデム圧延工程を複数回通板しなければならない場合もあり、生産性を阻害する要因になっている。また、強度の高いこれらの鋼板では、冷間圧延で平坦度不良が発生しやすく、形状の乱れに起因する圧延トラブルや品質異常も発生している。【0004】このような鋼板の製造時の問題は、自動車軽量化のニーズに対応して冷間圧延しようとする鋼板の強度が高くなればなるほど、あるいは鋼板の薄肉化が進めば進むほどますます顕在化すると考えられる。つまり、より高強度の鋼種を鋼板に用いる場合や鋼板をさらに薄肉に圧延する場合には、冷間圧延する前に鋼板の強度を下げる、言い替えれば熱間圧延上がりでの鋼板の強度を予め下げなければ冷間圧延機に対する負荷が非常に大きくなってしまう。【0005】このように熱間圧延上がりでの鋼板の強度を調整する技術はいくつか開発されている。例えば、特許文献1には、熱間仕上げ圧延を完了した後のホットランテーブル上で熱延板(鋼板)のヒートパターンを制御して、熱延板をパーライト量の変動幅が一定範囲に抑えられたベイナイト主体の組織とし、冷間圧延のときに生じる板厚変動を抑制する技術が開示されている。【0006】また、特許文献2や特許文献3には、熱間圧延後の熱延板に対して連続焼鈍炉あるいはバッチ式の焼鈍炉の中で焼きなましを行い、熱延板の強度を下げてから冷間圧延機に供給することで冷間圧延機に対する負荷を低減できる技術が開示されている。
【発明が解決しようとする課題】【0008】しかしながら、特許文献1に開示された熱延板のヒートパターン制御を行うと、硬質のベイナイト相が組織中に増えて鋼板の強度が上がるため、Si-Mn系のように強度が高い鋼板を冷間圧延する場合にはむしろ冷間圧延機への負荷を大幅に増加させる虞がある。また、特許文献2あるいは特許文献3の技術では、焼鈍により歪みが開放されるため熱延板の強度を下げることができるかもしれないが、焼鈍用の設備を新たに設ける必要があり、また焼鈍工程を加えることで高強度鋼板の製造工程が複雑なものとなるため、製造コストの高騰が避けられないという問題がある。【0009】本発明は、上述の問題に鑑みてなされたものであり、その目的は熱間圧延後の冷却工程で鋼板のヒートパターンを制御することで鋼板の組織中に軟質なフェライト相を増加させ、設備の増設や製造工程の複雑化を招来することなく冷間圧延機への負荷を大幅に軽減しつつ冷間圧延を行うことができる高強度鋼板の圧延方法を提供することにある。
【課題を解決するための手段】【0010】前記目的を達成するため、本発明は次の技術的手段を講じている。即ち、本発明の高強度鋼板の圧延方法は、圧延材を熱間圧延する熱間圧延機と、当該熱間圧延機の下流側に配備されて熱間圧延された前記圧延材を冷却する冷却帯と、当該冷却帯の下流側に配備されて冷却された前記圧延材を巻き取る巻取機とを備える熱間圧延設備で、前記圧延材としてCを0.1~0.3mass%、Mnを1.0~3.0mass%、Siを0.8~2.0mass%含む高強度鋼板を圧延するに際して、前記熱間圧延機の最終圧延スタンドの出側温度が870℃~900℃になるように前記高強度鋼板を熱間圧延した後、前記冷却帯中で熱間圧延された高強度鋼板を600~700℃の温度で10秒以上空冷し、前記空冷された高強度鋼板を前記熱間圧延設備の下工程で冷間圧延することを特徴とする。【0011】本発明者は、ベイナイト相やパーライト相に比べて軟質なフェライト相を熱間圧延後の鋼板組織中に増加させることができれば、鋼板の強度が下がって冷間圧延機への負荷を軽減することができるのではないかと考えた。そして、熱間圧延機の最終圧延スタンドの出側温度が870℃~900℃になるように鋼板を熱間圧延した後、熱間圧延された鋼板を600~700℃の温度で10秒以上空冷すれば、熱間圧延後の鋼板組織中のベイナイトを中心とする第2相をフェライト相に効率良く変態させることができ、ひいては冷間圧延機への負荷を大きく軽減しつつ冷間圧延できることを知見して本発明を完成させたのである。【0012】なお、前記巻取機において、前記冷却帯で空冷された高強度鋼板を450~550℃の温度で巻き取るのが好ましい。
【発明の効果】【0013】本発明の高強度鋼板の圧延方法により、熱間圧延後の冷却工程で鋼板のヒートパターンを制御することで鋼板の組織中に軟質なフェライト相を増加させ、設備の増設や製造工程の複雑化を招来することなく冷間圧延機への負荷を大幅に軽減しつつ冷間圧延を行うことができる。
【発明を実施するための形態】【0015】以下、本発明の高強度鋼板の圧延方法を以下に説明する。本発明の圧延方法は、圧延材を熱間圧延し、熱間圧延後に空冷(冷却)してから巻き取る熱間圧延工程と、熱間圧延工程で熱間圧延された圧延材を冷間圧延する冷間圧延工程とを備えており、冷間圧延工程後に連続焼鈍などを行って高強度冷延鋼板を製造するものである。この圧延方法の圧延材Pには、Cを0.1~0.3mass%、Mnを1.0~3.0mass%、Siを0.8~2.0mass%含むSi-Mn系高張力鋼(Si-Mn系ハイテン材)の鋼板が用いられる。【0016】この鋼板P(圧延材)を熱間圧延する圧延設備1、言い替えれば本発明の圧延方法に用いられる圧延設備1は、熱間圧延ライン2と冷間圧延ライン3とを備えている。図1に示されるように、熱間圧延ライン2は、熱間圧延機4の上流側に鋼板Pを加熱する加熱炉5を有しており、所定の温度に加熱した鋼板Pを下流側に送ることができるようになっている。熱間圧延ライン2は、加熱炉5の下流側に配備されて鋼板Pを熱間圧延する熱間圧延機4と、熱間圧延機4の下流側に配備されて熱間圧延された鋼板Pを冷却する冷却帯6とを備えている。熱間圧延ライン2は、冷却帯6の下流側に冷却帯6で冷却された鋼板Pを巻き取る巻取機7を備えており、冷却帯6で冷却された鋼板Pを一旦巻き取ってから冷間圧延ライン3に送る構成となっている。【0017】なお、以下の説明において、図1の紙面の左側を圧延設備1又は圧延方法を説明する際の圧延方向の上流側と、また紙面の右側を圧延設備1又は圧延方法を説明する際の圧延方向の下流側と呼ぶ。熱間圧延機4は熱間粗圧延機8と熱間仕上圧延機9とを有しており、これらの圧延機8、9はそれぞれ上流側から下流側にかけて複数並んだ圧延スタンド10を備えている。それぞれの圧延スタンド10は、鋼板Pを上下から挟み込んで圧延する一対のワークロール11と、これらのワークロール11を支持する一対のバックアップロール12とを有する4段圧延機であり、鋼板Pを徐々に圧下しながら所定の板厚まで圧延できるようになっている。熱間圧延機4で圧延された鋼板Pは、熱間圧延機4の下流側に配備された冷却帯6に送られる。【0018】冷却帯6は、内部が空洞とされた箱状に形成されており、空洞とされた内部を貫通するように鋼板Pが水平に通過する構造となっている。冷却帯6の内部には、鋼板Pに対して冷却水又は冷却水のミストを噴き付けるノズル(図示略)が複数設けられている。冷却帯6の内部においては、鋼板Pは冷却水又はミストを浴びながら、あるいは冷却水やミストを含まない空気だけを噴きつけながら搬送され冷却される。そして、冷却帯6は、これらのノズルから噴き付けられる冷却水又はミストの供給量を調整することで、鋼板Pを所定の冷却温度や後述する巻取機7での巻取温度に合わせることができるようになっている。【0019】このようにして冷却帯6で冷却された鋼板Pは、巻取機7に送られ、ここでコイル状態に巻き取られた後、冷間圧延機13に送られる。次に、上述の熱間圧延ライン2を用いた鋼板Pの圧延方法、すなわち本実施形態の鋼板Pの圧延方法について説明する。図2に示すように、本実施形態の圧延方法は、加熱炉5で鋼板Pを1100℃の雰囲気中に30分保持して加熱し、次に加熱された鋼板Pを熱間粗圧延機8及び熱間仕上圧延機9で熱間圧延する構成となっている。【0020】そして、本実施形態の圧延方法では、冷却帯6の上流部6aに配備された複数のノズルから多量の冷却水又はミストを供給して鋼板Pの温度を700℃まで短時間で冷却した後、冷却帯6の中央部6bでは冷却水などの供給を停止又は放冷することで鋼板Pを600℃~700℃で後述するように10秒以上の時間をかけて徐々に冷却する。その後、冷却帯6の下流部6cに配備された複数のノズルから再び多量の冷却水又はミストを供給して鋼板Pの温度を後述する巻取機7での巻取温度(500±50℃)まで冷却する。【0021】このようにして熱間圧延ライン2で熱間圧延された鋼板Pは、熱間圧延ライン2の下流側に配備された冷間圧延ライン3に送られ冷間圧延される。上述の圧延方法においては、鋼板Pは加熱炉5で1100℃で30分間に亘り加熱され、次に熱間圧延機4で熱間圧延される。このとき、圧延しようとする鋼板PがSi-Mn系のように高強度な鋼材である場合、熱間圧延時の温度が低すぎると熱間圧延機4に大きな負荷が加わるため、一般には熱間圧延機4(熱間仕上圧延機9)で鋼板Pの温度を高くして熱間圧延が行われる。具体的には、最終圧延スタンド10の出側温度が900℃以上、例えば920℃~940℃と高い温度になるように熱間圧延が行われることが多い。【0022】そこで、最初に920℃~940℃のときの鋼板Pの金属組織を600℃~700℃で冷却した場合の金属組織の変化(変態)を、図3(a)に示されるSi-Mn系高強度鋼材のTTT線図を用いて考察する。図3(a)の加工温度920℃のときのTTT曲線から判断すると、熱間圧延後の鋼板Pの組織を形成するオーステナイト相(図中のA)がフェライト相(図中のF)に変態(以下、フェライト変態という)するには、600℃~700℃程度の温度で一定時間に亘り冷却する必要がある。このフェライト変態を開始させるのに必要な保持時間は例えば冷却温度が700℃を例に挙げれば18秒程度は必要である。【0023】ところが、冷却帯6の長さは一般的な熱間圧延機4では100m~150m程度、長いものでも200mであり、この中を鋼板Pは10m/sの通板速度で通過するため、鋼板Pが冷却帯6の中に存在する時間は最大でも20秒程度である。また、冷却帯6の上流部6aや下流部6cは600℃~700℃の温度範囲から外れておりフェライト変態の温度帯としては有効ではない。これらの点を総合的に勘案すると、冷却帯6中で鋼材を600℃~700℃の温度で冷却する時間は設備上の制約から実際には20秒を下回る時間しか許容されていないと判断される。【0024】従って、熱延上がりの鋼板温度を920℃とすると、鋼板Pが冷却帯6を通過する際に600℃~700℃の温度で冷却される時間がフェライト変態に必要な時間を下回る可能性が高く、鋼板Pの金属組織が十分にフェライト変態しないまま冷間圧延工程に送られるので、フェライト相より硬質なベイナイト相で主に構成される鋼板Pを冷間圧延することになり、冷間圧延機13に大きな負担を強いることになる。【0025】そこで、本発明の圧延方法では、まず熱間圧延機4の最終圧延スタンド10の出側温度が900℃以下、好ましくは870℃~900℃になるように鋼板Pを熱間圧延した後、熱間圧延された鋼板Pを600~700℃で10秒以上、好ましくは12秒以上冷却する。つまり、図3(b)の熱延上がりの鋼板温度が850℃のTTT線図から明らかなように、鋼板温度を900℃以下に下げるとTTT図におけるノーズが短時間側に遷移し、フェライト変態に必要な時間も短くなる。このフェライト変態に必要な時間は、鋼板温度が850℃の場合は(変態の温度が700℃のときで)5秒程度であるが、870℃の場合で10秒程度、900℃の場合でも12秒程度であり、設備上の制約から許容される時間内に収まるものとなる。【0026】つまり、熱延上がりの鋼板温度を900℃以下にすれば、フェライト変態に必要な時間が短くなるので、冷却帯6を通過する際に600℃~700℃の温度で鋼板Pを冷却する時間内で鋼板Pの組織が十分にフェライト変態し、ベイナイト相より軟質なフェライト相の割合(フェライト分率)が大きくなって冷間圧延の際に冷間圧延機13に対する負荷を大きく低減することが可能となる。【0027】なお、鋼板温度を従来の920℃以上から870℃~900℃にすると、冷間圧延機13への負担は小さくなるが、熱間圧延機4への負担は逆に大きくなる。しかし、熱間圧延条件や熱間圧延の制御技術を最適化することによりこのような熱間圧延機4への負担の増加分は相殺することができる。しかし、鋼板温度を870℃よりさらに低い850℃とすれば、熱間圧延機4への負担が大きくなり過ぎてしまい、熱間圧延条件や圧延制御技術の最適化でも負担の増加分を相殺できなくなるので、鋼板温度は870℃以上とされるのが好ましい。【0028】また、本実施形態のように冷却帯6の長さが熱間圧延機4の中でも最も長い200mである場合は、冷却帯6を通過する際に600℃~700℃の温度で鋼板Pを冷却するために12秒程度の時間を設備上許容することができる。それゆえ、上述の場合は熱間圧延された鋼板Pを600~700℃で12秒以上かけて冷却することもできる。上述のようにして冷却帯6で冷却された鋼板Pは、巻取機7を用いて巻き取られる。この巻取機7は、450~550℃の温度で鋼材を巻き取る構成とされている。このように冷却後の鋼板Pを巻き取る温度を450℃~550℃とすることで、Si-Mn系のようにシリコンが多い鋼種であってもコイル冷却中にSiO2が表層から結晶粒界に沿って内部に濃化する、いわゆる粒界酸化を防止することが可能となる。そこで、本発明の圧延方法では、巻き取り温度の制御精度も含めて鋼板Pを450~550℃の温度で巻き取る構成とされている。【0029】なお、巻取り温度を高温化(例えば600℃)にすれば、自己焼鈍効果により鋼板Pを軟質化できる。ただし、Siを多く含む鋼種(例えばSiを0.8%以上含むもの)では、巻取り温度を550℃以上にすると、上述した粒界酸化が顕著に進行することが知られている。ホットコイルは巻取り後に酸洗により表面のスケールを除去した後にタンデム圧延工程に進むが、粒界酸化が表層から内部まで進行すると、通常の酸洗では除去できず、粒界酸化されたSiO2が表層に粉状に残留する。この状態で後段のタンデム圧延工程に進むと、表面キズが発生する。従って、550℃より高い温度で巻取ることによる軟質化は、本発明のような高強度鋼板(高Si鋼)については適用できず、より軟質のフェライト層を多く形成させる、という本願の組織制御の方法でしか鋼板P(冷間圧延用の板)を軟質化することはできない。【0030】なお、SiやMnの量が鋼板Pの範囲よりもっと低い鋼材については、もともと強度レベルが高くなく、軟質化は不要となる。そこで、本発明の圧延方法は、550℃巻取りで粒界酸化が発生し、かつ冷間圧延時の強度が高いことで、圧延能率を著しく下げる鋼種(具体的には、上述したようにC:0.1~0.3mass%、Mn:1~3mass%、Si:0.8~2.0mass%)を対象としている。【実施例】【0031】次に、実施例を用いて本発明の圧延方法をさらに詳しく説明する。表1に示されるように、実施例及び比較例(従来例)は、加熱炉5を用いて加熱した鋼板Pを実験用の熱間圧延機4を用いて最終圧延スタンド10の出側温度が872℃~934℃で変化するように熱間圧延し、次に冷却帯6の中で600℃~700℃の温度で15秒間冷却し、次に実験用の冷間圧延機13を用いて冷間圧延したものである。【0032】【表1】【0033】実施例及び比較例の圧延に用いたテストピースは、Cが0.2mass%、Siが1.5mass%、Mnが2.0mass%となるように鋳造されたSi-Mn系のビレットを裁断したものであり、13mmt×40mmw×150mmlのサイズに形成されている。このテストピースは、加熱炉5で1100℃で30分間に亘って加熱され、次に実験用の熱間圧延機4で熱間圧延される。【0034】熱間圧延機4は、熱間粗圧延機8と熱間仕上圧延機9とを有するものを用いた。この熱間圧延機4においては、熱間粗圧延機8でテストピースを圧下率43~45%で圧延した後、連続して熱間仕上圧延機9で圧下率37%に仕上げ圧延した。熱間圧延機4には圧延方向の3箇所に亘ってそれぞれ非接触温度計が設けられており、これらの非接触温度計を用いて熱間粗圧延機8入側、熱間仕上圧延機9の入側及び出側(最終圧延スタンド10の出側)でのテストピースの表面温度を計測した。【0035】次に、熱間圧延後のテストピースを冷却帯6で冷却した。この冷却帯6では、鋼板Pは、圧延方向の上流部6a、中央部6b、下流部6cに分けてそれぞれ異なる冷却条件で冷却される。冷却帯6の上流部6aではノズルから冷却水を鋼板Pに噴き付けて、熱間圧延後のテストピースを70℃/sの冷却速度で700℃まで短時間に冷却した。そして、テストピースの温度が700℃になってから冷却帯6の中央部6bに移送し、この中央部6bでテストピースを水平に案内しながら冷却水の噴射を停止して600℃~700℃で15秒間に亘り空冷する。最後に、冷却帯6の下流部6cで、テストピースを、100℃/sの冷却速度で500℃まで冷却し、テストピースの温度が500℃になってから巻取機7による巻き取りを開始し、450℃~550℃の温度で30分間に亘ってテストピースを巻き取った。【0036】最後に、巻き取られたテストピースを冷間圧延ライン3に送り、この冷間圧延ライン3で冷間圧延を行った。この冷間圧延機13は、図示はしないが第1圧延機~第3圧延機を備えており、テストピースを第1圧延機で圧下率14%、第2圧延機で圧下率22%、第3圧延機で圧下率20%に冷間圧延できるようになっている。この冷間圧延機13でテストピースを冷間圧延する際に、第1圧延機~第3圧延機のそれぞれに加わる圧延荷重を実施例と比較例とで比較して表2に示す。【0037】【表2】【0038】表1の「仕上出側の温度」の項目を比較すると分かるように、実施例1は最終圧延スタンド10の出側での温度を比較例の934℃より低い902℃にして熱間圧延したものである。表1の「熱間圧延工程」における「圧延荷重」の結果から、このように最終圧延スタンド10の出側での温度を低くした実施例1では圧延荷重が比較例の36tより大きい40tとなっており、熱間圧延機4に対する負担が若干上がっている。【0039】しかし、表2の「冷間圧延」における「圧延荷重」の項目を見ると、実施例1の「圧延荷重」の方が比較例の42.9t(第1圧延機)、61.6t(第2圧延機)、68.7t(第3圧延機)より小さい42.0t(第1圧延機)、59.0t(第2圧延機)、65.5t(第3圧延機)となっており、冷間圧延機13に対する負荷が小さくなったことが分かる。【0040】また、実施例1と同様に表1の「仕上出側の温度」の項目を比較すると分かるように、実施例2は最終圧延スタンド10の出側での温度を比較例の934℃より低い872℃にして熱間圧延したものである。表1の「熱間圧延工程」における「圧延荷重」の結果から、このように最終圧延スタンド10の出側での温度を比較例及び実施例1よりさらに低くした実施例2では、圧延荷重が比較例の36tより大きい45tとなっており、熱間圧延機4に対する負担が実施例1より若干上がっている。【0041】しかし、表2の「冷間圧延」における「圧延荷重」の項目を見ると、実施例2の方が比較例及び実施例1より小さい41.0t(第1圧延機)、58.0t(第2圧延機)、65.0t(第3圧延機)となっており、冷間圧延機13に対する負荷がさらに小さくなったことが分かる。以上の結果を総合的に勘案すると、熱間圧延機4の最終圧延スタンド10の出側温度を870℃~900℃にすれば、TTT線図に示されるノーズの位置が短時間側に遷移してフェライト変態に必要な時間が短くなり、設備上の制約から許容される時間内で鋼板Pの組織が十分にフェライト変態し、鋼板Pの組織中に軟質なフェライト相がさらに増加して冷間圧延機13への負荷を大幅に軽減しつつ冷間圧延を行うことができると判断される。【0042】本発明は上記各実施形態に限定されるものではなく、発明の本質を変更しない範囲で各部材の形状、構造、材質、組み合わせなどを適宜変更可能である。
A
2012139789
2011000115
20110104
null
null
20120726
2012139789
20120726
null
null
5666920
20141219
null
null
null
粗倣い制御を行うロボットの制御装置
390008235
ファナック株式会社
100099759
青木 篤
佐藤 貴之,岩竹 隆裕
null
8
B25J 13/08 (20060101)
B25J 13/08 Z
13
null
null
null
0
37
3C007,3C707
3C007 AS12 ,3C007 KS34 ,3C007 KX06 ,3C007 LT07 ,3C007 LU08 ,3C007 LV14 ,3C007 LV15,3C707 AS12 ,3C707 KS34 ,3C707 KX06 ,3C707 LT07 ,3C707 LU08 ,3C707 LV14 ,3C707 LV15
【課題】形状が未知の部分や障害物等がワークに存在する場合でも、ロボット、作業ツール及びワークに対して過大な負荷をかけることなく、なるべくワークの形状に沿った迅速な倣い制御を可能とするロボット制御装置の提供。【解決手段】ロボット制御装置14は、ツールとワークとの間に作用する力を検出する力検出手段16と、ロボット12の動作切り替えの判定及びパラメータ調整を行う動作切り替え判定・動作パラメータ調整部34と、動作切り替え判定・動作パラメータ調整部34による動作指令に基づいて、ロボット12に送る指令を演算する指令演算部36とを有する。【選択図】図2
【請求項1】作業ツールとワークの一方に対して他方をロボットの手先部によって相対的に動かし、両者間に作用する力を制御するロボット制御装置において、前記両者間に作用する力を検出する力検出手段と、所定の基準進行方向と基準押付方向とに基づいて実行され、所定の方向に、所定移動時間又は所定移動距離を限度に移動する第1の粗倣い構成動作及び前記所定方向とは異なる方向に、所定移動時間又は所定移動距離を限度に移動する第2の粗倣い構成動作からなる粗倣い動作を実行する粗倣い動作実行手段と、前記ロボットの手先部を移動させる基本移動動作の実行中に検出された、前記両者間に作用する力における、所定方向の成分の評価結果、又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に基づいて基本移動動作から粗倣い動作に切り替える第1の動作切り替え手段と、粗倣い動作実行中の動作切り替え判定において、粗倣い動作中に検出された、前記両者間に作用する力の評価結果又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に基づいて、粗倣い動作から基本移動動作への切り替え、第1の粗倣い構成動作から第2の粗倣い構成動作への切り替え、第1の粗倣い構成動作から別の第1の粗倣い構成動作への切り替え、第2の粗倣い構成動作から第1の粗倣い構成動作への切り替え、及び、第2の粗倣い構成動作から別の第2の粗倣い構成動作への切り替えのいずれかを実行する、第2の動作切り替え手段と、を備えたことを特徴とするロボット制御装置。【請求項2】前記基本移動動作は、前記両者間に作用する力が目標値と一致するように、前記一方に前記他方を接触し続けながら移動させる倣い動作であり、前記第1の動作切り替え手段は、前記両者間に作用する力における、前記ロボットの手先部の進行方向の成分と、予め定めた第1の閾値との比較結果又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に応じて、基本移動動作から粗倣い動作に切り替えるものであり、前記第2の動作切り替え手段は、粗倣い動作実行中の動作切り替え判定において、第2の粗倣い構成動作の移動方向は、基準進行方向と同じ方向の成分を含む方向であり、第2の粗倣い構成動作の実行中に、前記両者間に作用する力と予め定めた第2の閾値との比較結果に応じて第1の粗倣い構成動作又は基本移動動作に切り替え、第2の粗倣い構成動作の最後まで、第1の粗倣い構成動作への前記切り替えを行わないとき、粗倣い動作から基本移動動作に切り替えるものであることを特徴とする、請求項1のロボット制御装置。【請求項3】前記第1の動作切り替え手段は、前記両者間に作用する力における、前記ロボットの手先部の進行方向の成分と、予め定めた第1の閾値との比較結果又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に応じて、基本移動動作から粗倣い動作に切り替えるものであり、粗倣い動作において、第2の粗倣い構成動作の移動方向は、基準進行方向と同じ方向の成分を含む方向とし、前記第2の動作切り替え手段が、第2の粗倣い構成動作の実行中に、前記両者間に作用する力と予め定めた第2の閾値との比較結果に応じて第1の粗倣い構成動作に切り替える動作を、第1の粗倣いパターン動作とし、粗倣い動作において、第2の粗倣い構成動作の移動方向は、基準押付方向と同じ方向の成分を含む方向とし、又は、基本移動動作が教示軌道に基づいて移動する場合、前記教示軌道に近付く方向とし、前記第2の動作切り替え手段が、前記ツールまたはワークの位置と、前記指定された軌道の距離の評価結果に基づいて、粗倣い動作から基本移動動作に切り替える動作を粗倣い軌道復帰動作とし、前記第2の動作切り替え手段は、粗倣い動作実行中の動作切り替え判定において、第1の粗倣いパターン動作の実行中に、第2の粗倣い構成動作の最後まで、第1の粗倣い構成動作への動作切り替えを行わないとき、粗倣い軌道復帰動作に切り替え、粗倣い軌道復帰動作の実行中に、基準進行方向成分の力の大きさが予め定めた第3の閾値以上である場合、第1の粗倣いパターン動作に切り替えることを特徴とする、請求項1のロボット制御装置。【請求項4】前記基本移動動作は、該両者間に作用する力が目標値と一致するように、前記一方に前記他方を接触し続けながら移動させる倣い動作であり、前記第1の動作切り替え手段は、前記両者間に作用する力における、前記ロボットの手先部の進行方向の成分と、予め定めた第4の閾値との所定比較時間又は所定比較回数の比較結果、及び、前記両者間に作用する力における、前記ロボットの手先部の押付方向の成分と、予め定めた第5の閾値との所定比較時間又は所定比較回数の比較結果又は前記ロボットが動かしている前記作業ツール又はワークの位置の評価結果に応じて、基本移動動作から粗倣い動作に切り替えるものであり、前記第2の動作切り替え手段は、粗倣い動作実行中の動作切り替え判定において、第2の粗倣い構成動作の移動方向は、基準進行方向と逆方向の成分を含む方向であり、粗倣い動作実行中に、前記両者間に作用する力における、所定方向の成分と、予め定めた第6の閾値との比較結果又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に応じて、粗倣い動作から基本移動動作に切り替えるものであることを特徴とする、請求項1のロボット制御装置。【請求項5】前記第2の動作切り替え手段は、前記動作切り替え判定において、第1の粗倣い構成動作の実行時に、前記両者間に作用する力が、予め定めた第7の閾値を超えたとき、第1の粗倣い構成動作の移動する向きを、検出される力の大きさ及び方向に基づいて変更し、別の第1の粗倣い構成動作を行い、別の第1の粗倣い構成動作の実行時に、該別の第1の粗倣い構成動作が完了するまで、所定の条件を満たさないとき、第2の粗倣い構成動作に切り替えることを特徴とする、請求項1、2又は3のロボット制御装置。【請求項6】前記第2の動作切り替え手段は、第1の粗倣い構成動作の実行後に、別の第1の粗倣い構成動作を行う場合において、別の第1の粗倣い構成動作で所定移動時間又は所定移動距離、移動したときの位置が、基準押付方向と逆方向の位置について、一連の第1の粗倣い構成動作における開始時からそれまでで、前記基準押付方向と逆方向に最も進んだ位置から所定距離以下なら、移動方向を基準押付方向の逆方向の成分を含む方向にし、又は基準進行方向の逆方向の成分を含む方向にし、別の第1の粗倣い構成動作を行うように動作を切り替えることを特徴とする、請求項1、2、3又は5のロボット制御装置。【請求項7】前記第2の動作切り替え手段は、前記動作切り替え判定において、第1の粗倣い構成動作の実行時に、前記両者間に作用する力における、基準押付方向の成分が、予め定めた第8の閾値以上である場合、粗倣い動作から基本移動動作に切り替え、前記両者間に作用する力における、基準押付方向の成分が、前記第8の閾値以上でなく、かつ、前記両者間に作用する力における、基準進行方向の成分が、予め定めた第9の閾値以上である場合、第2の粗倣い構成動作、又は別の第1の粗倣い構成動作、又は基本移動動作に切り替え、前記両者間に作用する力における、基準押付方向の成分が前記第8の閾値以上でなく、かつ、前記両者間に作用する力における、基準進行方向の成分が、前記第9の閾値以上でなく、かつ、前記作業ツールと前記ワークとの間に作用する力が予め定めた第10の閾値以上である場合、第1の粗倣い構成動作の移動する向きを、検出される力の大きさ及び方向に基づいて変更し、別の第1の粗倣い構成動作を行うように動作を切り替え、別の第1の粗倣い構成動作の実行時に、該別の第1の粗倣い構成動作が完了するまで、所定の条件を満たさなければ、第2の粗倣い構成動作に切り替えることを特徴とする、請求項1又は4のロボット制御装置。【請求項8】前記第2の動作切り替え手段は、前記動作切り替え判定において、第2の粗倣い構成動作の実行時に、前記両者間に作用する力における、基準押付方向の成分が、予め定めた第11の閾値以上である場合、粗倣い動作から基本移動動作に切り替え、前記両者間に作用する力における、基準押付方向の成分が、前記第11の閾値未満、かつ、前記両者間に作用する力が、予め定めた第12の閾値以上であるとき、第1の粗倣い構成動作に切り替え、第2の粗倣い構成動作の実行時に、該第2の粗倣い構成動作が完了するまで、所定の条件を満たさないとき、第1の粗倣い構成動作に切り替えることを特徴とする、請求項1、4又は7のロボット制御装置。【請求項9】前記第2の動作切り替え手段は、第2の粗倣い構成動作の実行時に、前記所定移動時間又は前記所定移動距離だけ移動しても、前記両者に作用する力が、予め定めた第13の閾値未満である場合、第2の粗倣い構成動作の所定移動時間、所定移動速度及び所定距離のうち少なくとも1つを大きくするか、又は、前記移動方向を基準進行方向の逆方向に所定量だけずらす、動作調整手段を含むことを特徴とする、請求項1、4、7又は8のロボット制御装置。【請求項10】前記第2の動作切り替え手段は、粗倣い動作実行時に、第1の粗倣い構成動作若しくは第2の粗倣い構成動作を所定回数以上繰り返し実行しても、又は、所定時間が経過するまで繰り返し実行しても、第1の粗倣い構成動作の開始位置、又は第2の粗倣い構成動作の開始位置の少なくとも2つ以上のそれぞれの開始位置に基づく開始位置の変化量が所定値以下のとき、第1の粗倣い構成動作又は第2の粗倣い構成動作を行うときの、移動方向を変えるか、又は、第1の粗倣い構成動作の所定移動時間、所定移動速度及び所定移動距離、並びに第2の粗倣い構成動作の所定移動時間、所定移動速度及び所定移動距離のうち少なくとも1つを大きくする動作調整手段を含むことを特徴とする、請求項1~9の内、何れか1項のロボット制御装置。【請求項11】前記第2の動作切り替え手段は、前記粗倣い動作実行時に、第1の粗倣い構成動作若しくは第2の粗倣い構成動作を所定回数以上繰り返した場合若しくは所定時間経過した場合、粗倣い動作への切り替え時の開始位置からの距離が予め定めた第14の閾値以上の場合、又は、基本移動動作が教示軌道に基づく場合かつ教示軌道からの最短距離が前記第14の閾値以上の場合、前記ロボットの手先部の動作を止めることを特徴とする、請求項1~10の内、何れか1項のロボット制御装置。【請求項12】前記第2の動作切り替え手段は、粗倣い動作実行時の、第1の粗倣い構成動作又は第2の粗倣い構成動作の実行時に、前記両者間に作用する力が、予め定めた第15の閾値以上である場合、第1の粗倣い構成動作又は第2の粗倣い構成動作における所定移動速度を、そのときの所定移動速度より小さくする動作調整手段を含むことを特徴とする、請求項1~11の内、何れか1項のロボット制御装置。【請求項13】粗倣い実行中又は基本移動動作の実行中に取得した位置データをもとに、所定の速度指令で動かす教示データを生成する教示データ生成手段を備えることを特徴とする、請求項1~12の内、何れか1項のロボット制御装置。
【請求項1】作業ツールとワークの一方に対して他方をロボットの手先部によって相対的に動かし、両者間に作用する力を制御するロボット制御装置において、前記両者間に作用する力を検出する力検出手段と、所定の基準進行方向と基準押付方向とに基づいて実行され、所定の方向に、所定移動時間又は所定移動距離を限度に移動する第1の粗倣い構成動作及び前記所定方向とは異なる方向に、所定移動時間又は所定移動距離を限度に移動する第2の粗倣い構成動作からなる粗倣い動作を実行する粗倣い動作実行手段と、前記ロボットの手先部を移動させる基本移動動作の実行中に検出された、前記両者間に作用する力における、所定方向の成分の評価結果、又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に基づいて基本移動動作から粗倣い動作に切り替える第1の動作切り替え手段と、粗倣い動作実行中の動作切り替え判定において、粗倣い動作中に検出された、前記両者間に作用する力の評価結果又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に基づいて、粗倣い動作から基本移動動作への切り替え、第1の粗倣い構成動作から第2の粗倣い構成動作への切り替え、第1の粗倣い構成動作から別の第1の粗倣い構成動作への切り替え、第2の粗倣い構成動作から第1の粗倣い構成動作への切り替え、及び、第2の粗倣い構成動作から別の第2の粗倣い構成動作への切り替えのいずれかを実行する、第2の動作切り替え手段と、を備えたことを特徴とするロボット制御装置。
【技術分野】【0001】本発明は、広くは倣い制御を行うロボット制御装置に関し、特には、ロボットによるバリ取り、研削、研磨、ロールヘム加工等の倣い作業の自動化、或いはロボットの移動動作中における障害物への対応の自動化を実現するためのロボット制御装置に関する。
【背景技術】【0002】ロボットによりバリ取り、研磨、研削等の加工作業を行うため、或いはそのための教示データの生成のために、ロボットアーム先端部分に取り付けた作業ツールを適切な力でワークに押付けながら作業ツールを移動させる倣い動作が行われている。同様の目的のために、ロボットアーム先端部分に取り付けたハンドにより把持されたワークを適切な力で、固定された加工機械に押付けながらハンドを移動させる場合もある。このとき、ワークと作業ツールとの間に働く作用力が適切な値になるように、該作用力を、力覚センサを用いて検出し、又は、機械駆動部のアクチュエータの電流値を用いることにより該作用力を推定し、検出又は推定された作用力をフィードバックして作業ツールの位置が制御される。【0003】このような倣い動作の方法として、従来、以下に述べるような様々な方法が実施され又は提案されている。(1)倣い加工の方法としての、加工工具を教示された軌道に沿って動かし、該軌道上に存在するバリなどを削る方法(2)倣い加工・教示の方法としての、特許文献1のように、ワークからの反力に基づいて、ロボットとワークとの接点の法線方向を求め、押付方向及び進行方向を算出し、ワーク表面に対して一定力を加えながら倣う方法(3)特許文献2-4に記載のような、予めワークから離れた位置、押付方向の粗教示をしておき、それに基づいて、位置制御をしながら押付方向について力制御を行い、ワーク表面に倣う方法【0004】上述のような倣い方法において、大きくかつ不連続な凸形状部や凹形状部が存在すると、ツールを適切に倣わして動かすことができないという問題が発生する。【0005】これに対して特許文献5では、過負荷が発生した場合に、過負荷発生位置を基準として、過負荷発生要因部に対して進行方向側の背後に研削工具を移動させ、進行方向と逆側に移動させながら研削を行い、過負荷発生要因部を取り除き、その後、もとの研削作業を再開するという方法が提案されている。また特許文献6では、予め教示された軌道に沿ってグラインダを動かしているときに、過大バリに接触して負荷電流が一定の設定値を越えた場合、段階的に離れた複数の研削軌道を生成して、該研削軌道のうち教示軌道より離れたものから近いものに向かい順にグラインダを動かしながら段階的にバリのある方向に近づけて繰り返し研削するという方法が提案されている。【0006】また特許文献7では、加工済みワークの加工面に対して粗教示点を設け、該粗教示点と1つ前の粗教示点とを結ぶ方向に加工工具を進めるとき、力の検出値が予め設定した値以上なら、次の粗教示点の方向に動かすことによって凹形状の角部に対応するという方法が提案されている。また特許文献8では、ツールの移動方向の力が設定値よりも大きいかどうかを判定し、予め設定した動作に切り替えることによって、ツールの押付方向と移動方向を変え、凹コーナー部の次の面に連続的に倣わせる方法が提案されている。さらに特許文献9では、エンドエフェクタにかかる反力が所定範囲にあるかどうかを判断し、凹コーナー部に対しては反力が所定範囲より大きいか、凸コーナー部に対しては反力が所定範囲より小さいかを見ることによって、反力が所定範囲にないとき、予め次に指定した動作命令を実行することによってコーナー部に倣わせるという方法が提案されている。
【発明が解決しようとする課題】【0008】上述のように特許文献1-4に記載の発明では、対象物において、教示軌道とは大きく異なる形状部が存在したり、サイズが大きくかつ不連続な形状部が存在したりすると、ツールを適切に倣わして動かすことができないため、ロボットやツールやワークに過負荷をかけたり、システムの稼動を止めたりするという問題が発生する。このとき特許文献2-4のように粗教示点をもとに進行方向を決め、押付方向に対して力制御を行う場合では、与えられる進行方向と大きく異なる凸形状部に衝突した場合や、凹形状部に嵌った場合、適切に回避するための移動指令を得ることができないため、該形状部を回避することができない。【0009】特許文献5及び6の方法は、決められた軌道上を動きながら過負荷発生要因を削除することを目的としており、背後に回って削る動作や段階的に離れた複数の軌道を生成する動作において、予めワークや工具毎に過負荷要因部の大きさを予測し、予め冗長な軌道を用意しなければならなかったり、工具等が必要以上に大きく動いたりするため、未知形状のワークに対して好適な倣い動作を行うことが難しい。【0010】また特許文献7-9の方法では、条件判定後の次の動作に切り替えるときに、次の部分に沿う動作を予め教示しておかねばならず、手間であり、またその動作が実際の形状と異なる場合は工具等を倣わすことができず、故に未知な形状に対しては倣わせることができない。また、ワークからの反力やワークの形状をもとに進行方向と押付方向を求め、ワークを連続的に倣わせる場合であっても、連続的に倣わせ続けるよりも、場所によっては形状の確認動作や対象物に対する離隔を繰り返して、ワーク形状に近い位置を素早く動かすことが望ましい場合もある。【0011】そこで本発明は、形状が未知の部分や障害物等がワークに存在する場合でも、ロボット、作業ツール及びワークに対して過大な負荷をかけることなく、なるべくワークの形状に沿った迅速な倣い制御を可能とするロボット制御装置を提供することを目的とする。
【課題を解決するための手段】【0012】上記目的を達成するために、本発明は、作業ツールとワークの一方に対して他方をロボットの手先部によって相対的に動かし、両者間に作用する力を制御するロボット制御装置において、前記両者間に作用する力を検出する力検出手段と、所定の基準進行方向と基準押付方向とに基づいて実行され、所定の方向に、所定移動時間又は所定移動距離を限度に移動する第1の粗倣い構成動作及び前記所定方向とは異なる方向に、所定移動時間又は所定移動距離を限度に移動する第2の粗倣い構成動作からなる粗倣い動作を実行する粗倣い動作実行手段と、前記ロボットの手先部を移動させる基本移動動作の実行中に検出された、前記両者間に作用する力における、所定方向の成分の評価結果、又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に基づいて基本移動動作から粗倣い動作に切り替える第1の動作切り替え手段と、粗倣い動作実行中の動作切り替え判定において、粗倣い動作中に検出された、前記両者間に作用する力の評価結果又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に基づいて、粗倣い動作から基本移動動作への切り替え、第1の粗倣い構成動作から第2の粗倣い構成動作への切り替え、第1の粗倣い構成動作から別の第1の粗倣い構成動作への切り替え、第2の粗倣い構成動作から第1の粗倣い構成動作への切り替え、及び、第2の粗倣い構成動作から別の第2の粗倣い構成動作への切り替えのいずれかを実行する、第2の動作切り替え手段と、を備えたことを特徴とするロボット制御装置を提供する。【0013】また本発明のロボット制御装置は、前記基本移動動作は、前記両者間に作用する力が目標値と一致するように、前記一方に前記他方を接触し続けながら移動させる倣い動作であり、前記第1の動作切り替え手段は、前記両者間に作用する力における、前記ロボットの手先部の進行方向の成分と、予め定めた第1の閾値との比較結果又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に応じて、基本移動動作から粗倣い動作に切り替えるものであり、前記第2の動作切り替え手段は、粗倣い動作実行中の動作切り替え判定において、第2の粗倣い構成動作の移動方向は、基準進行方向と同じ方向の成分を含む方向であり、第2の粗倣い構成動作の実行中に、前記両者間に作用する力と予め定めた第2の閾値との比較結果に応じて第1の粗倣い構成動作又は基本移動動作に切り替え、第2の粗倣い構成動作の最後まで、第1の粗倣い構成動作への前記切り替えを行わないとき、粗倣い動作から基本移動動作に切り替えるものであることを特徴とする。【0014】また本発明のロボット制御装置は、前記第1の動作切り替え手段は、前記両者間に作用する力における、前記ロボットの手先部の進行方向の成分と、予め定めた第1の閾値との比較結果又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に応じて、基本移動動作から粗倣い動作に切り替えるものであり、粗倣い動作において、第2の粗倣い構成動作の移動方向は、基準進行方向と同じ方向の成分を含む方向とし、前記第2の動作切り替え手段が、第2の粗倣い構成動作の実行中に、前記両者間に作用する力と予め定めた第2の閾値との比較結果に応じて第1の粗倣い構成動作に切り替える動作を、第1の粗倣いパターン動作とし、粗倣い動作において、第2の粗倣い構成動作の移動方向は、基準押付方向と同じ方向の成分を含む方向とし、又は、基本移動動作が教示軌道に基づいて移動する場合、前記教示軌道に近付く方向とし、前記第2の動作切り替え手段が、前記ツールまたはワークの位置と、前記指定された軌道の距離の評価結果に基づいて、粗倣い動作から基本移動動作に切り替える動作を粗倣い軌道復帰動作とし、前記第2の動作切り替え手段は、粗倣い動作実行中の動作切り替え判定において、第1の粗倣いパターン動作の実行中に、第2の粗倣い構成動作の最後まで、第1の粗倣い構成動作への動作切り替えを行わないとき、粗倣い軌道復帰動作に切り替え、粗倣い軌道復帰動作の実行中に、基準進行方向成分の力の大きさが予め定めた第3の閾値以上である場合、第1の粗倣いパターン動作に切り替えることを特徴とする。【0015】また本発明のロボット制御装置は、前記基本移動動作は、該両者間に作用する力が目標値と一致するように、前記一方に前記他方を接触し続けながら移動させる倣い動作であり、前記第1の動作切り替え手段は、前記両者間に作用する力における、前記ロボットの手先部の進行方向の成分と、予め定めた第4の閾値との所定比較時間又は所定比較回数の比較結果、及び、前記両者間に作用する力における、前記ロボットの手先部の押付方向の成分と、予め定めた第5の閾値との所定比較時間又は所定比較回数の比較結果又は前記ロボットが動かしている前記作業ツール又はワークの位置の評価結果に応じて、基本移動動作から粗倣い動作に切り替えるものであり、前記第2の動作切り替え手段は、粗倣い動作実行中の動作切り替え判定において、第2の粗倣い構成動作の移動方向は、基準進行方向と逆方向の成分を含む方向であり、粗倣い動作実行中に、前記両者間に作用する力における、所定方向の成分と、予め定めた第6の閾値との比較結果又は前記ロボットが動かしている前記作業ツール若しくはワークの位置の評価結果に応じて、粗倣い動作から基本移動動作に切り替えるものであることを特徴とする。【0016】また本発明のロボット制御装置は、前記第2の動作切り替え手段は、前記動作切り替え判定において、第1の粗倣い構成動作の実行時に、前記両者間に作用する力が、予め定めた第7の閾値を超えたとき、第1の粗倣い構成動作の移動する向きを、検出される力の大きさ及び方向に基づいて変更し、別の第1の粗倣い構成動作を行い、別の第1の粗倣い構成動作の実行時に、該別の第1の粗倣い構成動作が完了するまで、所定の条件を満たさないとき、第2の粗倣い構成動作に切り替えることを特徴とする。【0017】また本発明のロボット制御装置は、前記第2の動作切り替え手段は、第1の粗倣い構成動作の実行後に、別の第1の粗倣い構成動作を行う場合において、別の第1の粗倣い構成動作で所定移動時間又は所定移動距離、移動したときの位置が、基準押付方向と逆方向の位置について、一連の第1の粗倣い構成動作における開始時からそれまでで、前記基準押付方向と逆方向に最も進んだ位置から所定距離以下なら、移動方向を基準押付方向の逆方向の成分を含む方向にし、又は基準進行方向の逆方向の成分を含む方向にし、別の第1の粗倣い構成動作を行うように動作を切り替えることを特徴とする。【0018】また本発明のロボット制御装置は、前記第2の動作切り替え手段は、前記動作切り替え判定において、第1の粗倣い構成動作の実行時に、前記両者間に作用する力における、基準押付方向の成分が、予め定めた第8の閾値以上である場合、粗倣い動作から基本移動動作に切り替え、前記両者間に作用する力における、基準押付方向の成分が、前記第8の閾値以上でなく、かつ、前記両者間に作用する力における、基準進行方向の成分が、予め定めた第9の閾値以上である場合、第2の粗倣い構成動作、又は別の第1の粗倣い構成動作、又は基本移動動作に切り替え、前記両者間に作用する力における、基準押付方向の成分が前記第8の閾値以上でなく、かつ、前記両者間に作用する力における、基準進行方向の成分が、前記第9の閾値以上でなく、かつ、前記作業ツールと前記ワークとの間に作用する力が予め定めた第10の閾値以上である場合、第1の粗倣い構成動作の移動する向きを、検出される力の大きさ及び方向に基づいて変更し、別の第1の粗倣い構成動作を行うように動作を切り替え、別の第1の粗倣い構成動作の実行時に、該別の第1の粗倣い構成動作が完了するまで、所定の条件を満たさなければ、第2の粗倣い構成動作に切り替えることを特徴とする。【0019】また本発明のロボット制御装置は、前記第2の動作切り替え手段は、前記動作切り替え判定において、第2の粗倣い構成動作の実行時に、前記両者間に作用する力における、基準押付方向の成分が、予め定めた第11の閾値以上である場合、粗倣い動作から基本移動動作に切り替え、前記両者間に作用する力における、基準押付方向の成分が、前記第11の閾値未満、かつ、前記両者間に作用する力が、予め定めた第12の閾値以上であるとき、第1の粗倣い構成動作に切り替え、第2の粗倣い構成動作の実行時に、該第2の粗倣い構成動作が完了するまで、所定の条件を満たさないとき、第1の粗倣い構成動作に切り替えることを特徴とする。【0020】また本発明のロボット制御装置は、前記第2の動作切り替え手段は、第2の粗倣い構成動作の実行時に、前記所定移動時間又は前記所定移動距離だけ移動しても、前記両者に作用する力が、予め定めた第13の閾値未満である場合、第2の粗倣い構成動作の所定移動時間、所定移動速度及び所定距離のうち少なくとも1つを大きくするか、又は、前記移動方向を基準進行方向の逆方向に所定量だけずらす、動作調整手段を含むことを特徴とする。【0021】また本発明のロボット制御装置は、前記第2の動作切り替え手段は、粗倣い動作実行時に、第1の粗倣い構成動作若しくは第2の粗倣い構成動作を所定回数以上繰り返し実行しても、又は、所定時間が経過するまで繰り返し実行しても、第1の粗倣い構成動作の開始位置、又は第2の粗倣い構成動作の開始位置の少なくとも2つ以上のそれぞれの開始位置に基づく開始位置の変化量が所定値以下のとき、第1の粗倣い構成動作又は第2の粗倣い構成動作を行うときの、移動方向を変えるか、又は、第1の粗倣い構成動作の所定移動時間、所定移動速度及び所定移動距離、並びに第2の粗倣い構成動作の所定移動時間、所定移動速度及び所定移動距離のうち少なくとも1つを大きくする動作調整手段を含むことを特徴とする。【0022】また本発明のロボット制御装置は、前記第2の動作切り替え手段は、前記粗倣い動作実行時に、第1の粗倣い構成動作若しくは第2の粗倣い構成動作を所定回数以上繰り返した場合若しくは所定時間経過した場合、粗倣い動作への切り替え時の開始位置からの距離が予め定めた第14の閾値以上の場合、又は、基本移動動作が教示軌道に基づく場合かつ教示軌道からの最短距離が前記第14の閾値以上の場合、前記ロボットの手先部の動作を止めることを特徴とする。【0023】また本発明のロボット制御装置は、前記第2の動作切り替え手段は、粗倣い動作実行時の、第1の粗倣い構成動作又は第2の粗倣い構成動作の実行時に、前記両者間に作用する力が、予め定めた第15の閾値以上である場合、第1の粗倣い構成動作又は第2の粗倣い構成動作における所定移動速度を、そのときの所定移動速度より小さくする動作調整手段を含むことを特徴とする。【0024】また本発明のロボット制御装置は、粗倣い実行中又は基本移動動作の実行中に取得した位置データをもとに、所定の速度指令で動かす教示データを生成する教示データ生成手段を備えることを特徴とする。
【発明の効果】【0025】本発明によれば、ロボットを用いてツールとワークとを相対的に移動させているときに、障害物、壁、穴、大きな凹凸等の未知な形状があった場合でも、基本移動動作と粗倣い動作とを、力検出手段で検出する力の情報、及びロボットが動かしている物体の位置情報の少なくとも一方に基づいて適宜切り替えることにより、ロボット、作業ツール及びワークに対して過負荷を与えず、好適な回避動作を行いつつなるべく形状に沿った迅速な動作を行うことが可能となる。
【発明を実施するための形態】【0027】以下、添付図面を参照しつつ、本願発明の好適な実施形態について説明する。なお特に断らない限り、「力」は、ツールとワークとの間に作用する力によってもたらされ、力検出手段によって得られたデータに基づく物理量とする。また「所定」又は「予め定めた」なる語は、関連する処理が実行されるまでに定められればよいという意味で用いられ、いつ定めるかについての特段の制約はないものとする。また、以降の説明や図においては、第1の粗倣い構成動作を粗倣い構成動作1、第2の粗倣い構成動作を粗倣い構成動作2とも記述する。【0028】図1は、本発明に係るロボット制御装置を含むロボットシステムの構成例を示す図である。ロボットシステム10は、ロボット12と、ロボット12の動作、具体的には該ロボットの各軸の位置を制御するロボット制御装置14とを有する。なお図示例のロボットは6自由度の多関節型ロボットであるが、本願発明を実施するに際し6自由度の多関節型ロボットである必要はない。ロボット12のアーム先端には力検出手段としての力センサ16と、ワーク加工等の用途に供される作業ツール18とが取り付けられ、力センサ16はツール18に作用する力を検出する。またツール18が加工すべきワーク20は、例えば作業台22等の所定の位置に配置される。配置された該ワーク20は、移動動作が可能な装置などによって移動させてもよい。【0029】図2は、ロボット制御装置14の処理機能と各機器等の関係を示すブロック図である。ロボット12の各軸の位置を検出するエンコーダ等の各軸位置検出部24からの検出データが、位置、速度、加速度検出・算出部26に送られる。位置、速度、加速度検出・算出部26は、各軸位置検出部24からの所定時間間隔毎の各軸位置データ等に基づき、ロボット12の位置、速度及び加速度を検出・算出する。或いは加速度は、図示しない加速度センサを用いて求めてもよい。【0030】位置、速度、加速度検出・算出部26の処理結果は、作用力算出部28に送られる。作用力算出部28は、力検出手段16で検出した力又はモーメント、位置、速度、加速度検出・算出部26からの位置、速度及び加速度に関するデータ、ロボットの各構成要素の質量・重心位置等のデータ、並びに作業ツール18の進行方向、押付方向に関するデータに基づいて、ツール18とワーク20との間に作用する作用力、さらには、ツール18とワーク20との間に作用する作用力のうち、所定方向の成分を算出することができる。【0031】作用力算出部28での算出に使用されるパラメータは、第1のパラメータ格納部30及び第2のパラメータ格納部32に格納することができる。図2の例では、第1のパラメータ格納部30には、後述する基本移動動作、粗倣い動作を行うための動作パラメータが格納される。一方第2のパラメータ格納部32には、力センサがロボット手首に設けられ、その先にツール又はワークが取り付けられている場合に、ツールとワークとの間に作用する正味の力以外で、該力センサに作用する力を算出するために必要なパラメータが格納されており、例えばロボットの各構成要素の質量や重心位置のデータが格納されている。また特に示していないが、後述する算出方法において必要となるパラメータも、ロボット制御装置内部に格納することができる。【0032】動作切り替え判定・動作パラメータ調整部34は、ロボットの位置・速度・加速度と、ツールとワークとの間に作用する作用力、又は該作用力のうちの所定方向の成分と、基本移動動作、粗倣い構成動作1、粗倣い構成動作2のうち、現在行われている動作と、基本移動動作のパラメータ及び粗倣い動作のパラメータに基づいて、動作切り替えの判定を行うとともに、場合によっては第1のパラメータ格納部30内のパラメータを変更し、基準進行方向及び基準押付方向等をもとに、指令演算部36に対して、行うべき動作を指定する動作指令を作成する機能を備える。各動作の詳細については後述する。【0033】指令演算部36は、ロボットの位置・速度・加速度と、ツールとワークとの間に作用する作用力又は該作用力のうちの所定方向の成分と、動作切り替え判定・動作パラメータ調整部34による動作指令に基づいて、ロボット12に送る指令を演算する。なお本実施形態では、粗倣い動作実行手段、動作調整手段及び教示データ生成手段の各機能は、指令演算部36が担うものとする。【0034】なお基準進行方向、基準押付方向算出・更新部38は、第1のパラメータ格納部30に格納されているデータと、位置、速度、加速度検出・算出部26の検出・算出結果とに基づいて、必要に応じて、ワークに対するツールの基準進行方向及び基準押付方向(後述)を算出し又は更新する。算出され又は更新された基準進行方向及び基準押付方向は、作用力算出部28での処理、及び、粗倣い構成動作1又は粗倣い構成動作2の移動動作のパラメータの算出や調整等に使用される。【0035】本実施形態における力検出手段16は、X、Y、Z軸方向の並進力及び各軸回りのモーメントを検出できる力センサとする。該力センサは、ロボット12の手首と作業ツール18との間に配置される。好適な力センサは6軸の力センサであるが、目的とする方向に作用する力を検出できる力センサであれば、検出できる力及びモーメントの自由度や検出原理等は問われず、どのようなタイプの力センサであってもよい。また、力センサはロボット12の手首部及びロボット12が載置されている床面のいずれに設置されてもよく、要するにツール18とワーク20との間に作用する力を算出できるのであれば、その設置場所に制約はない。さらに、力センサを用いずに、ロボット12を駆動するアクチュエータの電流値に基づいて力を推定する手段を力検出手段16として用いることも可能である。【0036】次に、ロボット制御装置14からロボット12に対して指令される各動作について説明する。先ず基本移動動作は、ロボット12を用いて、ツール18とワーク20とを相対的に移動させる動作であり、具体的には以下の動作が挙げられる。(1)予め教示された位置等に基づいて指定された軌道に沿って動作(2)ツールとワークとの間に作用する力が目標値と一致するように力制御を行いながら、ワークの形状に沿ってツールを倣わせる動作ここで(2)における力制御としては、以下のような具体的方法が考えられる。(2a)教示軌道によって与えられる各位置における目標進行方向が、該教示軌道における進行ベクトルによって与えられており、該位置を参考として力制御を行う(2b)教示軌道を中心にばね成分を持たせた押付制御を行いながら、該教示軌道に基づいて力制御を行う(2c)ツールとワークとの間に作用する力、及び移動中のツール又はワークの位置に基づいて、ワークの形状を推定しながら力制御を行う上記以外の方法であっても、ロボットを用いてツールとワークとを相対的に移動させる動作であれば、本発明の趣旨から外れないことは当業者には明らかである。【0037】次に、粗倣い動作について説明する。粗倣い動作は、上述の粗倣い構成動作1及び粗倣い構成動作2から構成される。粗倣い構成動作1は、ツール及びワークの一方を他方から、各時点の位置よりも(通常は局所的に)遠ざける動作であって、予め定めた移動時間又は予め定めた移動距離を限度にツール又はワークを移動させる動作である。また粗倣い構成動作2は、ロボットが移動させている一方に対して他方の存在を確認しようとする動作であり、多くの場合、ツール及びワークの一方を他方から、各時点の位置よりも(通常は局所的に)近付ける動作であって、予め定めた移動時間又は予め定めた移動距離を限度にツール又はワークを移動させる動作である。【0038】詳細は後述するが、粗倣い動作実行中は、力検出手段で検出する力の情報、或いは又はこれに加えて、ロボットが動かしている物体の粗倣い動作実行中の位置情報、基準進行方向及び基準押付方向に基づいて、粗倣い構成動作1又は粗倣い構成動作2の移動方向、移動速度、移動時間及び移動距離の少なくとも1つを、必要に応じて変化させながら、ワーク上の異物や障害物等に対してツールを適切に移動させることができる。【0039】上述の基準進行方向及び基準押付方向とは、粗倣い動作実行中のある位置において、粗倣い構成動作1又は粗倣い構成動作2を行うときに、その移動方向を定めるために基準とする方向である。すなわち基準進行方向とは、予め又は動作実行中に定められる方向であって、粗倣い動作実行中に基準とする進行方向であり、より具体的には、粗倣い動作実行中にツールを進ませるために参考にする(通常は移動方向に概ね一致)方向である。一方基準押付方向とは、予め又は動作実行中に定められる方向であって、粗倣い動作実行中に基準とする押付方向であり、より具体的には、粗倣い動作実行中にワークに対して押付動作をするために参考にする(通常は押付方向に概ね一致)方向であり、上記基準進行方向に基づいてある領域を通過する際の押付方向である。但し、上記基本移動動作が位置制御に基づくものである場合は、該基準押付方向は教示軌道に近付く方向とする。【0040】以下、図3及び図4を参照して、上記基準進行方向及び基準押付方向の定め方の具体例を説明する。図3に示すように、ワーク20の表面に沿ってツール18を右方から左方へ移動させる場合に、ワーク20に予期せぬ凹凸形状、すなわち凸状部分40及び凹状部分42が存在するとする。この場合、凸状部分40はツールの通過動作の障害となるが、基準進行方向は、矢印44で示すように凸状部分40が存在しない(ワーク形状は破線のように平坦である)とした場合の基準進行方向(予め教示軌道等によって与えられる移動方向)を適用し、一方基準押付方向は、矢印46で示すように、基準進行方向44に垂直かつワークに向かう方向とする。【0041】一方、凹状部分42についても、基準進行方向は、矢印48で示すように凹状部分42が存在しない(ワーク形状は破線のように平坦である)とした場合の基準進行方向(予め教示軌道等によって与えられる移動方向)を適用し、一方基準押付方向は、矢印50で示すように、基準進行方向48に垂直かつワークに向かう方向とする。【0042】他の例として、図4のようにワーク20が凹面状部分52を有し、凹面状部分52に予期せぬ凸状部分54が存在する場合を考える。この場合も、凸状部分54はツールの通過動作の障害となるが、基準進行方向は、矢印56で示すように凸状部分54が存在しない(ワーク形状は破線のような滑らかな凹面形状である)とした場合の基準進行方向(予め教示軌道等によって与えられる移動方向)を適用し、一方基準押付方向は、矢印58で示すように、基準進行方向56に垂直かつワークに向かう方向とする。【0043】図4のように教示軌道56が曲がっていて,教示軌道上の位置に対応する進行方向及び押付方向が算出できる場合は、粗倣い動作実行中の位置から教示軌道に対して直交するように、或いは最短距離となる方向に線を引いたときの交点に対応する、進行方向や押付方向を用いてもよい。或いは押付方向として、対応する教示された進行方向をもとに算出した方向を用いてもよい。【0044】このように、基準進行方向は、ワークに対してツールがある領域を通過する際に大局的に進ませたい方向とする。具体的には、障害となる部分を通過する方向、予期せぬ大きな凹凸形状部分を通過する方向、障害物がないとした場合に教示軌道によって与えられる移動方向である。また基準押付方向は、上記基準進行方向に基づいて、障害物がないとした場合に教示軌道に基づいて倣う場合のツールの押付方向、障害となる形状や予期せぬ大きな凹凸形状部分を通過する際の押付方向となる。但し、上記基本移動動作が位置制御である場合、基準押付方向は教示軌道に近付く方向とする。【0045】図3及び図4は基準進行方向及び基準押付方向の定め方の一例であり、故に基準進行方向及び基準押付方向は、一連の作業を通して設定された方向等の、予め設定された方向を使用してもよい。【0046】また基準進行方向及び基準押付方向は、基本移動動作から粗倣い動作に切り替えたときに予め設定されていた教示データによって与えられる方向としてもよい。【0047】或いは基準進行方向及び基準押付方向は、基本移動動作から粗倣い動作に切り替えたときに実際に移動していた位置に基づいて算出した進行方向と、該進行方向に基づいて算出される押付方向としてもよい。【0048】さらに或いは基準進行方向及び基準押付方向は、粗倣い動作中に更新された値を使用して求めてもよい。上述のように粗倣い動作の開始時(基本移動動作からの切替時)の進行方向及び押付方向に基づいて基準進行方向及び基準押付方向を設定した場合、障害となる形状が大きいと、参考とするツールの進行方向及び押付方向が、ワーク形状に合ったものでなくなることがある。このような場合には、基準進行方向及び基準押付方向を、粗倣い動作実行中に、教示軌道に基づいてワーク形状に沿った方向に更新することが望ましい。【0049】本発明の主たる特徴は、ロボットを用いてツールとワークとを相対的に移動させているときに、障害物、壁、穴、大きな凹凸等の未知の形状を検出した場合、基本移動動作と粗倣い動作とを、力検出手段で検出する力の情報、或いは又はこれに加えて、ロボットが動かしている物体の位置情報に基づいて適宜切り替え、ロボット、作業ツール及びワークに対して過負荷を与えず、なるべく形状に沿って、必要に応じて回避しながら動かすことを迅速に行うことにある。なお粗倣い動作は、力や位置等に基づき、ワーク形状に応じて積極的にツール又はワークの一方を他方に対して現在の位置から局所的に遠ざける動作と、一方が他方の存在を確認する動作とを繰り返して行う、倣い動作を意味する。【0050】但し、ワーク(他方)の形状が未知である場合、ある時点での移動動作が、他方の存在を確認するために近付く動作であるか離れる動作であるかは判別できない。そこで、一方が局所的に他方から離れることを目的とする動作を粗倣い構成動作1と称し、この動作の実行中には、基準進行方向及び基準押付方向に基づいて移動し、力検出手段を用いて検出された力によって移動方向が不適切であると判断された場合は移動方向等を変更しながら、一方が予め定めた(実行するまでに定められさえすればよい)時間又は距離を限度に、もとの位置から離れるような動作が行われる。また一方が他方の存在を確認することを目的とする粗倣い構成動作2では、障害となる物体を通過する際に基準となる基準進行方向及び基準押付方向に基づき、一方を他方が存在する方向に進ませるような動作が行われる。【0051】以下、基本移動動作と粗倣い動作との切り替えの具体例について説明するが、基本移動動作には、力制御に基づくものと位置制御に基づくものとがあるので、先ずその両者に分けて説明を行う。基本移動動作が力制御に基づくものである場合、上記基本移動動作は、力制御によって押付力を制御しながら、ツールとワークとの間に作用する力が目標値(動作中に調整可能)となるようにツールをワーク形状に倣わせる動作であるとする。教示点に基づく教示軌道によって、力制御で倣わせるときに参考とする位置が与えられており、ある位置における進行方向は教示軌道によって与えられるとする。但し、教示軌道を中心にばね成分を持たせた押付制御を行うこともできる。また粗倣い動作は、ワーク形状に応じて積極的に、ツール又はワークの一方を現在の位置から遠ざける動作(局所的又は相対的に他方から離す動作)と、一方が他方の存在を確認する(通常は一方を他方に近付ける)動作とを繰り返す倣い動作である。また力制御に基づく基本移動動作を行っているときに粗倣い動作を行うべき場合としては、押付力を適宜調整しながらロボットを動かしているときに、障害物、壁、大きな凸凹等の、それまで倣ってきた形状とは異なり、適切に倣わせることが難しい形状物が存在し、それまでの力制御による倣い方法とは異なる方法に切り替えることが必要な場合が考えられる。【0052】一方基本移動動作が位置制御に基づくものである場合は、上記基本移動動作はロボットを用いて作業ツールとワークとを相対移動させるにあたり、予め定めた教示点に基づき設定された教示経路に沿って作業ツールを移動させることを目的とする移動動作である。粗倣い動作については力制御の場合と同様である。また位置制御に基づく基本移動動作を行っているときに粗倣い動作を行うべき場合としては、以下のような場合が考えられる。(1)ワークに対してツールを位置制御で動かし、バリ取り、研削、研磨作業等を行う場合。軌道を少しずつずらした位置制御を複数回行い、目的の作業を達成する場合もある。(2)ワークの表面・形状状態や、ワークに存在する異物などを確認する検査等において、予め定めた範囲内でセンサ等(前記力検出手段に取り付けられた接触確認用の作業ツール等)の移動を行い、異物等の未知形状物があった場合に該未知形状になるべく沿ってセンサ等を動かしたい場合。(3)ロボットの手先部を動かしているときに意図しない障害物があった場合、なるべく該障害物の形状に沿って該手先部を動かしたい場合。【0053】図5は、力制御による倣い動作(基本移動動作)を説明する図である。教示点60、62に基づく教示軌道によってワーク20に対するツール(図示せず)の進行方向が定められ、該進行方向に合わせて教示押付方向を決める倣い動作が行われる。目標進行方向64に沿ってツール進めながら目標押付方向66に対して力制御を行っているときに、軌道上に教示軌道とは異なる形状(例えば図5に示すような山形状の突起)が存在すると、該突起の表面が比較的滑らかであれば、押付方向66について反力68がかかるため好適な倣いが可能となることもある。しかし、押付方向成分に目標力がかかっているときに、その部分の傾斜角度や目標力によっては、進行方向(ここでは実際の位置に基づく進行方向ではなく、教示軌道によって与えられる進行方向を意味する。この場合、基準進行方向と同じ方向を意味しており、以降の説明においても、進行方向は、特に断わらない限り、同様の意味で使用する。)について過大な反力70がかかり、特に進行方向の速度が速いときは好適な倣いができないことがある。このような場合、力に応じて進行速度を下げたり、目標押付力を下げたり、力制御の追従性能を向上させたりする等の工夫が必要であり、異物の形状によってはそれでも対応できない場合がある。【0054】そこで本発明では、図6に示すように、ツール18をワーク20に対して目標力で押し付ける基本移動動作を実行しているときに、進行方向について閾値を超える力がかかった場合、上述の基準進行方向及び基準押付方向に基づき、粗倣い構成動作1と、粗倣い構成動作2と、それらの動作切り替え判定とを繰り返す(切り替えをしない場合もあり、また順序は問わない)。つまりツール18をワーク20から離す動作(矢印72)と、ワーク形状を確認する動作(矢印74)とを適宜切り替えて反復し、基準進行方向44に障害物がないことが確認できたら、もとの基本移動動作に切り替えることによって、大きさや形状が未知な障害物に対しても、該障害物を回避しつつもなるべく該障害物に沿った移動が可能となる。また上述の動作切り替えをより細かく繰り返せば、ワーク形状により正確に沿った移動が可能となる。なお以降の説明において、基準進行方向は実線矢印44、基準押付方向は破線矢印46で表すものとする。【0055】本発明によれば、図7(a)に示すようにツールの移動方向が基準進行方向に対して90度以上変更させられる場合にも対応でき、また図7(b)に示すようにコーナー部の倣い動作において、教示点が2点のみであっても好適に倣うことができる。【0056】この場合の粗倣い動作の動作方法としては、種々考えられるが、粗倣い構成動作1及び粗倣い構成動作2の移動方向、移動速度及び動作時間を状況に応じて変えたり、粗倣い構成動作1、粗倣い構成動作2及び基本移動動作相互間での切り替えを適切に行ったりすることによって、状況に対応したより複雑な動作を実現できる。【0057】次に、粗倣い構成動作1及び粗倣い構成動作2それぞれにおける移動方向の求め方の具体例を説明する。先ず基本移動動作から、粗倣い動作に切り替えたときの進行方向及び押付方向を、それぞれ基準進行方向及び基準押付方向として設定する。ここで、動作中の各位置に対応する教示軌道上の位置(例えば、その位置から最短距離にある教示軌道上の位置)で与えられる進行方向及び押付方向を、それぞれ基準進行方向及び基準押付方向としてもよい。【0058】粗倣い構成動作1及び粗倣い構成動作2の移動方向は、基準進行方向及び基準押付方向の単位ベクトルに、実数(±の値、ゼロも含む)である係数をかけて、合成和をとることにより求める。具体的には、図8に示すように、粗倣い動作に切り替えたときの基準進行方向の単位ベクトルをDrm、基準押付方向の単位ベクトルをDrpとするとき、実数である係数αm1、βp1、αm2及びβp2を用いて、粗倣い構成動作1を実行するときの移動方向Dr1は、(αm1Drm+βp1Drp)を正規化したベクトルとする。一方、粗倣い構成動作2を実行するときの移動方向Dr2は、(αm2Drm+βp2Drp)を正規化したベクトルとする。なお、Dr1とDr2とは互いに異なるものとし、また互いに反対方向の関係にもならないようにする。なお粗倣い構成動作2では、ツールがワークに接触するまで行わなくともよく、例えば接触前に粗倣い構成動作1に切り替えてもよい。【0059】上述の係数は、ツールがワークに衝突したときは、そのときの反力に基づいて適切な移動方向を求めるべく調整されたり、粗倣い動作における粗倣い構成動作1又は粗倣い構成動作2の繰り返し動作での、粗倣い構成動作1又は粗倣い構成動作2の開始位置の変化量が小さいとき、移動方向を適切な方向にずらすように調整されたりすることが好ましい。また粗倣い構成動作1及び粗倣い構成動作2による粗倣い動作は、複数の直線的な動きを滑らかにつなげた移動や曲線的な移動を含むものとし、全体的により滑らかな動作とすることもできる。さらに、後述するような調整をおこなった場合、該調整をもとに、移動方向を算出するためのパラメータや基準進行方向、基準押付方向を変更し、移動方向を算出してもよい。【0060】本発明の実施形態においては、粗倣い構成動作1及び粗倣い構成動作2の移動方向ベクトルは、上述のように基準進行方向ベクトルと基準押付方向ベクトルの線形結合和により求められる。それぞれのベクトルにかける係数は、ツールとワークとの間に作用する力や、ツール又はワークの粗倣い動作中の位置に基づき、状況に応じて変更可能であり、粗倣い構成動作1及び粗倣い構成動作2のそれぞれにおいて、適切な方向となるように係数を変えるものとする。また粗倣い動作中の基準進行方向ベクトル及び基準押付方向ベクトルは、粗倣い動作に切り替えたときの進行方向及び押付方向を使用するものとする。但し、問題となる部分を通過することができる方向であればその方向を使用してもよい。【0061】粗倣い構成動作1、粗倣い構成動作2及び基本移動動作相互の切り替え方法としては、次のようなものが考えられる。先ず図9に示すように、矢印72で示す粗倣い構成動作1の実行中に、予め定めた閾値を超える力を検出せず、かつ、予め定めた移動時間が経過し又は予め定めた移動距離だけ移動したら、動作を矢印74で示す粗倣い構成動作2に切り替える。【0062】図10(a)に示すように、矢印72aで示す粗倣い構成動作1の実行中に、予め定めた閾値を超える力が検出され、すなわちツールがワーク又は他の障害物に衝突したが、ツールが比較的狭い領域内にあってワークから離すために所定時間又は所定距離だけ動かすことができない場合は、ワークに対するツールの移動方向を変更して、再度、矢印72bで示すような粗倣い構成動作1を実行するか、ツールをワークに対して停止させることができる。図10(b)は同様の考え方により、矢印72aで示す粗倣い構成動作1から矢印72bで示す粗倣い構成動作1、さらに矢印72cで示す粗倣い構成動作1に切り替えられていく様子を示している。【0063】ここで粗倣い構成動作1の実行時に、予め定めた閾値を超える力を検出することなく所定時間又は所定距離だけツールを移動させることができれば、粗倣い構成動作2に切り替えてもよい。また次回以降の粗倣い構成動作1では、矢印72b、72cで示すような変更された粗倣い構成動作1を用いてもよい。このようにすれば、実際のワーク形状に対して粗倣い構成動作1の移動方向が不適切であっても、所望の方向に所定時間又は所定距離だけツールを移動させることができる。【0064】図11に示すように、矢印74で示す粗倣い構成動作2の実行中に、予め定めた閾値を超える力が検出され、すなわちツールがワーク又は他の障害物に衝突したときは、動作を矢印72で示す粗倣い構成動作1に切り替える。【0065】図12に示すように、矢印74aで示す粗倣い構成動作2の実行後に、予め定めた閾値を超える力が検出されず、すなわちワーク又は障害等となる形状が確認できないとき、矢印74bで示すように移動方向を変更し、粗倣い構成動作2を実行してもよい。これにより、粗倣い構成動作2によるワーク又は障害物等の確認が不十分であることを防止できる。【0066】図13に示すように、矢印74で示す粗倣い構成動作2の実行中に、予め定めた閾値を超える力が検出されず、すなわちワーク又は障害物等となる形状が確認できないときに、矢印76で示す基本移動動作を再開してもよい。なお図13の基本移動動作のように、力制御による倣いでは、ツールを押付方向に動かしワークとの接触を確認するまでは進行方向に進ませないか、或いは押付力に応じて移動速度を変化させると、ワークとツールとが接触しない領域を小さくすることができる。【0067】図14に示すように、矢印74で示す粗倣い構成動作2の実行中に、基準進行方向44について予め定めた閾値を超える力が検出されず、一方基準押付方向46については予め定めた閾値を超える力が検出された場合は、ワークは存在するが、その時点から基本移動動作で倣うことが可能であると判断されるので、矢印76で示すような基本移動動作を再開してもよい。【0068】図15に示すように、矢印72で示す粗倣い構成動作1の実行中に、基準押付方向46について予め定めた閾値を超える力(基準押付方向の逆方向からかかる力)が検出され、基本移動動作を行うためにツールが倣えるような形状の存在が確認されたときは、基本移動動作76を再開してもよい。【0069】図16に示すように、矢印72aで示す粗倣い構成動作1の実行中に、基準押付方向について予め定めた閾値を超える力(基準押付方向の逆方向からかかる力)は検出されないが、それ以外の方向について別の予め定めた閾値を超える力が検出され、すなわちツールとワーク又は障害物との衝突が確認されたときは、ツールの移動方向を変えて、再度、矢印72bで示す粗倣い構成動作1を実行するか、或いはツールを停止させてもよい。再度の粗倣い構成動作1(72b)の後は、粗倣い構成動作2及び粗倣い構成動作1を繰り返している。なお図16(a)はツールが連続しない他のワーク又は障害物に接触した場合であり、図16(b)は連続するワークの他の部分に接触した場合である。【0070】なお図16の例は、矢印72aで示した粗倣い構成動作1の実行時において衝突したワーク又は障害物にツールを倣わせたくない場合を示しているが、該衝突以降、粗倣い動作で該衝突した部分の形状に倣わせたいときであって、さらに、粗倣い構成動作1の実行時に、基準押付方向について予め定めた閾値を超える力(基準押付方向の逆方向からかかる力)は検出されないが、それ以外の方向について別の予め定めた閾値を超える力が検出され、ワークに衝突したことが確認されたときは、図16(b)において破線矢印で示すように、後述する基準進行方向に山形状の突起等の障害物が存在しているときの粗倣い動作に切り替え、ツールが障害物を乗り越えるような粗い動作を行ってもよい。なおこの場合において、力を検出したときの位置が粗倣い構成動作1の開始位置から予め定めた閾値を超えて離れているときは、粗倣い動作を停止し、障害物となる形状を越えるような粗倣い動作をさせてもよい。【0071】図17に示すように、矢印74で示す粗倣い構成動作2の実行中に、基準押付方向について予め定めた閾値を超える力(基準押付方向の逆方向からかかる力)が検出され、基本移動動作を行うためにツールが倣えるような形状の存在が確認されたときは、基本移動動作76に切り替え(再開)してもよい。【0072】図18に示すように、矢印74で示す粗倣い構成動作2の実行中に予め定めた閾値を超える力が検出されず、所定の移動時間又は所定の移動距離を限度にツールが移動したら、ツールがワークに接触していなくとも、矢印72で示す粗倣い構成動作1を実行することができる。図18の例は、粗倣い動作においてツールを必要以上に基準進行方向と逆方向に進ませずに、ワーク形状に対して粗く倣わせたい場合に有効である。なお1回の粗倣い構成動作2において、基準進行方向と逆方向にどの程度を限度としてツールをワークに近付けるかは、各パラメータによって調整可能である。【0073】図19に示すように、矢印74で示す粗倣い構成動作2の実行中に、予め定めた閾値を超える力(基準押付方向の逆方向からかかる力)は検出されないが、それ以外の方向について、別の予め定めた閾値を超える力が検出され、すなわちツールがワークに衝突してワーク形状の存在が確認されたときは、矢印72で示す粗倣い構成動作1を実行することができる。【0074】図20に示すように、矢印74aで示す粗倣い構成動作2の実行後に、ツールの移動方向、移動距離又は移動時間を変えて、再度、矢印74bで示すような粗倣い構成動作2を実行してもよい。これによって、粗倣い構成動作2において、ツールがより確実にワーク形状に沿う(接触する)ようにすることができる。換言すれば矢印74bで示す粗倣い構成動作2は、ツールがワークに接触するように、矢印74aで示す粗倣い構成動作2の実行後に、移動方向、移動距離、移動時間又は移動速度を変えるものである。【0075】図21に示すように、図20のようにツールをワークに接触させずとも、矢印74aで示す粗倣い構成動作2を実行後に移動方向、移動距離、移動時間又は移動速度を変えて、矢印74bで示す粗倣い構成動作2を実行し、ワーク接触前に矢印72で示す粗倣い構成動作1を実行し、その後再び、矢印74a、74bで示す粗倣い構成動作2を行って、ツール移動方向を基準進行方向44の逆方向にずらし、ワーク側に近付けていくようにしてもよい。【0076】図22に示すような窪み形状のワークにツールを倣わせる場合において、図22(a)の左上方に示すように、矢印72aで示す粗倣い構成動作1の移動方向にツールを動かすとワークに接触し、粗倣い構成動作1を所定時間又は所定距離だけ行うことができず、故に反力を受けた方向に基づいて粗倣い構成動作1の移動方向をずらして、再度、矢印72bで示す粗倣い構成動作1を行っている場合を考える。【0077】このとき、図22(b)に示すように、矢印72bで示す粗倣い構成動作1に続く粗倣い構成動作2(矢印74)によってツールを基準進行方向に移動させると、ずっとその位置付近での移動を繰り返す状態に陥ることがある。【0078】そこで、粗倣い構成動作1を繰り返し実行した後の位置が、基準押付方向44と逆方向の位置について、その時点までで該逆方向に最も進んだ位置より手前の位置、又は、該最も進んだ位置から所定距離を超えて該逆方向の位置に存在しないなら、ツールの移動方向を、基準押付方向の逆方向かつ基準進行方向の逆方向にずらし、矢印72cで示すような粗倣い構成動作1を行う。これにより、図22のような窪み部分等において、ツールがある領域内での移動を繰り返して該領域から脱出できないという状況を避けることができる。【0079】なお図22(a)を用いて説明した方法を用いてもなお、粗倣い動作実行中においてある領域内での移動を繰り返す状態に陥っていると判断された場合は、以下のような処置を採ることができる。(1)基準押付方向46と逆方向(図22では上向)に最も進んだ位置と比較するときの判定距離を大きくする。(2)粗倣い構成動作1及び粗倣い構成動作2の移動時間又は移動距離を大きくする。(3)移動中の位置をもとに、ツールの停滞状況が解消されるように粗倣い構成動作1又は粗倣い構成動作2の移動方向を変える。【0080】図23に示すように、矢印72で示す粗倣い構成動作1の実行時に、押付方向成分の力が小さいため、基準押付方向について予め定めた閾値を超える力は検出されないが、基準進行方向について閾値を超える力が検出された場合、以下の方法が考えられる。(1)矢印74で示す粗倣い構成動作2に切り替え、ツールを基準進行方向と逆方向に移動させる。(2)又は、ワークからの反力の大きさ、方向に基づき、基準進行方向とは逆方向の成分を持つ方向にツールを移動させる粗倣い構成動作1を行う。移動方向を変えた粗倣い構成動作1によって、ツールがワークと接触し、基準進行方向と逆方向について閾値を超える力が検出されたら、粗倣い構成動作1の移動方向を基準進行方向の成分を含む方向に変更し、移動時間又は移動距離を小さくする。【0081】或いは、元の基本移動動作に切り替え、そのときの力をもとに、直ちに基準進行方向に障害となる形状がある(そのときの位置から基準進行方向に山形状の突起がある)場合に対応した、粗倣い動作に切り替えてもよい。【0082】基準押付方向について予め定めた閾値を超える力(基準押付方向の逆方向からかかる力)が検出されず、かつ、基準進行方向について予め定めた閾値を超える力(基準進行方向の逆方向からかかる力)も検出されず、かつ、別の予め定めた閾値を超える反力が検出された場合、粗倣い構成動作1の移動する向きを該反力をもとに変え、粗倣い構成動作1を実行することが考えられる。例えば、図24に示すように、矢印72aで示す粗倣い構成動作1の実行時に、ツールが本来倣うべきワーク20aから離れ、他のワーク又は障害物20bに接触して、基準押付方向の逆方向について予め定めた閾値を超える反力(基準押付方向からかかる力)が検出された場合、該反力の方向、大きさをもとに、ツールの移動方向を変え、矢印72bで示すような粗倣い構成動作1を行う。また粗倣い構成動作1の実行時に、ツールがワークと接触することなく所定時間又は所定距離動くことができたときは、粗倣い構成動作2に切り替えてもよい。このように動作を切り替えることによって、粗倣い構成動作1の実行中に、実際のワーク形状に応じた動きをさせることができる。【0083】図25に示すように、粗倣い動作実行中において粗倣い構成動作2を行っているときに、ツールとワークとの間に作用する力及びツールの位置をもとに、ワークになるべく近付け又は接触させてワーク形状を確認できるように動作を切り替えることができる。具体的には、粗倣い構成動作2の実行時に、所定時間又は所定距離だけツールを移動させてもツールがワークに接触しない場合、基準進行方向の逆方向への移動距離を大きくするために、先ず全体的な変更許容値と1回動作当たりの変更許容値を決めておき、粗倣い構成動作2の実行時の移動距離、移動時間又は移動速度を大きくする。但し、移動速度を過大にすると衝突する際の力が大きくなるので、移動速度はあまり大きくしないことが望ましい。【0084】図25(a)は、矢印74a、74b及び74cで示すように、粗倣い構成動作2の移動距離を、ある制限範囲内で徐々に大きくしている様子を示している。一方図25(b)は、矢印74a、74b及び74cで示すように、粗倣い構成動作2の移動距離を、ある制限範囲内で徐々に大きくするとともに、移動方向を基準進行方向とは逆方向に少しずつ変化させている様子を示している。このようにすれば、粗倣い構成動作2の実行時に、ツールがワークに接触しない場合に、ツールをワークに接触するように移動させ、よりワーク形状に沿って動かすことができる。【0085】基準押付方向にワークがあることが確認できるまで図25のような動作を続けることにより、基準押付方向に押し付けるような形状がない(そのときの位置から基準進行方向に谷がある)場合に、大きさや形状が未知のワーク又は障害物に対しても、迅速かつ、なるべくツールをワーク又は障害物の形状に倣わせて動かすことができる。【0086】粗倣い動作において、粗倣い構成動作1や粗倣い構成動作2を繰り返し実行しても、ツールの位置があまり変わらず停滞している場合や、ツールの進み方が遅い場合に、粗倣い動作の動きを調整してこれらの問題を解消することができる。以下、具体的に説明する。【0087】先ず、粗倣い動作時に粗倣い構成動作1又は粗倣い構成動作2を、ある回数以上又は所定時間以上繰り返し実行したときに、ツールが移動した位置やその変化を監視する。例えば、粗倣い構成動作1の実行中の開始位置又は粗倣い構成動作2の実行中の開始位置をもとに、粗倣い動作の開始時又はある時点の位置に対する位置の変化や、粗倣い動作実行中に通過したことのある位置との変化量(通過したことのある位置と予め定めた閾値とから領域を求め、その中に入っていないか)や、ある位置を基準としてある回数実行又はある時間経過したときの距離や、ある位置を基準としてある回数実行したとき又はある時間経過したときの移動距離の変化の様子等を監視する。その監視結果から、ツールが同じような位置付近に戻ってきていたり、図22で示したように同じような位置で停滞していたり、ある時間間隔で比較したときの位置の変化量が小さかったりしたときは、動作を変える必要があると判断する。なおこれらの原因としては、粗倣い動作のパラメータが不適切であることや、ワーク形状が複雑であることが考えられる。【0088】一例として、図26(a)に示すように、ワークに対するツールの進み方が遅いと判断される場合、粗倣い構成動作1及び粗倣い構成動作2の一方又は双方の移動方向を変えることが考えられる。なお図26(b)に示す例では、矢印72で示す粗倣い構成動作1の移動方向を変えている。或いは、粗倣い構成動作1及び粗倣い構成動作2の一方又は双方において、予め定めた制限値内で、ツールの移動時間、移動速度又は移動距離を大きくすることも考えられる。このようにすれば、ツールが同じ位置付近からあまり動けていないときや進み方が遅いときに、その停滞状況から脱出したり、ツールがワーク形状に倣う時間を短くしたりすることができる。但し、ワーク形状への倣い方が粗過ぎないようにしたい場合は、そのように上記制限値を調整しておけばよい。【0089】本発明では、粗倣い動作によってツールがワークに対して意図しない方向に移動し続けた場合に、安全のため、ロボットの動作を停止させることができる。ここで「意図しない方向に移動し続けている」状況としては、例えば以下のような状況が考えられる。(1)ツールが全く予期せぬワーク形状にずっと倣い続けてしまっている。(2)ツールがある部分に嵌り込んでしまっている。すなわち、移動方向や移動量等を変えても、その位置から動くことができない。(3)動作パラメータが不適切であり、ある部分をツールが適切に回避して動くことができない。【0090】上述の「意図しない方向に移動し続けている」状況を判定する具体的方法としては、粗倣い動作時に以下のことを検出又は確認することが考えられる。(1)粗倣い構成動作1又は粗倣い構成動作2を、ある回数以上繰り返している。(2)粗倣い動作の実行時間が、所定時間を超えている。(3)粗倣い動作の移動位置が、想定される位置から離れすぎている。(4)基本移動動作から粗倣い動作へ切り替えたときの位置から現在のツールの位置までの距離又は総移動距離が、所定距離を超えている。(5)基本移動動作が教示軌道に基づく動作である場合に、ツールが、教示軌道からの最短距離が閾値以上の位置にいる。上記のような状況が検出又は確認されたら、ツールが「意図しない方向に移動し続けている」と判断し、安全のため、ロボットの動作を止めることが好ましい。これにより、粗倣い動作によって想定外の動作をした場合の危険な状況を回避できる。【0091】本発明では、粗倣い動作時において粗倣い構成動作1又は粗倣い構成動作2の実行中に、ワークに対するツールの移動速度が大きく、ツールとワークとが過大な力で接触するような場合、移動速度を下げる(調整する)ことによって過大な力で衝突しないようにし、ツールやワークの破損等を回避することができる。さらに、最初は移動速度をある程度小さな値に設定し、回避時間を短くするために移動速度を少しずつ大きな値に変え、反力がある程度以上になったら速度を下げ、その後、その速度で動かすようにして速度を適切な値に調整するという、ツール及びワークの状況に応じた適切な速度の自動調整を行うこともできる。【0092】本発明では、ツールをワーク形状に沿って動かしたときの動作をもとに、教示データを生成又は修正することができる。具体的には、粗倣い構成動作1及び粗倣い構成動作2によって、ワークに対してツールを接離させる動作(接触が望ましいが接触していなくともよい)を繰り返して動かすとした場合、ツールとワークとの離隔と接触とが繰り返されるため、その動作ピッチを短くしたとしても粗倣い動作はジグザグな動きをすることになる。そこで、教示データの生成を行うときは、ロボット制御装置の、制御周期毎に計測した、粗倣い構成動作1及び粗倣い構成動作2、或いは又はこれに加え、基本移動動作の位置に基づいて、例えば以下のような方法を用いて、所定の速度指令で動かす教示データを生成し又は教示軌道を修正することができる。(1)粗倣い動作時には、粗倣い構成動作1及び粗倣い構成動作2の実行中に力検出手段によってツールとワークとの接触を検出したときのツールの位置や、粗倣い構成動作2の最終位置を位置データとして使用する。(2)連続する位置データにおける位置間の距離が予め定めた閾値以下であるような、互いに近い位置が存在する場合には、それらを軌道生成のためのデータとして用いず、適当な代表点のみを使用する。(3)例えば図27に示すように、位置データとして使用する点の位置の変化を見たとき、ある点までとそれ以降の部分とで急にツールの移動方向が変わる部分は角部であると推定し、位置データとして適当な補間点78、80を入れ、その位置データをもとに軌道を生成する。(4)最小自乗近似等により、軌道生成に用いる位置データに沿った滑らかな軌道を生成する。(5)教示データをもとに位置の補間等を行いながら滑らかに動くように移動するとき、実際に通過する位置と、教示データによって与えられる位置とが、角部やコーナ部などにおいてずれることがある場合、それを考慮し、位置データの位置と実際に通過する位置とのずれが所定閾値以下になるように、位置データを補正し、教示データを生成する。(6)教示データ上の移動速度はユーザが設定した値を用いる。又は、作業の目的や条件に応じて、予め用意したデータテーブルを参照して、適切な移動速度を設定する。さらに、移動速度によって、実際に通過する位置と、教示データによって与えられる位置とがずれることがある場合、そのずれが所定閾値以下になるように、前記のように与えられる速度をもとに、位置に応じて調整した移動速度を設定してもよい。(7)教示データ作成時に押付方向も設定する場合には、生成される軌道をもとにその進行方向と直交する方向を押付方向とする。以上のようにすれば、粗倣い動作実行中の位置を、粗倣い構成動作1及び粗倣い構成動作2の動作の特徴を考慮しながら、或いは又はこれに加え、基本移動動作実行中の位置を、ロボット制御装置の制御周期毎に取得し、その位置をもとに、動作中の速度には関係なく、また教示データには不要な動作中の位置は除き、適当な間隔で位置データを生成することができ、故にワーク形状に沿った軌道を移動させる教示データを生成又は修正することができる。【0093】図9~図27を参照して説明したように、粗倣い構成動作1及び粗倣い構成動作2における移動方向、移動速度及び移動時間は、ワークに対するツールの位置や両者間に作用する力に基づき、状況に応じて変更されることが好ましい。また粗倣い構成動作1及び粗倣い構成動作2において、これらの動作を行ってもツールがワークに対して同じ位置付近に留まることがないように、粗倣い動作の移動中の位置を監視しながら移動方向、移動速度及び移動時間の少なくとも1つが調整される。さらに、粗倣い構成動作1及び粗倣い構成動作2において、安全の観点から、移動時間又は移動距離に制限を設けておくことが好ましい。【0094】粗倣い構成動作1及び粗倣い構成動作2において、ツールがワークに衝突するときに発生する力が、検出を目的とする閾値以外の別の閾値を超えた場合に、移動速度を小さくすることによってツールやワークに過負荷がかかることを防止できる。粗倣い動作実行中の位置に基づき、粗倣い動作の進み具合が遅いと判断された場合には、速度を適切に大きくしたり、移動方向を基準進行方向又は基準押付方向とは逆方向に変えたり、移動時間又は移動距離を大きくすることが好ましい。但し、そのような調整によってワーク形状への倣いが過度に粗くなって問題となる場合には、適切な値に制限しておくことが望ましい。【0095】粗倣い構成動作2の移動時間又は移動距離は、適切な距離だけ移動するように調整することが好ましく、具体的には、移動距離が短過ぎると進行方向(教示軌道によって与えられる進行方向)にワークが存在していることを検出することができず、逆に移動距離が長過ぎると進行方向にワークが存在しないにも関わらず不必要に移動を続けることを考慮して、調整される。但し基準進行方向にツールを進ませながら、大まかにワーク形状に沿わせることで十分である場合は、ツールをワークに接触するまで移動させ続ける必要はなく、制限距離内の移動で十分である。【0096】上記粗倣い構成動作2の移動時間又は移動距離の調整方法としては、以下のようなものが考えられる。(1)粗倣い動作中の移動位置に基づいて求められる、ワーク形状及びツールの現在位置に基づき、粗倣い構成動作2の移動距離、移動時間及び移動速度を調整する。例えば、粗倣い構成動作2の実行前の、粗倣い構成動作1の開始位置から、基準進行方向について所定距離だけ先に進ませるように、パラメータを定める。(2)実験に基づいて、使用するワーク形状に適した移動時間又は移動距離を定め、その値を限度としてツールを動かす。(3)粗倣い動作中に調整された、移動時間又は移動距離を限度としてツールを動かす。【0097】以下、力制御により基本移動動作を行う種々の形態について説明する。先ず図28は、矢印76a、76b、76cで示す基本移動動作では、教示点82、84により定められる教示軌道に沿って力制御で倣わせるときに参考とする進行方向及び位置が予め与えられており、ある位置におけるツール18の進行方向は、実際の位置に基づく変化ではなく、教示軌道における進行ベクトルによって与えられるとする。但し、教示軌道を中心にばね成分を持たせた押付制御を行っているとしてもよい。【0098】図28の例では、ワーク20に障害物となる凸状物86が存在しているので、矢印76aで示す基本移動動作から粗倣い動作72a、74aに切り替えられ、凸状物86をツール18が乗り越えたら基本移動動作76bに移行し、次に押付方向について、倣う形状が存在しなくなるので、粗倣い動作72b、74bが実行され、再度基本移動動作(76c)に戻ることになる。状況に応じて粗倣い動作を変えるため、力検出手段で検出される力をもとに、粗倣い構成動作1及び粗倣い構成動作2の動作が設定される。【0099】図28の例では、状況判断のために、粗倣い動作72a、74aによって障害となる形状を回避できたかどうか、粗倣い動作72b、74bによって倣うべき形状が現れたかどうかを確認する。また粗倣い動作72b、74bを用いなくとも、押付力に応じて移動速度をかえるような基本移動動作を行って、接触を確認するまで移動速度を小さくするようにしてもよい。また基本移動動作は、教示軌道をもとに、力制御を行い、教示軌道とは異なった軌道を動いているとする。基本移動動作で力制御を行う場合は、教示軌道が与えられておらず、ワーク形状に沿って倣う形態としてもよい。【0100】図29は、図28の凸状物86とは異なる形状の凸状物88がワーク20に存在している例を示す。矢印76aと76bで示される基本移動動作の間の領域について、検出される力に基づいて粗倣い構成動作1の動作方向を変えながら、粗倣い構成動作2で基準進行方向に動かし障害物がないかどうかを確認しながらツール18が進められる。力をもとに、障害物がないと判断されたら、基本移動動作(76b)でツールを倣わせる。前方に倣わせる物体が急になくなったとき、再び粗倣い動作に切り替え、所定の移動時間又は移動距離を限度に、ワーク20に対するツール18の接離(但し接触しない場合もある)を繰り返しながら、ツールをワークに倣わせる。このとき所定方向について力を検出したら、基本移動動作(76c)に再び切り替えられる。【0101】図30に示すように、ワーク20に未知の形状物90が存在していても該形状物が緩やかな山のような形状であり、基本移動動作の実行中において力制御のみでもツール18を倣わせることができる場合を考える。しかしこの場合において、山形状の突起物90によって、進行方向についての予め定めた閾値を超えるような力はツールにかからないが、教示点82、84間に設定された教示軌道92とツール18の位置との乖離(距離)が予め定めた閾値S1を超えるときは、ツールの軌道が教示軌道から大きく離れ、基本移動動作を続行したのでは移動時間が長くなる虞があるので、ツール18の位置に基づいて粗倣い動作に切り替えてもよい。【0102】図30の例では、現在位置と教示軌道との最短距離を所定時間間隔毎に算出しておき、該最短距離が予め定めた閾値S1を超え、進行方向(教示軌道によって与えられる方向を意味する。ここでは、基準進行方向と同じ)についてツールとワークとの間に作用する力に応じて粗倣い動作に切り替えるための予め定めた閾値を超えるような力はツールにかからないが、進行方向(教示軌道によって与えられる方向)について別の予め定めた閾値を越える力はかかっているときに、基本移動動作76から粗倣い動作72、74に切り替えられる。最初の粗倣い構成動作1及び粗倣い構成動作2の移動方向は、それまでに基本移動動作を実行していたときの力や位置に基づいて求められる。粗倣い構成動作2の移動方向は基準進行方向と同じ方向の成分を含む方向とし、粗倣い構成動作2の実行中に閾値を超える力が検出されたときは、粗倣い構成動作1に切り替える。このような粗倣い動作実行中に、粗倣い構成動作2において閾値を超える力を検出しなくなったときは、基本移動動作を再開する。粗倣い構成動作1と粗倣い構成動作2の移動方向は、これらからなる粗倣い動作によってツールが基準進行方向に進むように定められることが好ましい。また、ツールとワークとの間に作用する力が、該別の予め定めた閾値を超えているかどうかの確認をしなくとも、該最短距離が予め定めた閾値S1を超えている間、粗倣い動作を行うようにしてもよい。【0103】一方、例えば図31に示すように、ワーク20に未知の形状物94が存在していても該形状物が谷のような形状であり、基本移動動作の実行中において力制御のみでもツール18を倣わせることができる場合を考える。しかしこの場合において、谷形状の形状物94によって、進行方向(教示軌道によって与えられる方向)についての予め定めた閾値を超えるような力はツールにかからず、かつ、押付方向についての別の予め定めた閾値を超えるような力がツールにかかるが、教示点82、84間に設定された教示軌道92とツール18の位置との乖離(距離)が予め定めた閾値S2を超えるときは、ツールの軌道が教示軌道から大きく離れ、基本移動動作を続行したのでは移動時間が長くなる虞があるので、ツール18の位置に基づいて粗倣い動作に切り替えてもよい。【0104】図31の例では、現在位置と教示軌道との最短距離を所定時間間隔毎に算出しておき、該最短距離が予め定めた閾値S2を超えたときに基本移動動作76から粗倣い動作に切り替えられる。この場合の粗倣い動作では、矢印72で示す粗倣い構成動作1を先ず行い、粗倣い構成動作1によって所定距離又は所定時間だけツールを移動させた後、矢印74で示す粗倣い構成動作2を行う。粗倣い構成動作2の移動方向は、基準進行方向と同じ方向の成分を含む方向とし、粗倣い構成動作2によって所定距離又は所定時間だけツールを移動させた後、基本移動動作に切り替える。但し、粗倣い構成動作2の実行中に閾値を超える力が検出されたときは、粗倣い構成動作1に切り替える。再開された基本移動動作では、ツールを押付方向にも動かしながら移動させ、現在位置と教示軌道との最短距離が閾値S2を超えたとき再度粗倣い動作を行う。以上の動作を繰り返し、最終的には、基本移動動作によってツールをワークに倣わせて移動させる。【0105】図31の場合において、粗倣い構成動作1においてツール位置が教示軌道から閾値を超えて離れた場合、ツールの移動方向を教示軌道へ向かう方向の成分を含むように変更することで、ツールを教示軌道に向かう方向に修正することができる。また図31の例でも、粗倣い構成動作2では、ツールを基準進行方向に進めたときに、ワークが存在するかどうかを確認する。図30では山のような形状がある場合について説明したが、図31の場合でも、粗倣い構成動作2は他方(ワーク)の存在を確認する動作である。また、最初の粗倣い構成動作1において教示軌道と平行な方向に移動させ続け、接触後に、基本移動動作に切り替えるようにしてもよい。【0106】なお図9~図27を用いて説明した粗倣い動作の各例は、後述する基本移動動作が位置制御である場合にも同様に適用可能である。【0107】次に、基本移動動作が、指定された位置に基づいてワークに対してツールを移動させる位置制御に基づくものである場合について、図32を参照しつつ説明する。図32のように、教示点82、84に基づき定められる教示軌道92に沿ってツール18が移動させられ、該教示軌道上に障害物96が存在しているとする。このように基本移動動作の軌道上に障害となる形状が存在する(山形状の突起がある)場合、基本的には、粗倣い動作によって、ツールをワークに対して停止させたり、ワークやツールに過負荷を与えたりすることなく、なるべくワーク形状に沿ってツールを倣わせる。以下、具体的に説明する。【0108】基準進行方向は、これまでの説明と同様に求めることができる。一方、基準押付方向は、予め定められた方向、各教示点に対して予め定められた方向、又は教示軌道上の進行方向との関係を設定しておくことによって決まる方向に基づき、粗倣い動作に切り替えたときの位置及び進行方向をもとに定める。このようにして定められた以降の基準押付方向は、あるときの位置から教示軌道に対して近づく方向や最短距離となる方向として求めてもよい。【0109】基本移動動作では、押付方向について力制御は行われないので、ツールが指定された位置を外れて押付方向に動かされることはないが、粗倣い動作では教示軌道から離れる。この場合、基準進行方向と基準押付方向とをもとに、これまでの説明と同様に、障害となる形状を回避する動作の移動方向や、障害となる部分に対してツールを近づけて形状を確認する動作の移動方向を定め、さらに、教示軌道に戻る方向を定める。【0110】粗倣い動作は、ワーク20において基準進行方向に障害となる形状(山形状の突起)96が存在する場合(図32のA部)、或いは基準進行方向に障害物はないが、ある時点でのツール位置がもとの基本移動動作の軌道から外れており、もとの基本移動動作の軌道に復帰させようとする場合(図32のB部)に実行される。但し、それぞれの場合でツールの動きは異なるので、力検出手段で検出される力、及び現在位置と教示軌道との位置関係によって、粗倣い動作を変える。【0111】先ず図32のA部での動作方法について説明する。基本移動動作の実行中に、進行方向について閾値を超える力が検出されたときに、粗倣い動作に切り替えられ、先ず、第1の粗倣いパターン動作、すなわち粗倣い動作パターンA(第1の粗倣いパターン動作を、粗倣い動作パターンAとも称することとする。)を実行する。粗倣い動作パターンAでは、粗倣い構成動作2の移動方向は、基準進行方向と同じ方向の成分を含む方向とし、粗倣い構成動作2の実行中に閾値を超える力が検出されたとき、粗倣い構成動作1を実行する。【0112】上記粗倣い動作パターンAによる粗倣い動作の実行中に、粗倣い構成動作2において閾値を超える力を検出しなくなったとき、粗倣い構成動作1及び粗倣い構成動作2の動作を変えた粗倣い軌道復帰動作、すなわち粗倣い動作パターンB(粗倣い軌道復帰動作を、粗倣い動作パターンBとも称することとする。)を実行する。粗倣い軌道復帰動作では、粗倣い構成動作1又は粗倣い構成動作2のどちらを先に実行しても構わないが、粗倣い構成動作2を先に実行することが好ましい。粗倣い軌道復帰動作における、粗倣い構成動作2の移動方向は、基準押付方向と同じ方向の成分を含む方向、又は教示軌道に向かう方向とする。粗倣い動作中に、ツールの現在位置と教示軌道との最短距離を所定時間間隔毎に算出しておき、現在位置と教示軌道との最短距離が予め定めた閾値以内となったときに、基本移動動作を再開する。また粗倣い軌道復帰動作の実行中に、基準進行方向について予め定めた閾値を超える力が検出されたときは、粗倣い動作パターンAを実行する。【0113】粗倣い軌道復帰動作における、粗倣い構成動作1及び粗倣い構成動作2の移動方向は、これらからなる粗倣い動作によってツールが基準進行方向に進むように定められる。また粗倣い構成動作1及び粗倣い構成動作2は、それらからなる動きによってツールの進み方が遅くならないように、或いはツールが同じ位置付近に留まらないように、粗倣い動作の移動中のツールの位置を監視しながら移動方向、移動速度及び移動時間の少なくとも1つを調整することが好ましい。また図32のような場合では、粗倣い軌道復帰動作における、粗倣い構成動作1の移動方向は、基準進行方向と同じ方向の成分と、基準押付方向と逆方向の成分とを含む方向とすることが好ましい。【0114】なお、基本移動動作が力制御であっても位置制御であっても、その違いによらず、粗倣い構成動作1及び粗倣い構成動作2による粗倣い動作の動作は、これまで示したような直線的な動きを滑らかにつなげてもよいし、図33に示すようにツールを曲線的に動かしてより滑らかに動くようにしてもよい。【0115】図34は、上述の粗倣い動作パターンA及びBを含む粗倣い動作を、図30を用いて説明した、基本移動動作が力制御によるものである場合に適用した場合を示す図である。矢印76で示す基本移動動作は、力制御によって押付力を制御しながら、ツール18とワーク20との間に作用する力が調整可能な目標値となるように、ツールをワーク形状に倣わせる動作であるとする。教示点82、84に基づく教示軌道92によって、力制御で倣わせるときに参考とする位置が与えられており、ある位置におけるツールの進行方向は教示軌道によって与えられるとする。但し、教示軌道を中心にばね成分を持たせた押付制御を行ってもよい。【0116】力制御による基本移動動作の実行中に、未知の形状であっても力制御で適切に倣うことができ、進行方向(教示軌道によって与えられる方向)について予め定めた閾値を超えるような力はかからない状況であるが、教示軌道とは大きく異なる山のような形状物90が存在する場合、ツール18の位置に基づいて(例えば、教示軌道92からの最短距離が閾値S1を超えるとき)、粗倣い動作に切り替えることが考えられる。このことは、ツールが倣う軌道が教示軌道から大きく離れるような場合、或いはツールをワーク形状にそのまま倣わせたくない場合に有用である。【0117】図34の場合において、基本移動動作の実行中に、ツールの現在位置と教示軌道との最短距離を所定時間間隔毎に算出しておき、該最短距離が予め定めた閾値S1を超えたとき、基本移動動作から粗倣い動作に切り替えられる。最初の粗倣い構成動作1及び粗倣い構成動作2の移動方向は、それまでに基本移動動作を実行していたときの力や位置に基づいて求められる。【0118】粗倣い動作に切り替えられたときは、先ず、図32に関して説明したものと同等の粗倣い動作パターンAを行う。この粗倣い動作パターンAによる粗倣い動作の実行中に、粗倣い構成動作2において閾値を超える力を検出しなくなったとき、図32に関して説明したものと同等の粗倣い軌道復帰動作、すなわち粗倣い動作パターンBを実行する。【0119】粗倣い軌道復帰動作における、粗倣い構成動作1及び粗倣い構成動作2の移動方向は、これらからなる粗倣い動作によってツールが基準進行方向に進むように定められる。また粗倣い軌道復帰動作における粗倣い構成動作1の移動方向は、基準進行方向と同じ方向の成分と、基準押付方向と逆方向の成分とを含む方向にすることが好ましい。【0120】図35は、図31の場合における粗倣い動作に、上述の粗倣い軌道復帰動作(粗倣い動作パターンB)を適用した例を説明する図である。矢印76で示す基本移動動作は、力制御によって押付力を制御しながら、ツール18とワーク20との間に作用する力が調整可能な目標値となるように、ツールをワーク形状に倣わせる動作であるとする。教示点82、84に基づく教示軌道92によって、力制御で倣わせるときに参考とする位置が与えられており、ある位置におけるツールの進行方向は教示軌道によって与えられるとする。但し、教示軌道を中心にばね成分を持たせた押付制御を行ってもよい。【0121】力制御による基本移動動作の実行中に、未知の形状であっても力制御で適切に倣うことができ、進行方向(教示軌道によって与えられる方向)について予め定めた閾値を超えるような力はかからず、かつ、押付方向についての別の予め定めた閾値を超えるような力がツールにかかる状況であるが、教示軌道とは大きく異なる谷のような形状物94が存在する場合、ツール18の位置に基づいて(例えば、教示軌道92からの最短距離が閾値S2を超えるとき)、粗倣い動作に切り替えることが考えられる。このことは、ツールが倣うべき軌道が教示軌道から大きく離れるような場合、或いはツールをワーク形状にそのまま倣わせたくない場合に有用である。【0122】図35の例では、先ず粗倣い構成動作1を行う。粗倣い構成動作1によって所定距離又は所定時間だけツール18を移動させた後、粗倣い構成動作2を行う。粗倣い構成動作2での移動方向は、基準進行方向と同じ方向の成分を含む方向とする。粗倣い構成動作2によって所定距離又は所定時間だけ移動させた後、上述の粗倣い軌道復帰動作(粗倣い動作パターンB)を行う。ツールが教示軌道92から閾値S2以内の距離の位置に進んだら、基本移動動作を開始する。但し、図35のように、基本移動動作の実行中に再びツール現在位置と教示軌道との最短距離が閾値S2を超えるときは、粗倣い動作を行う。続いて、粗倣い構成動作1の実行後に粗倣い構成動作2を実行し、粗倣い構成動作2の実行中に基準進行方向について閾値を超える力が検出されたら、障害物を含む領域を通過するための粗倣い動作を行う。障害物を越えて、ツールの現在位置と教示軌道との最短距離が閾値S2以下であれば、基本移動動作を再開する。【0123】図36に示す例では、ワーク20に未知の形状物90が存在していても該形状物が緩やかな山のような形状であり、基本移動動作の実行中において力制御のみでもツール18を倣わせることができる場合を考える。基本移動動作で倣う場合、山形状の上り部分では、進行方向(教示軌道によって与えられる方向を意味し、この場合、基準進行方向と同じ方向を意味する)と逆方向の力がかかり、その部分の先の下り部分では、進行方向(教示軌道によって与えられる方向)の力がかかるようになる。このとき、山形状の突起90の頂点付近に到達し、進行方向と逆方向の力(教示軌道によって与えられる進行方向についての力)が所定閾値より小さくなる場合、ツールの位置に基づいて、例えば、教示軌道からの最短距離が予め定めた閾値S3を超えているとき、基本移動動作76aから粗倣い動作Bに切り替え、該最短距離が閾値S3を下回ったら基本移動動作76bに戻す例が示されている。この例は、ツールが教示軌道から大きく離れ得る形状であって、上述のように、教示軌道から所定距離を超えて離れた位置から教示軌道方向に近づき下っていくような動作のとき、基本移動動作では時間がかかるので移動時間を短縮したい場合等、ツールをワーク形状にそのまま倣わせたくない場合に有用である。【0124】ここでは、現在位置と教示軌道との最短距離を所定時間間隔毎に算出しておき、前記最短距離が予め定めた閾値を超えたときに粗倣い動作に切り替える。粗倣い動作Bにおける最初の粗倣い構成動作1及び粗倣い構成動作2の移動方向は、それまでに基本移動動作76aを実行していたときの力や位置に基づいて求める。図36の場合、粗倣い構成動作2の移動方向は、基準進行方向と同じ方向の成分を含む方向、又は教示軌道に向かう方向とする。粗倣い構成動作2実行中に、予め定めた閾値を超える力が検出されたとき、粗倣い構成動作1を実行する。この粗倣い動作実行中に、教示軌道からの距離が閾値以内にあり、かつ、基準押付方向について予め定めた閾値を超える力が検出されたとき、基本移動動作76bを再開する。また粗倣い動作の実行中に、基準進行方向について予め定めた閾値を超える力が検出されたときは、上述の障害物を越える方法に切り替えることが好ましい。また粗倣い構成動作1及び粗倣い構成動作2の移動方向は、それらの動作によってツールが基準進行方向に進むように決めることが好ましい。【0125】図37は、力制御によりツール18をワーク20に倣わせている基本移動動作76aの実行中に、ツール18の進行方向(教示軌道によって与えられる方向を意味し、この場合、基準進行方向と同じ方向を意味する。)について、過負荷を検出して粗倣い動作に切り替えるための予め定めた閾値を超えるような力は検出されない状況だが,別の閾値を超えるような力はかかっており、教示軌道とツール位置との最短距離が予め定めた閾値S4を超えているとき、上述の粗倣い動作パターンAによる粗倣い動作に切り替え、矢印76bで示すように基本移動動作を再開し、その後、進行方向(教示軌道によって与えられる方向を意味し、この場合、基準進行方向と同じ方向を意味する。)と逆方向について予め定めた閾値を超える力がかかっており、さらに教示軌道とツール位置との最短距離が該閾値S4を超えているときは、粗倣い動作パターンBによる粗倣い動作に切り替えることも考えられる。図37の例では、ワーク形状が教示軌道から予め定めた閾値を越えて異なることを検出し、進行方向(教示軌道によって与えられる方向)又はその逆方向について力がかかっておらず、基準進行方向がその部分でのワーク形状に沿っていると判断できる、すなわちツールを倣わせやすい状況では基本移動動作を行い、それ以外の部分では粗倣い動作を行うことによって、ワーク形状に応じた倣い動作を実行することができる。【0126】なお図37のように、基本移動動作中のツールの押付方向が基準押付方向46と同じ方向であり、基準進行方向44と基準押付方向46が直交しており、ワーク形状が基準進行方向と平行になっていない場合は、ツールの押付方向がワーク形状に対して直交しないため、力制御では押付方向が適切とならない場合がある。しかしこのような状況においては、ツールをワーク形状に沿ってそのまま倣わせず、粗く倣わせることによって、その形状部分にある程度沿わせつつ、好適にツールを通過させることができる。【0127】図38は、図35と同様の谷のような形状94が存在しているが、ツール18をワーク20にある程度以上は倣わせたくない場合に、ツールの位置に基づいて、例えば教示軌道92からの最短距離が予め定めた閾値S2を超えたときに、基本移動動作76から粗倣い動作に切り替えることも考えられる。これは、倣うべき軌道が教示軌道から大きく離れるような場合に有用であり、想定外の軌道に倣ってツールが全く意図しない方向へ移動したり、ワークから離れすぎて停止させたりすることなく、教示軌道から大きくずれるような部位ではツールをワーク形状に沿って倣わせず、粗倣いによって進ませたい場合に有用である。図38では、基本移動動作76の実行中に、ツール18の現在位置と教示軌道との最短距離が閾値S2を超えたとき、粗倣い動作を行うが、先ず粗倣い構成動作1を行い、粗倣い構成動作1での移動方向は基準進行方向と同じ方向の成分を含む方向とする。【0128】図39は図38の変形例を示す。図35と同様の谷のような形状94が存在しており、基本移動動作76aの実行中に、現在位置と教示軌道との最短距離が予め定めた閾値S2を超えたときに粗倣い動作を行うが、このとき、矢印72aで示す粗倣い構成動作1を先ず行い、粗倣い構成動作1での移動方向は、基準進行方向と同方向の成分を含む方向とする。次に所定距離又は所定時間だけツール18を移動させた後、矢印74で示す粗倣い構成動作2を実行する。粗倣い構成動作2において所定距離又は所定時間だけツール18を移動させた後、矢印72bで示す粗倣い構成動作1を実行する。この粗倣い構成動作1の実行中に、基準進行方向について予め定めた閾値を超える力は検出されないが、基準押付方向について予め定めた閾値を超える力が検出されたとき、基本移動動作76bを再開する。【0129】図40は、図38の変形例を示す。図35と同様の谷のような形状94が存在しており、基本移動動作76aの実行中に、現在位置と教示軌道との最短距離が予め定めた閾値S2を超えたときに粗倣い動作を行うが、このとき、矢印72で示す粗倣い構成動作1を先ず行い、粗倣い構成動作1での移動方向は、基準進行方向と同じ方向の成分を含む方向とする。この粗倣い構成動作1の実行中に、基準進行方向について予め定めた閾値を超える力が検出されたとき、上述の障害物を越える粗倣い動作に切り替える。障害物を越える粗倣い動作においては、矢印74で示す粗倣い構成動作2によって所定距離又は所定時間だけツールを移動させ、粗倣い構成動作1と粗倣い構成動作2とを繰り返してツールが障害物を乗り越えたら、矢印76bで示す基本移動動作を再開する。【0130】なお基本移動動作が力制御によるものである場合に、教示点に基づく教示軌道によってツールの進行方向が定められ、該進行方向に合わせてツールの押付方向を定める倣い動作を行っているとすると、例えば図41に示すように、教示軌道上に、ツール(図示せず)をワーク20に対して基準押付方向46に押し付けるようなワーク形状がない(そのときの位置から基準進行方向に谷がある)場合、進行方向が基準進行方向と同じ方向、押付方向が基準押付方向と同じ方向であり、それらをもとに基本移動動作を継続すると、図41に示すワークの窪み形状の部分に近づくことはなく、進行方向の速度を小さくしツールを押付方向に移動させたとしても、ツールがワークの該窪み形状から離れてしまうことになる。【0131】そこで、所定時間又は所定比較回数だけ、力の進行方向成分が予め定めた閾値より小さく、かつ、押付方向成分が予め定めた閾値より小さい場合は、ツールは押付方向に押し付けることができない部分に差し掛かり、ワークに倣うことができない状態になっていると判定する。この判定において、実際にそのような部分に差し掛かっているのか、或いは単に倣いの性能が悪いため倣えていないのかを、なるべく正確に判断できるように、押付方向についての反力が小さい場合に進行速度を下げて倣いの性能を相対的に向上させることもできる。【0132】そして、粗倣い構成動作1と、粗倣い構成動作2と、それらの動作切り替え判定とを繰り返し(切り替えをしない場合もあり、また順序は問わない)、つまりツールをワークから離す動作と、ワークに近付ける動作と、それらの動作中に倣うべきワーク形状が存在しているかを確認する動作とを繰り返して、基準押付方向及び基準進行方向をもとに、ツールをワーク形状になるべく沿うように動かす。【0133】ここで、粗倣い動作実行中に、状況に応じて、基準押付方向について力がかかっていなくともその後の基本移動動作の押付方向が基準押付方向とは異なる場合には任意の方向について、所定の閾値を超える力がかあれば、或いは、粗倣い動作を継続する場合には基準押付方向について、所定の閾値を超える力がかかれば、基本移動動作に切り替えることによって、図41のように押付方向に形状物が急に存在しなくなった場合(そのときの位置から基準進行方向に谷がある)でも、迅速かつ、なるべくワーク形状に沿った倣い動作を行うことができる。さらに、それらの動作をより細かく(短時間又は短距離で)繰り返すことによって、ツールをワーク形状により正確に沿わせることができる。【0134】これまで述べてきた本発明の応用によって、複雑なワーク形状に対しても簡単な教示によってツールを倣わせることもできる。例えば、図42に示すように、円周上に凹凸部98が設けられているワーク形状に対して円を動くような簡単な教示軌道100によってツールを倣わせたい場合を考える。教示軌道によって進行方向及び押付方向が与えられる通常の倣い動作では倣わせることは困難であるが、本発明では、凹凸部98の各々において、そのときの形状・位置に応じたツールの進行方向及び押付方向をそれぞれ基準進行方向及び基準押付方向として、それをもとに回避動作をおこなうため、教示軌道100とは異なる未知の形状・障害物に対しても、上述の基本移動動作と粗倣い動作とを切り替えながら、なるべくワーク形状に沿いつつ障害物等を回避しながら、迅速にツールを動かすことができる。【0135】なお、図42に示されるような、教示軌道とは異なる実際のワークの複雑な凹凸形状や教示軌道とのサイズの違いなどによる、教示軌道と実際のワーク形状とのずれは、教示軌道上の点を実軌道上の点に射影させて、目標とする移動方向や位置を合わせたり補正したりすることによって所望の方向や位置を取得し、対応することができる。よって、力制御を行う基本移動動作や粗倣い動作実行中に、教示軌道を参考としながら、教示軌道とは異なる複雑な形状に沿ってツールを回避させながら、教示軌道が意図する位置へ移動させることが可能である。さらに、上述のように、ワーク形状になるべく沿った移動をさせたり、他の部分との干渉を避けたりするためには、粗倣い構成動作実行時に実際に移動する距離を短くしておくことが望ましい。【0136】また、ツールとワークとの間に作用する力や力制御による倣い動作中の移動位置をもとにツールの進行方向と押付方向を求め、ワークに対して連続的に倣わせる方法を行う場合でも、ワークに不連続な部分があったり非常に複雑な形状部分があったりする等、ツールをワークに連続的に倣わせ続けるよりも、本発明によって、ワーク形状を確認する動作とワークから局所的にツールを離す動作とを繰り返して、ワーク形状に粗く倣わせる方が望ましい場合もある。このように,ワーク形状が複雑な場合や動作時間をなるべく短縮したい場合等において、ワーク形状にツールを粗く倣わせればよいというときにも本発明は有効である。【0137】なお上述の実施形態では、ロボットアームの先端に取り付けたツールをワークに対して移動させて倣わせる場合について説明したが、本発明の実施に際し、ロボットアーム先端部分に取り付けたハンドにより把持されたワークを、固定された加工ツール等に対して移動させるようにしてもよい。また、ロボットアームの先端に取り付けたツール又は把持させたワークを作用させる、他方のワーク又はツールを固定させた部分は、移動動作が可能な装置によって移動させてもよい。【0138】以上述べたように、本願発明では、ロボットを用いてツールとワークとを相対的に移動させているときに、障害物、壁、穴、大きな凹凸等の未知な形状があった場合でも、「それまでの移動動作」と、「積極的に一方を他方から離す動作と、他方の存在を確認する(通常は他方に近付ける)動作とを繰り返す、粗倣い動作」とを、力検出手段で検出する力の情報、及びロボットが動かしている物体の位置情報の少なくとも一方に基づいて適宜切り替え、ロボット、作業ツール及びワークに対して過負荷を与えず、好適な回避動作を行いつつなるべく形状に沿った迅速な倣い制御が可能となる。本発明は、加工を伴わない教示作業のための倣い制御、バリ取り作業、研磨・研削作業、ロールヘム加工等の押付けによる倣い加工作業、さらにはツールをワークに対して移動させて異物があるか、ワークが不良品であるか等を確認する作業にも有用である。
A
2012139790
2011000132
20110104
null
null
20120726
2012139790
20120726
null
null
5705553
20150306
null
null
null
投射材の寿命に優れたショットピーニング方法
000180070
山陽特殊製鋼株式会社
100074790
椎名 彊
澤田 俊之
null
8
B24C 11/00 (20060101), B24C 1/10 (20060101)
B24C 11/00 C ,B24C 1/10 G
2
null
null
null
0
7
null
null
【課題】本発明は、被投射材の表面を過度に粗くすることなく、高い圧縮残留応力を付与し、さらに投射材寿命が長くコスト低減を図れる投射材寿命に優れたショットピーニング方法を提供する。【解決手段】ビッカース硬さが1000~1400HV、密度が7.0~8.5Mg/m3を有する投射材を、10~50m/sの速度で金属製の被処理品に投射することを特徴とする投射材寿命に優れたショットピーニング方法、および上記方法により、高周波焼入れ処理、浸炭処理、窒化処理、浸炭窒化処理のいずれかを施した金属製の被処理品を処理してなるショットピーニング方法。【選択図】図1
【請求項1】ビッカース硬さが1000~1400HV、密度が7.0~8.5Mg/m3を有する投射材を、10~50m/sの速度で金属製の被処理品に投射することを特徴とする投射材寿命に優れたショットピーニング方法。【請求項2】請求項1に記載の方法により、高周波焼入れ処理、浸炭処理、窒化処理、浸炭窒化処理のいずれかを施した金属製の被処理品を処理してなるショットピーニング方法。
【請求項1】ビッカース硬さが1000~1400HV、密度が7.0~8.5Mg/m3を有する投射材を、10~50m/sの速度で金属製の被処理品に投射することを特徴とする投射材寿命に優れたショットピーニング方法。
【技術分野】【0001】本発明は、被投射材の表面を過度に粗くすることなく、高い圧縮残留応力を付与し、さらに投射材寿命が長くコスト低減を図れる投射材の寿命に優れたショットピーニング方法に関する。
【背景技術】【0002】一般にショットピーニングは被処理材の表面に投射材と呼ばれる粒子を投射し、圧縮残留応力を付与し、疲労強度などを改善できる有効な表面処理方法であり、ばねやギヤ等の自動車部品、あるいは金型材などにも適用されている。また、近年では高周波焼入れ、浸炭、窒化、浸炭窒化処理など様々な表面処理を行なった、例えば650HVを超えるような表面硬度の高い被処理物へのショットピーニングの適用が進んでおり、これら部材への投射材にも高硬度化が求められている。【0003】すなわち、表面硬度の高い被処理材に対し、低硬度な投射材を用いたショットピーニングでは高い圧縮残留応力が得られない。また、自動車部品等の更なる軽量化要求に伴い、益々高硬度な被処理材をショットピーニングする必要があるため、さらに高硬度を有する投射材が求められている。【0004】高硬度な投射材としては、ジルコニアビーズやアルミナビーズなどのセラミックス系の投射材があるが、これらのセラミックスは金属粉末と比較し靭性が低いため、ショットピーニングにより破砕しやすく、投射材としての寿命が短くランニングコストが高い。また、例えば特開2007-84858号公報(特許文献1)にはFeB系投射材も提案されている。なお、この投射材は鉄系硼化物の優れた機械特性(例えば高融点化合物便覧,日・ソ通信社,ゲ・ヴェ・サムソノフら著,1976)を利用した高硬度投射材である。【0005】一方、高硬度な投射材を用いてショットピーニングすると、被処理品の表面が過度に粗くなる場合があり、例えば、特開2002-36115号公報(特許文献2)では、ヤング率の低いアモルファス投射材を用いることで、高硬度な投射材でありながら被処理物の表面粗度の上昇を抑制している。一般に鋳鋼などの鉄系結晶質材料のヤング率は200~210GPa程度であるが、アモルファス相とすることにより、これを低くすることができる。【0006】しかしながら、構成相をアモルファスとするためには、高価な添加元素や製造時に十分な冷却速度を要するなど課題もある。また、投射材の粒径も表面粗度に大きく影響し、一般に微粒子(例えば0.3mm以下)を投射することにより表面粗度の上昇を抑制できる。例えば、特開昭62-278224号公報(特許文献3)では、20~200μmの投射材を用いることで被加工面の粗さ上昇を抑えている。なお、この例では投射材の粒径を小さくすることにより、投射速度を上昇させることができ、100m/s以上とする処理法を提案している。【0007】また、投射材の粒径を小さくすることにより、エア式ショットピーニング装置による投射速度が大きく出来ることは、例えば、日本機械学会論文集(C編)の60巻571号P1120(小川ら)(非特許文献1)にも記述されている。一般的に多く用いられている鋳鋼投射材はビッカース硬さの上限が850HV程度であり、十分な圧縮残留応力を得るためには100m/sを超えるような、比較的高い投射速度が必要となる。しかしながら、高い投射速度を得るためには、ショットピーニング装置への負荷も大きくなってしまう課題がある。【0008】高硬度と高密度を有する投射材として超硬製投射材、例えば、特開平8-323626号公報(特許文献4)にも提案されているが、汎用の鋳鋼製投射材などと比較し非常に高価であり、また、鋳鋼投射材などの鉄系材料と比較すると密度が2倍程度と著しく高く、被処理品の表面粗度を過度に粗くしてしまう。特開2007-84858号公報特開2002-36115号公報特開昭62-278224号公報特開平8-323626号公報日本機械学会論文集(C編)の60巻571号P1120(小川ら)
【発明が解決しようとする課題】【0009】上述したような従来の方法では高価な投射材を用いず、しかも低い投射速度で、被加工品に高い圧縮残留応力を付与し、表面粗度を低く保つことは困難であった。
【課題を解決するための手段】【0010】上述した問題を解消するために、発明者は鋭意開発を進めた結果、高硬度で中密度の投射材を低い圧力で投射することにより、高硬度(1000~1400HV)のために低速度投射(10~50m/s)でも大きな圧縮残留応力を付与させると共に、表面粗度の上昇が少なく、さらに、高硬度投射材を低圧投射すると極端に投射材寿命が向上し、高圧縮残留応力を付与し、かつ表面粗度の増大を抑制することができる投射材寿命に優れたショットピーニング方法を提供する。【0011】その発明の要旨とするところは、(1)ビッカース硬さが1000~1400HV、密度が7.0~8.5Mg/m3を有する投射材を、10~50m/sの速度で金属製の被処理品に投射することを特徴とする投射材寿命に優れたショットピーニング方法。(2)前記(1)に記載の方法により、高周波焼入れ処理、浸炭処理、窒化処理、浸炭窒化処理のいずれかを施した金属製の被処理品を処理してなるショットピーニング方法にある。
【発明の効果】【0012】以上述べたように、本発明により、投射材の寿命が著しく長くなり投射材にかかるランニングコストを大幅に低減できるとともに、投射材の廃却量をも減らすことができる効果を奏するものである。
【発明を実施するための最良の形態】【0013】以下、本発明に係る発明の限定理由を説明する。ビッカース硬さが1000~1400HVビッカース硬さが1000HV未満では大きな圧縮残留応力が得られず、投射材の寿命にも劣る。一方、1400HVを超えると被加工品の表面を過度に粗くし、投射材の寿命にも劣る。したがって、好ましくは1100~1350HV、より好ましくは1150~1350HVとした。【0014】密度が7.0~8.5Mg/m3密度が7.0Mg/m3未満では大きな圧縮残留応力が得られず、一方、8.5Mg/m3を超えると被加工品の表面を過度に粗くしてしまう。したがって、好ましくは7.2~7.8Mg/m3、より好ましくは7.2~7.6Mg/m3とした。【0015】10~50m/sの投射速度投射速度が10m/s未満では大きな圧縮残留応力が得られず、50m/sを超えると被加工品の表面を過度に粗くし、投射材の寿命にも劣る。したがって、好ましくは10~40m/s、より好ましくは15~30m/sとした。【実施例】【0016】以下、本発明について実施例によって具体的に説明する。先ず、最大圧縮残留応力および表面粗度に及ぼす投射材硬さ、密度および投射速度の影響についての実施例を述べる。投射材は表1に示す市販の投射材を用いた。まず、各投射材の硬さをビッカース硬さ計、密度をガス置換法により測定した。いずれの投射材も直径0.1mmのものを用いた。また、顕微鏡で確認したところ、いずれの投射材も概ね球状を呈していた。その後、直径20mm、長さ100mm、表面粗さが算術平均(Ra)0.4μmのSCM420を高周波焼入れ、浸炭、窒化、浸炭窒化処理した鋼材を被処理材として、エア式および遠心式のショットピーニング装置で、表1に示す通り投射速度を変化させてショットピーニングを行った。これらの表面粗度をRaで評価し、さらに電解研磨で表層を除去しながら深さ方向にX線法で残留応力を測定しその最大値を評価した。その結果を表1に示す。なお、実施例10と比較例13は高周波焼入れ材(表面硬さ650HV)、実施例1と比較例14は窒化材(表面硬さ900HV)、実施例9と比較例20は浸炭窒化材(表面硬さ900HV)であり、その他は全て浸炭材(表面硬さ750HV)を被処理材として用いた。【0017】【表1】表1に示すように、No.1~11は本発明例、No.12~20は比較例である。【0018】比較例No.12は、投射材が鋳鋼系で投射材の硬さが低いために、圧縮残留応力の付与が低い。比較例No.13は、No.12と同様に、投射材が鋳鋼系で投射材の硬さが低いために、圧縮残留応力の付与が低い。また、比較例No.14は、投射材がハイス系で投射材の硬さが低いために、圧縮残留応力の付与が低い。比較例No.15は、投射材が本発明例と同じFeB系ではあるがこの比較例のFeB系では投射材の硬さが高過ぎて、被加工品の表面を粗くし、投射材の寿命も劣る。【0019】比較例No.16は、投射材の密度が低いために、大きな圧縮残留応力が得られない。比較例No.17は、投射材の密度が高いために、加工品の表面が粗くなる。比較例No.18は、投射速度が遅いために大きな圧縮残留応力が得られない。比較例No.19は、投射速度が速いために、加工品の表面を粗くし、投射材の寿命も劣る。【0020】比較例No.20は、比較例No.19と同様に、投射速度が速いために加工品の表面を粗くし、投射材の寿命も劣る。これに対し、本発明例No.1~11は、投射材の硬さ、投射材の密度、投射速度等いずれも本発明の条件を満足していることから大きな圧縮残留応力が得られ、かつ良好な表面粗度を得ることが分かる。【0021】次に、投射材の寿命に及ぼす投射速度の影響に関する実施例として、本発明例No.5、比較例No.12および比較例No.15に用いた投射材を、数水準の投射速度でSCM420をガス浸炭した鋼材をターゲットに24時間投射した。投射材は繰返しターゲットと衝突することにより破砕され、約25μm以下の微粉となったものがショットピーニング装置外へ排出されるように集塵装置を設定した。ショットピーニング装置はエア式の循環式とし、装置内に投射材を20kg装入し、24時間投射した後、投射材を回収し、最初の投入量である20kgと比べて減った重量の大小を投射材の寿命として評価した。すなわち、投入材の減量が大きくなる投射速度は投射材の寿命が短いということになる。なお、ターゲットは4時間投射するごとに取り替えた。【0022】その結果を図1に示す。図1は、投射材の寿命に及ぼす投射材の速度の影響を示すもので、縦軸は58m/sの速度で投射した場合の投射材の減量を1としたときの減量の相対値を示している。この図1に示す通り、実施例No.5の投射材を50m/s以下の投射速度とすることにより、大幅に投射材の減量を少なくすることが出来ることが分かる。【0023】以上のように、本発明により、被投射材の表面を過度に粗くすることなく、高い圧縮残留応力を付与し、さらに投射材の寿命が長く、かつコスト低減を図れるショットピーニング方法を提供するもので、特にこの方法によって高周波焼入れ処理、浸炭処理、窒化処理、浸炭窒化処理した金属製の被処理品をショットピーニング処理することを可能とし、工業上極めて優れた効果を奏するものである。
A
2012139791
2011000150
20110104
null
null
20120726
2012139791
20120726
null
null
5573684
20140711
null
null
null
ヘリカルブローチ
000006264
三菱マテリアル株式会社
100064908
志賀 正武
善積 直樹,濱野 昭宏
null
8
B23F 21/26 (20060101), B23D 43/02 (20060101)
B23F 21/26 ,B23D 43/02
3
null
null
null
0
10
3C050
3C050 BC01 ,3C050 BD04
【課題】径上がり刃によりワークの歯形を歯丈方向に切削した時点で、ワーク歯形の側面をインボリュート曲線に近づけることができ、これにより、仕上げ刃に偏磨耗が生じるのを回避でき、長寿命化を図る。【解決手段】複数の切刃のうちブローチ本体先端側の複数の切刃はワークの歯形を歯丈方向に切削するべく歯丈を漸次増大させた外径上がり刃とされるとともにブローチ本体後端側の複数の切刃はワークの歯形を歯厚方向に追い込んでインボリュート曲面に切削するべく歯厚を漸次増大させた歯厚上がり刃とされる。列をなす複数の外径上がり刃6a…6gを歯丈方向へ積層させたとき、外径上がり刃の鈍角側の側刃6aa、6ba、…6gaがインボリュート曲面Iaに対し歯丈方向に向かうに従い外方への偏倚量を漸次増加させるようにずれた形状に形成されている。【選択図】図4
【請求項1】軸状のブローチ本体の外周部に、径方向外周側に突出する複数の切刃が列をなして、ブローチ本体の先端側から後端側に向けて該ブローチ本体の軸線回りに捩れる螺旋状に、かつ、上記切刃の列が周方向に間隔をあけて配列されて、これらの切刃によりワークの加工穴内周に所定のリードの捩れ溝を形成するヘリカルブローチにおいて、複数の上記切刃のうちブローチ本体先端側の複数の切刃はワークの歯形を歯丈方向に切削するべく歯丈を漸次増大させた外径上がり刃とされるとともにブローチ本体後端側の複数の切刃はワークの歯形を歯厚方向に追い込んでインボリュート曲面に切削するべく歯厚を漸次増大させた歯厚上がり刃とされ、列をなす複数の上記外径上がり刃を歯丈方向へ積層させたとき、該外径上がり刃の鈍角側の側刃が上記インボリュート曲面に対し歯丈方向に向かうに従い外方への偏倚量を漸次増加させるようにずれた形状に形成されていることを特徴とするヘリカルブローチ。【請求項2】請求項1に記載のヘリカルブローチにおいて、列をなす複数の上記外径上がり刃を歯丈方向へ積層させたとき、該外径上がり刃の鋭角側の側刃が上記インボリュート曲面と同じ形状に形成されていることを特徴とするヘリカルブローチ。【請求項3】請求項1または2に記載のヘリカルブローチにおいて、上記外径上がり刃の鈍角側の側刃のインボリュート曲面に対する偏倚量X(mm)は、上記リードの値をR(mm)、上記外径上がり刃の歯丈をH(mm)とするとき、以下の式で表される範囲に設定されていることを特徴とするヘリカルブローチ。X=R×0.0000479+H×0.00624±0.01
【請求項1】軸状のブローチ本体の外周部に、径方向外周側に突出する複数の切刃が列をなして、ブローチ本体の先端側から後端側に向けて該ブローチ本体の軸線回りに捩れる螺旋状に、かつ、上記切刃の列が周方向に間隔をあけて配列されて、これらの切刃によりワークの加工穴内周に所定のリードの捩れ溝を形成するヘリカルブローチにおいて、複数の上記切刃のうちブローチ本体先端側の複数の切刃はワークの歯形を歯丈方向に切削するべく歯丈を漸次増大させた外径上がり刃とされるとともにブローチ本体後端側の複数の切刃はワークの歯形を歯厚方向に追い込んでインボリュート曲面に切削するべく歯厚を漸次増大させた歯厚上がり刃とされ、列をなす複数の上記外径上がり刃を歯丈方向へ積層させたとき、該外径上がり刃の鈍角側の側刃が上記インボリュート曲面に対し歯丈方向に向かうに従い外方への偏倚量を漸次増加させるようにずれた形状に形成されていることを特徴とするヘリカルブローチ。
【技術分野】【0001】本発明は、例えばヘリカル内歯車のように内周に捩れ溝を有するワークを切削加工するのに用いられるヘリカルブローチに関するものである。
【背景技術】【0002】ヘリカル内歯車として、例えばプラネタリーインターナルギヤは、自動変速機用のギヤとして各種提供されているが、最近では自動変速機の要求品質の高度化に伴いギヤの品質の高度化も求められるようになってきている。このようなヘリカル内歯車の加工に用いられるヘリカルブローチとして、例えば下記特許文献1には、軸状のブローチ本体の外周部に、該ブローチ本体の径方向外周側に突出する複数の切刃が、このブローチ本体の先端側から後端側に向けて該ブローチ本体の軸線回りに捩れる螺旋状に配列され、上記切刃のうちブローチ本体先端側の切刃は荒刃(外径上がり刃)とされるとともにブローチ本体後端側の切刃は仕上げ刃(歯厚上がり刃)とされ、この仕上げ刃において、ブローチ本体先端側を向くすくい面と上記ブローチ本体の周方向のうちいずれか一方の側を向く側面との交差稜線部に切削刃が形成されるとともに、この切削刃とは反対側の側面と上記すくい面との交差稜線部はガイド刃とされたものが提案されている。【0003】このようなヘリカルブローチによれば、例えば、図6に示すようにワークWであるヘリカル内歯車の歯形を、歯丈が順次漸増する荒刃により小径部から所要の溝深さHaに至るまで形成した後に、歯厚が順次漸増する仕上げ刃によって、まず、歯形の一方の側面(例えば、鋭角側の側面Sb)を歯厚方向に追い込み切削し、次いで、歯形の他方の側面(例えば、鈍角側の側面Sa)を歯厚方向に追い込み切削し、これにより左右側面を所望のインボリュート曲線により近づけることができる。
【発明が解決しようとする課題】【0005】このようなヘリカルブローチにあっては、荒刃によって切削加工した時点においても、ワークの左右両側をそれぞれ所望のインボリュート曲線Ia、Ibに近似するように企図しているが、鋭角側と鈍角側とで切削抵抗が異なるため、図7に示すように、荒刃によってワークの歯形を歯丈方向に切削するときに荒刃が次第に鋭角側へずれる(図7中最上部での偏倚量をXaで示す)。このため、荒刃によって切削加工した直後では、ワーク歯形の鈍角側の側面Saは鈍角側のインボリュート曲線Iaから大きくずれてしまう。このため、その後、仕上げ刃によりワークの歯形を歯厚方向に追い込んで側面を所望のインボリュート曲面Iaにより近づけるよう切削する際に、歯元と歯先とでは仕上げ刃による切削すべき厚さが異なるため、該仕上げ刃に偏磨耗が生じる。この結果、上記ヘリカルブローチには、再研削を頻繁に行わなければならない、あるいはヘリカルブローチの寿命が短くなるという問題があった。【0006】本発明は、このような背景の下になされたもので、外径上がり刃によりワークの歯形を歯丈方向に切削した時点で、ワーク歯形の側面をインボリュート曲線に近づけることができ、これにより、仕上げ刃に偏磨耗が生じるのを回避でき、長寿命化が図れるヘリカルブローチを提供することを目的とする。
【課題を解決するための手段】【0007】上記課題を解決して、このような目的を達成するために、本発明のヘリカルブローチは、軸状のブローチ本体の外周部に、径方向外周側に突出する複数の切刃が列をなして、ブローチ本体の先端側から後端側に向けて該ブローチ本体の軸線回りに捩れる螺旋状に、かつ、上記切刃の列が周方向に間隔をあけて配列されて、これらの切刃によりワークの加工穴内周に所定のリードの捩れ溝を形成するヘリカルブローチにおいて、複数の上記切刃のうちブローチ本体先端側の複数の切刃はワークの歯形を歯丈方向に切削するべく歯丈を漸次増大させた外径上がり刃とされるとともにブローチ本体後端側の複数の切刃はワークの歯形を歯厚方向に追い込んでインボリュート曲面に切削するべく歯厚を漸次増大させた歯厚上がり刃とされ、列をなす複数の上記外径上がり刃を歯丈方向へ積層させたとき、該外径上がり刃の鈍角側の側刃が上記インボリュート曲面に対し歯丈方向に向かうに従い外方の偏倚量を漸次増加させるようにずれた形状に形成されていることを特徴とする。【0008】上記構成のヘリカルブローチによれば、外径上がり刃によってワークの歯形を歯丈方向に切削するときに、外径上がり刃が次第に鋭角側へずれるのを考慮し、外径上がり刃の鈍角側の側刃が、インボリュート曲面に対し歯丈方向に向かうに従い外方(鈍角側)への偏倚量を漸次増加させるように予めずれた形状に形成されているため、該外径上がり刃によってワークの歯形を歯丈方向に切削した直後のワーク歯形の鈍角側の側面を、インボリュート曲線に近似した形状に形成することが可能となる。【0009】列をなす複数の上記外径上がり刃を歯丈方向へ積層させたとき、該外径上がり刃の鋭角側の側刃が上記インボリュート曲面と同じ形状に形成されていることが好ましい。この種のヘリカルブローチによれば、上述したように外径上がり刃によってワークの歯形を歯丈方向に切削するときに、外径上がり刃が次第に鋭角側へずれる傾向にあるが、このように鋭角側へずれたところで、外径上がり刃の鋭角側の側面では、後方の新たな外径上がり刃によってワーク歯形を切削するとき、ずれた位置のワーク歯先からワーク歯元方向に至るまで切削する。この場合、外径上がり刃の鋭角側の側刃がインボリュート曲面に形成されているので、切削後の歯形は、そのままワーク歯形に転写される。つまり、外径上がり刃によってワークの歯形を歯丈方向に切削した直後のワーク歯形における鋭角側の側面を、外径上がり刃の鋭角側の側刃の形状であるインボリュート曲面に近似した形状に形成することが可能となる。【0010】上記外径上がり刃の鈍角側の側刃のインボリュート曲面に対する偏倚量X(mm)は、上記リードの値をR(mm)、外径上がり刃の歯丈をH(mm)とするとき、以下の式で表される範囲に設定されていることが好ましい。X=R×0.0000479+H×0.00624±0.01本発明者等は、種々実験を行った結果、外径上がり刃の鈍角側の側刃のインボリュート曲面に対する偏倚量X(mm)を上記の範囲に設定することで、外径上がり刃によって追い込み切削した直後のワーク歯形の鈍角側の側面を、インボリュート曲線に極めて近似した形状に形成できることが確認できた。
【発明の効果】【0011】本発明のヘリカルブローチによれば、外径上がり刃によってワークの歯形を歯丈方向に切削した直後のワーク歯形をインボリュート曲線に近似した形状にすることができ、その後の加工に用いる歯厚上がり刃に偏磨耗が生じるのを回避できる。この結果、再研削の頻度を低くすることでき、しかも当該ヘリカルブローチの長寿命化を無理なく図ることができる。
【発明を実施するための形態】【0013】図1ないし図4は本発明の一体形ヘリカルブローチの実施の形態を示すものであり、図1は、本発明をオフノルマルタイプのヘリカルブローチに適用した場合の実施形態を示す側面図、図2は図1に示す実施形態のヘリカルブローチによって加工するワークの一部を示す断面図である。【0014】本実施形態のヘリカルブローチは、例えば上述したプラネタリーインターナルギヤ等の図2に示すヘリカル内歯車Gのように内周に捩れ溝Dを有するワークをブローチ加工するものである。ブローチ本体1は、図1に示すように軸線Oを中心とした長尺の軸状をなし、その両端部は掴み部2,3とされるとともに、これら掴み部2,3の間は切刃部4とされている。そして、この切刃部4においてブローチ本体1の外周には、上記軸線Oに対する径方向外周側に突出する複数の切刃5が、該ブローチ本体1の先端側(図1において左側)から後端側(図1において右側)に向けて軸線O回りに捩れるリードLに沿った螺旋状をなすように配列されている。また、これら切刃5の列が周方向に間隔をあけて複数列形成されている。なお、図1は、軸線O方向において複数の切刃5の間に形成される刃溝がこの軸線O回りにねじれたオフノルマルタイプ(ネジレ刃溝タイプ)の一体形ヘリカルブローチに、本発明を適用した例を示すものである。【0015】ここで、切刃部4のうちブローチ本体1先端側の部分の複数の切刃5は、上記ヘリカル内歯車の歯形を歯丈方向に切削する外径上がり刃6とされていて、この外径上がり刃6においては切刃5の歯丈が該切刃5の列に沿ってブローチ本体1後端側に向け順次増大するようにされている。また、この外径上がり刃6よりもブローチ本体1後端側の切刃部4には、こうして外径上がり刃6によって所定の歯丈に切削された歯形の歯面すなわちワークの捩れ溝の両溝側面を、歯厚方向すなわちブローチ本体1の周方向に追い込み切削して所定の歯厚に形成する複数の歯厚上がり刃7が備えられている。なお、切刃部4のうち上記外径上がり刃6とされた切刃5が配列される部分には、歯形の小径部を切削する丸刃が歯厚上がり刃7と交互に備えられていてもよい。また、この丸刃は、歯厚上がり刃7の後端側に備えられていてもよい。そして、これら外径上がり刃6、歯厚上がり刃7、および丸刃のすべての切刃5が、本実施形態ではブローチ本体1に一体に形成されている。【0016】図3は図1に示す実施形態のヘリカルブローチの外径上がり刃を説明する模式図である。図4は図1に示す実施形態のヘリカルブローチの外径上がり刃を説明するもので、該外径上がり刃を歯丈方向へ積層させた模式図である。【0017】列をなす複数の外径上がり刃6a、6b、~、6gは(図4、図5では外径上がり刃の数を便宜上7個としているが実際にはそれよりも多数備える)、リードLに沿って螺旋状をなすように配列されているが、これら外径上がり刃6a、6b、~6gがずれずに強制的に歯丈方向(図4において上方)へ積層されたとき、該外径上がり刃の鈍角側の側刃6aa、6ba、~6gaは、ワーク歯形の鈍角側(図4における左側)のインボリュート曲面Iaに対し歯丈方向に向かうに従い外方(鈍角側)への偏倚量を漸次増加させるようにずれた形状に形成されている。【0018】ここで、外径上がり刃の鋭角側、鈍角側とは、外径上がり刃においてすくい面と側方の逃げ面とのなす角度を基準に称するものであり、ここでは、図4に示すように、リードLを中心に正面視した場合、左側を鈍角側、右側を鋭角側とする。【0019】また、外径上がり刃の鈍角側の側刃6aa、6ba、~6gaのインボリュート曲面に対する偏倚量X(mm)は、リードLの値をR(mm)、外径上がり刃の歯丈をH(mm)とするとき、以下の式で表される範囲となるように設定される。X=R×0.0000479+H×0.00624±0.01この式は本発明者らが種々実験をし、その重回帰分析から得られた結果である。なお、この式における最終項の0.01(mm)は製作誤差や加工誤差を考慮した値である。【0020】ここで、上記外径上がり刃6a、6b、~6gが、ずれずに強制的に歯丈方向(図4において上方)へ積層されたとき、該外径上がり刃の鋭角側の側刃6ab、6bb、~6gbは、ワーク歯形の鋭角側(図4における右側)のインボリュート曲面Ibと同じ形状となるように形成されている。【0021】図1に示すように、上記複数の歯厚上がり刃7には、該歯厚上がり刃7のうちでも外径上がり刃6に続くブローチ本体1先端側に設けられて、ワークの捩れ溝の両溝壁面のうちの一方の壁面を追い込み切削してゆく複数の歯厚上がり刃7Aと、これらの歯厚上がり刃7Aのブローチ本体1後端側に設けられて、両溝壁面のうちの他方の壁面を追い込み切削してゆく複数の歯厚上がり刃7Bとが備えられている。【0022】すなわち、個々の歯厚上がり刃7においては、その切刃5のブローチ本体1先端側を向くすくい面と、ブローチ本体1の周方向のうち溝壁面を追い込み切削してゆく側を向く側面との交差稜線部に切削刃が形成されている。一方、こうして切削刃が形成された交差稜線部とは周方向に反対側のすくい面の交差稜線部には、切削刃によって追い込み切削される上記溝壁面とは反対側のねじれ溝の溝壁面に摺接して当該歯厚上がり刃7を案内するガイド刃が形成される。【0023】そして、ブローチ本体1先端側の複数の歯厚上がり刃7Aにおいては、鈍角側のガイド刃がリードLに沿って連なるように配列されるとともに、鋭角側の切削刃はリードLに沿って後端側に向かうに従い、歯厚方向に徐々にせり出すように配設される一方、ブローチ本体1後端側の複数の歯厚上がり刃7Bにおいては、その鋭角側のガイド刃が、概ね上記ブローチ本体1先端側の複数の歯厚上がり刃7Aのうち最後端のものの切削刃から上記リードLに沿って連なるように配列されるとともに、鈍角側の切削刃は、ブローチ本体1先端側の歯厚上がり刃7Aのガイド刃からリードLに沿って後端側に向かうに従い、歯厚方向に徐々にせり出すように配設される。【0024】なお、ブローチ本体1先端側の歯厚上がり刃7Aのさらに先端側、すなわち外径上がり刃6と歯厚上がり刃7との間には、外径上がり刃6によって形成された捩れ溝と略等しい幅を有し、かつその両側面の先端側が先細りとされて共に負の逃げ角を与えられた図示せぬ案内刃が配設される。【0025】次に、このように構成されたヘリカルブローチによってワークを切削する方法について説明する。ワークWに予め形成した加工穴にブローチ本体1を先端側から挿入し、該ブローチ本体1を上記リードLに沿ってワークに対し相対的に前進させつつ回転させる。これにより、まず外径上がり刃6によって、ワークWの孔の内面に所定の深さの捩れ溝を形成する。【0026】ここで、上記構成のヘリカルブローチでは、外径上がり刃6によってワークの歯形を歯丈方向に切削するときに、外径上がり刃6が次第に鋭角側へずれるのを考慮し、外径上がり刃の鈍角側の側刃を、インボリュート曲面に対し歯丈方向に向かうに従い外方への偏倚量を漸次増加させるように予めずれた形状に形成している。【0027】図5は、インボリュート曲線に対し予めずれた形状に形成した外径上がり刃6によりワークを切削した例を示す説明図である。この図5に示すように、外径上がり刃6によってワークの歯形を歯丈方向に切削するときに、後段側の外径上がり刃6が次第に鋭角側へずれるので、結局、外径上がり刃6によって追い込み切削した直後のワーク歯形の鈍角側の側面Sは、鈍角側のインボリュート曲線Iaに近似した形状になる。【0028】また、上記外径上がり刃の鈍角側の側刃のインボリュート曲面に対する偏倚量X(mm)を、上記リードの値をR(mm)、外径上がり刃の歯丈をH(mm)とするとき、以下の式で表される範囲となるように設定している。X=R×0.0000479+H×0.00624±0.01この結果、外径上がり刃6によって追い込み切削した直後のワーク歯形の鈍角側の側面Sを、鈍角側のインボリュート曲線Iaに極めて近似した形状に形成することが可能となる。【0029】また、列をなす複数の上記外径上がり刃6を歯丈方向へ積層させたとき、該外径上がり刃の鋭角側の側刃6ab、6bb、…6gbを上記鋭角側のインボリュート曲面Ibと同じ形状に形成している。この種のヘリカルブローチによれば、上述したように外径上がり刃6によってワークWの歯形を歯丈方向に切削するときに、外径上がり刃6が次第に鋭角側へずれる傾向にあるが、このように鋭角側へずれたところで、図5に示すように、外径上がり刃6の鋭角側の側面では、後方の新たな外径上がり刃6によってワーク歯形を切削するとき、ずれた位置のワーク歯先からワーク歯元方向に至るまで切削する。この場合、外径上がり刃の鋭角側の側刃6ab、6bb、…6gbがインボリュート曲面と同じ形状となるように形成されているので、切削後の歯形は、そのままワーク歯形に転写される。つまり、外径上がり刃6によってワークの歯形を歯丈方向に切削した直後のワーク歯形における鋭角側の側面を、外径上がり刃の鋭角側の側刃の形状であるインボリュート曲面に近似した形状に形成することが可能となる。【0030】次いで、この捩れ溝の鈍角側の溝壁面にブローチ本体1先端側の歯厚上がり刃7Aのガイド刃が摺接して該歯厚上がり刃7Aを案内しつつ、このブローチ本体1先端側の歯厚上がり刃7Aの切削刃により捩れ溝の鋭角側の溝壁面を切削して、外径上がり刃6による溝幅から鋭角側の溝壁面に仕上げ代の仕上げ加工を施す。そして、これに続いて今度はこの鋭角側の溝壁面にブローチ本体1後端側の歯厚上がり刃7Bのガイド刃が摺接して該歯厚上がり刃7Bが案内されつつ、このブローチ本体1後端側の歯厚上がり刃7Bの切削刃によって捩れ溝の鈍角側の溝壁面を切削して、溝幅から鋭角側の溝壁面に仕上げ代を与え、所望の溝幅の捩れ溝を形成する。【0031】ここで、上述したように、外径上がり刃6によって追い込み切削した直後のワーク歯形の鈍角側の側面Sが、鈍角側のインボリュート曲線Iaに近似した形状になるので、その後、仕上げ刃7によりワークWの歯形を歯厚方向に追い込んで側面をインボリュート曲面により近づけるよう切削する際には(図6参照)、仕上げ刃7において歯元と歯先とで切削すべき厚さは略同じであるため、仕上げ刃7に偏磨耗が生じるのを回避できる。この結果、再研削の頻度を低くすることできしかも当該ヘリカルブローチの長寿命を無理なく図ることができる。【0032】なお、本発明は前述の実施形態に限定されるものではなく、本発明の趣旨を逸脱しない範囲において種々の変更を加えることができる。例えば、上記実施形態では、本発明をオフノルマルタイプの一体型ヘリカルブローチに適用した例について説明したが、これに限られることなく、本発明は、軸直タイプのヘリカルブローチにも、あるいは歯厚上がり刃7がブローチ本体とは別体のシェルに設けられた組立型のヘリカルブローチにも適用可能である。【0033】また、上記実施形態では、列をなす複数の外径上がり刃6を歯丈方向へ積層させたとき、外径上がり刃6の鈍角側の側刃6aa、6ba、…6ga全域をつないだ形状が、インボリュート曲面Iaを歯丈方向の向かうに従い外方へずれる形状に形成しているが、これに限られることなく、外径上がり刃6の鈍角側の側刃6aa、6ba、…6gaのそれぞれの一部を外方へずれる形状に形成してもよい。
A
2012139937
2011000010
20110104
null
null
20120726
2012139937
20120726
null
null
5760219
20150619
null
null
null
日射熱制御膜材
000239862
平岡織染株式会社
null
null
狩野 俊也,松下 陽子
null
8
B32B 7/02 (20060101), B32B 27/18 (20060101)
B32B 7/02 105 ,B32B 27/18 Z
8
null
null
null
0
15
4F100
4F100 AA02B,4F100 AA11B,4F100 AA20A,4F100 AA21B,4F100 AA25B,4F100 AA27B,4F100 AA28B,4F100 AA29B,4F100 AA33B,4F100 AD00A,4F100 AG00A,4F100 AK01A,4F100 AK01B,4F100 AK15 ,4F100 AK25 ,4F100 AK41 ,4F100 BA02 ,4F100 BA03 ,4F100 BA04 ,4F100 BA05 ,4F100 BA07 ,4F100 BA10A,4F100 BA10B,4F100 BA10E,4F100 CB00D,4F100 DE01A,4F100 DE01B,4F100 DG12B,4F100 DG12C,4F100 DJ00A,4F100 GB07 ,4F100 JB16A,4F100 JD10B,4F100 JJ02A,4F100 JL06E,4F100 JL11D,4F100 YY00A,4F100 YY00B
【課題】テント構造物用膜材に適して用いられ、採光性、遮熱性と断熱性とを兼備する薄型の日射熱制御膜材の提供。【解決手段】断熱樹脂層と、その一面上に赤外線反射樹脂層を設けた可撓性積層体として、断熱樹脂層を、熱可塑性樹脂組成物からなる充実部と、気泡粒と殻壁粒子とからなる非充実部とで構成し、非充実部は気泡粒と殻壁粒子による90:10~50:50混成体積比率とし、断熱樹脂層の体積に対する非充実部の占有総和を20~50体積%とし、さらに気泡粒の内壁表面に殻壁粒子の表面の一部を露出するように構成する。【選択図】図2
【請求項1】断熱樹脂層と、その一面上に設けられた赤外線反射樹脂層とを有する可撓性積層体であって、前記断熱樹脂層が、熱可塑性樹脂組成物からなる充実部と、気泡粒と殻壁粒子とからなる非充実部とからなり、前記非充実部が前記気泡粒と前記殻壁粒子による90:10~50:50の混成体積比率、及び、前記断熱樹脂層の体積に対する前記非充実部の占有総和が20~50体積%、及び前記気泡粒の内壁表面に前記殻壁粒子の表面の一部が露出してなり、さらに、前記赤外線反射樹脂層が無機金属化合物粒子を含んでいて、前記可撓性積層体が、波長300~2500nmにおける日射反射率(JISR3106)85%以上及び、日射熱取得率(JISR3106)10%以下を兼備することを特徴とする日射熱制御膜材。【請求項2】前記断熱樹脂層と、前記赤外線反射樹脂層との間に、繊維織物からなる基布層を含んでいる、請求項1に記載の日射熱制御膜材。【請求項3】前記断熱樹脂層と、前記基布層との間に、接着樹脂層を含んでいる、請求項2に記載の日射熱制御膜材。【請求項4】前記赤外線反射樹脂層が、繊維織物からなる基布層を含んでいる、請求項1に記載の日射熱制御膜材。【請求項5】前記赤外線反射樹脂層の表面に防汚層が設けられている、請求項1~4のいずれか1項に記載の日射熱制御膜材。【請求項6】前記無機金属化合物粒子が、酸化チタン(TiO2)、酸化亜鉛(ZnO)、硫化亜鉛(ZnS)、酸化スズ(SnO2)、酸化ジルコニウム(ZrO2)、三酸化アンチモン(Sb2O3)、酸化インジウム(In2O3)、スズドープ酸化インジウム、インジウムドープ酸化スズ、及びアンチモンドープ酸化スズから選ばれた1種以上である請求項1~5のいずれか1項に記載の日射熱制御膜材。【請求項7】前記殻壁粒子が、ガラス、シラス、シリカ、セラミック、及び有機高分子物質から選ばれた1種以上を殻壁として、空気、窒素、酸素、キセノンから選ばれたいずれか1種の気体を封入保持している請求項1~6のいずれか1項に記載の日射熱制御膜材。【請求項8】前記気体が、10~1000hPaに減圧されている請求項7に記載の日射熱制御膜材。
【請求項1】断熱樹脂層と、その一面上に設けられた赤外線反射樹脂層とを有する可撓性積層体であって、前記断熱樹脂層が、熱可塑性樹脂組成物からなる充実部と、気泡粒と殻壁粒子とからなる非充実部とからなり、前記非充実部が前記気泡粒と前記殻壁粒子による90:10~50:50の混成体積比率、及び、前記断熱樹脂層の体積に対する前記非充実部の占有総和が20~50体積%、及び前記気泡粒の内壁表面に前記殻壁粒子の表面の一部が露出してなり、さらに、前記赤外線反射樹脂層が無機金属化合物粒子を含んでいて、前記可撓性積層体が、波長300~2500nmにおける日射反射率(JISR3106)85%以上及び、日射熱取得率(JISR3106)10%以下を兼備することを特徴とする日射熱制御膜材。
【技術分野】【0001】本発明は熱制御機能と採光性を有する、テント構造物用膜材、トラック幌用膜材、ルーフィング材用膜材、フレキシブルコンテナ用膜材などの産業資材に関するものである。更に詳しく述べるならば、本発明の膜材は、遮熱性と断熱性と採光性とを兼備し、夏季においては日射による膜材からの蓄熱伝導を遮蔽する効果を有し、冬季には暖房熱を外気に逃がし難い効果を有する、特にテント構造物用に適し、省エネルギー性に優れた採光性膜材に関する。
【背景技術】【0002】遮熱性と断熱性とを兼備するシート状物の例として、発泡ウレタンや発泡スチレン等の可撓性のあるシート部材に、樹脂塗料中に酸化チタンやアルミナおよび酸化ケイ素等の熱反射機能を持つセラミックや無機化合物を混入してなる熱反射型塗料層を設けた遮熱シート(特許文献1)、殻壁粒子を含有する下塗材により形成される断熱性下塗層と、赤外線反射性有色粒子を含有する装飾性塗材により形成される装飾材層を設けた建築物外装面用の遮熱断熱積層体(特許文献2)、光触媒含有層、保護層、セラミックス粉を含む熱制御性樹脂層、及びセラミックス粉末を含む樹脂発泡層とからなるテント構造物用の遮熱性防汚膜材(特許文献3)、軟質ポリ塩化ビニル樹脂基材層上に、熱可塑性樹脂に顔料が含有されて700~2100nmの波長域における日射反射率が30%以上となる赤外線反射樹脂層が形成され、防水シート基材層と熱反射樹脂層との間に熱可塑性樹脂を発泡してなる発泡樹脂層が形成された屋根用防水シート(特許文献4)、780~1600nmの近赤外線領域において30%未満の日射吸収率と、50%以上の日射透過率を有する表面層と、380~780nmの可視光線領域において80%以上の日射吸収率を有し、且つ、780~1600nmの近赤外線領域において85%以上の日射反射率を有し、且つ発泡倍率が1.2~5.0倍の発泡樹脂組成物からなる近赤外線領域光反射層を有する着色合成樹脂シート状物(特許文献5)などの提案がなされている。【0003】これらのシート状物はいずれも気泡を多数含有する樹脂発泡層を含む構成を必須とするものである。一般的に樹脂発泡層は、樹脂発泡層の厚さが大きいほど断熱効果に優れ、さらに気泡の径が大きく、より気泡含有量が大きいほど断熱効果に優れている。このような層が厚く、気泡含有量が大きい樹脂発泡層をテント構造物用膜材に応用することは断熱性付与に極めて効果的であるが、しかし、膜材厚が増大することでテントの採光性を阻害する問題、膜材同士の接合部強度低下の問題などから実用性に乏しいのが現状であった。【0004】従って樹脂発泡層を有するシート状物でありながら、採光性を有し、膜材同士の接合部強度低下の心配がなく、特にテント構造物用膜材に適して用いられ、遮熱性と断熱性とを兼備する薄型の日射熱制御膜材が望まれていたのであるが、今までに薄い厚さの樹脂発泡層で遮熱性と断熱性とを兼備するようなシートは存在していなかった。
【発明が解決しようとする課題】【0006】本発明は、採光性を有し、膜材同士の接合部強度低下の心配もないシートであって、特にテント構造物用膜材に適して用いられ、遮熱性と断熱性とを兼備する薄型の日射熱制御膜材を提供しようとするものである。
【課題を解決するための手段】【0007】上記課題を解決するために、断熱樹脂層と、その一面上に設けられた赤外線反射樹脂層とを有する可撓性積層体において、断熱樹脂層を、熱可塑性樹脂組成物からなる充実部と、気泡粒と殻壁粒子とからなる非充実部とで構成し、非充実部における気泡粒と殻壁粒子を90:10~50:50の混成体積比率とし、また、断熱樹脂層の体積に対する非充実部の占有総和を20~50体積%、気泡粒の内壁表面に殻壁粒子の表面の一部を露出するようにして、さらに、赤外線反射樹脂層に無機金属化合物粒子を含み、これによって可撓性積層体が、波長300~2500nmにおける日射反射率(JISR3106)85%以上及び、日射熱取得率(JISR3106)10%以下を兼備することを見出し、本発明を完成するに至った。【0008】すなわち本発明の日射熱制御膜材は、断熱樹脂層と、その一面上に設けられた赤外線反射樹脂層とを有する可撓性積層体であって、前記断熱樹脂層が、熱可塑性樹脂組成物からなる充実部と、気泡粒と殻壁粒子とからなる非充実部とからなり、前記非充実部が前記気泡粒と前記殻壁粒子による90:10~50:50の混成体積比率、及び、前記断熱樹脂層の体積に対する前記非充実部の占有総和が20~50体積%、及び前記気泡粒の内壁表面に前記殻壁粒子の表面の一部が露出してなり、さらに、前記赤外線反射樹脂層が無機金属化合物粒子を含んでいて、前記可撓性積層体が、波長300~2500nmにおける日射反射率(JISR3106)85%以上及び、日射熱取得率(JISR3106)10%以下を兼備することが好ましい。これによって本発明の日射熱制御膜材に遮熱性、断熱性及び採光性とを兼備さ殻壁ことを可能とする。【0009】本発明の日射熱制御膜材は、前記断熱樹脂層と、前記赤外線反射樹脂層との間に、繊維織物からなる基布層を含んでいることが好ましい。これによって本発明の日射熱制御膜材を好適に日よけテントやテント構造物用膜材に用いることができる。【0010】本発明の日射熱制御膜材は、前記断熱樹脂層と、前記基布層との間に、接着樹脂層を含んでいることが好ましい。これによって本発明の日射熱制御膜材を好適に日よけテントやテント構造物用膜材に用いることができる。【0011】本発明の日射熱制御膜材は、前記赤外線反射樹脂層が、繊維織物からなる基布層を含んでいることが好ましい。これによって本発明の日射熱制御膜材を好適に日よけテントやテント構造物用膜材に用いることができる。【0012】本発明の日射熱制御膜材は、前記赤外線反射樹脂層の表面に防汚層が設けられていることが好ましい。これによって本発明の日射熱制御膜材を好適に日よけテントやテント構造物用膜材に用いることができる。【0013】本発明の日射熱制御膜材は、前記無機金属化合物粒子が、酸化チタン(TiO2)、酸化亜鉛(ZnO)、硫化亜鉛(ZnS)、酸化スズ(SnO2)、酸化ジルコニウム(ZrO2)、三酸化アンチモン(Sb2O3)、酸化インジウム(In2O3)、スズドープ酸化インジウム、インジウムドープ酸化スズ、及びアンチモンドープ酸化スズから選ばれた1種以上であることが好ましい。これによって本発明の日射熱制御膜材に好適な遮熱性を付与することができる。【0014】本発明の日射熱制御膜材は、前記殻壁粒子が、ガラス、シラス、シリカ、セラミック、及び有機高分子物質から選ばれた1種以上を殻壁として、空気、窒素、酸素、キセノンから選ばれたいずれか1種の気体を封入保持していることが好ましい。これによって本発明の日射熱制御膜材に好適な断熱性を付与することができる。【0015】本発明の日射熱制御膜材は、前記気体が、10~1000hPaに減圧されていることが好ましい。これによって本発明の日射熱制御膜材に好適な断熱性を付与することができる。
【発明の効果】【0016】本発明の日射熱制御膜材は、採光性を有し、膜材同士の接合部強度低下の心配もないシートであり、特に遮熱性と断熱性とを兼備することで、日よけテントやテント構造物用膜材に適して用いることができる。これによって夏季においては日射による膜材からの蓄熱伝導を遮蔽する効果を有し、冬季には暖房熱を外気に逃がし難い効果を有するので、極めて省エネルギー性に優れている。
【発明を実施するための形態】【0018】本発明の日射熱制御膜材は、断熱樹脂層と、その一面上に設けられた赤外線反射樹脂層とを有する可撓性積層体であり、特に繊維織物からなる基布層を含むことが好ましい。この基布層を含む位置は、1).赤外線反射樹脂層と断熱樹脂層との間、または2).赤外線反射樹脂層内のいずれかであり、1).の具体的構成としては、赤外線反射樹脂層/基布層/断熱樹脂層、2).の具体的構成としては、赤外線反射樹脂層/基布層/赤外線反射樹脂層/断熱樹脂層が例示できる。特に1).の場合、基布層と断熱樹脂層との間に接着樹脂層を含む構成であってもよく、具体的構成としては、赤外線反射樹脂層/基布層/接着樹脂層/断熱樹脂層が例示できる。【0019】本発明の日射熱制御膜材の赤外線反射樹脂層、断熱樹脂層、及び接着樹脂層に使用する樹脂は各層それぞれ、軟質塩化ビニル樹脂、塩化ビニル系共重合体樹脂、オレフィン樹脂、オレフィン系共重合体樹脂、ウレタン樹脂、ウレタン系共重合体樹脂、アクリル樹脂、アクリル系共重合体樹脂、酢酸ビニル樹脂、酢酸ビニル系共重合体樹脂、スチレン樹脂、スチレン系共重合体樹脂、ポリエステル樹脂、およびポリエステル系共重合体樹脂、フッ素樹脂、フッ素系共重合体樹脂、シリコン樹脂、シリコン系共重合体樹脂などの熱可塑性樹脂が使用でき、単独もしくは、2種以上併用してもよい。本発明においては赤外線反射樹脂層と断熱樹脂層とが同一の熱可塑性樹脂で構成されることが好ましく、これにより得られる膜材同士の高周波溶着接合性が確保されると同時に得られる膜材の屈曲耐久性がより向上する。また接着樹脂層を形成する場合には、接着樹脂層も同一熱可塑性樹脂を用いることによって得られる膜材の屈曲耐久性をより向上させることができる。時に本発明において好ましい熱可塑性樹脂は、塩化ビニル樹脂(可塑剤、安定剤等を配合した軟質~半硬質塩化ビニル樹脂を包含する)、オレフィン系共重合体樹脂、ウレタン系共重合体樹脂、およびポリエステル系共重合体樹脂等である。本発明の日射熱制御膜材の赤外線反射樹脂層、断熱樹脂層、及び接着樹脂層にはそれぞれ有機顔料、無機顔料による着色が可能であり、必要に応じて可塑剤、安定剤、充填剤、紫外線吸収剤、接着剤、防炎剤、防黴剤、滑剤等を含むことができる。【0020】本発明の日射熱制御膜材の赤外線反射樹脂層、断熱樹脂層、及び接着樹脂層の各層は、特に基布層を含み、繊維織物に対してディッピングやコーティングによる含浸被覆、繊維織物に対してのフィルムラミネートによる積層被覆、及びこれらの加工方法の組み合わせによって形成される。ディッピング法、コーティング法は上記熱可塑性樹脂によるエマルジョンや塩化ビニル樹脂のペーストゾルの使用が好ましく、またフィルムラミネートでは、上記熱可塑性樹脂をカレンダー法により成形したフィルムやシート、或いはTダイス押出成形して得たフィルムやシートを用いることができる。本発明において赤外線反射樹脂層、断熱樹脂層、及び接着樹脂層の各層は防炎性付与の観点から塩素原子を多量に含有する塩化ビニル樹脂を使用することが好ましく、公知の可塑剤、防炎可塑剤、及び公知の防炎剤を含有する防炎組成であることが好ましい。【0021】本発明の膜材の基布層に使用する繊維織物を構成する繊維は、ポリプロピレン繊維、ポリエチレン繊維、ポリエステル繊維、ナイロン繊維、ビニロン繊維、芳香族ヘテロ環ポリマー繊維などの合成繊維、木綿、麻、ケナフなどの天然繊維、アセテートなどの半合成繊維、ガラス繊維、シリカ繊維、アルミナ繊維、炭素繊維などの無機繊維が使用でき、これらは単独で、或いは2種以上の混用で用いてもよい。繊維糸条はマルチフィラメント、短繊維紡績、モノフィラメント、スプリットヤーン、テープヤーンなどいずれであってもよい。本発明に使用する繊維布帛は、織布、編布、不織布のいずれでもよいが、得られる装飾シートの寸法安定性及び寸法バランスとの観点から織布が好ましく、特に平織、綾織、繻子織、模紗織などが好ましい。これらの織布は、糸間間隙をおいて平行に配置された経糸及び緯糸を含む糸条により構成された粗目状の織布(空隙率は最大50%、好ましくは5~30%)、及び非粗目状織布(糸条間に実質上間隙が形成されていない空隙率0~3%織布)を包含する。これらの織布には、必要に応じて撥水処理、吸水防止処理、接着処理、難燃処理などの薬剤処理が施されたものを用いてもよい。【0022】本発明の膜材の赤外線反射樹脂層には、無機金属化合物粒子を含有し、赤外線反射樹脂層を構成する熱可塑性樹脂100質量部に対して、無機金属化合物粒子を0.5~30質量部、特に1~15質量部含有することが好ましい。用いる無機金属化合物粒子としては、平均粒子径が0.01~10μmの、酸化チタン(TiO2)、酸化亜鉛(ZnO)、硫化亜鉛(ZnS)、酸化スズ(SnO2)、酸化ジルコニウム(ZrO2)、三酸化アンチモン(Sb2O3)、酸化インジウム(In2O3)、スズドープ酸化インジウム、インジウムドープ酸化スズ、及びアンチモンドープ酸化スズから選ばれた1種以上であることが好ましい。このようにして得られた赤外線反射樹脂層の波長300~2500nmにおける日射反射率(JISR3106-1998年)は85%以上であることが好ましい。無機金属化合物粒子の含有量が0.5質量部未満だと得られる膜材の日射反射率(JISR3106-1998年)が85%未満となることがあり、また含有量が30質量部を越えると得られる膜材の赤外線反射樹脂層が脆くなり、膜材同士の端部を重ね合わせて熱溶着し、これによって接合部を形成した場合に、十分な接合部強度が得られないことがある。赤外線反射樹脂層の厚さは0.05~1.0mm、特に0.1~0.35mmであることが好ましい。赤外線反射樹脂層の厚さが0.05mm未満だと得られる膜材の日射反射率(JISR3106-1998年)が85%未満となることがあり、また膜材同士の端部を重ね合わせて熱溶着し、これによって接合部を形成した場合に、十分な接合部強度が得られないことがある。また赤外線反射樹脂層の厚さが1.0mmを越えると得られる膜材の質量が増して施工性を悪くすることがある。【0023】本発明の膜材の断熱樹脂層には、気泡粒と殻壁粒子による90:10~50:50の混成体積比率による非充実部を、断熱樹脂層の体積に対する占有総和として20~50体積%含有することが好ましく、さらにこの気泡粒の内壁表面には殻壁粒子の表面の一部が露出していることが好ましい。非充実部とは断熱樹脂層において気泡粒と殻壁粒子から形成された占有空間を意味するもので、非充実部に対して充実部とは断熱樹脂層において占める熱可塑性樹脂を意味する。非充実部に占める殻壁粒子の体積比率が10未満だと、得られる膜材の日射熱取得率(JISR3106-1998年)10%を越えることがあり、また殻壁粒子の体積比率が50を超えると、得られる膜材の断熱樹脂層が脆くなり、膜材同士の端部を重ね合わせて熱溶着し、これによって接合部を形成した場合に、十分な接合部強度が得られないことがある。また、断熱樹脂層の体積に対する非充実部の占有総和が20体積%未満だと、得られる膜材の日射熱取得率(JISR3106-1998年)10%を越えることがあり、また非充実部の割合が50体積%を超えると、得られる膜材の断熱樹脂層が脆くなり、膜材同士の端部を重ね合わせて熱溶着し、これによって接合部を形成した場合に、十分な接合部強度が得られないことがある。【0024】本発明の膜材の断熱樹脂層において、非充実部を構成する気泡粒として、その粒径は10~1000μmの混成で、特に粒径50~500μmの気泡粒で気泡粒全体の60%以上を占めることが好ましい。気泡粒の粒径の主体が10μm未満だと得られる膜材の断熱効果(日射熱取得率10%以下)が不十分となることがあり、また1000μmを超える気泡粒が主体だと、得られる膜材の断熱樹脂層の屈曲強度や摩耗強度を脆くすることがある。また非充実部を構成する殻壁粒子として、その粒子径は0.1~100μmの混成で、特に粒子径1~50μmの殻壁粒子で殻壁粒子全体の60%以上を占めることが好ましい。殻壁粒子の粒子径の主体が0.1μm未満だと、得られる膜材の断熱効果(日射熱取得率10%以下)が不十分となることがあり、また主体が100μmを超えると、得られる膜材の断熱樹脂層の屈曲強度や摩耗強度を脆くすることがある。また、気泡粒の内壁表面に殻壁粒子の表面の一部を多数個露出させることによって、本発明の膜材の断熱樹脂層厚を必要以上に厚く設定しなくとも、日射熱取得率を10%以下とする断熱効果を得ることができる。このような気泡粒は例えば、粒径500μmの気泡粒の内壁に粒子径25μmの殻壁粒子の表面の一部が多数露出した態様である。【0025】本発明の膜材の断熱樹脂層において、非充実部を構成する気泡粒は、熱可塑性樹脂中にアゾジカルボアミド、オキシビスベンゼンスルフォニルヒドラジド、ベンゼンスルフォニルヒドラジド、p-トルエンスルフォニルヒドラジド、ジアゾアミノベンゼン、アゾビスイソブチロニトリルなどの熱分解型化学発泡剤を均一分散し、これを加熱して化学発泡剤を熱分解させ、化学発泡剤の分解ガス生成痕を気泡粒とする化学発泡、または粘性を有する熱可塑性樹脂を機械攪拌することで空気粒を強制的に巻込み、これを固化して気泡粒とする機械発泡のいずれかの方法によって形成することができる。この気泡粒は連続気泡であっても独立気泡のいずれであってもよく、連続気泡と独立気泡との混成であってもよい。本発明の膜材において断熱樹脂層の層厚は0.1~2.0mm、特に0.5~1mmが好ましい。層厚が0.1mm未満だと、得られる膜材の断熱効果(日射熱取得率10%以下)が不十分となることがあり、また層厚が2.0mmを超えると、得られる膜材の採光性を悪くすると同時に、膜材同士の端部を重ね合わせて熱溶着し、これによって接合部を形成した場合に、十分な接合部強度が得られないことがある。【0026】本発明の膜材の断熱樹脂層において、非充実部を構成する殻壁粒子は、ガラス、シラス、シリカ、セラミック(具体的にアルミナ、ジルコニア、アルミナシリケート)、及び有機高分子物質(具体的に塩化ビニリデン、アクリル樹脂、スチレン樹脂、アクリルースチレン共重合体樹脂)から選ばれた1種以上を殻壁として、空気、窒素、酸素、キセノンから選ばれたいずれか1種の気体を封入保持している粒子径が0.1~100μmの範囲を有し、特に粒子径1~50μmの範囲、かさ密度0.09~0.37g/cm3、真密度0.18~0.52g/cm3の殻壁粒子が好ましい。またさらに封入気体は10~1000hPa、特に100~1000hpa程度に減圧されていることが好ましく、このような殻壁粒子として例えば、ガラス殻壁を有し、内部に空気が封入された粒子、あるいはガラス殻壁を有し、内部に空気が10~1000hPaの減圧状態で封入された粒子の使用によって本発明の膜材の断熱効果をより向上させることができる。これらの殻壁粒子の表面は断熱樹脂層における充実部(熱可塑性樹脂組成物)との密着性を向上させるために公知のシランカップリング剤(アクリル系、エポキシ系、アミノ系など)による表面処理、有機チタネート化合物により表面処理されていることが好ましい。【0027】本発明の膜材の断熱樹脂層において、気泡粒の内壁表面に殻壁粒子の表面の一部を多数個露出させた構成を得るには、予め殻壁粒子を配合した熱可塑性樹脂組成物に、前述の熱分解型化学発泡剤を均一分散し、これを加熱して化学発泡剤を熱分解させ、化学発泡剤の分解ガス生成痕を気泡粒とする化学発泡させること、または粘性を有する熱可塑性樹脂中、例えばペースト塩化ビニル樹脂ゾルやエマルジョン樹脂増粘物に殻壁粒子を配合して機械攪拌することで空気粒を強制的に巻込み、これを熱処理固化させて気泡粒とする機械発泡のいずれかの方法によって形成すればよい。このとき気泡粒と殻壁粒子の混成比率は90:10~50:50体積%が好ましい。【0028】本発明の膜材の赤外線反射樹脂層の表面には防汚層が設けられていることが好ましく、防汚層の存在によって、波長300~2500nmにおける日射反射率(JISR3106)85%以上を持続することを可能とする。防汚層を有さない場合には、本発明の膜材表面、すなわち赤外線反射樹脂層表面に煤塵汚れが経時的に蓄積することで赤外線反射樹脂層本来の機能を発揮できなくなることがある。本発明において好ましい防汚層は、i).酸化チタン(TiO2)、過酸化チタン(ペルオキソチタン酸)、酸化亜鉛(ZnO)、酸化錫(SnO2)から選ばれた1種以上の光触媒物質含有層、または、ii).アクリル系樹脂、アクリル-シリコン樹脂、及びフッ素系樹脂から選ばれた1種以上による防汚層、またはこれらにシリカ微粒子を含む防汚層である。防汚層としての光触媒物質含有層は、光触媒物質を10~70質量%と、金属酸化物ゲル及び/又は金属水酸化物ゲルを25~90質量%、またケイ素化合物を1~20質量%含有する厚さ、0.1~10μmの塗膜である。また光触媒物質は、その表面の一部が無機化合物によって光触媒不活性化された表面処理粒子であってもよい。防汚層を形成するアクリル系樹脂、アクリル-シリコン樹脂、フッ素系樹脂(フルオロオレフィン共重合体樹脂)、またはフッ素系樹脂(フルオロオレフィン共重合体樹脂)とアクリル系樹脂とのブレンド物にはシリカ微粒子を含むことが雨筋汚れ抑制効果に優れ好ましい。本発明の膜材同士の接合における十分な接合部強度を得るには、フッ素系樹脂100質量部に対してアクリル系樹脂を5~75質量部ブレンドした組成物にシリカ微粒子5~25質量部を配合した防汚層が好ましい。【0029】本発明の日射熱制御膜材に関して、図1~5の可撓性積層体を一例として説明する。図1の可撓性積層体(1)は、断熱樹脂層(2)の1面上に赤外線反射樹脂層(3)が設けられている。断熱樹脂層(2)は充実部(2-1)及び非充実部(2-2)とから成り、充実部(2-1)は熱可塑性樹脂組成物(2-1-1)によって構成され、非充実部(2-2)は気泡粒(2-2-1)及び殻壁粒子(2-2-2)により構成されている。また赤外線反射樹脂層(3)は無機金属化合物粒子(3-1)を含んでいる。断熱樹脂層(2)において気泡粒(2-2-1)の内壁表面に殻壁粒子(2-2-2)の表面の一部が露出している(4)。図2の可撓性積層体(1)は、断熱樹脂層(2)と赤外線反射樹脂層(3)との間に繊維織物(5)が設けられている。図3の可撓性積層体(1)は、断熱樹脂層(2)と赤外線反射樹脂層(3)との間に繊維織物(5)が設けられ、繊維織物(5)と断熱樹脂層(2)との間に接着樹脂層(6)が設けられている。図4の可撓性積層体(1)は、繊維織物(5)の両面に赤外線反射樹脂層(3)が設けられ、その一方の赤外線反射樹脂層(3)面に断熱樹脂層(2)が設けられている。図5は図2の可撓性積層体(1)において、赤外線反射樹脂層(3)上にさらに防汚層(7)が設けられている。【実施例】【0030】以下、本発明について実施例を挙げて具体的に説明するが、本発明はこれらに限定されるものではない。下記実施例及び比較例において、試験膜材の遮制御性、防汚性などは下記の試験方法により測定し、評価した。1)日射熱取得率膜材の日射熱取得率は、分光光度計V-670型(日本分光(株)製)を使用し、日射透過率、日射吸収率を測定し、JISR3106に準拠し式(1)に従って算出した。日射熱取得率:η=a+b(1/αti)/(1/αti+1/αto)・・・(1)αto:屋外側表面の総合熱伝達率(常用値25W/m2K)αti:室内側表面の総合熱伝達率(常用値9W/m2K)a:日射透過率(%)b:日射吸収率(%)c:日射反射率(%)=100-〈日射透過率(%)+日射吸収率(%)〉2)屋外曝露試験たて(繊維織物の経糸方向)45cm×よこ(繊維織物の緯糸方向)30cmの膜材を、赤外線反射樹脂層形成面を表側にして、陽当たりの良い南向き傾斜30°方向に展張し、屋外汚れ試験を12ヶ月間行った。展張12ヶ月後の膜材の日射熱取得率を測定し、さらに未展張膜材との色差ΔE(JISZ-8729)を求め、下記の判定基準にて防汚性の評価を行った。※屋外展張は埼玉県草加市内において2月より開始した1:ΔE=0~1.9:汚れがなく良好。初期の状態を維持している2:ΔE=2~3.5:うすく汚れているが外観に支障はない3:ΔE=3.6~5.0:汚れと雨筋が目立つ4:ΔE=5.1~:汚れと雨筋が酷く、外観に支障がある3)環境試験たて100cm・よこ100cm・高さ100cmの正方形枠の6面全てに膜材を装着した密閉体を構成し、恒温槽内で密閉体内部の気温を25℃にした後、この密閉体を10℃と40℃に、それぞれ温度設定された2つの恒温槽に移し、静置状態で密閉体内部の気温を密閉体内部に中央にぶら下げた温度センサーにより観察し、密閉体内部の気温がそれぞれの恒温槽内環境温度と同じ10℃、40℃と平衡するまでの時間を測定した。【0031】[実施例1]繊維織物として、下記織組織のポリエステルマルチフィラメント平織物を用いた。1111dtex(たて糸)×1111dtex(よこ糸)/(22本/inch×25本/inch)、質量:215g/m2この繊維織物の片面上に、下記配合1の軟質塩化ビニル樹脂組成物による厚さ0.18mmのカレンダー成形フィルムを170℃の熱条件で熱ラミネートして積層接着し、厚さ0.18mmの赤外線反射樹脂層を形成した。<配合1>軟質塩化ビニル樹脂配合組成物(1)塩化ビニル樹脂(重合度1050)100質量部DOP(可塑剤)50質量部三酸化アンチモン(防炎剤)15質量部エポキシ化大豆油(安定剤)4質量部Ba-Zn(安定剤)2質量部酸化チタン(赤外線反射剤)10質量部※平均粒子径0.8~1.2μmの粗粒子酸化チタン【0032】この赤外線反射樹脂層積層中間体の裏面側に、下記配合2のペースト塩化ビニル樹脂組成物(機械攪拌により気泡粒を含む発泡組成物)を厚さ1mmで均一コーティングし、この塗工物を180℃の熱風で2分間加熱して固化させて、厚さ1mmの断熱樹脂層を形成した。得られた断熱樹脂層形成中間体は充実部として塩化ビニル樹脂組成物を56体積%含み、非充実部として気泡粒及び殻壁粒子を44体積%含み、断熱樹脂層断面の拡大鏡観察により、気泡粒の内壁表面には殻壁粒子の表面の一部が多数個露出している状態であった。<配合2>ペースト塩化ビニル樹脂配合組成物塩化ビニル樹脂(重合度1700)100質量部DOP(可塑剤)70質量部三酸化アンチモン(防炎剤)14質量部エポキシ化大豆油(安定剤)4質量部Ba-Zn(安定剤)2質量部酸化チタン(着色剤)8質量部※平均粒子径0.1~0.3μmの微粒子酸化チタンシリコーンオイル(整泡剤)2質量部殻壁粒子(中空バルーン)10質量部※ガラス殻壁により、70体積%の空気を880hPaで内包する平均粒子径25μmのカプセル球状粒子※熱可塑性樹脂(ペースト塩化ビニル樹脂組成物)と殻壁粒子の混成質量比率は100:5である。【0033】この膜材中間体の赤外線反射樹脂層表面に、下記配合3の樹脂組成物による厚さ3μmの防汚層を80メッシュグラビア塗工にて形成し、本発明の日射熱制御膜材を得た。<配合3>樹脂組成物アクリル樹脂100質量部フルオロオレフィンビニルエーテル樹脂100質量部イソホロン系イソシアネート(硬化剤)10質量部シリカ(平均粒子径1μm)20質量部メチルエチルケトン(溶剤)1000質量部【0034】実施例1の膜材は第5図に示した態様である。実施例1の膜材の日射透過率5.7%、日射反射率87.3%、日射熱取得率5.5%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率5.5%、日射反射率86.9%、日射熱取得率5.7%であり、10℃平衡時間82分、40℃平衡時間54分であった。【0035】[実施例2]実施例1の膜材において、繊維織物と断熱樹脂層との間に下記配合4の軟質塩化ビニル樹脂配合組成物からなる接着樹脂層を設けた以外は全て実施例1と同一とした。接着樹脂層は下記配合4の軟質塩化ビニル樹脂組成物による厚さ0.12mmのカレンダー成形フィルムを用い、これを赤外線反射樹脂層積層中間体裏面側に170℃の熱条件で熱ラミネートして積層接着し、厚さ0.12mmの接着樹脂層を形成し、この接着樹脂層面上に実施例1の断熱樹脂層を設け、さらに赤外線反射樹脂層面上に実施例1の防汚層を設けて実施例2の膜材を得た。<配合4>軟質塩化ビニル樹脂配合組成物(2)塩化ビニル樹脂(重合度1050)100質量部DOP(可塑剤)50質量部三酸化アンチモン(防炎剤)15質量部エポキシ化大豆油(安定剤)4質量部Ba-Zn(安定剤)2質量部酸化チタン(着色剤)4質量部※平均粒子径0.1~0.3μmの微粒子酸化チタン【0036】実施例2の膜材は第3図に示した態様に防汚層を追加した態様である。実施例2の膜材の日射透過率5.3%、日射反射率87.0%、日射熱取得率5.3%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率5.1%、日射反射率85.5%、日射熱取得率5.4%であり、10℃平衡時間89分、40℃平衡温度58分であった。【0037】[実施例3]実施例1の膜材において、繊維織物と断熱樹脂層との間に配合1の軟質塩化ビニル樹脂配合組成物からなる赤外線反射樹脂層を設けた以外は全て実施例1と同一とした。実施例3の膜材は第4図に示した態様に防汚層を追加した態様である。実施例3の膜材の日射透過率5.3%、日射反射率88.6%、日射熱取得率5.4%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率5.2%、日射反射率86.3%、日射熱取得率5.5%であり、10℃平衡時間91分、40℃平衡温度62分であった。【0038】[実施例4]実施例1の膜材から、繊維織物を省略した以外は全て実施例1と同一とした。実施例4の膜材は第1図に示した態様に防汚層を追加した態様である。実施例4の膜材の日射透過率7.7%、日射反射率87.9%、日射熱取得率6.6%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率7.0%、日射反射率86.2%、日射熱取得率7.0%であり、10℃平衡時間57分、40℃平衡温度38分であった。【0039】実施例1~4の膜材は日射熱制御効果に優れ、屋外使用での汚れも付難いので経年劣化が少なく、従って本発明の膜材は夏季(例えば40℃の外気温)においては日射による膜材からの蓄熱伝導を遮蔽する効果を有し、冬季(例えば10℃の外気温)には暖房熱を外気に逃がし難い遮蔽効果を有する。【0040】[比較例1]実施例1の膜材の断熱樹脂層において、配合2から殻壁粒子の配合を省略した以外は全て実施例1と同一とした。比較例1の膜材の日射透過率5.8%、日射反射率87.8%、日射熱取得率13.6%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率5.5%、日射反射率85.3%、日射熱取得率14.0%であり、10℃平衡時間65分、40℃平衡温度37分であった。【0041】[比較例2]実施例1の膜材の断熱樹脂層において、配合2から気泡粒を省略して無発泡層とした以外は全て実施例1と同一とした。比較例1の膜材の日射透過率5.2%、日射反射率86.4%、日射熱取得率15.1%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率5.0%、日射反射率85.0%、日射熱取得率15.8%であり、10℃平衡時間49分、40℃平衡温度21分であった。【0042】比較例1と2の膜材は、防汚層を有していることで、曝露汚れ評価レベルは「1」であり採光性も十分であったが、比較例1の膜材では殻壁粒子が存在しないために十分な熱制御効果が発現されなかった。比較例1の膜材で実施例1の膜材と同等の熱制御効果を得るには断熱樹脂層の厚さを2mm(実施例1の断熱樹脂層の2倍)とする必要があった。(参考比較例1)参考比較例1の膜材では断熱樹脂層の厚さが2倍に増すことによる質量増で膜材が重くなるのみならず、採光性を著しく阻害する支障を生じていた。また比較例2の膜材では気泡粒が存在しない無発泡層であるために十分な熱制御効果が発現されなかった。比較例2の膜材で実施例1の膜材と同等の熱制御効果を得るには断熱樹脂層の厚さを3.5mm(実施例1の断熱樹脂層の3.5倍)とする必要があった。(参考比較例2)参考比較例2の膜材では断熱樹脂層の厚さが3.5倍に増すことによる質量増で膜材が重くなるのみならず、採光性を著しく阻害する問題を生じた。参考比較例1と2により、本発明の膜材(具体的に実施例1)は断熱樹脂層の厚さが薄くても優れた熱制御効果が得られることが比較証明された。参考比較例1と2において実施例1の膜材と同等の熱制御効果とは、日射反射率約87%、日射熱取得率約5%、10℃平衡時間約80分、40℃平衡温度約50分を得る性能である。従って比較例の膜材では夏季(例えば40℃の外気温)においては日射による膜材からの蓄熱伝導を遮蔽する効果に乏しく、冬季(例えば10℃の外気温)には暖房熱を外気に逃がし難い遮蔽効果に乏しいものであった。
A
2012139938
2011000096
20110104
null
null
20120726
2012139938
20120726
null
null
5664247
20141219
null
null
null
画像処理装置、画像処理方法及びプログラム
303000372
コニカミノルタビジネステクノロジーズ株式会社
110001254
特許業務法人光陽国際特許事務所
秋山 文人,能西 豊茂,小澤 昌裕,野川 博司,青山 泰史,佐藤 邦和,下原 康貴,松永 貴久,李 鉄蓮
null
8
B41J 29/38 (20060101), B41J 5/30 (20060101)
B41J 29/38 Z ,B41J 5/30 Z
9
null
null
null
0
21
2C061,2C187
2C061 AQ06 ,2C061 AR01 ,2C061 HH05 ,2C061 HJ06 ,2C061 HJ07 ,2C061 HJ08 ,2C061 HK05 ,2C061 HN04 ,2C061 HN05 ,2C061 HN15,2C187 BG01
【課題】高負荷の入力データが入力された場合であっても装置自体の画像処理能力に関わらず、効率よく画像処理すること。【解決手段】入力描画コマンドを含む入力データを入力するための通信装置45と、自装置の画像処理能力に関する装置情報を取得するCPU41と、自装置の画像処理能力に応じて予め設定された複数の出力モードから、取得された装置情報に基づいて、一の出力モードを判定するCPU41と、入力描画コマンドを、該判定された一の出力モードに対応する描画形式を指定する置換描画コマンドに置換するCPU41と、置換された置換描画コマンドを含む入力データを解析して中間言語形式のデータを生成するCPU41と、を備え、複数の出力モードのうち、相対的に画像処理能力の低い出力モードは、相対的に画像処理能力が高い出力モードに比べて、処理可能な描画形式が処理負荷によって制限される。【選択図】図2
【請求項1】描画用のデータの描画形式を指定する入力描画コマンドを含む入力データを入力するための入力部と、自装置の画像処理能力に関する装置情報を取得する取得部と、自装置の画像処理能力に応じて予め設定された複数の出力モードから、前記取得部により取得された装置情報に基づいて、一の出力モードを判定する判定部と、前記入力描画コマンドを、該判定された一の出力モードに対応する描画形式を指定する置換描画コマンドに置換する置換部と、前記置換部により置換された置換描画コマンドを含む前記入力データを解析して中間言語形式のデータを生成する生成部と、を備え、前記複数の出力モードのうち、相対的に画像処理能力の低い出力モードは、相対的に画像処理能力が高い出力モードに比べて、処理可能な描画形式が処理負荷によって制限される画像処理装置。【請求項2】前記入力描画コマンドに対応する対応描画コマンドと、前記出力モードに対応する複数の前記置換描画コマンドとが関連付けられたテーブルを記憶する記憶部を備え、前記置換部は、前記入力描画コマンドと一致する前記対応描画コマンドを前記テーブル内から検索し、該検索された前記対応描画コマンドと関連付けられた前記置換描画コマンドのうち、前記判定部により判定された出力モードに対応する置換描画コマンドを前記テーブルから抽出し、前記入力描画コマンドを、該抽出された置換描画コマンドに置換する請求項1に記載の画像処理装置。【請求項3】出力モードを入力するための操作部を備え、前記判定部は、前記操作部により出力モードが入力された場合、前記操作部により入力された出力モードを優先して判定する請求項1に記載の画像処理装置。【請求項4】前記装置情報は、自装置の印刷速度を示す情報を含む請求項1から3のいずれか一項に記載の画像処理装置。【請求項5】前記装置情報は、カラー又はモノクロの印刷形式を示す情報を含む請求項1から3のいずれか一項に記載の画像処理装置。【請求項6】前記装置情報は、情報を記憶する記憶部のメモリ容量を示す情報を含む請求項1から3のいずれか一項に記載の画像処理装置。【請求項7】前記入力データはOOXMLデータである請求項1から6のいずれか一項に記載の画像処理装置。【請求項8】描画用のデータの描画形式を指定する入力描画コマンドを含む入力データを入力するための入力工程と、自装置の画像処理能力に関する装置情報を取得する取得工程と、自装置の画像処理能力に応じて予め設定された複数の出力モードから、前記取得工程により取得された装置情報に基づいて、一の出力モードを判定する判定工程と、前記入力描画コマンドを、該判定された一の出力モードに対応する描画形式を指定する置換描画コマンドに置換する置換工程と、前記置換工程により置換された置換描画コマンドを含む前記入力データを解析して中間言語形式のデータを生成する生成工程と、を含み、前記複数の出力モードのうち、相対的に画像処理能力の低い出力モードは、相対的に画像処理能力が高い出力モードに比べて、処理可能な描画形式が処理負荷によって制限される画像処理方法。【請求項9】コンピュータに、描画用のデータの描画形式を指定する入力描画コマンドを含む入力データを入力するための入力機能、自装置の画像処理能力に関する装置情報を取得する取得機能、自装置の画像処理能力に応じて予め設定された複数の出力モードから、前記取得部により取得された装置情報に基づいて、一の出力モードを判定する判定機能、前記入力描画コマンドを、該判定された一の出力モードに対応する描画形式を指定する置換描画コマンドに置換する置換機能、前記置換機能により置換された置換描画コマンドを含む前記入力データを解析して中間言語形式のデータを生成する生成機能、を実現させ、前記複数の出力モードのうち、相対的に画像処理能力の低い出力モードは、相対的に画像処理能力が高い出力モードに比べて、処理可能な描画形式が処理負荷によって制限されるプログラム。
【請求項1】描画用のデータの描画形式を指定する入力描画コマンドを含む入力データを入力するための入力部と、自装置の画像処理能力に関する装置情報を取得する取得部と、自装置の画像処理能力に応じて予め設定された複数の出力モードから、前記取得部により取得された装置情報に基づいて、一の出力モードを判定する判定部と、前記入力描画コマンドを、該判定された一の出力モードに対応する描画形式を指定する置換描画コマンドに置換する置換部と、前記置換部により置換された置換描画コマンドを含む前記入力データを解析して中間言語形式のデータを生成する生成部と、を備え、前記複数の出力モードのうち、相対的に画像処理能力の低い出力モードは、相対的に画像処理能力が高い出力モードに比べて、処理可能な描画形式が処理負荷によって制限される画像処理装置。【請求項8】描画用のデータの描画形式を指定する入力描画コマンドを含む入力データを入力するための入力工程と、自装置の画像処理能力に関する装置情報を取得する取得工程と、自装置の画像処理能力に応じて予め設定された複数の出力モードから、前記取得工程により取得された装置情報に基づいて、一の出力モードを判定する判定工程と、前記入力描画コマンドを、該判定された一の出力モードに対応する描画形式を指定する置換描画コマンドに置換する置換工程と、前記置換工程により置換された置換描画コマンドを含む前記入力データを解析して中間言語形式のデータを生成する生成工程と、を含み、前記複数の出力モードのうち、相対的に画像処理能力の低い出力モードは、相対的に画像処理能力が高い出力モードに比べて、処理可能な描画形式が処理負荷によって制限される画像処理方法。【請求項9】コンピュータに、描画用のデータの描画形式を指定する入力描画コマンドを含む入力データを入力するための入力機能、自装置の画像処理能力に関する装置情報を取得する取得機能、自装置の画像処理能力に応じて予め設定された複数の出力モードから、前記取得部により取得された装置情報に基づいて、一の出力モードを判定する判定機能、前記入力描画コマンドを、該判定された一の出力モードに対応する描画形式を指定する置換描画コマンドに置換する置換機能、前記置換機能により置換された置換描画コマンドを含む前記入力データを解析して中間言語形式のデータを生成する生成機能、を実現させ、前記複数の出力モードのうち、相対的に画像処理能力の低い出力モードは、相対的に画像処理能力が高い出力モードに比べて、処理可能な描画形式が処理負荷によって制限されるプログラム。
【技術分野】【0001】本発明は、画像処理装置、画像処理方法及びプログラムに関する。
【背景技術】【0002】近年、携帯電話、PDA(PersonalDigitalAssistant)などの携帯端末が普及している。携帯端末の普及に伴い、印刷機能を有する画像形成装置側では、携帯端末からダイレクトにデータを受信し、該受信したデータを印刷するダイレクトプリントの機能を要することが必要とされてきている。【0003】また、最近では、OOXML(OfficeOpenXML)ファイルが仕様標準化された。OOXMLファイルは、マイクロソフト・オフィス(登録商標)2007において、ワード(登録商標)、エクセル(登録商標)、パワーポイント(登録商標)等のファイルの保存形式として新たに標準化された文書フォーマットである。OOXMLファイルが仕様標準化されたことに伴い、今後、OOXMLファイルの普及とともに、OOXMLファイルをデータとして適用したダイレクトプリントが急速に広がっていくと考えられる。【0004】また、OOXMLファイルは、マイクロソフト・オフィス2007で追加された描画機能をサポートしている。具体的な描画機能としては、3次元(3D)グラフ描画機能、グラデーションフィル機能、SmartArtグラフィック機能などが挙げられる。このため、画像形成装置側では、この描画機能に対応した処理(例えば、3Dグラフを描画するための描画処理)が必要とされる。【0005】また、ホストコンピュータからPDLで記述された印刷データを受信し、該受信した印刷データを解釈して中間言語形式のデータ(以下、中間データと称す)を生成し、該中間データからビットマップデータを生成する際、画像形成装置に実装されているメモリの残容量に応じて、ビットマップデータを圧縮又は非圧縮する技術が知られている。
【発明が解決しようとする課題】【0007】しかしながら、例えば、印刷データがOOXMLファイルである場合において特許文献1の技術を適用すると、OOXMLファイルに基づいて中間データを生成する。このとき、OOXMLファイルに3Dグラフを描画して印刷するコマンドが含まれているとすると、画像形成装置は3Dグラフを描画するための複雑な処理を実行して中間データを生成する。具体的には、3Dグラフを描画するために3D座標(x、y、z座標)の計算等の複雑な処理を実行して中間データを生成する。このような場合、画像処理装置の画像処理能力が十分に高ければ問題がないが、画像処理能力の低い画像処理装置においては、中間データの生成に時間を要してしまい、画像処理全体の効率が損なわれるという問題があった。【0008】本発明の課題は、高負荷の入力データが入力された場合であっても効率よく画像処理することができる画像処理装置、画像処理方法、プログラムを提供することである。
【課題を解決するための手段】【0009】上記課題を解決するため、請求項1に記載の発明の画像処理装置は、描画用のデータの描画形式を指定する入力描画コマンドを含む入力データを入力するための入力部と、自装置の画像処理能力に関する装置情報を取得する取得部と、自装置の画像処理能力に応じて予め設定された複数の出力モードから、前記取得部により取得された装置情報に基づいて、一の出力モードを判定する判定部と、前記入力描画コマンドを、該判定された一の出力モードに対応する描画形式を指定する置換描画コマンドに置換する置換部と、前記置換部により置換された置換描画コマンドを含む前記入力データを解析して中間言語形式のデータを生成する生成部と、を備え、前記複数の出力モードのうち、相対的に画像処理能力の低い出力モードは、相対的に画像処理能力が高い出力モードに比べて、処理可能な描画形式が処理負荷によって制限される。【0010】請求項2に記載の発明は、請求項1に記載の画像処理装置において、前記入力描画コマンドに対応する対応描画コマンドと、前記出力モードに対応する複数の前記置換描画コマンドとが関連付けられたテーブルを記憶する記憶部を備え、前記置換部は、前記入力描画コマンドと一致する前記対応描画コマンドを前記テーブル内から検索し、該検索された前記対応描画コマンドと関連付けられた前記置換描画コマンドのうち、前記判定部により判定された出力モードに対応する置換描画コマンドを前記テーブルから抽出し、前記入力描画コマンドを、該抽出された置換描画コマンドに置換する。【0011】請求項3に記載の発明は、請求項1に記載の画像処理装置において、出力モードを入力するための操作部を備え、前記判定部は、前記操作部により出力モードが入力された場合、前記操作部により入力された出力モードを優先して判定する。【0012】請求項4に記載の発明は、請求項1から3のいずれか一項に記載の画像処理装置において、前記装置情報は、自装置の印刷速度を示す情報を含む。【0013】請求項5に記載の発明は、請求項1から3のいずれか一項に記載の画像処理装置において、前記装置情報は、カラー又はモノクロの印刷形式を示す情報を含む。【0014】請求項6に記載の発明は、請求項1から3のいずれか一項に記載の画像処理装置において、前記装置情報は、情報を記憶する記憶部のメモリ容量を示す情報を含む。【0015】請求項7に記載の発明は、請求項1から6のいずれか一項に記載の画像処理装置において、前記入力データはOOXMLデータである。【0016】請求項8に記載の発明の画像処理方法は、描画用のデータの描画形式を指定する入力描画コマンドを含む入力データを入力するための入力工程と、自装置の画像処理能力に関する装置情報を取得する取得工程と、自装置の画像処理能力に応じて予め設定された複数の出力モードから、前記取得工程により取得された装置情報に基づいて、一の出力モードを判定する判定工程と、前記入力描画コマンドを、該判定された一の出力モードに対応する描画形式を指定する置換描画コマンドに置換する置換工程と、前記置換工程により置換された置換描画コマンドを含む前記入力データを解析して中間言語形式のデータを生成する生成工程と、を含み、前記複数の出力モードのうち、相対的に画像処理能力の低い出力モードは、相対的に画像処理能力が高い出力モードに比べて、処理可能な描画形式が処理負荷によって制限される。【0017】請求項9に記載の発明のプログラムは、コンピュータに、描画用のデータの描画形式を指定する入力描画コマンドを含む入力データを入力するための入力機能、自装置の画像処理能力に関する装置情報を取得する取得機能、自装置の画像処理能力に応じて予め設定された複数の出力モードから、前記取得部により取得された装置情報に基づいて、一の出力モードを判定する判定機能、前記入力描画コマンドを、該判定された一の出力モードに対応する描画形式を指定する置換描画コマンドに置換する置換機能、前記置換機能により置換された置換描画コマンドを含む前記入力データを解析して中間言語形式のデータを生成する生成機能、を実現させ、前記複数の出力モードのうち、相対的に画像処理能力の低い出力モードは、相対的に画像処理能力が高い出力モードに比べて、処理可能な描画形式が処理負荷によって制限される。
【発明の効果】【0018】本発明によれば、高負荷の入力データが入力された場合であっても装置自体の画像処理能力に関わらず、効率よく画像処理することができる画像処理装置、画像処理方法、プログラムを提供することができる。
【発明を実施するための形態】【0020】〔実施の形態1〕以下、図を参照して本発明の実施の形態1を詳細に説明する。まず、構成を説明する。図1に、画像形成装置1の主要構成図を示す。図1に示すように、画像形成装置1は、エンジン10と、画像処理装置40Aと、を備えている。【0021】エンジン10は、画像処理装置40Aから出力されるプリントデータに基づいて、用紙等の印刷媒体に画像形成を行う装置である。本実施の形態1におけるエンジン10は、イエロー(Y),マゼンタ(M),シアン(C),ブラック(K)の4色それぞれを個別の静電ドラムで転写する構成(タンデム方式)である。【0022】図1に示すように、エンジン10は、用紙カセット11、給紙ローラ12、搬送ローラ13、搬送ベルト14、静電ドラム15Y、15M、15C、15K、プリントユニット16Y、16M、16C、16K、レーザユニット17Y、17M、17C、17K、転写ローラ18Y、18M、18C、18K、定着器19、排紙ローラ20等を備える。【0023】用紙カセット11には、用紙等の印刷媒体が格納されている。用紙カセット11に格納されている用紙は、給紙ローラ12によって一枚ずつ引き出され、引き出された用紙は、搬送ローラ13によって搬送ベルト14へ搬送される。搬送ベルト14へ搬送された用紙は、静電ドラム15Y,15M,15C,15K上に形成された各色のトナー画像が転写される。【0024】イエロー(Y)のトナー画像が用紙に転写される処理について説明する。静電ドラム15Yは、円筒状の部材であり、帯電ユニット(図示略)によりその円筒の外周面が帯電している。帯電された静電ドラム15Yの外周面に、用紙に形成するイエローの画像に応じたプリントデータに基づくレーザがレーザユニット17Yによって照射され、静電ドラム15Yの外周面に静電潜像が形成される。【0025】プリントユニット16Yは、イエロー(Y)のトナーを収めるトナーカートリッジと、トナーカートリッジ内のトナーを静電画像に付着させる現像ユニットとを有する。静電ドラム15Yの外周面に形成された静電潜像がイエロー(Y)のトナーがプリントユニット16Yにより付着されることにより現像され、イエロー(Y)のトナー画像が静電ドラム15Yの外周面に形成される。【0026】搬送ベルト14を挟んで静電ドラム15Yと対向する位置には転写ローラ18Yが設けられている。静電ドラム15Yの外周面に形成されたトナー画像は、搬送ベルト14と静電ドラム15Yとによって用紙が挟まれるタイミングで、転写ローラ18Yによる逆帯電により用紙に転写される。【0027】前述の静電ドラム15Yによるイエロー(Y)のトナー画像の転写と同様の仕組みにより、静電ドラム15Mはプリントユニット16Mのトナーカートリッジ内に収められたマゼンタ(M)のトナー画像を転写し、静電ドラム15Cはプリントユニット16Cのトナーカートリッジ内に収められたシアン(C)のトナー画像を転写し、静電ドラム15Kはプリントユニット16Kのトナーカートリッジ内に収められたブラック(K)のトナー画像を転写する。【0028】4色のトナー画像が重ねて転写された用紙は、定着器19へ搬送される。定着器19は、用紙に紙面に転写されたトナー画像を定着させる。トナー画像が定着された用紙は、排紙ローラ20により搬送されて排紙トレイ(不図示)上に排出される。以上、用紙に対するプリント処理の工程を説明したが、用紙以外の印刷媒体についても同様の仕組みによるプリント処理が行われる。【0029】また、上述のプリント処理は、4色のトナー画像を用紙に転写したカラープリントの場合について説明したが、1色(ブラック)のみのトナー画像を用紙に転写するモノクロプリントを実行することとしてもよい。【0030】また、エンジン10は、イエロー(Y),マゼンタ(M),シアン(C),ブラック(K)の4色それぞれを個別の静電ドラムで転写する構成(タンデム方式)としたが、1つの静電ドラムで転写する構成としてもよい。【0031】図2に、画像形成装置1の制御ブロック図を示す。図2に示すように、画像処理装置40Aは、取得部、判定部、置換部、生成部としてのCPU(CentralProcessingUnit)41、RAM(RandomAccessMemory)42、ROM(ReadOnlyMemory)43、HDD(HardDiskDrive)44、入力部としての通信装置45等を備え、各部はバス46によって接続されている。【0032】CPU41は、ROM43内に格納されている各種処理プログラムやデータをRAM42に展開し、当該プログラムに基づいて画像形成装置1の各部の動作を集中制御する。例えば、通信装置45と接続された外部装置80から入力される指示信号に従ってプログラムを読み出して、各種処理等の制御を行う。【0033】RAM42は、CPU41が実行する各種プログラムやデータ等が一時的に展開され、各種プログラムで処理されたデータ等を一時的に記憶する領域を有する。【0034】ROM43は、CPU41により実行される各種処理のプログラムやデータ等を記憶する。例えば、データ解析プログラム51、データ描画プログラム52、印刷制御プログラム53、印刷プログラム54、描画条件判定プログラム55、装置情報取得プログラム56、描画変換テーブル57等を記憶する不揮発性メモリである。ROM43に替えて、例えば、磁気的、光学的記憶媒体又は半導体メモリ等の読み出し可能な不揮発性の記憶媒体を用いてもよい。また、このROM43は、制御基板等に固定的に設けられるもの、若しくは着脱自在に装着するものであっても良い。【0035】HDD44は、オペレーションプログラムや各種のアプリケーションプログラム及びジョブデータ等の各種データを所定のアドレスと対応付けて記憶する。なお、HDD44に替えて、CF(CompactFlash)等を用いてもよく、読み書き可能な不揮発性の記憶媒体を用いてもよい。【0036】通信装置45は、画像処理装置40Aと外部装置80とを相互通信可能に接続する。通信装置45として、例えば無線LAN(LocalAreaNetwork)やBluetooth、インターネット接続その他の方式による外部のネットワークとの接続を可能にする各種の装置が挙げられ、通信装置45はこれら複数の方式による通信機能を有している。通信装置45と相互通信可能に接続される外部装置80として、例えばPCや携帯電話、外部のネットワークを介して接続されたワークステーション等が挙げられる。【0037】バス46は、画像処理装置40Aの各部及びエンジン10を相互に接続する。【0038】操作表示部47は、LCD(LiquidCrystalDisplay)又は有機ELディスプレイ等を備えて構成される。また、ディスプレイ上には、透明電極を格子状に配置した感圧式のタッチパネルを備えて構成される。操作表示部47は、各種の設定画面を表示し、また、ユーザによるディスプレイ上のタッチ操作を受け付ける。【0039】次に、本実施の形態1における画像処理装置40Aの機能について説明する。図3に、画像処理装置40Aの機能構成図を示す。図3に示すように、画像処理装置40Aは、データ解析部61、描画条件判定部62、装置情報取得部63、データ描画部64、印刷制御部65、印刷部66として機能する。【0040】画像処理装置40Aの各機能は、CPU41により各処理に対応するプログラムがROM43から読み出され、該読み出されたプログラムが実行されることにより実現される。【0041】具体的に、データ解析部61は、CPU41によりデータ解析プログラム51が実行されることにより機能する。描画条件判定部62は、CPU41により描画条件判定プログラム55が実行されることにより機能する。装置情報取得部63は、CPU41により装置情報取得プログラム56が実行されることにより機能する。データ描画部64は、CPU41によりデータ描画プログラム52が実行されることにより機能する。印刷制御部65は、CPU41により印刷制御プログラム53が実行されることにより機能する。印刷部66は、CPU41により印刷プログラム54が実行されることにより機能する。【0042】以下、各部の機能について詳細に説明する。データ解析部61は、外部装置80から入力される入力データの形式とビットマップ形式のデータ(以下、ビットマップデータと称す。)との間の中間言語形式のデータ(以下、中間データと称す。)をバンド単位で生成する。具体的には、データ解析部61は、通信装置45を介して入力データを取得し、該取得した入力データを描画条件判定部62に出力する。そして、データ解析部61は、描画条件判定部62から出力された入力データを取得し、該取得した入力データを解析して中間データを生成する処理(中間データ生成処理)を実行し、該生成した中間データをデータ描画部64へ出力する。【0043】外部装置80から入力される入力データは、OOXMLファイルである(以下、OOXMLファイルをOOXMLデータと称す)。OOXMLデータは、XMLで記述された文書や画像などのデータをzipで圧縮した構造となっている。また、OOXMLデータは、描画用のデータとしてのビットマップデータの描画形式(例えば、3Dグラフの描画形式等)を指定する描画コマンドを含む。【0044】中間データは、OOXMLデータに含まれるオブジェクト(テキストデータ、グラフィックスデータ、イメージデータ等)の特徴に応じて生成されるデータである。例えば、テキストデータやグラフィックスデータの中間データとしてはベクタ形式のデータ、イメージデータの中間データとしてはイメージ形式のデータ、を挙げることができる。【0045】描画条件判定部62は、データ解析部61から入力されたOOXMLデータを取得し、該取得したOOXMLデータに含まれる描画コマンドと一致する描画コマンドを描画変換テーブル57(図5参照)内から検索する。そして、描画条件判定部62は、描画変換テーブル57内から検索された描画コマンドと関連付けられた描画コマンド(例えば、後述する品質優先モードにおける描画コマンド、標準モードにおける描画コマンド又は速度優先モードにおける描画コマンド)のうち、装置情報に基づいて判定された印刷モードにおける描画コマンドを抽出する。具体的には、描画条件判定部62は、先ず、装置情報取得部63により取得された装置情報に基づいて、印刷モードを判定する。そして、描画条件判定部62は、検索された描画コマンドと関連付けられた描画コマンドのうち、判定された印刷モードにおける描画コマンドを描画変換テーブル57から抽出する。例えば、標準モードと判定された場合、標準モードにおける描画コマンドを描画変換テーブル57から抽出する。【0046】そして、描画条件判定部62は、データ解析部61から取得したOOXMLデータの描画コマンドを、抽出した描画コマンドに置換する。例えば、データ解析部61から取得したOOXMLデータの描画コマンドに3Dグラフを描画する描画コマンドが含まれており、描画変換テーブル57から標準モードに対応する描画コマンドが抽出された場合、データ解析部61から取得した描画コマンドを、標準モードに対応する描画コマンドに置換する。また、この場合、抽出した描画コマンドには「折れ線グラフ」でビットマップデータを描画する旨が設定されており、描画形式は「折れ線グラフ形式」となる。【0047】装置情報取得部63は、装置情報を取得し、該取得した装置情報を描画条件判定部62に出力する。装置情報は、画像処理装置40Aの画像処理能力に関する情報である。画像処理能力は、画像処理を実行する際における画像処理装置40Aの処理能力を表したものであり、具体的には、CPU41の処理能力やメモリ容量(ROM43のメモリ容量)を表す。本実施の形態では、装置情報は印刷速度(単位はPPM;PagePerMinute)として説明する。また、画像処理能力は、CPU41の処理能力を表すものとする。印刷速度は、画像処理装置40Aで実行される画像処理の処理速度を示す値である。一般的に、印刷速度に対してどのような処理能力を有するCPU41を画像処理装置40Aに搭載するかは装置仕様によって決定される。例えば、高速な画像処理を実現する装置仕様である場合は、処理能力の高いCPU41が搭載される。一方、低速な画像処理を実現する装置仕様である場合は、処理能力の低いCPU41が搭載される。本実施の形態では、印刷速度に応じて画像処理能力、すなわちCPU41の処理能力が決定されるものとする。具体的には、本実施の形態では、印刷速度及びCPU41の処理能力は「高」「中」「低」の3つで定義され、印刷速度「高」の場合はCPU41の処理能力「高」、印刷速度「中」の場合はCPU41の処理能力「中」、印刷速度「低」の場合はCPU41の処理能力「低」であるものとする。なお、印刷速度は、予め定められた値であって、ROM43又はHDD44に記憶されている値である。装置情報取得部63は、記憶された印刷速度を読み出すことにより印刷速度を取得する。【0048】データ描画部64は、データ解析部61から入力された中間データに基づいて、ビットマップデータを生成する処理(ビットマップデータ生成処理)を実行する。そして、データ描画部64は、生成したビットマップデータを印刷制御部65に出力する。【0049】印刷制御部65は、データ描画部64から入力されたビットマップデータに対して印刷媒体に印刷する際のレイアウト等の各種処理を行い、処理後のデータを印刷部66へ出力する。印刷制御部65が行う印刷制御処理としては、例えば片面/両面印刷の選択に応じた制御や、ページ割付の制御等が挙げられる。【0050】印刷部66は、印刷制御部65から入力された処理後のデータに基づいて、プリントデータを生成し、エンジン10へ出力する。エンジン10は、印刷部66から入力されたプリントデータに基づいてプリント処理を行う。【0051】次に、図4~図9を参照して、画像処理装置40Aの動作について説明する。図4に示す第1の処理は、描画変換テーブル57内の描画コマンドと関連付けられた描画コマンド(品質優先モード、標準モード又は速度優先モードの描画コマンド)のうち、印刷速度に基づいて判定された印刷モードにおける描画コマンドを抽出し、OOXMLデータに含まれる描画コマンドを、該抽出された描画コマンドに置換する処理である。【0052】なお、本処理の前提として、予め、外部装置80からOOXMLデータが受信開始されているものとする。【0053】また、予め、ROM43に描画変換テーブル57が記憶されているものとする。描画変換テーブル57は、図5に示すように、描画コマンドと、出力モードとしての印刷モードとが関連付けられたテーブルである。描画変換テーブル57内における描画コマンドは、受信されたOOXMLデータに含まれる入力描画コマンドと対応するコマンド、すなわち、受信されたOOXMLデータに含まれる入力描画コマンドの比較対象となるコマンドである。具体的には、受信されたOOXMLデータに含まれる入力描画コマンドと描画変換テーブル57内における描画コマンドとが比較されることで、受信されたOOXMLデータに含まれる描画コマンドと一致する描画コマンドが描画変換テーブル57内から検索される。なお、描画変換テーブル57内の描画コマンドの「3DChart」は、標準的な3Dグラフを描画するためのコマンドである。また、描画コマンドの「Bar3DChart」は、棒状の3Dグラフを描画するためのコマンドである。【0054】印刷モードは、画像処理能力、すなわちCPU41の処理能力に応じて予め設定されたモードを示す。本実施の形態では、印刷モードとして、品質優先モード、標準モード及び速度優先モードの3種類があるものとして説明する。これら各モードは、それぞれ、CPU41の処理能力に応じて予め設定されている。例えば、品質優先モードはCPU41の処理能力「高」、標準モードはCPU41の処理能力「中」、速度優先モードはCPU41の処理能力「低」に対応するように予め設定されている。また、印刷モードは、印刷速度に基づいて判定される。例えば、印刷速度が「高」の場合、印刷モードは、CPU41の処理能力「高」に対応する品質優先モードと判定される。また、印刷速度が「中」の場合、印刷モードは、CPU41の処理能力「中」に対応する標準モードと判定される。また、印刷速度が「低」の場合、印刷モードは、CPU41の処理能力「低」に対応する速度優先モードと判定される。【0055】品質優先モード、標準モード及び速度優先モードのそれぞれには、置換描画コマンドとしての描画コマンドが定義されている。品質優先モードにおける描画コマンドは、3Dグラフを描画するためのコマンド、すなわち3Dグラフの描画形式のコマンドが定義されている。なお、品質優先モードにおける描画コマンドは、「3DChart」又は「Bar3DChart」の描画コマンドと同一である。標準モードにおける描画コマンドは、折れ線グラフを描画するためのコマンド、すなわち、折れ線グラフの描画形式のコマンドが定義されている。速度優先モードにおける描画コマンドは、グラフを描画するためのコマンドは定義されておらず、表を描画するためのコマンド、すなわち、表の描画形式のコマンド(図示省略)が定義されている。この場合、グラフ形式で描画は実行されず、表形式で描画が実行される。【0056】また、上述の印刷モードのうち、相対的にCPU41の処理能力が低い印刷モードは、相対的にCPU41の処理能力が高い印刷モードに比べて、処理可能な描画形式が処理負荷により制限されている。例えば、折れ線グラフの描画形式は、3Dグラフの描画形式よりも処理量(計算量)が少ないので、処理負荷は低い。また、標準モードに対応するCPU41の処理能力は、品質優先モードに対応するCPU41の処理能力よりも低いので、標準モードにおける描画形式の処理負荷は品質優先モードにおける描画形式の処理負荷より低いのが望ましい。このため、標準モードは、品質優先モードよりも処理負荷が低くなるように、処理可能な描画形式が折れ線グラフの描画形式に制限される。また、速度優先モードは、品質優先モード及び標準モードよりも処理負荷が低くなるように、処理可能な描画形式が表形式に制限される。以上の前提のもと、第1の処理(図4参照)が実行される。【0057】先ず、外部装置80からOOXMLデータが受信される(ステップS1)。具体的には、通信装置45を介して外部装置80からOOXMLデータが受信される。受信されたOOXMLデータは、データ解析部61により描画条件判定部62に出力される。【0058】そして、受信されたOOXMLデータに描画コマンドがあるか否かが判別される(ステップS2)。具体的には、描画条件判定部62により、受信されたOOXMLデータに描画コマンド、すなわち入力描画コマンドが含まれているか否かが判別される。ステップS2において、受信されたOOXMLデータに描画コマンドがないと判別された場合(ステップS2;NO)、第1の処理は終了される。【0059】ステップS2において、受信されたOOXMLデータに描画コマンドがあると判別された場合(ステップS2;YES)、印刷モードの判定が実行される(ステップS3)。具体的には、先ず、画像処理装置40Aの印刷速度が装置情報取得部63により取得される。そして、取得された印刷速度が描画条件判定部62に出力され、描画条件判定部62により、印刷速度に基づいて印刷モードの判定が実行される。例えば、印刷速度が「中」の場合、印刷モードは標準モードと判定される。【0060】ステップS3の実行後、描画変換テーブルが検索される(ステップS4)。そして、描画変換テーブルに該当する項目が存在するか否かが判別される(ステップS5)。具体的には、描画条件判定部62により、描画変換テーブル57が検索され、描画変換テーブル57内の描画コマンドと、受信されたOOXMLデータに含まれる描画コマンド(入力描画コマンド)とが一致するか否かが判別される。【0061】ステップS5において、描画変換テーブルに該当する項目があると判別された場合(ステップS5;YES)、該当する描画コマンドに置換され出力される(ステップS6)。例えば、入力描画コマンドが3Dグラフを描画するためのコマンドであり、印刷モードは標準モードと判定されたものとする。この場合、描画条件判定部62により、標準モードにおける描画コマンドが抽出される。そして、3Dグラフを描画するための入力描画コマンドは標準モードにおける描画コマンドに置換される。そして、置換された描画コマンドを含むOOXMLデータが描画条件判定部62からデータ解析部61に出力される。【0062】図6~図8は、描画条件判定部62からデータ解析部61に出力されるOOXMLデータの例を示した図である。例えば、印刷モードは品質優先モードと判定され、入力描画コマンドが品質優先モードにおける描画コマンドに置換された場合は、図6に示すようなOOXMLデータが描画条件判定部62からデータ解析部61に出力される。また、印刷モードは標準モードと判定され、入力描画コマンドが標準モードにおける描画コマンドに置換された場合は、図7に示すようなOOXMデータが描画条件判定部62からデータ解析部61に出力される。また、印刷モードは速度優先モードと判定され、入力描画コマンドが速度優先モードにおける描画コマンドに置換された場合は、図8に示すようなOOXMデータが描画条件判定部62からデータ解析部61に出力される。【0063】図4に戻り、ステップS5において、描画変換テーブルに該当する項目がないと判別された場合(ステップS5;NO)、描画コマンドがそのまま出力される(ステップS7)。すなわち、OOXMLデータに含まれる描画コマンドの置換は実行されずに、受信されたOOXMLデータが描画条件判定部62からデータ解析部61に出力される。【0064】ステップS6又はS7の実行後、受信されたOOXMLデータに基づいて、次のOOXMデータが存在するか否かが判別される(ステップS8)。ステップS8において、次のOOXMLデータが存在すると判別された場合(ステップS8;YES)、ステップS2に移行される。【0065】ステップS8において、次のOOXMLデータが存在しないと判別された場合(ステップS8;NO)、描画処理が実行される(ステップS9)。具体的には、データ解析部61により、描画条件判定部62から入力されたOOXMLデータが解析され中間データが生成され、生成された中間データがデータ描画部64に出力される。そして、データ描画部64により、入力された中間データに基づいてビットマップデータが生成される。【0066】例えば、品質優先モードが選択され、図6に示すOOXMLデータから中間データが生成され、該生成された中間データに基づいてビットマップデータが生成されると、図9に示すような3Dグラフが描画される。また、標準モードが選択され、図7に示すOOXMLデータから中間データが生成され、該生成された中間データに基づいてビットマップデータが生成されると、図9に示すような折れ線グラフが描画される。また、速度優先モードが選択され、図8に示すOOXMLデータから中間データが生成され、該生成された中間データに基づいてビットマップデータが生成されると、図9に示すような表形式が描画される。ステップS9の実行後、第1の処理は終了される。【0067】以上、本実施の形態によれば、例えば、取得された印刷速度が「中」であり、印刷モードは標準モードと判定され、入力描画コマンドは標準モードにおける描画コマンドに置換された場合、置換された標準モードにおける描画コマンドを含むOOXMLデータが解析されて中間データが生成される。このため、画像処理装置40Aは、3D座標計算のような複雑な処理を実行して中間データを生成することはないので、中間データの生成に時間を要することはない。したがって、効率良く中間データを生成することができるので、高負荷の入力データ(例えば、3Dグラフを描画して印刷するコマンドを含む入力データ)が入力された場合であっても、効率良く画像処理を実行することができる。【0068】また、描画変換テーブル57内から、判定された印刷モードに対応する描画コマンドを抽出し、入力描画コマンドを、該抽出された描画コマンドに置換することができる。【0069】また、画像処理装置40Aは、外部装置80からOOXMLデータを受信するので、OOXMLデータに基づいて、画像処理を実行することができる。【0070】[第2の実施の形態]第2の実施の形態では、画像処理装置40Bの動作について説明する。画像処理装置40Bは図1で示した画像形成装置1に含まれる構成であって、図2に示した画像処理装置40Aの内部構成と同様である(図10参照)。このため、画像処理装置40Bの内部構成についての説明は省略する。また、以下の説明においては便宜上、図1における画像処理装置の符号を40Aとし、各部の符号を同一としたものを画像処理装置40Bとする。また、画像処理装置40Bにおける機能ブロック図についても図3と同様であるので、ここでの説明は省略する。【0071】図11及び図12を参照して、画像処理装置40Bの動作について説明する。図11に示す第2の処理は、描画変換テーブル57A内の描画コマンドと関連付けられた描画コマンド(カラーモード又はモノクロモードおける描画コマンド)のうち、印刷形式に基づいて判定された印刷モードにおける描画コマンドを抽出し、入力描画コマンドを、該抽出された描画コマンドに置換する処理である。以下、第1の処理と異なる部分を主として説明する。【0072】本実施の形態では、装置情報は印刷形式(カラー又はモノクロの印刷形式)を示す情報として説明する。また、画像処理能力はCPU41の処理能力を表すものとする。通常、印刷形式がカラーである場合、画像処理においてはモノクロの印刷形式よりも多くの処理が実行される。本実施の形態では、印刷形式に応じてCPU41の処理能力が決定されるものとする。具体的には、本実施の形態では、印刷形式がカラー形式の場合はCPU41の処理能力は「高」、印刷形式がモノクロ形式の場合はCPU41の処理能力は「中」であるものとする。【0073】また、予め、ROM43に描画変換テーブル57Aが記憶されているものとする。描画変換テーブル57Aは、図12に示すように、描画コマンドと、印刷モードとが関連付けられたテーブルである。【0074】本実施の形態では、印刷モードは、カラーモード及びモノクロモードの2種類があるものとして説明する。カラーモードは、CPU41の処理能力「高」、モノクロモードは、CPU41の処理能力「中」に対応するように予め設定されている。また、印刷モードは、印刷形式に基づいて判定される。このため、例えば、印刷形式がカラー形式の場合、印刷モードはカラーモードと判定される。また、印刷形式がモノクロ形式の場合、印刷モードはモノクロモードと判定される。カラーモード及びモノクロモードのそれぞれには、印刷形式に対応する描画コマンドが定義されている。カラーモードにおける描画コマンドは、3Dグラフを描画するためのコマンド、すなわち3Dグラフの描画形式のコマンドが定義されている。モノクロモードにおける描画コマンドは、折れ線グラフを描画するためのコマンド、すなわち、折れ線グラフの描画形式のコマンドが定義されている。【0075】また、モノクロモードに対応するCPU41の処理能力は、カラーモードに対応するCPU41の処理能力よりも低いので、モノクロモードにおける描画形式の処理負荷はカラーモードにおける描画形式の処理負荷より低いのが望ましい。このため、モノクロモードは、カラーモードよりも処理負荷が低くなるように、処理可能な描画形式が折れ線グラブの描画形式に制限される。以上の前提のもと、第2の処理(図11参照)が実行される。【0076】ステップS11、S12は、第1の処理のステップS1、S2とそれぞれ同様である。ステップS12において、受信されたOOXMLデータに描画コマンドがあると判別された場合(ステップS12;YES)、カラーモード又はモノクロモードの選択が実行される(ステップS13)。具体的には、先ず、ジョブ情報に基づいて、画像処理装置40Aの印刷形式を示す情報が装置情報取得部63により取得される。そして、取得された印刷形式を示す情報に基づいて、カラーモード又はモノクロモードの判定が実行される。例えば、印刷形式がカラー形式である場合、印刷モードはカラーモードと判定される。【0077】ステップS14~S17は、第1の処理のステップS4~S7と同様である。例えば、取得された印刷形式がモノクロであった場合、印刷モードはモノクロモードと判定され、描画条件判定部62により、モノクロモードにおける描画コマンドが抽出される。そして、入力描画コマンドはモノクロモードにおける描画コマンドに置換される。そして、置換された描画コマンドを含むOOXMLデータが描画条件判定部62からデータ解析部61に出力される。【0078】ステップS18、S19は、第1の処理のステップS8、S9とそれぞれ同様である。ステップS19の実行後、第2の処理は終了される。【0079】以上、本実施の形態によれば、第1の実施の形態と同様の効果が得られることは勿論のこと、描画変換テーブル57A内から、判定された印刷モードに対応する描画コマンドを抽出し、入力描画コマンドを、該抽出された描画コマンドに置換することができる。【0080】[第3の実施の形態]第3の実施の形態では、画像処理装置40Cの動作について説明する。画像処理装置40Cは図1で示した画像形成装置1に含まれる構成であって、図2に示した画像処理装置40Aの内部構成と同様である(図13参照)。このため、画像処理装置40Cの内部構成についての説明は省略する。また、以下の説明においては便宜上、図1における画像処理装置の符号を40Aとし、各部の符号を同一としたものを画像処理装置40Cとする。また、画像処理装置40Cにおける機能ブロック図についても図3と同様であるので、ここでの説明は省略する。【0081】図14及び図15を参照して、第3の処理について説明する。図14に示す第3の処理は、描画変換テーブル57B内の描画コマンドと関連付けられた描画コマンド(メモリ容量≧512MBにおける描画コマンド、256MB≦メモリ容量<512MBにおける描画コマンド、又はメモリ容量<256MBにおける描画コマンド)のうち、メモリ容量に基づいて判定された描画コマンドを抽出し、OOXMLデータに含まれる描画コマンドを、該抽出された描画コマンドに置き換える処理である。以下、第1の処理と異なる部分を主として説明する。【0082】本実施の形態では、装置情報は、ROM43のメモリ容量として説明する。また、画像処理能力もROM43のメモリ容量を表すものとする。通常、ROM43のメモリ容量が大きいほど、画像処理装置40Cは多くの情報を読み出し・書き込みすることが可能である。このため、本実施の形態では、メモリ容量に応じて画像処理能力が決定されるものとする。具体的には、本実施の形態では、メモリ容量≧512MBの場合はメモリ容量「高」、256MB≦メモリ容量<512MBの場合はメモリ容量「中」、メモリ容量<256MBの場合はメモリ容量「低」であるものとする。【0083】また、予め、ROM43に描画変換テーブル57Bが記憶されているものとする。描画変換テーブ57Bは、図15に示すように、描画コマンドと、メモリ容量モードとが関連付けられたテーブルである。【0084】本実施の形態では、メモリ容量モードとして、メモリ容量≧512MBモード、256MB≦メモリ容量<512MBモード又はメモリ容量<256MBモードの3種類があるものとして説明する。例えば、メモリ容量≧512MBモードはメモリ容量「高」、256MB≦メモリ容量<512MBモードはメモリ容量「中」、メモリ容量<256MBモードはメモリ容量「低」に対応するように予め設定されている。また、メモリ容量モードは、メモリ容量に基づいて判定される。例えば、メモリ容量がメモリ容量≧512MBの場合、メモリ容量モードは、メモリ容量≧512MBモードと判定される。また、メモリ容量が256MB≦メモリ容量<512MBの場合、メモリ容量モードはメモリ容量が256MB≦メモリ容量<512MBモードと判定される。また、メモリ容量がメモリ容量<256MBの場合、メモリ容量モードはメモリ容量<256MBモードと判定される。【0085】メモリ容量≧512MBモードの場合における描画コマンドは、3Dグラフを描画するためのコマンド、すなわち3Dグラフの描画形式のコマンドが定義されている。256MB≦メモリ容量<512MBモードの場合における描画コマンドは、折れ線グラフを描画するためのコマンド、すなわち、折れ線グラフの描画形式のコマンドが定義されている。メモリ容量<256MBモードの場合における描画コマンドは、グラフを描画するためのコマンドは定義されておらず、表を描画するためのコマンド、すなわち、表の描画形式のコマンド(図示省略)が定義されている。この場合、グラフ形式で描画は実行されず、表形式で描画が実行される。【0086】また、上述のメモリ容量モードのうち、相対的にメモリ容量が低いメモリ容量モードは、相対的にメモリ容量の高いメモリ容量モードに比べて、処理可能な描画形式が処理負荷により制限されている。例えば、折れ線グラフの描画形式は、3Dグラフの描画形式よりも処理量(計算量)が少ないので、処理負荷は低い。また、256MB≦メモリ容量<512MBモードに対応するメモリ容量は、メモリ容量≧512MBモードに対応するメモリ容量よりも低いので、256MB≦メモリ容量<512MBモードにおける描画形式の処理負荷はメモリ容量≧512MBモードにおける描画形式の処理負荷より低いのが望ましい。このため、256MB≦メモリ容量<512MBモードは、メモリ容量≧512MBモードよりも処理負荷が低くなるように、処理可能な描画形式が折れ線グラフの描画形式に制限される。また、メモリ容量<256MBモードは、メモリ容量≧512MBモード及び256MB≦メモリ容量<512MBモードよりも処理負荷が低くなるように、処理可能な描画形式が表形式に制限される。以上の前提のもと、第3の処理(図14参照)が実行される。【0087】ステップS21、S22は、第1の処理のステップS1、S2とそれぞれ同様である。ステップS22において、受信されたOOXMLデータに描画コマンドがあると判別された場合(ステップS22;YES)、メモリ容量モードの選択が実行される(ステップS23)。具体的には、ROM43のメモリ容量が装置情報取得部63により取得される。そして、取得されたメモリ容量が描画条件判定部62に出力され、描画条件判定部62により、メモリ容量に基づいてメモリ容量モードの判定が実行される。例えば、メモリ容量≧512MBの場合、メモリ容量モードは、メモリ容量≧512MBモードと判定される。【0088】ステップS24~S27は、第1の処理のステップS4~S7と同様である。例えば、取得されたメモリ容量が256MB≦メモリ容量<512MBであった場合、メモリ容量モードは、256MB≦メモリ容量<512MBモードと判定され、描画条件判定部62により256MB≦メモリ容量<512MBモードにおける描画コマンドが抽出される。そして、入力描画コマンドは256MB≦メモリ容量<512MBモードにおける描画コマンドに置換される。そして、置換された描画コマンドを含むOOXMLデータが描画条件判定部62からデータ解析部61に出力される。【0089】ステップS28、S29は、第1の処理のステップS8、S9とそれぞれ同様である。ステップS29の実行後、第3の処理は終了される。【0090】以上、本実施の形態によれば、第1の実施の形態と同様の効果が得られることは勿論のこと、描画変換テーブル57B内から、判定されたメモリ容量モードに対応する描画コマンドを抽出し、入力描画コマンドを、該抽出された描画コマンドに置換することができる。【0091】なお、上記第1~第3の実施の形態においては、印刷モードやメモリ容量モードは自動的に判定されることとしたがこれに限定されるものではない。例えば、ユーザが操作表示部47を介して出力モード(印刷モード又はメモリ容量モード)を入力することとしてもよい。この場合、操作部表示部47を介して入力された出力モードが優先的に判定される。これにより、ユーザは、所望する出力モードを入力することができる。【0092】また、予め、印刷モードやメモリ容量のデフォルトのモード(例えば、標準モード、モノクロモード又は256MB≦メモリ容量<512MBモード)を設定しておき、設定されたデフォルトのモードで画像処理が実行された後、ユーザが操作部表示部47を介して印刷モードやメモリ容量モードを入力することとしてもよい。これにより、最初にデフォルトのモードで画像処理が実行された後であっても、ユーザは所望する出力モードを入力することができる。
A
2012139939
2011000098
20110104
null
null
20120726
2012139939
20120726
null
null
5139544
20121122
null
null
null
積層体
599154412
松崎 健
null
null
松崎 健
null
8
B32B 27/00 (20060101)
B32B 27/00 M ,B32B 27/00 L
8
null
null
null
1
10
4F100
4F100 AT00A,4F100 AT00B,4F100 AT00C,4F100 BA03 ,4F100 BA05 ,4F100 BA07 ,4F100 BA10A,4F100 BA10C,4F100 CA16D,4F100 CA16E,4F100 CB05D,4F100 CB05E,4F100 DC11B,4F100 DC13B,4F100 DC21B,4F100 GB71 ,4F100 GB84 ,4F100 GB90 ,4F100 HB31A,4F100 HB31B,4F100 HB31C,4F100 JK17A,4F100 JK17C,4F100 JL11B,4F100 JN01A,4F100 JN01C,4F100 JN08A,4F100 JN08C
【課題】従来技術よりも更に安価で手軽に、概ね平面状の紙試料や写真等の標本を観察・閲覧可能な状態で保護する積層体を提供する。【解決手段】可撓性のある第1シート102と、剥離シート104と、可撓性のある第2シート106とをこの順で備えた積層体10であって、前記第1シート102および前記第2シート106の前記剥離シート104と接する側の面にはそれぞれ粘着剤1021、1061が略全面にわたって塗布されてなり、更に第1シート102と第2シート106の少なくとも一方が透光性を有していることを特徴とする積層体10。【選択図】図1
【請求項1】可撓性のある第1シートと、剥離シートと、可撓性のある第2シートとをこの順で備えた積層体であって、前記第1シートおよび前記第2シートの前記剥離シートと接する側の面にはそれぞれ粘着剤が略全面にわたって塗布されてなり、更に第1シートと第2シートの少なくとも一方が透光性を有していることを特徴とする積層体。【請求項2】前記剥離シートには切れ目が設けられ、複数部分に分割可能となっている請求項1に記載の積層体。【請求項3】前記粘着剤が感圧性粘着剤である請求項1または2に記載の積層体。【請求項4】前記第1シート、剥離シートまたは第2シートに補助線が印刷されてなることを特徴とする請求項1~3のいずれかに記載の積層体。【請求項5】連続する一枚のシートを折り曲げることで前記第1シートおよび第2シートが構成されてなることを特徴とする請求項1~4のいずれかに記載の積層体。【請求項6】前記第1シートおよび第2シートの折り曲げ部内側には、前記粘着剤の塗布されていない箇所が存在することを特徴とする請求項5に記載の積層体。【請求項7】前記剥離シートが孔部を有していることを特徴とする請求項1~6のいずれかに記載の積層体。【請求項8】前記第1シートおよび第2シートにより、前記剥離シートおよび粘着剤が気密に収納されていることを特徴とする請求項1~7のいずれかに記載の積層体。
【請求項1】可撓性のある第1シートと、剥離シートと、可撓性のある第2シートとをこの順で備えた積層体であって、前記第1シートおよび前記第2シートの前記剥離シートと接する側の面にはそれぞれ粘着剤が略全面にわたって塗布されてなり、更に第1シートと第2シートの少なくとも一方が透光性を有していることを特徴とする積層体。
【技術分野】【0001】本発明は、積層体に関するものである。
【背景技術】【0002】写真等を観察・閲覧可能な状態で保護するために、古くはガラス板を用いた額が使用されていた。これにより日光等による影響での変色は避けられないものの物理的に表面が傷つけられることなく長期間にわたり保存するのに優れるとともに、所望時の観察・閲覧が可能であった。その後、粘着面に写真等を固定した上で表面を透明フィルムで保護する形状のアルバムが普及することで手軽さを増した。さらに、写真等を2枚のラミネートフィルムで挟み込みラミネーターにより加熱圧着する方法が普及している。これにより保護された写真等は防水性にも優れ多少の弾力性も備えているため、お気に入りの特定の写真、会員証及び診察券等の平面状対象物をラミネートしたうえで携帯する方法が広く普及した。しかしながら、写真等をラミネートするためには特定のラミネートフィルムを用いなければならないこと、安価とは言えないラミネーターが必要なこと、更にはラミネートする際に加熱されるため、熱変性の可能性のあるものには不向きである等の問題があった。さらに加熱の過程でラミネートフィルムが硬化するため、風合いに劣り、他人を傷つける可能性があり、柔軟性が求められる状況での使用には不向きであった。また、カバーフィルム(ニチバン)を用いることにより特別な機器を使用せずに写真等を閲覧可能な状態で表裏両面より保護することは可能ではあるが、カバーフィルムは本来、本や雑誌、資料やパンフレットの補強や補修を目的とするものであり、平面状対象物のラミネートを目的とはしていない。表面並びに裏面用のシートを夫々必要なサイズに裁断する必要があり、そのサイズが正確に一致しなかった場合にはラミネート加工後に不要部分をカットする必要があった。なお、一般的に板状物に保護フィルムを貼り付ける際ならびに裁断加工時にはしわが生じやすいことから、その解決手段も開示されているが、大掛りな機械装置を必要とするものである(特許文献1参照)。他方、より手軽に貼付作業が出来る表面保護フィルム積層体に関する発明が開示されている。当該発明は複数のフィルム体を含む表面保護フィルム積層体であり、複数のフィルム体は略同一平面形状を有し、互いに剥離可能に積層されている。また一方面に粘着面を有し、他方面に非粘着面を有していて積層方向に隣接するフィルム体において、一方のフィルム体の非粘着面に対して、他方のフィルム体の粘着面が、剥離可能に粘着されている(特許文献2)。該フィルム体が略同一平面形状を有しているため、表面並びに裏面用シートを一致させることが可能となる。しかしながら、傷や汚れの付着の防止を目的とする表面保護フィルムであり、平面状対象物のラミネート加工を目的としていない。貼り合せ作業の精度には限界があり、ラミネート加工後に不要部分をカットする作業が必要になる。
【発明が解決しようとする課題】【0004】したがって本発明の目的は、従来技術よりも更に安価で別途装置等を必要とせず手軽に、概ね平面状の紙試料や写真等の標本を観察・閲覧可能な状態で保護する積層体を提供することである。
【課題を解決するための手段】【0005】請求項1に記載の発明は、可撓性のある第1シートと、剥離シートと、可撓性のある第2シートとをこの順で備えた積層体であって、前記第1シートおよび前記第2シートの前記剥離シートと接する側の面にはそれぞれ粘着剤が略全面にわたって塗布されてなり、更に第1シートと第2シートの少なくとも一方が透光性を有していることを特徴とする積層体である。請求項2に記載の発明は、前記剥離シートには切れ目が設けられ、複数部分に分割可能となっている請求項1に記載の積層体である。請求項3に記載の発明は、前記粘着剤が感圧性粘着剤である請求項1または2に記載の積層体である。請求項4に記載の発明は、前記第1シート、剥離シートまたは第2シートに補助線が印刷されてなることを特徴とする請求項1~3のいずれかに記載の積層体である。請求項5に記載の発明は、連続する一枚のシートを折り曲げることで前記第1シートおよび第2シートが構成されてなることを特徴とする請求項1~4のいずれかに記載の積層体である。請求項6に記載の発明は、前記第1シートおよび第2シートの折り曲げ部内側には、前記粘着剤の塗布されていない箇所が存在することを特徴とする請求項5に記載の積層体である。請求項7に記載の発明は、前記剥離シートが孔部を有していることを特徴とする請求項1~6のいずれかに記載の積層体である。請求項8に記載の発明は、前記第1シートおよび第2シートにより、前記剥離シートおよび粘着剤が気密に収納されていることを特徴とする請求項1~7のいずれかに記載の積層体である。
【発明の効果】【0006】本発明によれば、従来技術よりも更に安価で手軽に、概ね平面状の標本や写真等を観察・閲覧可能な状態で保護する積層体を提供することができる。
【発明を実施するための形態】【0008】以下、本発明を図面を参照しながらさらに詳細に説明する。なお、以下の各図において、第1および第2シート、剥離シート、粘着剤の厚さは説明のために実際の厚みよりも厚く記載している。図1は、本発明の積層体の一実施形態の斜視図である。本発明の積層体10は、可撓性のある第1シート102と、剥離シート104と、可撓性のある第2シート106とをこの順で備え、第1シート102および第2シート106の、剥離シート104と接する側の面にはそれぞれ粘着剤1021および粘着剤1061が略全面にわたって塗布されてなる。また、第1シート102と第2シートの少なくとも一方が透光性を有している。【0009】可撓性のある第1シート102および第2シート106としては、可撓性を有するプラスチックフィルムが挙げられ、そのようなフィルムとしては、例えばポリエチレンテレフタレート(PET)、ポリエチレンナフタレート(PEN)等のポリエステル樹脂、ジアセテート樹脂、トリアセテート樹脂、アクリル樹脂、ポリカーボネート樹脂、トリアセチルセルロース、ポリスチレン、ポリオレフィン、ポリウレタン系樹脂、ポリ塩化ビニル、ポリイミド樹脂、ポリアミド樹脂等からなる、例えば厚さが150~500μmの単層または複合フィルムが挙げられる。また、第1シート102および第2シート106のいずれか一方、または両方は、透光性である必要がある。ここで透光性とは可視光透過率が50%以上、好ましくは70%以上、さらに好ましくは90%以上であることを意味する。【0010】剥離シート104としては、従来公知のものを使用することができ、例えば、ポリエチレンテレフタレート、ポリプロピレン、ポリエチレン等の樹脂からなるフィルムの表面を、シリコーン系、フッ素系等の剥離剤で剥離処理したものや、グラシン紙、クレーコート紙、等の紙を上記剥離剤で剥離処理したもの等が挙げられる。剥離シートの厚さは、通常10~500μm程度である。【0011】粘着剤1021および1061としては、従来公知のものを使用することができ、例えば、アクリル系粘着剤、ゴム系粘着剤、シリコーン系粘着剤、ウレタン系粘着剤、ポリエステル系粘着剤、スチレン-ジエンブロック共重合体系粘着剤、ビニルアルキルエーテル系粘着剤、ポリアミド系粘着剤、フッ素系粘着剤等が挙げられる。中でも、感圧性粘着剤が好ましい。【0012】次に本発明の積層体10の使用方法について説明する。本発明の積層体10は、例えば概ね平面状の紙試料や写真等の標本を観察・閲覧可能な状態で保護するために好適に使用され得る。図2~6は、本発明の積層体10の使用方法を説明するための図である。該使用方法は、例えば次の一連の工程を有する。第1工程:使用者はまず、第1シート102を第2シート106から分離させる。この際に剥離シート104は第2シート106側に残しておく(図2)。第2工程:第1工程において第1シート102を分離した後、標本202を剥離シート104上の所望の位置に設置する(図3)。第3工程:分離した第1シート102を標本202上に載置し、粘着させる。この段階で、第1シート102と標本202とが粘着し、固定された状態となる(図4)。第4工程:第1シート102に塗布された粘着剤1021に固定された標本202を第2シート106から分離させ、剥離シート104を第2シート106から除去する(図5)。第5工程:第1シート102の粘着面に固定された標本202を、第2シート106の粘着面と重ね合わせることにより、上記標本202が、観察・閲覧可能な状態で、第1シート102および第2シート106によって保護された状態となる(図6)。【0013】上記第1工程において、本発明の積層体の使用前は、図1に示すように、剥離シート104の形状は、第1シート102および第2シート106と同一であるが、若干小さくすることにより第1シート102および第2シート106の一部分が互いに粘着するようになる。この場合、該粘着部分を固定した状態で第2工程以降を行うことになり、第5工程で重ね合わせる際にずれが生じにくくなる利点がある。上記第2工程では、剥離シート104上で標本202の固定位置を調整することができる。なお剥離シート104が存在しない場合は、標本202を設置した際に、これが第2シート106の粘着面ですぐに固定されてしまい、標本202の固定位置の微調整ができなくなる。また、上記第3工程において、粘着剤として感圧性粘着剤を使用した場合、圧力を加える前は粘着性が発現していないため、標本202の載置する位置の微調整が可能となり、好ましい。【0014】また本発明では、第1シート、剥離シートまたは第2シートに補助線が印刷されてなることが好ましい。図7は、剥離シート104に補助線を印刷した形態を説明する図である。この形態では、上記第2工程において、碁盤目状に形成された補助線302に沿って標本202を設置することができるので、標本202の固定位置の微調整がさらに確実に実施できる。なお、図中における剥離シート104上の斜線は、第1シート、第2シートおよび粘着剤との区別を視覚的に明確に示すためのものであり、剥離シート上に斜線があることを意味するものではない。【0015】また本発明の別の形態によれば、連続する一枚のシートを折り曲げることで前記第1シートおよび第2シートが構成されてなる。図8は、この形態を説明するための斜視図である。矩形の一枚のシートSの、長さ方向の中央部分の中心線Cを基準として、該シートSを折り曲げることにより第1シート102および第2シート106が形成される。該シートS上の中心線Cを隔てた両側には積層体が形成された際の第1シート102および第2シート106の夫々に粘着剤1021および粘着剤1061が塗布されている。図9は、該シートSを折り曲げる過程を示すものである。該シートS上の粘着面同士が重ね合わされるように中心線Cを基準に折り曲げるが、粘着面同士が接しないように剥離シート104を設置した上で両者を重ね合わせる必要がある。この形態によれば、上記第5工程において、標本202が固定されている第1シート102の粘着面と、第2シート106の粘着面との重ね合わせの際に、ズレが生じにくくなる。図9に示すとおり、第1シート102および第2シート106が連続している他は、図1に示した積層体と同様に第1シート102、粘着剤1021、剥離シート104、粘着剤1061、第シート106をこの順で備えた積層体である。なお、実際の折り目は鋭角として存在するものであるが、便宜上丸みを帯びた形状で図示した。【0016】なお、図8の中心線Cの周囲の斜線部iには、粘着剤を塗布しないことが好ましい。この斜線部iは、第1シート102および第2シート106の折り曲げ部内側であって、この部分に粘着剤が塗布されていると、標本202のサイズが中心線Cに到達する程に大きい場合、もしくは中心線Cに接する場所に標本202を設置したい場合、内側に鋭角に折り曲げられた斜線部iの粘着剤によって、標本202が中心線Cに到達する前に固定されてしまい、不具合が発生する。【0017】また本発明の別の形態によれば、剥離シートが孔部を有していることが好ましい。図10は、孔部を有する剥離シートを説明するための平面図である。図10の形態によれば、剥離シート104の複数個所に小さい孔部502が設けられている。この形態によれば、例えば標本202が折れ曲がったり、皺だらけの状態である場合、上記第2工程で、剥離シート104の孔部502を通じて、標本202が第2シート106の粘着面に仮止めされ、標本202が一時的に剥離シート104上に折れ曲がりや皺のない伸ばされた状態で固定される。そうした上で上記第3工程において第1シート102によって標本202を粘着固定させると、当該状態で標本202が固定されることになる。なお、標本202は孔部502を通じて第2シート106の粘着面に仮止めされているだけであるので、上記第4工程では第2シート106の粘着面から容易に分離させることができる。この形態において、孔部502の合計面積は、剥離シート104の12~27%を占めるのが好ましい。また、図10(a)に示すとおり、剥離シート104の対角線MおよびM’に沿って設けられた孔部502は、他の孔部よりも孔部面積を大きくしておくことが好ましい。その理由は、皺が多くて状態の悪い標本を伸ばして剥離シート上に仮固定する際に、標本上の一点から同心円状に仮固定するよりも標本を周囲から引っ張り、引っ張られた状態を維持しながら仮固定したほうが標本の歪みは小さくなる。標本の多くが概ね矩形であると仮定した場合、満遍なく標本の皺を伸ばすためには対角線方向に引っ張ることが最も有効である。標本上の2本の対角線を夫々順次両端から引っ張り、引っ張られた状態を維持したまま対角線付近を優先して強く仮固定することにより歪みが小さくなるとともに、対角線以外の残りの部分の仮固定は軽く行うだけで十分であり従って、対角線上以外の孔部の面積は小さくてよい。さらに、図10(b)において、剥離シート104の中心線MおよびM’に沿って設けられた孔部502が、他の孔部よりも孔部面積を大きくした形状を示した。この形状は、標本の中心線が明らかな場合の仮固定に際して好ましい。皺が多くて状態の悪い標本の中心線を伸ばして剥離シート上に仮固定する際に、伸ばされた標本の中心線をそのまま剥離シートの中心線上に仮固定することにより、標本の中心線が剥離シート中心線上に固定される。その後に、剥離シート上のもう一方の中心線上に位置する標本を両側から引っ張り、引っ張られた状態を維持したまま剥離シート上に仮固定する。標本を2本の中心線上で仮固定した上で、残りの部分の仮固定は軽く行うだけで十分であり従って、中心線上以外の孔部の面積は小さくてよい。図10(a)および(b)において夫々、剥離シート104の対角線および中心線に沿って設けられた孔部502を、他の孔部よりも孔部面積を大きくした形状を示したが、孔部面積を大きくする箇所は対角線と中心線に限定されない。標本の形状に応じ様々なパターンが考えられるが、何れにせよ剥離シート上で孔部面積が比較的大きい箇所が線上に分布していることによりその他の部分とのメリハリをつけることが重要となる。皺が多くて状態の悪い標本は、孔部面積が比較的大きい箇所により確実に引き伸ばされるとともに、その他の孔部面積が比較的小さいことにより標本は必要以上に強く仮固定されないため、標本を剥離シートから引き離す際に標本にかかる負担を最小限に留めることが出来る。【0018】また本発明の別の形態では、第1シート102および第2シート106により、粘着剤1021、粘着剤1061および剥離シート104が気密に収納されていることが好ましい。乾燥状態で粘着性を失う恐れのある粘着剤を採用した場合は、第1シート102と第2シート106の周囲を易接着剤等で仮接着しておくことにより、第1シート102と第2シート106で構成される収納部内部が気密状態となり、粘着剤の乾燥を防止することができる。【0019】図11は、本発明の積層体のさらに別の形態を説明するための斜視図である。図11(a)において、本発明の積層体60は、長尺状に形成され、芯部601の周囲に巻き回され、巻体602を形成している。図11(b)は、巻体602から一部が巻き出された本発明の積層体において、第1シート102を剥離シート104から分離させた形態の斜視図である。本発明の積層体60は、剥離シート104に切れ目を設け、複数部分に分割可能としておくことが好ましい。【0020】図12は、剥離シート104に切れ目が設けられている形態を説明するための、本発明の積層体の斜視図である。図12に示すように、本発明の積層体60において、剥離シート104の長さ方向と直交する方向に切れ目dが複数個所設けられている。【0021】以下、剥離シート104に切れ目が設けられ、複数部分に分割可能である場合における、本発明の積層体の使用方法を説明する。なお、下記の使用方法は、上記で説明した本発明の積層体の使用方法の第1工程から第5工程の作業に準じている。【0022】まず、図13に示すように、第1シート102およびその粘着面を形成する粘着剤1021を、剥離シート104から分離させる。次に、図14に示すように、剥離シート104上の所望の箇所に標本202を設置する。続いて、図15に示すように、分離した第1シート102を標本202上に載置し、粘着させる。この段階で、第1シート102と標本202とが粘着し、固定された状態となる次に図16に示すように、第1シート102に設けられた粘着剤1021に固定された標本202を第2シート106から分離させる。続いて、図17に示すように、剥離シート104を切れ目毎に除去する。なお、剥離シート104に切れ目が設けられていない場合は、剥離シート104が巻体に繋がっているため、この段階で除去できないことになる。次に図18に示すように、第1シート102の粘着面に固定された標本202を、第2シート106の粘着面と重ね合わせる。続いて、図19に示すように、長尺状の積層体60を、所望の箇所でカットする。最後に、図20に示すように、カットした部分の近辺に残存している剥離シート104を、例えば第1シート102を上方に持ち上げて露出することにより除去する。【0023】上記のような形態によれば、積層体を巻体とするために持ち運びや保管に好適となるとともに、第1シート102の分離および再粘着の方向が、巻体からの積層体の巻き出し方向に規制されるため、第1シート102と標本202とが互いにずれることなく第2シート106に粘着し固定されるために好ましい。
A
2012139940
2011000121
20110104
null
null
20120726
2012139940
20120726
null
null
5618839
20140926
null
null
null
インクジェット記録装置及び記録方法
000003562
東芝テック株式会社
100108855
蔵田 昌俊
高村 純
null
8
B41J 2/01 (20060101), B41J 2/205 (20060101), B41J 2/21 (20060101), B05D 1/26 (20060101), B05D 3/00 (20060101)
B41J 3/04 101Z ,B41J 3/04 103X ,B41J 3/04 101A ,B05D 1/26 Z ,B05D 3/00 D
10
null
null
null
0
16
2C056,2C057,4D075
2C056 EA01 ,2C056 EA04 ,2C056 EA11 ,2C056 EC72 ,2C056 ED03 ,2C056 ED09 ,2C056 EE18 ,2C056 FA04 ,2C056 FA10,2C057 AF01 ,2C057 AF21 ,2C057 AF91 ,2C057 AG45 ,2C057 AM15 ,2C057 AN01 ,2C057 CA04 ,2C057 CA09,4D075 AC07 ,4D075 AC88 ,4D075 AC91 ,4D075 CB07 ,4D075 EA33 ,4D075 EA45
【課題】印字速度を低下させず、また、インクジェットヘッドの数を増やさずに、記録媒体印刷面の隠蔽率を高める。【解決手段】マルチドロップ方式の複数のインクジェットヘッドを備えたインクジェット記録装置において、印字解像度が要求される第1のインクを吐出するインクジェットヘッドについては、主走査方向に形成されるインクドットの数を多くかつ1ドットに対するドロップ数が少なくなるようにインク液滴の吐出を制御し、記録媒体の記録面の隠蔽性が要求される第2のインクを吐出するインクジェットヘッドについては、主走査方向に形成されるインクドットの数を少なくかつ1ドットに対するドロップ数が多くなるようにインク液滴の吐出を制御する。【選択図】図11
【請求項1】連続吐出するインク液滴のドロップ数を可変して記録媒体上に形成されるインクドットの径を制御するマルチドロップ方式の複数のインクジェットヘッドと、印字解像度が要求される第1のインクを吐出する前記インクジェットヘッドについては、前記記録媒体と前記インクジェットヘッドとの相対移動時に印字する方向である主走査方向に形成される前記インクドットの数を多くかつ1ドットに対する前記ドロップ数が少なくなるように前記インク液滴の吐出を制御する第1の制御手段と、前記記録媒体の記録面の隠蔽性が要求される第2のインクを吐出する前記インクジェットヘッドについては、前記主走査方向に形成される前記インクドットの数を少なくかつ1ドットに対する前記ドロップ数が多くなるように前記インク液滴の吐出を制御する第2の制御手段と、を具備したことを特徴とするインクジェット記録装置。【請求項2】前記第1のインクはカラーインクであり、前記第2のインクは白色インクであることを特徴とする請求項1記載のインクジェット記録装置。【請求項3】前記第1のインクはカラーインクであり、前記第2のインクはオーバーコート用インクであることを特徴とする請求項1記載のインクジェット記録装置。【請求項4】前記第1のインクはカラーインクであり、前記第2のインクはアンダーコート用インクであることを特徴とする請求項1記載のインクジェット記録装置。【請求項5】前記第1のインクは回路記号用のインクであり、前記第2のインクはレジストインクであることを特徴とする請求項1記載のインクジェット記録装置。【請求項6】連続吐出するインク液滴のドロップ数を可変して記録媒体上に形成されるインクドットの径を制御するマルチドロップ方式の複数のインクジェットヘッドを備えたインクジェット記録装置の記録方法であって、印字解像度が要求される第1のインクを吐出する前記インクジェットヘッドについては、前記記録媒体と前記インクジェットヘッドとの相対移動時に印字する方向である主走査方向に形成される前記インクドットの数を多くかつ1ドットに対する前記ドロップ数が少なくなるように前記インク液滴の吐出を制御し、前記記録媒体の記録面の隠蔽性が要求される第2のインクを吐出する前記インクジェットヘッドについては、前記主走査方向に形成される前記インクドットの数を少なくかつ1ドットに対する前記ドロップ数が多くなるように前記インク液滴の吐出を制御することを特徴とするインクジェット記録方法。【請求項7】前記第1のインクはカラーインクであり、前記第2のインクは白色インクであることを特徴とする請求項6記載のインクジェット記録方法。【請求項8】前記第1のインクはカラーインクであり、前記第2のインクはオーバーコート用インクであることを特徴とする請求項6記載のインクジェット記録方法。【請求項9】前記第1のインクはカラーインクであり、前記第2のインクはアンダーコート用インクであることを特徴とする請求項6記載のインクジェット記録方法。【請求項10】前記第1のインクは回路記号用のインクであり、前記第2のインクはレジストインクであることを特徴とする請求項6記載のインクジェット記録方法。
【請求項1】連続吐出するインク液滴のドロップ数を可変して記録媒体上に形成されるインクドットの径を制御するマルチドロップ方式の複数のインクジェットヘッドと、印字解像度が要求される第1のインクを吐出する前記インクジェットヘッドについては、前記記録媒体と前記インクジェットヘッドとの相対移動時に印字する方向である主走査方向に形成される前記インクドットの数を多くかつ1ドットに対する前記ドロップ数が少なくなるように前記インク液滴の吐出を制御する第1の制御手段と、前記記録媒体の記録面の隠蔽性が要求される第2のインクを吐出する前記インクジェットヘッドについては、前記主走査方向に形成される前記インクドットの数を少なくかつ1ドットに対する前記ドロップ数が多くなるように前記インク液滴の吐出を制御する第2の制御手段と、を具備したことを特徴とするインクジェット記録装置。【請求項6】連続吐出するインク液滴のドロップ数を可変して記録媒体上に形成されるインクドットの径を制御するマルチドロップ方式の複数のインクジェットヘッドを備えたインクジェット記録装置の記録方法であって、印字解像度が要求される第1のインクを吐出する前記インクジェットヘッドについては、前記記録媒体と前記インクジェットヘッドとの相対移動時に印字する方向である主走査方向に形成される前記インクドットの数を多くかつ1ドットに対する前記ドロップ数が少なくなるように前記インク液滴の吐出を制御し、前記記録媒体の記録面の隠蔽性が要求される第2のインクを吐出する前記インクジェットヘッドについては、前記主走査方向に形成される前記インクドットの数を少なくかつ1ドットに対する前記ドロップ数が多くなるように前記インク液滴の吐出を制御することを特徴とするインクジェット記録方法。
【技術分野】【0001】本発明の実施形態は、マルチドロップ方式のインクジェットヘッドを備えたインクジェット記録装置及び記録方法に関する。
【背景技術】【0002】近年、黒色インク(K)、シアン色インク(C)、マゼンタ色インク(M)、イエロー色インク(Y)等のカラーインクとは別に、白色インク(W)を用い、記録媒体の印刷面に白色の下地を形成した後、この下地の上にカラーの画像を形成するようにしたインクジェット記録装置が知られている。【0003】このようなインクジェット記録装置で印刷されるカラー画像は、白色インクによる記録媒体印刷面の隠蔽率が高いほど、品質が向上する。そこで、この種のインクジェット記録装置は、隠蔽率を高めるための工夫がなされている。例えば、白色インクによる印字走査回数を他のカラーインクよりも増やすことで、隠蔽率を高める工夫が知られている。また、白色インクのヘッド数を他のカラーインクよりも多くすることで、隠蔽率を高める工夫も知られている。
【発明が解決しようとする課題】【0005】しかしながら、白色インクによる印字走査回数を他のカラーインクよりも増やした場合には、1画像の印字速度が低下するという問題がある。また、白色インクのヘッド数を他のカラーインクよりも多くした場合には、ヘッドの総数が増えるために装置が大型化する上、製品コストやメンテナンスにかかるコストも高くなるという問題がある。このため、これらの問題を解決できるインクジェット記録装置及び記録方法が要望されている。
【課題を解決するための手段】【0006】一実施形態によれば、連続吐出するインク液滴のドロップ数を可変して記録媒体上に形成されるインクドットの径を制御するマルチドロップ方式の複数のインクジェットヘッドを備えたインクジェット記録装置において、印字解像度が要求される第1のインクを吐出するインクジェットヘッドについては、主走査方向に形成されるインクドットの数を多くかつ1ドットに対するドロップ数が少なくなるようにインク液滴の吐出を制御し、記録媒体の記録面の隠蔽性が要求される第2のインクを吐出するインクジェットヘッドについては、主走査方向に形成されるインクドットの数を少なくかつ1ドットに対するドロップ数が多くなるようにインク液滴の吐出を制御するようにしたものである。
null
【発明を実施するための形態】【0008】以下、インクジェット記録装置及び記録方法の一実施形態について、図面を参照しながら説明する。なお、この実施形態は、記録媒体の印刷面に白色の下地を形成した後、この下地の上にカラーの画像を形成するようにしたインクジェット記録装置に適用した場合である。【0009】(第1の実施形態)図1は、インクジェット記録装置1の要部構成を示すブロック図である。図1において、矢印Xは主走査方向を示しており、矢印Yは副走査方向を示している。インクジェット記録装置1は、搬送モータ21を動力源として駆動する図示しない搬送機構により、記録媒体2を副走査方向Yに搬送する。なお、記録媒体2は、インクジェット記録装置1によって画像形成が可能なものであれば、その材質、厚み、大きさ等は特に限定されるものではない。【0010】インクジェット記録装置1は、5つのインクジェットヘッド11A,11B,11C,11D,11Eを搭載したヘッドキャリッジ12を備えている。【0011】ヘッドキャリッジ12は、主走査方向Xの一端側と他端側とにそれぞれ配置された一対のプーリ14A,14B間に掛け渡されたキャリッジベルト13に取り付けられている。一端側のプーリ14Aは、正逆転が可能なキャリッジモータ22の回転軸に固定されている。その結果、キャリッジモータ22の正転及び逆転により、キャリッジベルト13は、主走査方向Xに往復移動する。そして、このキャリッジベルト13の往復移動に付勢されて、ヘッドキャリッジ12は主走査方向Xに往復移動する。【0012】インクジェット記録装置1は、ヘッドキャリッジ12が主走査方向Xに往復移動する際に、このキャリッジ12の搭載された各インクジェットヘッド11A~11Eから選択的にインク液滴を吐出させて、記録媒体2の記録面にインクドットによる画像を形成する。【0013】各インクジェットヘッド11A~11Eは、それぞれ連続吐出するインク液滴のドロップ数を可変して記録媒体2上に形成されるインクドットの径を制御するマルチドロップ方式によるものである。各インクジェットヘッド11A~11Eのうち、ヘッド11Aは、黒色インク(K)のヘッド(以下、黒ヘッド11Aと称する)である。ヘッド11Bは、シアン色インク(C)のヘッド(以下、シアンヘッド11Bと称する)である。ヘッド11Cは、マゼンタ色インク(M)のヘッド(以下、マゼンタヘッド11Cと称する)である。ヘッド11Dは、イエロー色インク(Y)のヘッド(以下、イエローヘッド11Dと称する)である。ヘッド11Eは、白色インク(W)のヘッド(以下、白ヘッド11Eと称する)である。【0014】ここで、黒、シアン、マゼンタ及びイエローの各カラーインク(K,C,M,Y)は、印字解像度が要求される第1のインクである。白色インク(W)は、記録媒体2の記録面の隠蔽性が要求される第2のインクである。【0015】インクジェット記録装置1は、さらに、各インクジェットヘッド11A~11E別のヘッド駆動回路23A,23B,23C,23D,23Eと、プリンタコントローラ24とを備えている。【0016】プリンタコントローラ24は、パーソナルコンピュータ等のホスト装置3から周知のインターフェースを介して供給される印刷データを基に、搬送モータ21、キャリッジモータ22及び各ヘッド駆動回路23A~23Eを制御する。その結果、記録媒体2の印刷面に当該印刷データに対応したカラー画像が形成される。【0017】プリンタコントローラ24は、第1の制御手段31と、第2の制御手段32とを備えている。第1の制御手段31は、印字解像度が要求される第1のインク(K,C,M,Y)を吐出するインクジェットヘッド11A,11B,11C,11Dについて、主走査方向Xに形成されるインクドットの数を多くかつ1ドットのドロップ数が少なくなるようにインク液滴の吐出を制御する。【0018】第2の制御手段32は、記録媒体2の記録面の隠蔽性が要求される第2のインク(W)を吐出するインクジェットヘッド11Eついて、主走査方向Xに形成されるインクドットの数を少なくかつ1ドットのドロップ数が多くなるようにインク液滴の吐出を制御する。なお、これまでの説明から明らかなように、主走査方向Xとは、記録媒体2とインクジェットヘッド11A~11Eとの相対移動時に印字する方向である。【0019】図2は、プリンタコントローラ24の要部構成を示すブロック図である。プリンタコントローラ24は、制御部本体を構成するCPU(CentralProcessingUnit)41、プログラム等の固定的データを格納したROM(ReadOnlyMemory)42、可変的なデータを一時的に記憶するための領域が形成されるRAM(RandomAccessMemory)43の他、通信インターフェース44、I/O(Input/Output)ポート45、第1のモータドライバ46、第2のモータドライバ47等を備えている。CPU41は、ROM42、RAM、通信インターフェース44、I/Oポート45及び第1,第2のモータドライバ46,47を、アドレスバス,データバスなどのバスライン48で接続している。【0020】通信インターフェース44は、予め設定された通信プロトコルに従い、ホスト装置3から送信される印刷データを取込む。CPU41は、通信インターフェース44を介して取込んだ印刷データを解析して、インクジェットヘッド11A~11E毎の印字データを作成する。【0021】I/Oポート45は、各ヘッド駆動回路23A~23Eを電気的に接続する。CPU41は、I/Oポート45を介して各ヘッド駆動回路23A~23Eに、それぞれその駆動回路23A~23Eに対応するインクジェットヘッド11A~11Eの印字データと制御信号とを送信する。制御信号は、シフトクロック信号とラッチパルス信号とタイミングパルス信号とを含む。【0022】第1のモータドライバ46は、CPU41の指令により、搬送モータ21を駆動する。第2のモータドライバ47は、CPU41の指令により、キャリッジモータ22を駆動する。【0023】CPU41は、ROM42に記憶されたプログラムに基づいて、RAM43の領域を適宜使用することにより、第1の制御手段31及び第2の制御手段32としての制御を実行する。【0024】各ヘッド駆動回路23A~23Eは、同一構成であり、その要部を図3で示す。図3は、ヘッド駆動回路23A~23Eのブロック図である。【0025】ヘッド駆動回路23A~23Eは、シフトレジスタ51と、ラッチ回路52と、出力制御回路53と、駆動波形発生回路54とを備える。シフトレジスタ51はラッチ回路52と接続し、ラッチ回路52は出力制御回路53と接続し、出力制御回路53は駆動波形発生回路54と接続し、駆動波形発生回路54はインクジェットヘッド11A~11Eと接続している。【0026】シフトレジスタ51は、プリンタコントローラ24から供給される印字データを、同じくプリンタコントローラ24から供給されるシフトクロック信号に同期して順次シフトしながら格納する。ラッチ回路52は、シフトレジスタ51に格納された印字データを、プリンタコントローラ24から供給されるラッチパルス信号に応じてラッチする。出力制御回路53は、ラッチ回路52でラッチされた印字データを、プリンタコントローラ24から供給されるタイミングパルス信号に同期して駆動波形発生回路54に出力する。駆動波形発生回路54は、出力制御回路53から供給される印字データを駆動波形パルス信号に変換して、インクジェットヘッド11A~11Eに出力する。【0027】各インクジェットヘッド11A~11Eは、同一構成であり、その要部を図4~図6で示す。図4は、インクジェットヘッド11A~11Eの一部を分解して示す斜視図、図5は、同ヘッド11A~11Eの前方部における横断面図、図6は、同ヘッド11A~11Eの前方部における縦断面図である。【0028】インクジェットヘッド11A~11Eは、ベース基板61の前方側の上面に第1の圧電部材62を接合し、この第1の圧電部材62の上に第2の圧電部材63を接合する。インクジェットヘッド11A~11Eは、図5の矢印で示すように、第1の圧電部材62と第2の圧電部材63とを、板厚方向に沿って互いに相反する方向に分極して接合する。そしてインクジェットヘッド11A~11Eは、この接合した圧電部材62,63の先端側から後端側に向けて多数の長尺な溝68を設ける。各溝68は、間隔が一定でありかつ平行である。各溝68は、先端が開口し、後端が上方に斜傾する。【0029】インクジェットヘッド11A~11Eは、各溝68の側壁及び底面に電極69を設ける。さらにインクジェットヘッド11A~11Eは、各溝68の後端から第2の圧電部材63の後部上面に向けて、電極69から延出された引出し電極70を設ける。【0030】インクジェットヘッド11A~11Eは、各溝68の上部を天板64で塞ぎ、各溝68の先端をオリフィスプレート65で塞ぐ。天板64は、その内側後方に共通インク室71を備える。インクジェットヘッド11A~11Eは、天板64とオリフィスプレート65とで囲まれた各溝68によって、インクの吐出を行うノズル72を形成する。ノズル72は、インク室とも称される。インクジェットヘッド11A~11Eは、オリフィスプレート65の各溝68と対向する位置にインク吐出口73を開ける。【0031】インクジェットヘッド11A~11Eは、ベース基板61の後方側の上面に、導電パターン74が形成されたプリント基板75を接合し、このプリント基板75の上に、駆動手段であるヘッド駆動回路23A~23Eを内蔵したドライブIC76を搭載する。ドライブIC76は、導電パターン74に接続する。導電パターン74は、各引出し電極70とワイヤボンディングにより導線77で結合する。【0032】次に、上記の如く構成された各インクジェットヘッド11A~11Eの動作原理について、図7及び図8を用いて説明する。【0033】図7の(a)は、中央のノズル72aと、このノズル72aに隣接する両隣のノズル72b,72cの電極69がいずれも接地電位の状態を示している。この状態では、ノズル72aとノズル72b及びノズル72aとノズル72cとで挟まれた圧電部材62,63からなる側壁78a,78bは、何ら歪み作用を受けない。【0034】図7の(b)は、中央のノズル72aの電極69に負電圧(-Vs)が印加された状態を示している。なお、両隣のノズル72b,72cの電極69はいずれも接地電位である。この状態では、各側壁78a,78bに、圧電部材62,63の分極方向と直交する方向に電界が作用する。この作用により、各側壁78a,78bは、ノズル72aの容積を拡大するようにそれぞれ外側に変形する。【0035】図7の(c)は、中央のノズル72aの電極69に正電圧(+Vs)が印加された状態を示している。なお、両隣のノズル72b,72cの電極69はいずれも接地電位である。この状態では、各側壁78a,78bに、圧電部材62,63の分極方向と直交する方向で図7(b)のときとは逆の方向に電界が作用する。この作用により、各側壁78a,78bは、ノズル72aの容積を縮小するようにそれぞれ内側に変形する。【0036】図8は、ノズル72aからインク液滴を吐出するためにノズル72aの電極69に印加される駆動パルス信号の通電波形を示している。時間Ttによって示される区間は、インク液滴(1ドロップ)の吐出に必要な時間であり、この時間Ttは、準備区間の時間T1、吐出区間の時間T2及び後処理区間の時間T3に区分される。さらに、準備時間T1は、定常区間の時間Taと拡大区間の時間(T1-Ta)とに細分化され、吐出区間の時間T2は、維持区間の時間Tbと復元区間の時間(T2-Tb)とに細分化される。準備時間T1、吐出時間T2及び後処理時間T3は、使用するインクや温度等の条件により、適切な値に設定される。【0037】図8に示すように、ヘッド駆動回路23A~23Eは、先ず、時点t0において、ノズル72a,72b,72cに対応した各電極69にそれぞれ0ボルトの電圧を印加する。そして、定常時間Taが経過するのを待機する。この間、各ノズル72a,72b,72cは、図7の(a)の状態となる。【0038】定常時間Taが経過して時点t1になると、ヘッド駆動回路23A~23Eは、ノズル72aに対応した電極69に所定の負電圧(-Vs)を印加する。そして、準備時間T1が経過するのを待機する。負電圧(-Vs)が印加されると、ノズル72aの両側の側壁78a,78bが、ノズル72aの容積を拡大するようにそれぞれ外側に変形して、図7の(b)の状態となる。この変形により、ノズル72a内の圧力が低下する。このため、共通インク室71からノズル72a内にインクが流れ込む。【0039】準備時間T1が経過して時点t2になると、ヘッド駆動回路23A~23Eは、さらに維持時間Tbが経過するまで、ノズル72aに対応した電極69に負電圧(-Vs)を印加し続ける。この間、各ノズル72a,72b,72cは、図7の(b)の状態を維持する。【0040】維持時間Tbが経過して時点t3になると、ヘッド駆動回路23A~23Eは、ノズル72aに対応した電極69に印加する電圧を0ボルトに戻す。そして、吐出時間T2が経過するのを待機する。印加電圧が0ボルトになると、ノズル72aの両側の側壁78a,78bが定常状態に復元されて、図7の(a)の状態に戻る。この復元により、ノズル72a内の圧力が増大する。このため、ノズル72aに対応したインク吐出口73からインク液滴が吐出する。【0041】吐出時間T2が経過して時点t4になると、ヘッド駆動回路23A~23Eは、ノズル72aに対応した電極69に所定の正電圧(+Vs)を印加する。そして、後処理時間T3が経過するのを待機する。正電圧(+Vs)が印加されると、ノズル72aの両側の側壁78a,78bが、ノズル72aの容積を縮小するようにそれぞれ内側に変形して、図7の(c)の状態となる。この変形により、ノズル72a内の圧力がさらに増大する。このため、インク液滴の吐出によりノズル72a内に生じる急激な圧力低下が緩和される。【0042】後処理時間T3が経過して時点t5になると、ヘッド駆動回路23A~23Eは、ノズル72aに対応した電極69に印加する電圧を再度0ボルトに戻す。印加電圧が0ボルトに戻されたことに応じて、ノズル72aの両側の側壁78a,78bが定常状態に復元される。すなわち、各ノズル72a,72b,72cは、図7の(a)の状態に戻る。【0043】ヘッド駆動回路23A~23Eは、図8に示した通電波形の駆動パルス信号をノズル72aの電極69に供給する。そうすると、ノズル72aに対応したインク吐出口73から1ドロップのインク液滴が吐出される。【0044】次に、マルチドロップ方式による階調印字について、図9及び図10を用いて説明する。マルチドロップ方式は、インク液滴の大きさを変えずに1ドットに対して打ち込むインク液滴のドロップ数を可変して1ドットの濃度を変化させ、階調を表現する。そこで、ヘッド駆動回路23A~23Eは、図8に示した通電波形の駆動パルス電圧を、1つのノズル72の電極69に複数回連続して繰り返し入力する。そうすると、このノズル72に対応したインク吐出口73からインク液滴が複数回連続して吐出される。すなわち、マルチドロップ方式による階調印字が行われる。【0045】図9の(a)~(d)は、インク吐出口73から吐出するインク液滴81と、このインク液滴81が記録媒体2上に到達して浸透したインクドット82の状態を示す。1階調印字のときには、図9の(a)に示すように、インク液滴81が1個(ドロップ数=1)である。このため、記録媒体2に浸透するインクの量は少ない。2階調印字のときには、図9の(b)に示すように、インク液滴81が2個(ドロップ数=2)である。このため、記録媒体2に浸透するインクの量は、1階調印字のときの略2倍となり、ドットの径も大きくなる。3階調印字のときには、図9の(c)に示すように、インク液滴81が3個(ドロップ数=3)である。このため、記録媒体2に浸透するインクの量は、1階調印字のときの略3倍となり、ドットの径はさらに大きくなる。7階調印字のときには、図9の(d)に示すように、インク液滴81が7個(ドロップ数=7)である。このため、記録媒体2に浸透するインクの量は、1階調印字のときの略7倍となる。最大階調が7階調とすると、最大径のドットが記録媒体2に印字される。なお、4階調印字から6階調印字までについては図示しないが、インク液滴の数が階調数に応じて増加し、記録媒体2に浸透するインクの量もそれに応じて増加する点は同じである。【0046】このように、マルチドロップ方式による階調印字の場合には、吐出するインク液滴の数と印字濃度との関係が直線的に変化する。したがって、駆動パルスの数によって吐出するインク液滴の数を制御することで、良好な階調印字が実現できる。【0047】図10は、最大階調を7階調として印字を行う場合の駆動パルス信号の通電波形を示す。インクジェットヘッド11A~11Eは、あるノズルからインクを吐出するとき、側壁を共有する両隣のノズルは、同時にインクを吐出することはできない。そこで、各ノズル72を、“n”,“n-1”,“n+1”の3つのグループに分割する。具体的には、あるノズルがグループ“n”に属すると仮定すると、このノズルに対して一方の側に隣接するノズルがグループ“n-1”に属し、他方の側に隣接するノズルがグループ“n+1”に属するように分割する。そして、図10に示すように、グループ毎にタイミングをずらして、各ノズルの電極に駆動パルス信号を供給する。【0048】ここで、グループ間のディレイ時間を“Td”とすると、最大階調が7階調のときの3分割駆動に要するサイクルタイムTcは、次の(2)式で表される。Tc=(Tt*7+Td)*3…(2)駆動周波数Fは、サイクルタイムTcの逆数になるので、次の(3)式で表される。【0049】F=1/(Tt*7+Td)*3…(3)以上が、連続吐出するインク液滴81のドロップ数を可変して記録媒体2上に形成されるインクドット82の径を制御するマルチドロップ方式のインクジェットヘッド11A~11Eの動作原理である。【0050】さて、第1の実施形態で用いるインクジェットヘッド11A~11Eは、インクの吐出体積によって最大駆動周波数が[表1]に示す値で駆動可能なものである。【表1】【0051】すなわち、印字データが1Hexつまりは図8に示す基本駆動波形の印加回数が1回(1ドロップ)のときのインク吐出体積は6pLであり、そのときの最大駆動周波数は28000Hzである。印字データが2Hexつまりは図8に示す基本駆動波形の印加回数が2回(2ドロップ)のときのインク吐出体積は12pLであり、そのときの最大駆動周波数は16700Hzである。以下、印字データが3Hexから9Hexまでのインク吐出体積と最大駆動周波数との対応関係は、[表1]の通りである。【0052】このように、インクジェットヘッド11A~11Eは、吐出するドロップ数が少なければ、その分、駆動周波数を高くできるという特性を有する。この特性を用いて、第1の実施形態では、図11に示すように、各カラーインク(K,C,M,Y)を吐出するインクジェットヘッド11A,11B,11C,11Dについては、主走査方向Xに1200dpiという高解像度で、しかも毎秒28000dotの速度で印字データにより1ドロップ(6Pl)のインク液滴81を吐出することにより、画像を形成する。かかる吐出制御は、第1の制御手段31によって実現される。【0053】一方、白インク(W)を吐出するインクジェットヘッド11Eについては、主走査方向Xに300dpiという低解像度で、しかも毎秒7000dotの速度で6ドロップ(36pL)のインク液滴81を連続して吐出することにより、下地としての画像を形成する。かかる吐出制御は、第2の制御手段32によって実現される。【0054】なお、副走査方向Yの解像度は、各カラーインク(K,C,M,Y)を吐出する場合と同様の1200dpiである。【0055】上述したような吐出制御による各カラーインク(K,C,M,Y)と白インク(W)の印字結果を、図12に示す。また、各カラーインク(K,C,M,Y)と白インク(W)の主走査方向Xの解像度、インクジェットヘッド11A,11B,11C,11D,11Eの駆動周波数、インク液滴81の吐出体積、主走査方向Xに対するインクジェットヘッド11A,11B,11C,11D,11Eの移動速度、及び、主走査方向Xの300dpiのときのインク液滴81の吐出体積は、それぞれ[表2]のようになる。【表2】【0056】図12と表2とから明らかなように、白インク(W)の単位面積当たりの吐出量は、各カラーインク(K,C,M,Y)の約1.5倍となる。このため、記録媒体2の印刷面に対する白インク(W)の隠蔽性が向上する。その一方で、主走査方向Xの印字速度は、白インク(W)による印字の解像度を低くすることによって、各カラーインク(K,C,M,Y)の場合と等しくしており、低下はない。【0057】したがって、第1の実施形態のインクジェット記録装置1によれば、印字速度が低下することなく、また、インクジェットヘッドの数を増やすこともなく、白色インク(W)による記録媒体印刷面の隠蔽率を高め得る効果を奏する。【0058】(第2の実施形態)第2の実施形態は、各カラーインク(K,C,M,Y)を吐出するインクジェットヘッド11A,11B,11C,11Dについて、最大で2ドロップのインク液滴81を吐出することにより、画像を形成する場合である。なお、インクジェット記録装置1のハードウェアの部分については第1の実施形態と同一なので、図1~図10及び表1については第2の実施形態でも用いるものとし、その詳しい説明は省略する。【0059】第2の実施形態の場合、図13に示すように、各カラーインク(K,C,M,Y)を吐出するインクジェットヘッド11A,11B,11C,11Dについては、主走査方向Xに1200dpiという高解像度で、しかも毎秒16700dotの速度で印字データにより2ドロップ(12Pl)または1ドロップ(6pL)のインク液滴81を吐出することにより、画像を形成する。つまり、画像は、2階調で表現される。かかる吐出制御は、第1の制御手段31によって実現される。【0060】一方、白インク(W)を吐出するインクジェットヘッド11Eについては、主走査方向Xに300dpiという低解像度で、しかも毎秒4175dotの速度で9ドロップ(54pL)のインク液滴81を連続して吐出することにより、下地としての画像を形成する。かかる吐出制御は、第2の制御手段32によって実現される。【0061】なお、副走査方向Yの解像度は、各カラーインク(K,C,M,Y)を吐出する場合と同様の1200dpiである。【0062】上述したような吐出制御による各カラーインク(K,C,M,Y)と白インク(W)の印字結果を、図14に示す。また、各カラーインク(K,C,M,Y)と白インク(W)の主走査方向Xの解像度、インクジェットヘッド11A,11B,11C,11D,11Eの駆動周波数、インク液滴81の吐出体積、主走査方向Xに対するインクジェットヘッド11A,11B,11C,11D,11Eの移動速度、及び、主走査方向Xの300dpiのときのインク液滴81の吐出体積は、それぞれ[表3]のようになる。【表3】【0063】図14と表3とから明らかなように、白インク(W)の単位面積当たりの吐出量は、各カラーインク(K,C,M,Y)の約1.125倍となる。このため、記録媒体2の印刷面に対する白インク(W)の隠蔽性が向上する。その一方で、主走査方向Xの印字速度は、白インク(W)による印字の解像度を低くすることによって、各カラーインク(K,C,M,Y)の場合と等しくしており、低下はない。【0064】したがって、第2の実施形態のインクジェット記録装置1においても、第1の実施形態と同様の作用効果を奏し得る。【0065】なお、前記実施形態では、5つのインクジェットヘッド11A,11B,11C,11D,11Eを搭載したインクジェット記録装置1に適用した場合を示したが、ヘッドの個数は5つに限定されるものではない。少なくとも、カラーインクのように印字解像度が要求される第1のインクと、白色インクのように記録媒体2の記録面の隠蔽性が要求される第2のインクとを用いる2以上のインクジェットヘッドを搭載したインクジェット記録装置であれば、本実施形態を適用できるものである。【0066】また、前記実施形態では、第2のインクを下地用の白色インク(W)としたが、第2のインクはこれに限定されるものではない。例えば、第1のインクであるカラーインク(K,C,M,Y)によって記録媒体2の記録面に形成した画像をオーバーコートするためのインクを第2のインクとするインクジェット記録装置にも、本実施形態を適用できるものである。【0067】同様に、第1のインクであるカラーインク(K,C,M,Y)によって記録媒体2の記録面に形成される画像をアンダーコートするためのインクを第2のインクとするインクジェット記録装置にも、本実施形態を適用できるものである。【0068】また、解像度を必要とする第1のインクを回路記号用のインクとし、隠蔽性を必要とする第2のインクをレジストインクとして、回路基板をプリントするインクジェット記録装置にも、本実施形態を適用することができる。【0069】また、前記実施形態では、各インクジェットヘッド11A~11Eを主走査方向Xに配列してヘッドキャリッジ12に搭載し、このヘッドキャリッジ12を主走査方向Xに往復移動させて、副走査方向Yに移動する記録媒体2の記録面に画像を形成するインクジェット記録装置1を示したが、記録媒体2の搬送方向に沿って複数のライン型ヘッドを配置してなるインクジェット記録装置にも、本実施形態を適用することは可能である。【0070】この他、本発明のいくつかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら新規な実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれるとともに、特許請求の範囲に記載された発明とその均等の範囲に含まれる。
A
2012139941
2011000162
20110104
null
null
20120726
2012139941
20120726
null
null
5693244
20150213
null
null
null
印字装置、印字方法
501398606
富士通コンポーネント株式会社
100070150
伊東 忠彦
片岡 寛之
null
8
B41J 2/36 (20060101)
B41J 3/20 115Z
18
null
null
null
0
17
2C066
2C066 AA03 ,2C066 AB03 ,2C066 AB09 ,2C066 AC01 ,2C066 CZ03
【課題】設定された印字速度を変動させることなく、印字処理を行う。【解決手段】用紙に印字する発熱体と、前記発熱体へ通電する通電部と、設定された印字の速度に基づいて、1ライン印字するために用紙を搬送するのに要する搬送時間を算出する搬送時間算出部と、前記発熱体への通電時間を算出する通電時間算出部と、前記搬送時間と前記通電時間に基づいて、前記1ライン印字するために前記発熱体へ前記通電するのに要する1ライン通電時間を調整する1ライン通電時間調整部と、を有することを特徴とする。【選択図】図1
【請求項1】用紙に印字する発熱体と、前記発熱体へ通電する通電部と、設定された印字の速度に基づいて、1ライン印字するために用紙を搬送するのに要する搬送時間を算出する搬送時間算出部と、前記発熱体への通電時間を算出する通電時間算出部と、前記搬送時間と前記通電時間に基づいて、前記1ライン印字するために前記発熱体へ前記通電するのに要する1ライン通電時間を調整する1ライン通電時間調整部と、を有することを特徴とする印字装置。【請求項2】前記1ライン通電時間調整部は、前記発熱体へ前記通電する通電回数を調整することで、前記1ライン通電時間を調整することを特徴とする請求項1記載の印字装置。【請求項3】前記1ライン通電時間調整部は、前記通電時間と前記搬送時間とから、最大通電回数を算出する通電回数算出部と、前記最大通電回数から求まる前記通電のパターンの候補から、設定された通電回数に基づいて、前記通電のパターンを決定する決定部と、を有し、前記通電部は、前記決定された前記通電のパターンに基づいて、前記発熱体へ通電することを特徴とする請求項2記載の印字装置。【請求項4】前記印字のデータを記憶するシフトレジスタ部と、前記シフトレジスタ部へ前記印字のデータを転送する転送部と、有し、前記1ライン時間調整部は、前記シフトレジスタ部へ前記印字のデータを転送するのに要する転送時間と、前記搬送時間と、前記通電時間とから、最大通電回数を算出する通電回数算出部と、前記最大通電回数から求まる前記通電のパターンの候補から、設定された通電回数に基づいて、前記通電のパターンを決定する決定部と、を有し、前記通電部は、前記決定された前記通電のパターンに基づいて、前記発熱体へ通電することを特徴とする請求項2記載の印字装置。【請求項5】前記1ライン時間調整部は、前記発熱体の発色ドット数に応じて、前記通電時間算出部により算出された前記通電時間を調整する通電時間調整部を有することを特徴とする請求項1~4何れか1項に記載の印字装置。【請求項6】前記発熱体は複数あり、前記1ライン時間調整部は、前記複数の発熱体へのそれぞれの通電を、オーバーラップさせるオーバーラップ部を有することを特徴とする請求項1~5何れか1項に記載の印字装置。【請求項7】前記オーバーラップの重複時間は、前記通電時間と前記搬送時間に基づいて定められることを特徴とする請求項6記載の印字装置。【請求項8】前記1ライン時間調整部は、前記通電時間が、前記搬送時間より大きい場合には、該通電時間を該搬送時間にして、前記通電部に前記発熱体へ通電させることを特徴とする請求項1~7何れかに1項に記載の印字装置。【請求項9】予め定められた前記通電回数の最大値を記憶する記憶部を有し、前記1ライン通電時間調整部は、前記通電回数が前記最大値を超えないように前記通電回数を調整することを特徴とする請求項2~8何れか1項に記載の印字装置。【請求項10】用紙に印字する発熱体を含む印字装置を用いた印字方法において、前記発熱体へ通電する通電工程と、設定された印字の速度に基づいて、1ライン印字するために用紙を搬送するのに要する搬送時間を算出する搬送時間算出工程と、前記発熱体への通電時間を算出する通電時間算出工程と、前記搬送時間と前記通電時間に基づいて、前記1ライン印字するために前記発熱体へ前記通電するのに要する1ライン通電時間を調整する1ライン通電時間調整工程と、を有することを特徴とする印字方法。【請求項11】前記1ライン通電時間調整工程は、前記発熱体へ前記通電する通電回数を調整することで、前記1ライン通電時間を調整することを特徴とする請求項10記載の印字方法。【請求項12】前記1ライン通電時間調整工程は、前記通電時間と前記搬送時間とから、最大通電回数を算出する通電回数算出工程と、前記最大通電回数から求まる前記通電のパターンの候補から、設定された通電回数に基づいて、前記通電のパターンを決定する決定工程と、を有し、前記通電工程は、前記決定された前記通電のパターンに基づいて、前記発熱体へ通電することを特徴とする請求項11記載の印字方法。【請求項13】前記印字装置は、前記印字のデータを記憶するシフトレジスタ部を有し、前記1ライン時間調整工程は、前記シフトレジスタ部へ前記印字のデータを転送する転送工程と、前記シフトレジスタ部へ前記印字のデータを転送するのに要する転送時間と、前記搬送時間と、前記通電時間とから、最大通電回数を算出する通電回数算出工程と、前記最大通電回数から求まる前記通電のパターンの候補から、設定された通電回数に基づいて、前記通電のパターンを決定する決定工程と、を有し、前記通電工程は、前記決定された前記通電のパターンに基づいて、前記発熱体へ通電することを特徴とする請求項11記載の印字方法。【請求項14】前記1ライン時間調整工程は、前記発熱体の発色ドット数に応じて、前記通電時間算出工程により算出された前記通電時間を調整する通電時間調整工程を有することを特徴とする請求項10~13何れか1項に記載の印字方法。【請求項15】前記発熱体は複数あり、前記1ライン時間調整工程は、前記複数の発熱体へのそれぞれの通電を、オーバーラップさせるオーバーラップ工程を有することを特徴とする請求項10~14何れか1項に記載の印字方法。【請求項16】前記オーバーラップの重複時間は、前記通電時間と前記搬送時間に基づいて定められることを特徴とする請求項15記載の印字方法。【請求項17】前記1ライン時間調整工程は、前記通電時間が、前記搬送時間より大きい場合には、該通電時間を該搬送時間にして、前記通電工程に前記発熱体へ通電させることを特徴とする請求項10~16何れかに1項に記載の印字方法。【請求項18】前記1ライン通電時間調整工程は、前記通電回数が、予め定められた最大値を超えないように前記通電回数を調整することを特徴とする請求項11~17何れか1項に記載の印字方法。
【請求項1】用紙に印字する発熱体と、前記発熱体へ通電する通電部と、設定された印字の速度に基づいて、1ライン印字するために用紙を搬送するのに要する搬送時間を算出する搬送時間算出部と、前記発熱体への通電時間を算出する通電時間算出部と、前記搬送時間と前記通電時間に基づいて、前記1ライン印字するために前記発熱体へ前記通電するのに要する1ライン通電時間を調整する1ライン通電時間調整部と、を有することを特徴とする印字装置。【請求項10】用紙に印字する発熱体を含む印字装置を用いた印字方法において、前記発熱体へ通電する通電工程と、設定された印字の速度に基づいて、1ライン印字するために用紙を搬送するのに要する搬送時間を算出する搬送時間算出工程と、前記発熱体への通電時間を算出する通電時間算出工程と、前記搬送時間と前記通電時間に基づいて、前記1ライン印字するために前記発熱体へ前記通電するのに要する1ライン通電時間を調整する1ライン通電時間調整工程と、を有することを特徴とする印字方法。
【技術分野】【0001】本発明は、印字装置、印字方法に関する。
【背景技術】【0002】近年、サーマルヘッドを備えた多くのプリンタが提案されている。例えば、特許文献1記載のプリンタでは、印字環境(用紙の種類など)から、サーマルヘッドへ通電するのに要する通電時間を算出する。そして、算出された通電時間を制御することで、設定された印字速度を保ちつつ、用紙に対して印字を行う。
【発明が解決しようとする課題】【0004】しかし、特許文献1記載の技術では、印字環境の変化などにより、印字速度が変動する場合があるという問題がある。【0005】そこで、本発明では上記のような問題を鑑みて、設定された印字速度を変動させることなく、かつ、印字品質を低下させることなく、印字処理を行う印字装置、印字方法を提供することを目的とする。
【課題を解決するための手段】【0006】上記目的を達成するため、用紙に印字する発熱体と、前記発熱体へ通電する通電部と、設定された印字の速度に基づいて、1ライン印字するために用紙を搬送するのに要する搬送時間を算出する搬送時間算出部と、前記発熱体への通電時間を算出する通電時間算出部と、前記搬送時間と前記通電時間に基づいて、前記1ライン印字するために前記発熱体へ前記通電するのに要する1ライン通電時間を調整する1ライン通電時間調整部と、を有することを特徴とする印字装置を提供する。
【発明の効果】【0007】本願の印字装置、印字方法であれば、設定された印字速度を変動させることなく、かつ、印字品質を低下させることなく、印字処理を行うことができる。
【発明を実施するための形態】【0009】以下、図面を参照して、本発明を実施するための形態の説明を行う。なお、同じ機能を持つ構成部には同じ番号を付し、重複説明を省略する。また、本実施例の印字装置は、「プリンタ」や「サーマルプリンタ」とも呼ばれる。本実施例の印字装置を「プリンタ」として説明する。【0010】[実施形態1]図1に本実施例のプリンタの機能構成例を示す。本実施例のプリンタは、大略して、制御部100、サーマルヘッド200、用紙搬送部300、入力部400に分けられる。【0011】制御部100は、MCU(MicroControlUnit)101、第1記憶部102とを有する。該第1記憶部102は、例えば、RAMを用いればよく、図1の記載および以下の説明では、第1記憶部102をRAM102とする。また、サーマルヘッド200は、複数の発熱体(この例では、発熱体221~224の4つ)と、第2記憶部201と第3記憶部202と、を有する。図1の記載および以下の説明では、第2記憶部201をシフトレジスタ201とし、第3記憶部202をラッチレジスタ202とする。また、各発熱体221~224各々は複数(例えば64個)の発熱素子から構成される。また、用紙搬送部300は、用紙を搬送させるためのモータ301を有する。入力部400は、印字速度設定部401と通電回数設定部402を有する。本実施例のプリンタは、ホストPC(PersonalComputer)500に接続されている。【0012】また、ユーザが、入力部400の印字速度設定部401から、所望の印字速度vを設定する。また、ユーザが印字速度vの設定を行わなくとも、デフォルトで、印字速度vを設定するようにしてもよい。本実施例のプリンタは、該設定された印字速度vを維持して(変動させずに)、印字を行うものである。【0013】また、ユーザは、入力部400の通電回数設定部402から通電回数Lを設定する。通電回数Lについては後述する。【0014】図2にMCU101の機能構成例を示す。図2の例では、MCU101は、通電部1011などを含む。MCU101には、ホストPC500から印字する文字コードデータが転送される。MCU101は、文字コードデータをパターンデータに変換し、該パターンデータS101をRAM102に保持させる。パターンデータは、例えば、発熱体221~224を発色させるドット(印刷するドット)に対応するビットが「1」であり、非発色のドット(非印刷のドット)に対応するビットが「0」のデータである。RAM102は、1ライン分のパターンデータを保持する。ここで、「ドット」とは発熱素子と同義である。ここで、図16を用いて、1ライン印字について説明する。図16Aは、横書きに印字した文字を示す。図16Bは、縦書きに印字した文字を示す。「1ライン印字する」とは、印字する方向に沿った一列の発熱体が行う印字である。つまり、図16Aのように、横方向に印字する場合には、該横方向に沿った一列の発熱体が行う印字である。また、図16Bのように、縦方向に印字する場合には、該縦方向に沿った一列の発熱体が行う印字である。【0015】また、1行とは、図16Aに示す文字の行、または図16Bに示す文字の列を示す。【0016】用紙への印字時に、転送部1017は、クロック同期シリアル通信信号S111を用いて、シフトレジスタ201に、変換された1ライン分のパターンデータ(印字データ)を転送する。そして、MCUからのラッチ信号S121によりシフトレジスタ201のデータS201が、ラッチレジスタ202に転送される。該転送により、通電期間中にも、転送部1107は、シフトレジスタ201に次の通電期間に対応するパターンデータを転送できる。これに伴い、パターンデータの各ビットに対応した発熱体221~224の各発熱素子へも、ラッチレジスタ202からパターンデータS211~S214が、転送される。また、図1では、パターンデータS211~S214を送信するための導線は、発熱素子分、存在する。つまり、発熱体221が64個の発熱素子により構成されている場合には、パターンデータS211を送信するための導線は、64本存在する。そして各発熱素子は、MCU101からの通電信号(S131~S134)により通電(発熱)され、ラッチレジスタ202内のパターンデータ(S211~S214)の発色を行い、用紙に印字する。そして、1ライン分の印字が終了すると、MCU101は、用紙搬送部300に用紙搬送信号S141を送信することで、モータ301を駆動して、1ライン分、用紙を搬送する。【0017】図3に、発熱体221、発熱体222、発熱体223、発熱体224の順番で通電信号を送信した場合のタイムチャートを示す。図3中のS111はクロック同期シリアル通信信号を示し、S121は、ラッチ信号を示し、S131、S132、S133、S134は、通電信号を示し、S141は用紙搬送信号を示す。また、S121において、「0」の場合には、シフトレジスタ201からラッチレジスタ202へデータを送信している状態を示し、「1」の場合には、シフトレジスタ201からラッチレジスタ202へデータを送信していない状態を示す。また、S131、S132、S133、S134において、「1」の場合には、発熱体221~224へ通電(発熱)されている状態(通電がON状態)であることを示し、「0」の場合には、発熱体221~224へ通電されていない状態(通電がOFF状態)であることを示す。【0018】図3を用いて、以下で用いる用語について説明する。転送部1017が、クロック同期シリアル通信信号S111を用いて、1ライン分のデータをシフトレジスタ201に転送するのに要する時間を「転送時間ts」という。また、通電部1011が、1つの発熱体に通電するのに要する時間を「通電時間th」という。また、4つの発熱体221~224に通電するのに要する合計時間(つまり、1ライン印字するために、発熱体221~224に通電するのに要する時間)を「1ライン通電時間tp」という。図3の例では、tp=4thとなる。また、用紙搬送部300が、用紙を1ライン分、搬送するのに要する時間を「搬送時間tm」という。換言すれば、搬送時間tmは、1ライン印字するために用紙を搬送するのに要する時間である。また、通電部1011が、発熱体221~224に対して通電する回数を「通電回数L」という。図3の例では、通電回数Lは4回である。また、1ラインの通電は、直近に転送された印字データAについて行われる。【0019】また、「設定された通電回数」について説明する。一般的に、一度の多くの発熱体に通電を行うと、消費電力のピークが高くなる。プリンタごとに、消費電力のピーク(最大値)が決められている。つまり、プリンタごとに、一度で通電できる発熱体の最大数は決められており、通電回数の最小値が決められている。【0020】一方、1ラインの印字ごとに、通電回数を多くすると、印字品質が下がる場合がある。従って、通電回数の最大値が定められている。つまり、「消費電力のピーク値」と「印字品質」とはトレードオフの関係にある。つまり、通電回数は、発熱体での「消費電力でのピーク値」および「印字品質」などにより定められる。【0021】次に、本実施形態1のプリンタの処理について説明する。上述のように、ユーザにより、または、デフォルトとして、印字速度vが設定されている。搬送時間算出部1012は、設定された印字速度vから、搬送時間tmを算出する。ここで、印字速度vを維持しつつ、発熱体221~224が印字を行うためには、以下の式(1)を満たす必要がある。【0022】1ライン通電時間tp≦搬送時間tm(1)【0023】上記式(1)を満たすように、1ライン通電時間調整部1013は、搬送時間tmと通電時間thとに基づいて、1ライン通電時間tpを調整する。ここで、「1ライン通電時間tpを調整する」とは、「1ライン通電時間tpを増加させる。」または「1ライン通電時間tpを減少させる。」ことである。また、上記式(1)を満たせば、印字速度vを維持しつつ、印字を行えることから、1ライン通電時間tpを減少させることが好ましい。1ライン通電時間調整部1013は、例えば、(i)通電回数Lを調整することや、(ii)通電時間thを調整することで、1ライン通電時間tpを調整する。【0024】本実施形態1のプリンタでは、上記式(1)を満たすように、1ライン通電時間tpを調整する。従って、本実施形態1のプリンタは、設定された印字速度vを変動させることなく、かつ、印字品質を低下させることなく、用紙に対して印字を行うことができる。また、以下の実施形態では、1ライン通電時間tpを調整する具体的な手法について説明する。【0025】[実施形態2]次に、実施形態2のプリンタについて説明する。図2に示すように、実施形態2の1ライン通電時間調整部1013は、通電回数算出部1015と、決定部1016と、候補算出部1021を有する。【0026】図4に、実施形態2のプリンタの処理フローを示す。図4の処理フローは、1ラインの印字ごとに行われる処理である。まず、通電時間算出部1014は、印字環境に基づいて、通電時間thを求める(ステップS2)。ここで、印字環境とは、例えば、印字する用紙の種類、発熱体221~224が発熱した場合の温度、発熱体221~224に印加される電圧、印字速度vなどのうち、少なくとも1つである。そして、各印字環境と、通電時間thとを対応付けたテーブル表をRAM102などの記憶手段に記憶させておく。そして、通電時間算出部1014が、該テーブル表を参照して、現在の各印字環境と対応する通電時間thを求める。【0027】次に、搬送時間算出部1012が、搬送時間tmを求める(ステップS4)。搬送時間tmの求め方は、上記[実施形態1]と同様である。次に、通電回数算出部1015が、通電時間thと搬送時間tmとから、最大通電回数LMを求める(ステップS6)。ここで、最大通電回数LMとは、1ライン通電時間tpが搬送時間tmを超えない通電回数のうち、最大の通電回数をいう。最大通電回数LMは以下の式(2)で求めることができる。LM=tm/th(2)LMの値の、小数点以下は切り捨てとなる。【0028】次に、候補算出部1021が、最大通電回数LMから、通電のパターンの候補を算出する(ステップS8)。ここで、通電のパターンの候補として、本実施例のように発熱体が4つの場合(つまり、図1のように、発熱体221、222、223、224)には、以下の通電のパターン1~パターン4が算出される。【0029】パターン1とは、発熱体221、発熱体222、発熱体223、発熱体224、それぞれに1回ずつ通電する(つまり、通電回数Lが4回である)パターンである。パターン2とは、発熱体221および発熱体222に同時に1回の通電をし、発熱体223および発熱体224に同時に1回の通電をする(つまり、通電回数Lが2回である)パターンである。パターン3とは、発熱体221~224に同時に1回の通電をする(つまり、通電回数Lが1回である)パターンである。パターン4とは、発熱体221および発熱体222に同時に1回の通電、発熱体223に1回の通電、発熱体224に1回の通電をする(つまり、通電回数Lが3回である)パターンである。【0030】なお、パターン4の他のパターンとして、発熱体221に1回の通電、発熱体222および発熱体223に同時に1回の通電、発熱体224に1回の通電を行うパターンと、発熱体221に1回の通電、発熱体222に1回の通電、発熱体223および発熱体224に同時に1回の通電を行うパターンと、がある。以下の説明では、パターン4は、発熱体221および発熱体222に同時に1回の通電、発熱体223に1回の通電、発熱体224に1回の通電をするパターンとする。【0031】図3は、通電回数が4回の場合の、タイムチャートである。また、図5にパターン2(通電回数Lが2回の通電パターン)のタイムチャートを示し、図6にパターン3(通電回数Lが1回の通電パターン)のタイムチャートを示す。図17にパターン4(通電回数Lが3回の通電パターン)のタイムチャートを示す。なお、発熱体221、222、223に1回の通電を行い、発熱体224に1回の通電を行うパターン(つまり、2回の通電)も考えられるが、1回の多くの発熱体に通電すると、消費電力のピークが高くなる。従って、2回の通電を行う場合には、パターン2で行うことが好ましい。【0032】次に、決定部1016が、通電のパターンの候補から、通電回数設定部402で設定された通電回数に基づいて、通電のパターンを決定する(ステップS10)。例えば、設定された通電回数が「4回」である場合には、決定部1016は、パターン3を決定する。そして、通電部1011は、決定された通電パターンに基づいて、通電時間算出部1014で算出された通電時間thで発熱体221~224に対して通電を行う(ステップS16)。【0033】決定部1016による、別の通電パターンの決定について、図7を用いて説明する。図7に破線で示すように、設定された通電回数が4回の場合には、1ライン通電時間tp(=4th)は、搬送時間tmを超えてしまう。上述のように、1ライン通電時間tpが搬送時間tmを超えると、設定された印字速度vで印字できなくなる。従って、通電回数が4回のパターン1は、除外される。そして、決定部1016は、残りの通電パターンの候補のうち、設定された通電回数と最も近い回数の通電パターンを決定する。図7の例では、決定部1016は、残りの通電パターン(パターン2、パターン3、パターン4)のうち、設定された通電回数(4回)と最も近い回数の通電パターンを決定する。つまり、この例では、設定された通電回数(4回)と最も近い回数とは、「3回」であることから、パターン4が決定される。そして、通電部1011は、ステップS16の処理を行う。【0034】プリンタの印字手法として、ユーザなどにより設定された通電回数で、通電をすることが理想的である。しかし、設定された通電回数で、通電を行うと、1ライン通電時間tpが、搬送時間tmを超え、設定された印字速度vを維持できない場合がある。そこで、本実施形態2のプリンタのように、1ライン通電時間tpが搬送時間tmを超えない、通電のパターンの候補を算出する。そして、算出された通電のパターンの候補から、設定された通電回数の最も近い通電回数の通電パターンを決定する。従って、設定された通電回数に最も近く、かつ、設定された印字速度vを維持しつつ、印字を行うことができる。【0035】[実施形態3]次に実施形態3のプリンタについて説明する。図8に実施形態3のプリンタの処理フローを示し、図9に、実施形態3のプリンタのタイムチャートを示す。まず、実施形態3のプリンタの前提について説明する。実施形態2のプリンタでは、図7に示すように、MCU101は、1ラインの印字につき、クロック同期シリアル通信信号S111を用いて、一度にパターンデータを送信し、通電信号(S131~S134)を各発熱体221~224に送信していた。しかし、発熱体の数が少ない場合には、各発熱素子の制御を行うことが困難な場合がある。【0036】そこで、本実施形態3のMCU101は、図9に示すように、パターンデータを複数回(図9の例では、2回)に分けて、転送する。また、パターンデータの送信中は、通電信号S131~S134が送信されている状態である。この実施形態3の制御を行うことで、発熱体の数が少ない場合でも、発熱素子の細やかな制御を行うことができる。本実施形態3のプリンタは、この制御のもと、印刷を行う。【0037】ステップS2で、通電時間算出部1014は、通電時間thを求める。そして、第1判定部1023は、通電時間thと転送時間tsとの大小を比較する。ここで、転送時間tsは、プリンタごとに予め定められている値である。第1判定部1023により、通電時間th<転送時間tsと判定された場合には(ステップS52のYes)、ステップS53に移行する。ステップS53では、th=tsとする。そして、ステップS4に移行する。【0038】また、第1判定部1023により、通電時間th≧転送時間tsと判定された場合には(ステップS52のNo)ステップS4に移行する。【0039】ステップS4では、搬送時間算出部1012が、搬送時間tmを算出する。そして、ステップS6では、通電回数算出部1015は、最大通電回数LMを算出する。具体的には、th<tsの場合には、最大通電回数LM=tm/tsとなり、th≧tsの場合には、最大通電回数LM=tm/thとなる。【0040】図9は、th>tsの場合のタイムチャートであり、図18は、th<tsの場合のタイムチャートである。ここで、通電部1011による1回の通電に対して、シフトレジスタ201への1回のパターンデータの転送が必要である。従って、通電回数Lと転送回数は同値であり、最大通電回数と、最大転送回数は同値である。【0041】その後の処理は実施形態2と同様なので説明を省略する。【0042】この実施形態3であっても、実施形態2と同様の効果を得ることができる。【0043】[実施形態4]次に実施形態4のプリンタについて説明する。通常、発色ドット数が多い通電では、通電時間を長くする必要がある。また、一般的に、1回の通電で発色させるドット数(発熱素子)が多くなるほど、発色に必要な電力(エネルギー)が大きくなる。従って、発色ドット数が少ない通電では、電力(エネルギー)削減のため、通電時間を短くする必要がある。従来のプリンタでは、発色ドット数が度々変更されると、印字品質を一定に保つことができない場合がある。そこで、実施形態4のプリンタであれば、発色ドット数が度々変更される場合であっても、印字品質を一定に保つことができる。【0044】図10に実施形態4のプリンタの処理フローを示す。図10に示す処理フローでは、ステップS2とステップS4との間に、ステップS19が介在され、ステップS10とステップS16との間にステップS20とステップS22が介在される。【0045】ステップS2で、通電時間thが算出されると、通電時間調整部1019は、見込み印字率Q’から、見込み補正値R’を算出する。印字率は、1回の通電ごとに定められるものであり、以下の式(3)により定義される。印字率=1回の通電の発色ドット数/全ドット数(3)【0046】しかし、印字率は、1回の通電ごとに定められるものであるから、通電パターンが定められないと、印字率を求めることができない。【0047】そこで、印字率Qを見込み印字率Q’として見込み補正値R’を算出する。見込み印字率Q’は、各プリンタのデフォルトして定められているものであり、また、ユーザが設定できるようにしてもよい。見込み補正値R’は、図11に示すテーブル表を用いて、求められる。図11に示すテーブル表は、N個(Nは自然数)印字率とN個の補正値をそれぞれ対応付けたものである。図11の例では、印字率Q1と補正値R1とが対応付けられている。図11に示すテーブル表は予め、実験的に作成されるものである。通電時間調整部1019は、図11に示すテーブル表を用いて、定められた見込み印字率Q’と対応する見込み補正率R’を求める。そして、通電時間調整部1019は、求められた見込み補正率R’を、ステップS2で算出された通電時間thに反映させる(ステップS19)。ここで、「通電時間thに、見込み補正率R’を反映させる」とは、「通電時間thに見込み補正率R’を加算することや乗算すること」などである。【0048】そして、ステップS4、S6、S8、S10の処理を行う。印字率算出部1022は、印字率を算出する(ステップS20)。ここで、印字率算出部1022は、1回の通電ごとの発色ドット数を認識している。また、全ドット数とは、発熱素子の総数であり、印字率算出部1022は認識している。従って、印字率算出部1022は、上記式(3)に基づいて、1回の通電ごとの印字率Qを求める。次に、通電時間調整部1019は、算出された印字率Qから、補正値Rを求める。補正値Rを求める手法の一例として、図11に示すテーブル表を用いる。印字率算出部1022が、印字率Qを算出すると、通電時間調整部1019が、図11に示すテーブル表を参照して、該算出された印字率Qに対応する補正値Rを求める。印字率Qが、テーブル表に存在しない場合には、テーブル表にある印字率のうち、最も近い印字率に対応する補正値を算出すればよい。【0049】通電時間調整部1019が、補正値Rを算出すると、通電時間thに、該補正値Rを反映させる(例えば、通電時間thに補正値Rを加算する)ことで、1通電ごとの通電時間thを調整する(ステップS22)。【0050】また、図10の例では、実施形態2の処理フロー(図4参照)に対して、ステップS20、ステップS22を付加した例を説明したが、実施形態3の処理フロー(図8参照)に付加しても良い。また、図10の例では、ステップS10の処理後に、ステップS20、S22を付加しているが、適切に、通電時間を調整できるのであれば、どのタイミングでステップS20、ステップS22の処理を行っても良い。【0051】本実施形態4のプリンタの通電時間調整部1019は、発熱体221~224の発色ドット数に応じて、通電時間算出部1012により算出された通電時間を調整する。従って、印字率の異なる通電においても、各通電ごとに、通電時間を調整することから、印字品質を一定に保つことができる。【0052】また、上記では、実施形態4は、実施形態2のプリンタに適用した例を説明したが、実施形態3のプリンタに適用してもよい。【0053】[実施形態5]次に、実施形態5のプリンタについて説明する。一般的に、印字ラインごとに、通電回数を変動させると、印字ラインごとに、通電タイミングの相違が生じることから、各印字ライン間に白スジが形成される場合がある。そこで、本実施形態5では、この白スジが入らないようにするプリンタを説明する。【0054】図12に、実施形態5のプリンタの処理フローを示し、図13に、本実施形態5のタイムチャートを示す。【0055】図13に示すように、ステップS2、ステップS4、ステップS6の処理後に、オーバーラップ部1020は、オーバーラップさせる際の重複時間tkを求める(ステップS30)。オーバーラップの重複時間tkは、通電時間thと通電時間tmに基づいて求められる。【0056】ここで、重複時間tkとは、以下の式(4)により求める。【0057】tk=(tm-th)/LM(4)【0058】上述の通り、式(4)中のthは、ステップS2で、通電時間算出部1014により求められる通電時間である。tmは、ステップS4で、搬送時間算出部1012により求められる搬送時間である。LMは、ステップS6で、通電回数算出部により求められる最大通電回数である。【0059】また、式(4)中の分子は「tm-th」は、図13に示すように、搬送時間tmと通電時間thとの差分tdである。重複時間tkは、差分tdを最大通電回数LMで除算することで求められる。【0060】次に、候補算出部1021は、求められた重複時間tkについてオーバーラップさせた状態での通電パターンの候補を算出する(ステップS32)。決定部1016は、算出された通電パターンの候補から、設定された通電回数に基づいて、通電パターンを決定する(ステップS10)。そして、オーバーラップ部1020が、各通電が重複時間tk分、オーバーラップがされるように、決定された通電パターンで、通電部1011に対して通電を行わせる(ステップS16)。図13の例では、オーバーラップ部1020は、4回の通電を通電部1011に行わせる。オーバーラップ部1020が、各通電をオーバーラップさせることで、異なる印字ライン間毎の通電タイミングの相違を緩和できる。従って、印字ラインごとに、通電回数が変動されても、白スジがライン間に形成されることなく、印字を行うことができる。【0061】[実施形態6]次に、実施形態6のプリンタについて説明する。通電回数算出部1015で、算出された最大通電回数が1回の場合に、通電時間thが搬送時間tmより大きい場合がある。この場合には、設定された印字速度で印字すると、用紙に適切に印字できなくなる。実施形態6のプリンタでは、この場合であっても、印字速度を変動させることなく、印字することができる。【0062】図14に実施形態6のプリンタの処理フローを示す。図14の処理フローでは、図4の処理フローのステップS4とステップS6との間に、ステップS42、ステップS44を介在させたものである。【0063】ステップS4の処理終了後、第2判定部1026は、通電時間thと搬送時間tmとを比較する(ステップS42)。第2判定部1026が、通電時間th>搬送時間tmと判定した場合には、ステップS44に進む。通電時間th>搬送時間tmということは、通電回数が1回ということである。【0064】ステップS42でYesであると、通電部1011は、通電時間thを搬送時間tmとする(ステップS44)。つまり、通電時間th>搬送時間tmであることから、ステップS44では、通電時間thを搬送時間tmまで減少させることになる。そして、通電部1011は、通電時間th(=tm)で通電を行う(ステップS16)。なお、ステップS42で通電回数は1回であると判定されているため、全ての発熱体221~224に対して、一度に通電する。【0065】また、ステップS42で、th≦tmであると判定されると、ステップS8以降の処理を行う。また、図14では、ステップS44の処理後に、ステップS8に移行しているが、ステップS44の処理後は、ステップS16に移行してもよい。【0066】この実施形態6のように、最大通電回数が1回であり、通電時間th>搬送時間tmであっても、通電時間thを搬送時間tmまで減少させることで、設定された印字速度を維持できる。【0067】[実施形態7]一般的に、印字ラインごとに、通電回数を大きく変動させると、印字品質の低下を招く場合がある。そこで、本実施形態7のプリンタでは、予め、通電回数の最大値Sを定めておく。そして、最大値Sを超えないように、通電回数を調整する。【0068】図15に実施形態7のプリンタの処理フローを示す。図15の処理フローは図4の処理フローのステップS6、ステップS8との間に、ステップS50とステップS60とを介在させたものである。【0069】予め、通電回数Lの最大値SをRAM102に記憶させておく。最大値Sは実験的に求められる。そして、ステップS6での最大通電回数LM算出処理終了後、第3判定部1027は、最大通電回数LMと最大値Sの大小を判定する。第3判定部1027が、最大通電回数LM>最大値Sであると判定すると(ステップS50のYes)、ステップS60に進む。【0070】そして、1ライン通電時間調整部1013は、最大通電回数LMを最大値Sとする(ステップS60)。LM>Sであることから、最大通電回数LMを最大値Sまで減少させる。そして、候補算出部1021が、ステップS8の処理である通電パターンの算出を行う。【0071】また、LM≦Sの場合には、ステップS8に移動し、ステップS8以降の処理を行う。【0072】この実施形態7のプリンタであれば、最大通電回数LMが最大値Sより大である場合には、最大通電回数LMを最大値Sとし、通電パターンの算出を行う。従って、通電回数の大きな変動を防ぐことができ、印字品質の低下を防ぐことができる。【0073】また、上述した各実施形態の構成は、可能な範囲において、組み合わせて実施してもよい。【0074】例えば、図10のステップS20、ステップS22の処理は、図8、図12、図14のステップS10とステップS16との間に介在させてもよい。また、図14のステップS42、S44の処理は、図8、図10のステップS6とステップS8との間に介在させてもよい。また、図15のステップS50とステップS60の処理は、図8、図10のステップS6とステップS8の間に介在させてもよく、図14のステップS6とステップS42との間に介在させてもよい。
A
2012139942
2011000221
20110104
null
null
20120726
2012139942
20120726
null
null
5742226
20150515
null
null
null
ガスバリア性積層体及びその製造方法並びにガスバリア性積層フィルム
000003193
凸版印刷株式会社
100115794
今下 勝博
樫村 雅之,河口 克己
null
8
B32B 27/30 (20060101), B32B 27/00 (20060101)
B32B 27/30 A ,B32B 27/00 B
7
null
null
null
0
24
4F100
4F100 AB01D,4F100 AB18D,4F100 AK01C,4F100 AK01D,4F100 AK25B,4F100 AK41C,4F100 AK51C,4F100 AT00A,4F100 BA04 ,4F100 BA07 ,4F100 BA10A,4F100 BA10D,4F100 CB00 ,4F100 CC00B,4F100 CC00C,4F100 EH46B,4F100 EH46C,4F100 EH46D,4F100 GB15 ,4F100 JD02B,4F100 JD03 ,4F100 YY00
【課題】本発明の目的は、高温高湿処理を施さなくても高いガスバリア性を発揮し、高湿度条件下においても高いガスバリア性を有するガスバリア性積層体及びガスバリア性積層フィルムを提供することである。【解決手段】本発明に係るガスバリア性積層体は、基材の少なくとも片面又はアンカーコート層を設けた基材のアンカーコート層の表面にガスバリア層を備えるガスバリア性積層体であって、ガスバリア層は、ポリカルボン酸系重合体を含有するメインコート層(A)と、レジンコート層(B)と、多価金属化合物、分散剤及びバインダ樹脂を含有する多価金属イオン供給層(C)とを有し、メインコート層(A)と多価金属イオン供給層(C)とがレジンコート層(B)を介して積層されており、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下である。【選択図】図1
【請求項1】基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を備えるガスバリア性積層体であって、前記ガスバリア層は、ポリカルボン酸系重合体を含有するメインコート層(A)と、レジンコート層(B)と、多価金属化合物、分散剤及びバインダ樹脂を含有する多価金属イオン供給層(C)とを有し、前記メインコート層(A)と前記多価金属イオン供給層(C)とが前記レジンコート層(B)を介して積層されており、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下であることを特徴とするガスバリア性積層体。【請求項2】前記レジンコート層(B)を形成するための塗工液及び前記多価金属イオン供給層(C)を形成するための塗工液が、いずれも溶媒又は分散媒として水を含有することを特徴とする請求項1に記載のガスバリア性積層体。【請求項3】前記バインダ樹脂が、前記レジンコート層(B)が含有する樹脂と同一の樹脂であることを特徴とする請求項1又は2に記載のガスバリア性積層体。【請求項4】前記多価金属化合物が、亜鉛、マグネシウム及びカルシウムからなる群から選択される少なくとも1種の化合物であることを特徴とする請求項1~3のいずれか一つに記載のガスバリア性積層体。【請求項5】前記レジンコート層(B)は、ポリエステル系樹脂又はポリウレタン系樹脂の少なくとも1種を含むことを特徴とする請求項1~4のいずれか一つに記載のガスバリア性積層体。【請求項6】基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を備えるガスバリア性積層体の少なくとも片面にラミネート層を設けたガスバリア性積層フィルムであって、前記ガスバリア層は、ポリカルボン酸系重合体を含有するメインコート層(A)と、レジンコート層(B)と、多価金属化合物、分散剤及びバインダ樹脂を含有する多価金属イオン供給層(C)とを有し、前記メインコート層(A)と前記多価金属イオン供給層(C)とが前記レジンコート層(B)を介して積層されており、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下であり、かつ、温度120℃の高圧水蒸気雰囲気中で30分間処理を施した後の同条件で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下であることを特徴とするガスバリア性積層フィルム。【請求項7】基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を形成する工程を有するガスバリア性積層体の製造方法であって、前記ガスバリア層を形成する工程は、ポリカルボン酸系重合体を含有する塗工液を用いてメインコート層(A)を形成する工程1と、樹脂及び水を含有する塗工液を用いてレジンコート層(B)を形成する工程2と、多価金属化合物、分散剤、バインダ樹脂及び水を含有する塗工液を用いて多価金属イオン供給層(C)を形成する工程3とを含むことを特徴とするガスバリア性積層体の製造方法。
【請求項1】基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を備えるガスバリア性積層体であって、前記ガスバリア層は、ポリカルボン酸系重合体を含有するメインコート層(A)と、レジンコート層(B)と、多価金属化合物、分散剤及びバインダ樹脂を含有する多価金属イオン供給層(C)とを有し、前記メインコート層(A)と前記多価金属イオン供給層(C)とが前記レジンコート層(B)を介して積層されており、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下であることを特徴とするガスバリア性積層体。【請求項6】基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を備えるガスバリア性積層体の少なくとも片面にラミネート層を設けたガスバリア性積層フィルムであって、前記ガスバリア層は、ポリカルボン酸系重合体を含有するメインコート層(A)と、レジンコート層(B)と、多価金属化合物、分散剤及びバインダ樹脂を含有する多価金属イオン供給層(C)とを有し、前記メインコート層(A)と前記多価金属イオン供給層(C)とが前記レジンコート層(B)を介して積層されており、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下であり、かつ、温度120℃の高圧水蒸気雰囲気中で30分間処理を施した後の同条件で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下であることを特徴とするガスバリア性積層フィルム。【請求項7】基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を形成する工程を有するガスバリア性積層体の製造方法であって、前記ガスバリア層を形成する工程は、ポリカルボン酸系重合体を含有する塗工液を用いてメインコート層(A)を形成する工程1と、樹脂及び水を含有する塗工液を用いてレジンコート層(B)を形成する工程2と、多価金属化合物、分散剤、バインダ樹脂及び水を含有する塗工液を用いて多価金属イオン供給層(C)を形成する工程3とを含むことを特徴とするガスバリア性積層体の製造方法。
【技術分野】【0001】本発明は、ガスバリア性積層体及びその製造方法に関する。また、ガスバリア性積層体を用いたガスバリア性積層フィルムに関する。
【背景技術】【0002】エチレン‐ビニルアルコール共重合体をはじめとする分子内に親水性の高い高水素結合性基を含有する重合体は、乾燥条件下での酸素などのガスバリア性が高く、包装材料として使用されている。しかし、その親水性の高さゆえ、高湿度条件下では、ガスバリア性が大きく低下するという問題があった。【0003】高湿度条件下において、高いガスバリア性を有する積層体として、基材上にポリアルコール系ポリマー及びポリカルボン酸系ポリマーを含有するガスバリア層(II)と1価又は2価以上の金属化合物を含有する樹脂層(III)とを順に積層して備えるガスバリア性積層体が開示されている(例えば、特許文献1~特許文献3を参照。)。特許文献1~特許文献3に記載のガスバリア性積層体は、熱処理によって、ポリビニルアルコールポリマーとポリカルボン酸系ポリマーとのエステル結合及びポリカルボン酸系ポリマーのカルボキシル基と金属化合物の金属イオンとのイオン架橋が起こり、ガスバリア性を発現するものである。【0004】本出願人は、高湿度雰囲気下においても優れたガスバリア性を有する積層体として、支持体の少なくとも片面にアンカーコート層を介してポリカルボン酸系重合体を含有する層(A)と多価金属化合物を含有する層(B)とイオン化制御樹脂からなる層(C)とを備え、層(A)と層(B)とが層(C)を介して積層されている絞り成形用積層体を開示している(例えば、特許文献4を参照。)。特許文献4に記載の絞り成形用積層体は、ボイル又はレトルト処理などの高温高湿処理を施すことによって、層(B)中の多価金属イオンが層(A)に移動して、多価金属イオンとポリカルボン酸系重合体のカルボキシル基との間にイオン架橋構造が形成されることで、ガスバリア性を発揮するものである。
【発明が解決しようとする課題】【0006】特許文献1~3に記載の積層体は、ガスバリア性を発現するために高温(例えば、200℃程度)の加熱処理工程を必須とするため、処理にコストがかかり、また、使用する包材への熱によるダメージが懸念される。また、ガスバリア性の度合いは、加熱処理の温度及び時間に依存するため、十分なガスバリア性を発現することができないおそれがある。特許文献4に記載の積層体は、高温高湿処理を施すことでガスバリア性を発現するため、熱成形後にボイル又はレトルト処理などの殺菌処理を施す用途に好適であるが、高温高湿処理を施すことなくガスバリア性が求められる一般包装材料用途には適さない。そこで、高温高湿処理を施さなくてもガスバリア性を発揮し、高湿条件下でも高いガスバリア性を保持するガスバリア性積層体が望まれていた。【0007】本発明の目的は、高温高湿処理を施さなくても高いガスバリア性を発揮し、高湿度条件下においても高いガスバリア性を有し、一般包材として好適なガスバリア性積層体及びガスバリア性積層フィルムを提供することである。また、本発明の第二の目的は、高湿度条件下においても高いガスバリア性を有するガスバリア性積層体を、高温高湿処理を施すことなく製造する方法を提供することである。
【課題を解決するための手段】【0008】本発明に係るガスバリア性積層体は、基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を備えるガスバリア性積層体であって、前記ガスバリア層は、ポリカルボン酸系重合体を含有するメインコート層(A)と、レジンコート層(B)と、多価金属化合物、分散剤及びバインダ樹脂を含有する多価金属イオン供給層(C)とを有し、前記メインコート層(A)と前記多価金属イオン供給層(C)とが前記レジンコート層(B)を介して積層されており、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下であることを特徴とする。【0009】本発明に係るガスバリア性積層体では、前記レジンコート層(B)を形成するための塗工液及び前記多価金属イオン供給層(C)を形成するための塗工液が、いずれも溶媒又は分散媒として水を含有することが好ましい。これらの塗工液が水を含有することで、水との親和性を有するレジンコート層(B)を介して多価金属イオンとイオン架橋に必要な水分をメインコート層(A)に移動させることが容易になる。【0010】本発明に係るガスバリア性積層体では、前記バインダ樹脂が、前記レジンコート層(B)が含有する樹脂と同一の樹脂であることが好ましい。これによってレジンコート層(B)と多価金属イオン供給層(C)との接着性をより高めることができる。【0011】本発明に係るガスバリア性積層体では、前記多価金属化合物が、亜鉛、マグネシウム及びカルシウムからなる群から選択される少なくとも1種の化合物であることが好ましい。これによってガスバリア性をより高めることができる。【0012】本発明に係るガスバリア性積層体では、前記レジンコート層(B)は、ポリエステル系樹脂又はポリウレタン系樹脂の少なくとも1種を含む形態を包含する。【0013】本発明に係るガスバリア性積層フィルムは、基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を備えるガスバリア性積層体の少なくとも片面にラミネート層を設けたガスバリア性積層フィルムであって、前記ガスバリア層は、ポリカルボン酸系重合体を含有するメインコート層(A)と、レジンコート層(B)と、多価金属化合物、分散剤及びバインダ樹脂を含有する多価金属イオン供給層(C)とを有し、前記メインコート層(A)と前記多価金属イオン供給層(C)とが前記レジンコート層(B)を介して積層されており、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下であり、かつ、温度120℃の高圧水蒸気雰囲気中で30分間処理を施した後の同条件で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下であることを特徴とする。【0014】本発明に係るガスバリア性積層体の製造方法は、基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を形成する工程を有するガスバリア性積層体の製造方法であって、前記ガスバリア層を形成する工程は、ポリカルボン酸系重合体を含有する塗工液を用いてメインコート層(A)を形成する工程1と、樹脂及び水を含有する塗工液を用いてレジンコート層(B)を形成する工程2と、多価金属化合物、分散剤、バインダ樹脂及び水を含有する塗工液を用いて多価金属イオン供給層(C)を形成する工程3とを含むことを特徴とする。
【発明の効果】【0015】本発明は、高温高湿処理を施さなくても高いガスバリア性を発揮し、高湿度条件下においても高いガスバリア性を有するガスバリア性積層体及びガスバリア性積層フィルムを提供することができる。また、本発明は、高湿度条件下においても高いガスバリア性を有するガスバリア性積層体を、高温高湿処理を施すことなく製造する方法を提供することができる。
【発明を実施するための形態】【0017】次に、本発明について実施形態を示して詳細に説明するが本発明はこれらの記載に限定して解釈されない。本発明の効果を奏する限り、実施形態は種々の変形をしてもよい。【0018】本実施形態に係るガスバリア性積層体は、基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を備えるガスバリア性積層体であって、ガスバリア層は、ポリカルボン酸系重合体を含有するメインコート層(A)と、レジンコート層(B)と、多価金属化合物、分散剤及びバインダ樹脂を含有する多価金属イオン供給層(C)とを有し、メインコート層(A)と多価金属イオン供給層(C)とがレジンコート層(B)を介して積層されており、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下である。【0019】メインコート層(A)上に直接多価金属イオン供給層(C)を形成する場合、その形成過程において多価金属イオンは多量の水分とともにメインコート層(A)と接する。このため、水によってポリカルボン酸系重合体は膨潤し、分子鎖が拡がった状態になるので緻密なイオン架橋構造は生成されず、高いガスバリア性は得られなかった。そこで、高いガスバリア性を発現させるためには多価金属イオンをメインコート層(A)に供給し、緻密なイオン架橋構造を生成するための後処理として高温高湿処理などを施すことで、水によるポリカルボン酸系重合体の膨潤よりも速くイオン架橋体を形成する必要があった。本実施形態に係るガスバリア性積層体では、多価金属イオンとイオン架橋に必要な水分とだけをメインコート層(A)に移動させることが可能なレジンコート層(B)をメインコート層(A)と多価金属イオン供給層(C)との間に配置した。これによって、高温高湿処理を施さなくてもガスバリア性を発揮することができる。その理由は定かではないが、単位体積当たりのカルボキシル基と多価金属イオンとによるイオン架橋の程度がレジンコート層(B)を介さない場合よりも大きくなるためと思料する。【0020】基材は、後述するアンカーコート層、メインコート層(A)、レジンコート層(B)及び多価金属イオン供給層(C)を積層させるための支持体となる。基材の材料は、特に限定されないが、例えば、低密度ポリエチレン(LDPE)、高密度ポリエチレン(HDPE)、直鎖状低密度ポリエチレン(LLDPE)、ポリプロピレン(PP)、ポリ4‐メチルペンテン、環状ポリオレフィンなどのポリオレフィン系重合体又はそれらの共重合体及びその酸変性物;ポリ酢酸ビニル、エチレン‐酢酸ビニル共重合体(EVA)、エチレン‐酢酸ビニル共重合体ケン化物、エチレン‐ビニルアルコール共重合体(EVOH)、ポリビニルアルコール(PVA)などの酢酸ビニル系共重合体;ポリエチレンテレフタレート(PET)、ポリブチレンテレフタレート(PBT)、ポリエチレンナフタレート、ポリε‐カプロラクトン、ポリヒドロキシブチレート、ポリヒドロキシバリレートなどのポリエステル系重合体又はその共重合体;ナイロン6、ナイロン66、ナイロン12、ナイロン6,66共重合体、ナイロン6,12共重合体、メタキシレンアジパミド・ナイロン6共重合体などのポリアミド系重合体又はその共重合体(Ny);ポリエチレングリコール、ポリエーテルスルフォン、ポリフェニレンサルファイド、ポリフェニレンオキサイドなどのポリエーテル系重合体;ポリ塩化ビニル(PVD)、ポリ塩化ビニリデン(PVDC)、ポリフッ化ビニル、ポリフッ化ビニリデンなどの塩素系及びフッ素系重合体又はその共重合体;ポリメチルアクリレート、ポリエチルアクリレート、ポリメチルメタクリレート、ポリエチルメタクリレート、ポリアクリロニトリルなどのアクリル系重合体又はその共重合体;ポリイミド系重合体又はその共重合体;アルキッド樹脂、メラミン樹脂、アクリル樹脂、硝化綿、ウレタン樹脂、ポリエステル樹脂、フェノール樹脂、アミノ樹脂、フッ素樹脂、エポキシ樹脂などの塗料用樹脂;セルロース、澱粉、プルラン、キチン、キトサン、グルコマンナン、アガロース、ゼラチンなどの天然高分子化合物、紙である。これらの中でも、包装材料として使用するという観点から、ポリエステル系重合体、ポリアミド系重合体、ポリオレフィン系重合体が好ましい。なお、基材は、単層、又は2層以上を積層した多層とすることができる。【0021】基材の形態としては、例えば、延伸若しくは未延伸のフィルム又はシート、ボトル、カップ、トレーである。基材の厚さは、5~500μmの範囲であることが包装材料として好ましい。より好ましくは、10~300μmの範囲である。5μm未満では、基材の強度が不足して、塗工適性、ラミネート適性などの加工適性が劣る場合がある。500μmを超えると、基材の剛性が高すぎて、二次加工、内容物の充填におけるハンドリング性が劣る場合がある。なお、本発明は、基材の形態及び厚さに制限されない。【0022】基材は、その表面上に、酸化珪素、酸化アルミニウム、アルミニウム、窒化珪素などの無機化合物からなる薄膜を形成したものを用いることができる。薄膜の形成方法は、公知の方法であり、例えば、蒸着法、スパッタリング法、イオンプレーディング法である。【0023】アンカーコート層は、基材と後述するガスバリア層との層間接着強度を高めることを目的として基材の少なくとも片面に形成する層である。なお、本実施形態において、アンカーコート層の形成は任意である。【0024】アンカーコート層は、アンカーコート剤を含有する。アンカーコート剤は、例えば、ポリエステル系ポリウレタン樹脂、ポリエーテル系ポリウレタン樹脂である。この中で、耐熱性及び層間接着強度の観点から、ポリエステル系ポリウレタン樹脂がより好ましい。ポリエステル系ポリウレタン樹脂は、例えば、ポリエステル系ポリオールとイソシアネート化合物との反応で得られる樹脂である。【0025】アンカーコート層の厚さは、特に限定されないが、0.03~1μmの範囲であることが好ましい。より好ましくは、0.05~0.5μmの範囲であり、特に好ましくは、0.1~0.3μmの範囲である。0.05μm未満では、層間接着強度が不足する場合がある。また、1μmを超えると、ガスバリア性が不足する場合がある。【0026】本実施形態に係るガスバリア性積層体は、ガスバリア層として、ポリカルボン酸系重合体を含有するメインコート層(A)と、レジンコート層(B)と、多価金属化合物、分散剤及びバインダ樹脂を含有する多価金属イオン供給層(C)とを有する層を備える。さらに、メインコート層(A)と多価金属イオン供給層(C)とがレジンコート層(B)を介して積層されている。【0027】メインコート層(A)は、ポリカルボン酸系重合体を含有する。メインコート層(A)は、基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面に形成される。【0028】ポリカルボン酸系重合体は、分子内に2個以上のカルボキシル基を有する重合体である。具体的には、重合性単量体としてα,β‐モノエチレン性不飽和カルボン酸のうちの1種が重合した重合体(以降、単独重合体ということもある。)、α,β‐モノエチレン性不飽和カルボン酸のうちの少なくとも2種が重合した共重合体、α,β‐モノエチレン性不飽和カルボン酸とその他のエチレン性不飽和単量体との共重合体、アルギン酸、カルボキシメチルセルロース、ペクチンなどの分子内にカルボキシル基を有する酸性多糖類を例示することができる。これらのポリカルボン酸系重合体は、単独で、又は2種以上を混合して用いることができる。【0029】α,β-モノエチレン性不飽和カルボン酸としては、例えば、アクリル酸、メタクリル酸、イタコン酸、無水イタコン酸、マレイン酸、無水マレイン酸、フマル酸、無水フマル酸、クロトン酸、無水クロトン酸である。また、その他のエチレン性不飽和単量体としては、例えば、エチレン、プロピレン、酢酸ビニルなどの飽和カルボン酸ビニルエステル類、アルキルアクリレート類、アルキルメタクリレート類、アルキルイタコネート類、アクリロニトリル、塩化ビニル、塩化ビニリデン、フッ化ビニル、フッ化ビニリデン、スチレンである。【0030】ポリカルボン酸系重合体が、α,β‐モノエチレン性不飽和カルボン酸とその他のエチレン性不飽和単量体との共重合体である場合には、マレイン酸とエチレンとの共重合体であるエチレン‐マレイン酸共重合体であることがより好ましい。また、これらのポリカルボン酸系重合体が、α,β‐モノエチレン性不飽和カルボン酸と酢酸ビニルなどの飽和カルボン酸ビニルエステル類との共重合体の場合には、更にケン化することにより、飽和カルボン酸ビニルエステル部分をビニルアルコールに変換して使用することができる。【0031】また、ポリカルボン酸系重合体が、α,β‐モノエチレン性不飽和カルボン酸とその他のエチレン性不飽和単量体との共重合体である場合には、得られるガスバリア層のガスバリア性及び高温水蒸気や熱水に対する耐性の向上という観点から、その共重合組成はα,β‐モノエチレン性不飽和カルボン酸単量体組成が、好ましくは60モル%以上であり、より好ましくは80モル%以上であり、更に好ましくは90モル%以上であり、最も好ましくは100モル%である。このように、ポリカルボン酸系重合体としては、α,β‐モノエチレン性不飽和カルボン酸のみからなる重合体を用いることが好ましい。さらに、これらのポリカルボン酸系重合体がα,β‐モノエチレン性不飽和カルボン酸のみからなる重合体の場合には、その好適な具体例は、アクリル酸、メタクリル酸、イタコン酸、マレイン酸、フマル酸、クロトン酸からなる群から選ばれる少なくとも1種の重合性単量体の重合によって得られる重合体、及びそれらの混合物が挙げられる。そして、このようなα,β‐モノエチレン性不飽和カルボン酸のみからなる重合体の中でも、アクリル酸、メタクリル酸、マレイン酸から選ばれる少なくとも1種の重合性単量体の重合によって得られる重合体又はそれらの混合物を用いることがより好ましく、ポリアクリル酸、ポリメタクリル酸、ポリマレイン酸、及びそれらの混合物を用いることが特に好ましい。また、これらのポリカルボン酸系重合体がα,β‐モノエチレン性不飽和カルボン酸単量体の重合体以外の例えば、酸性多糖類の場合には、アルギン酸を好ましく用いることができる。【0032】本実施形態では、ポリカルボン酸系重合体は、1価又は2価の金属化合物で部分的に中和されている形態を包含する。このような金属化合物に含有される金属としては、例えば、ナトリウム、カルシウム、亜鉛が挙げられる。そして、このような金属化合物としては、例えば、水酸化ナトリウム、水酸化カルシウム、酸化カルシウム、酸化亜鉛が挙げられる。金属化合物の添加量は、特に限定されないが、ポリカルボン酸系重合体のカルボキシル基の含有量に対して0.3化学当量以下であることが好ましい。【0033】ポリカルボン酸系重合体の重量平均分子量は、特に限定されないが、有機薄膜の形成性の観点から、2,000~1,000,000の範囲であることが好ましい。より好ましくは、5,000~500,000の範囲である。【0034】メインコート層(A)の厚さは、0.03~2μmの範囲であることが好ましい。より好ましくは、0.05~1μmの範囲であり、特に好ましくは、0.1~0.5μmの範囲である。0.03μm未満では、成膜が困難となる場合がある。2μmを超えると、ポリカルボン酸系重合体のカルボキシル基と多価金属イオンとのイオン架橋反応が厚さ方向に均一に進行しにくいため、ガスバリア性が不十分となる場合がある。【0035】レジンコート層(B)は、メインコート層(A)と後述する多価金属イオン供給層(C)との間に配置される層であり、多価金属イオンとイオン架橋に必要な水分とだけをメインコート層(A)に移動させることが可能な樹脂を含む。これによって、高温高湿処理を施さなくてもガスバリア性を発揮することができる。その理由は定かではないが、単位体積当たりのカルボキシル基と多価金属イオンとによるイオン架橋の程度がレジンコート層(B)を介さない場合よりも大きくなるためと思料する。レジンコート層(B)は、多価金属イオン及びそのキャリアとして必要な水をメインコート層(A)まで移動させることが可能な樹脂を含有する層である。こうした樹脂としては、例えば、ポリエステル系樹脂、ポリウレタン系樹脂、ポリエーテル系樹脂、エポキシ系樹脂、アクリル系樹脂、ポリエチレンイミン系樹脂である。特にポリエステル系樹脂又はポリウレタン系樹脂の少なくとも1種を含むことが好ましい。なお、前記したアンカーコート層を構成するアンカーコート剤と同一の樹脂であってもよい。【0036】レジンコート層(B)の厚さは、0.03~0.5μmの範囲であることが好ましい。より好ましくは、0.05~0.3μmである。0.1μm未満では、多価金属イオン供給層(C)に含まれる多価金属化合物の粒径を下回る場合があり、多価金属イオン供給層(C)に含まれる多価金属化合物がレジンコート層(B)内に収まらず、メインコート層(A)に接触してしまう。0.5μmを超えると、多価金属イオンがメインコート層(A)に到達しにくくなり、高温高湿処理を施さなくてはガスバリア性を発現できない場合がある。【0037】多価金属イオン供給層(C)は、レジンコート層(B)のメインコート層(A)を形成する面と反対側に形成され、多価金属化合物、分散剤及びバインダ樹脂を含有する層である。この多価金属イオンの一部又は全部が、メインコート層(A)のポリカルボン酸系重合体のカルボキシル基とイオン架橋構造を形成することで、高湿度雰囲気下においても優れたガスバリア性を発揮する。【0038】多価金属化合物は、価数が2以上の金属イオンを解離することができる化合物である。2価以上の金属は、例えば、ベリリウム、マグネシウム、カルシウムなどのアルカリ土類金属;チタン、ジルコニウム、クロム、マンガン、鉄、コバルト、ニッケル、銅、亜鉛などの遷移金属;アルミニウムである。多価金属化合物は、前記した2価以上の金属の酸化物、水酸化物、炭酸塩、有機酸塩、無機酸塩、アルキルアルコキシド、アンモニウム錯体、2~4級アミン錯体又はそれら錯体の炭酸塩若しくは有機酸塩である。さらに、金属単体を包含する。有機酸塩は、例えば、酢酸塩、シュウ酸塩、クエン酸塩、乳酸塩、リン酸塩、亜リン酸塩、次亜リン酸塩、ステアリン酸塩、モノエチレン性不飽和カルボン酸塩である。無機酸塩は、例えば、塩化物、硫酸塩、硝酸塩である。多価金属化合物は、1種を単独で、又は2種以上を混合して用いることができる。【0039】多価金属化合物は、ガスバリア性を高めることができる点で、2価の金属化合物が好ましい。さらには、多価金属化合物が、亜鉛、マグネシウム及びカルシウムからなる群から選択される少なくとも1種の化合物であることが好ましい。【0040】多価金属イオン供給層(C)には、本発明の効果を損なわない限りにおいて、1価の金属を含有する金属化合物を配合することができる。1価の金属は、例えば、リチウム、ナトリウム、カリウム、ルビジウム、セシウムである。【0041】多価金属化合物は、ガスバリア性積層体の透明性の点で、粒状で、平均粒径が0.5μm以下であることが好ましい。より好ましくは、0.3μm以下であり、特に好ましくは、0.1μm以下である。【0042】多価金属化合物の配合量は、ポリカルボン酸系重合体のカルボキシル基の化学当量1に対して、0.2化学当量以上であることが好ましい。0.2化学当量未満では、ガスバリア性が劣る場合がある。ポリカルボン酸系重合体のカルボキシル基の化学当量1に対する多価金属化合物の配合量の上限値は、30化学当量とすることが好ましい。30化学当量を超えると、積層体の透明性が劣る場合がある。ポリカルボン酸系重合体のカルボキシル基の化学当量1に対する多価金属化合物の配合量は、より好ましくは、0.5~10化学当量の範囲である。特に好ましくは、0.8~5.0化学当量の範囲である。この範囲とすることで、ガスバリア性に加えて、より透明性に優れた積層体を得ることができる。【0043】分散剤は、例えば、ポリアクリル酸ナトリウム塩、ポリマレイン酸ナトリウム塩、アクリル酸・マレイン酸共重合体ナトリウム塩である。分散剤の配合量は、特に限定されないが、多価金属化合物100質量部に対して、1~20質量部の範囲とすることが好ましい。より好ましくは、2~10質量部の範囲である。【0044】バインダ樹脂は、例えば、熱可塑性樹脂、熱硬化性樹脂であり、塗料用に用いられる樹脂が好適である。このような樹脂は、例えば、アルキッド樹脂、アミノアルキッド樹脂、メラミン樹脂、アクリル樹脂、硝化綿、ポリウレタン樹脂、ポリエステル樹脂、ポリエーテル樹脂、フェノール樹脂、ポリエチレンイミン樹脂、アミノ樹脂、フッ素樹脂、シリコーン樹脂、エポキシ樹脂、ビニル樹脂、セルロース系樹脂、天然樹脂である。この中で、ポリエステル系樹脂又はポリウレタン系樹脂の少なくとも1種を含むことが好ましい。また、本実施形態に係るガスバリア性積層体では、バインダ樹脂が、レジンコート層(B)が含有する樹脂と同一の樹脂であることが好ましい。これによって、レジンコート層(B)と多価金属イオン供給層(C)との接着性をより高めることができる。【0045】なお、多価金属イオン供給層(C)には、多価金属化合物、バインダ樹脂及び分散剤の他に必要に応じて硬化剤を含有していてもよい。硬化剤としては、メラミン樹脂、ポリイソシアネート、ポリアミンなどの公知の樹脂を挙げることができる。【0046】多価金属化合物とバインダ樹脂との質量比(多価金属化合物/バインダ樹脂)が、65/35~92/8の範囲であることが好ましい。より好ましくは、70/30~92/8の範囲である。多価金属化合物とバインダ樹脂との合計質量100質量部に対して、多価金属化合物の質量が65質量部未満では、ガスバリア性が不十分となる場合がある。多価金属化合物とバインダ樹脂との合計質量100質量部に対して、多価金属化合物の質量が92質量部を超えると、多価金属イオン供給層(C)の塗膜強度が乏しくなり、多価金属化合物が剥がれ落ちやすくなる。【0047】多価金属イオン供給層(C)の厚さは、0.05~5μmの範囲であることが好ましい。より好ましくは、0.1~3μmの範囲であり、特に好ましくは、0.2~1μmの範囲である。0.05μm未満では、ガスバリア性が不十分となる場合がある。他方、5μmを超えると多価金属イオン供給層(C)にクラックが生じやすくなる。【0048】メインコート層(A)、レジンコート層(B)及び多価金属イオン供給層(C)には、本発明の効果を損なわない限りにおいて、可塑剤、分散剤、界面活性剤、柔軟剤、安定剤、膜形成剤、アンチブロッキング剤、粘着剤、フィラー、顔料などの各種助剤を配合することができる。【0049】可塑剤は、例えば、ポリアルコールである。ポリアルコールは、1分子中にアルコール性の官能基(‐OH)を複数有する化合物であり、例えば、エチレングリコール、トリメチレングリコール、プロピレングリコール、テトラメチレングリコール、1,3‐ブタンジオール、2,3‐ブタンジオール、ペンタメチレングリコール、へキサメチレングリコール、ジエチレングリコール、トリエチレングリコール、ポリエチレングリコール、ポリエチレンオキサイドなどのグリコール類;ソルビトール、マンニトール、ズルシトール、エリトリトール、グリセリン、乳酸、脂肪酸である。これらは、1種又は2種以上を混合して用いることができる。ポリカルボン酸系重合体と可塑剤との合計質量を100質量部に対して、可塑剤の配合量は、30質量部以下とすることが好ましい。より好ましくは、20質量部以下であり、特に好ましくは、15質量部以下である。【0050】本実施形態に係るガスバリア性積層体は、高温高湿処理を施さなくても、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下である。他方、特許文献4に記載の積層体は、高温高湿処理前には、イオン架橋反応が抑制されているため、この条件を満たさない。【0051】本実施形態に係るガスバリア性積層体では、レジンコート層(B)を形成するための塗工液及び多価金属イオン供給層(C)を形成するための塗工液が、いずれも溶媒又は分散媒として水を含有することが好ましい。これによって、加熱処理、調湿処理などガスバリア性を発現させるための特別な処理を施さなくても、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下という、優れたガスバリア性を発現する。【0052】この理由は、必ずしも定かではないが、本発明者らは次のように推察する。すなわち、レジンコート層(B)を形成するための塗工液及び多価金属イオン供給層(C)を形成するための塗工液がいずれも水を含有することで、多価金属イオン供給層(C)の形成過程において、多価金属イオンがイオン架橋に必要な水分とともに、先に形成された水との親和性を有するレジンコート層(B)中を移動可能となる。これによって、メインコート層(A)の膨潤を引き起こすことなくイオン架橋するため、単位体積当たりのカルボキシル基と多価金属イオンとによるイオン架橋の程度はレジンコート層(B)を介さない場合よりも大きくなり、高温高湿処理を施さなくてもガスバリア性を発揮することができる。なお、このイオン架橋反応は、特に高湿度雰囲気下で助長されるため、高湿度雰囲気下においても、優れたガスバリア性を保持することができる。また、高温高湿度処理を施すことで、未反応のカルボキシル基と多価金属イオンとが多価金属イオン供給層(C)からの多価金属イオンの再供給によりイオン架橋し、更にガスバリア性が高まると考える。【0053】他方、レジンコート層(B)を形成するための塗工液若しくは多価金属イオン供給層(C)を形成するための塗工液の少なくとも一方又は両方が水を含有しない場合には、高温高湿処理を施さなくては、ガスバリア性を発揮することができない。この理由は、必ずしも定かではないが、レジンコート層(B)を形成するための塗工液が水を含有しない場合には、形成されたレジンコート層(B)が水との親和性に欠け、多価金属イオンとイオン架橋に必要な水分とがメインコート層(A)まで到達することができないためと考える。また、多価金属イオン供給層(C)を形成するための塗工液が水を含有しない場合には、イオン架橋に必要な水分がなく、多価金属イオンがレジンコート層(B)内を移動しないためと考える。いずれの場合でも特許文献4に記載した積層体のように、レジンコート層(B)によって、多価金属イオン供給層(C)からの多価金属イオンの供給が抑制され、多価金属イオンとポリカルボン酸系重合体のカルボキシル基との間のイオン架橋反応の抑制状態が維持されることとなる。【0054】また、メインコート層(A)と多価金属イオン供給層(C)との間にレジンコート層(B)を形成せず、メインコート層(A)上に直接多価金属イオン供給層(C)を形成する場合、又は多価金属イオン供給層(C)上に直接メインコート層(A)を形成する場合、その形成過程において多価金属イオンは多量の水分とともにメインコート層(A)と接する。このため、水によってポリカルボン酸系重合体が膨潤し、分子鎖が拡がった状態になるので緻密なイオン架橋構造は生成されず、高いガスバリア性は得られなかった。そこで、高いガスバリア性を発現させるためには多価金属イオンをメインコート層(A)に供給し、緻密なイオン架橋構造を生成するための後処理として高温高湿処理などを施すことで、水によるポリカルボン酸系重合体の膨潤よりも速くイオン架橋体を形成する必要があった。ここで後処理とは、具体的には長時間の高湿度処理(例えば、温度20℃及び雰囲気湿度80%RHで、60時間)又は高温高湿処理(例えば、温度90℃の熱水に30分間浸漬若しくは温度120℃の加圧水蒸気下で40分間)などである。【0055】ここで、ガスバリア層の積層態様の例を次に示す。1.(A)/(B)/(C)2.(C)/(B)/(A)3.(A)/(B)/(C)/(B)/(A)4.(C)/(B)/(A)/(B)/(C)5.(A)/(B)/(C)/(B)/(A)/(B)/(C)このように、本実施形態では、ガスバリア層の積層態様は、メインコート層(A)と多価金属イオン供給層(C)との間にレジンコート層(B)を設ければよく、前記した態様例は、あくまでも例示であって、本発明に係るガスバリア性積層体はこれらのみに限定されるものではない。【0056】次に、本実施形態に係るガスバリア性積層フィルムについて説明する。本実施形態に係るガスバリア性積層フィルムは、基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を備えるガスバリア性積層体の少なくとも片面にラミネート層を設けたガスバリア性積層フィルムであって、ガスバリア層は、ポリカルボン酸系重合体を含有するメインコート層(A)と、レジンコート層(B)と、多価金属化合物、分散剤及びバインダ樹脂を含有する多価金属イオン供給層(C)とを有し、メインコート層(A)と多価金属イオン供給層(C)とがレジンコート層(B)を介して積層されており、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下であり、かつ、温度120℃の高圧水蒸気雰囲気中で30分間処理を施した後の同条件で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下である。ここで、温度120℃の高圧水蒸気雰囲気中で30分間処理は、レトルト処理とも呼ばれ、貯湯式のレトルト処理機などの圧力容器で行うことができる。【0057】本実施形態に係るガスバリア性積層フィルムは、本実施形態に係るガスバリア性積層体とラミネート層とを有する。ラミネート層は、ガスバリア性積層体の耐磨耗性付与、光沢性付与、ヒートシール性付与、強度付与、防湿性付与など各種目的に合わせて、ガスバリア性積層体の表面に配置される。ガスバリア性積層体とラミネート層との配置態様の例としては、例えば、ガスバリア性積層体のガスバリア層の上にラミネート層を配置する形態、ガスバリア性積層体を設けた面とは反対側の基材上にラミネート層を配置する形態、ガスバリア性積層体の両面上にラミネート層を配置する形態である。ガスバリア層を保護することができる点で、少なくともガスバリア層上にラミネート層を配置することが好ましい。ラミネート層の材料は、前記した基材の材料と同様である。また、ラミネート層は、1層又は2層以上設けることができる。【0058】ラミネート層の厚さは、1~1000μmの範囲であることが好ましい。より好ましくは、5~500μmの範囲であり、特に好ましくは、10~300μmである。最も好ましくは、15~200μmである。1μm未満では、ラミネート層を付与する効果が得られない場合がある。1000μmを超えると、剛性が高すぎて、二次加工、内容物の充填におけるハンドリング性が劣る場合がある。なお、本発明は、ラミネート層の材料及び厚さに制限されない。【0059】本実施形態に係るガスバリア性積層フィルムは、温度20℃及び相対湿度80%の条件下で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下であり、かつ、温度120℃の高圧水蒸気雰囲気中で30分間処理を施した後の同条件で測定した酸素ガス透過度が、10cm3(STP)/(m2・day・MPa)以下である。ガスバリア性積層体にラミネート層を設けても、前述のイオン架橋反応が起こることによって、高温高湿処理前でも高いガスバリア性を有する。さらに、温度120℃の高圧水蒸気雰囲気中で30分間処理を施した後は、イオン架橋反応が進行してガスバリア性をより高めることができる。他方、特許文献4に記載の積層体にラミネート層を設けた積層フィルムでは、レジンコート層(B)がイオン架橋反応を制御しているため、高温高湿処理前は、ガスバリア性が低いが、高温高湿処理を施すことで、初めてガスバリア性を発揮する。このように、本実施形態に係るガスバリア性積層フィルムは、本実施形態に係るガスバリア性積層体を有するため、ガスバリア性積層体の機能によって、加熱処理、調湿処理などガスバリア性を発現させるための特別な処理を施さなくても、高湿度雰囲気下における優れたガスバリア性を有し、更に、高温高湿処理を施してもそのバリア性が低下することがない。【0060】本実施形態に係るガスバリア性積層フィルムは、ガスバリア性包装容器の包装材料として用いることができる。充填機械適性及び生産性の点から、ラミネート層のうち、ガスバリア性積層体と反対側の表面が、ヒートシール性を有する材料であることが好ましい。ガスバリア性積層フィルムの具体的な積層態様の例としては、例えば、ガスバリア性積層体(基材/ガスバリア層)/ONy/CPP、ガスバリア性積層体(基材/ガスバリア層)/CPP、ガスバリア性積層体(基材/ガスバリア層)/LLDPE、PE/紙/PE/ガスバリア性積層体(ガスバリア層/基材)/PEである。ここで、ONyは、二軸延伸ナイロンであり、CPPは、無延伸ポリプロピレンである。ただし、これらはあくまでも例示であって、本発明に係るガスバリア性積層体はこれらのみに限定されるものではない。【0061】ガスバリア性包装容器の形態は、例えば、平パウチ、スタンディングパウチ、ノズル付きパウチ、ピロー包装袋、ガゼット包装袋などの包装袋、ボトル、トレー、カップ及びチューブ並びにそれらの蓋体又は口部である。被包装物は、特に限定されないが、酸素などの影響を受けて劣化しやすい物品である場合に有用である。被包装物は、例えば、食品、飲料、薬品、医薬品、電子部品、金属である。また、ボイル又はレトルト殺菌などの高温高湿処理を施す物品の包装材料として用いることができる。このような物品は、例えば、カレー、シチュー、パスタソースなどの調味食品、めんつゆ、各種たれなどの調味料、ベビーフード、米飯、おかゆ、スープ、野菜、穀物、果物などのボイル又は電子レンジ加熱用調理済み又は半調理済み食品、ソーセージ、ハムなどの畜産加工品である。【0062】次に、本実施形態に係るガスバリア性積層体の製造方法について説明する。【0063】本実施形態に係るガスバリア性積層体の製造方法は、基材の少なくとも片面又はアンカーコート層を設けた基材の該アンカーコート層の表面にガスバリア層を形成する工程を有するガスバリア性積層体の製造方法である。【0064】アンカーコート層は、前述したアンカーコート層を形成する材料を溶媒に溶解又は分散媒に分散して、適当な固形分濃度に調製したアンカーコート層形成用の塗工液を基材の少なくとも片面上に塗工し、乾燥することで形成することができる。溶媒又は分散媒は、特に限定されないが、例えば、水、メチルアルコール、エチルアルコール、イソプロピルアルコール、n‐プロピルアルコール、n‐ブチルアルコール、n‐ペンチルアルコール、ジメチルスルフォキシド、ジメチルフォルムアミド、ジメチルアセトアミド、トルエン、ヘキサン、ヘプタン、シクロヘキサン、アセトン、メチルエチルケトン、ジエチルエーテル、ジオキサン、テトラヒドロフラン、酢酸エチル、酢酸ブチルである。溶媒又は分散媒は、単独で、又は2種以上を混合して使用することができる。アンカーコート層形成用の塗工液の固形分濃度は、塗工適性の観点から、1~50質量%の範囲であることが好ましく、2~30質量%の範囲であることがより好ましい。【0065】アンカーコート形成用の塗工液の塗工方法は、特に限定されず、公知の塗工方法が使用できる。公知の塗工方法は、例えば、浸漬法(ディッピング法)、スプレー吹付法、コーター法、刷毛塗り法、ローラー塗り法である。膜厚を制御しやすい点で、コーター法がより好ましい。コーター法は、例えば、ダイレクトグラビア方式、リバースグラビア方式、キスリバースグラビア方式、オフセットグラビア方式などのグラビアコーター、リバースロールコーター、マイクログラビアコーター、エアナイフコーター、ディップコーター、バーコーター、コンマコーター、ダイコーターである。【0066】塗工液の乾燥方法は、特に限定されず、例えば、自然乾燥による方法、所定の温度に設定したオーブン中で乾燥させる方法、前記したコーター付属の乾燥機で乾燥させる方法である。コーター付属の乾燥機は、例えば、アーチドライヤー、フローティングドライヤー、ドラムドライヤー、赤外線ドライヤーである。乾燥温度は、溶媒の種類、塗工量、乾燥方法などの各種条件に応じて適宜設定するものであり、一概にはいえないが、50~200℃であることが好ましい。より好ましくは、80~150℃である。乾燥時間は、特に限定されないが、5分以下とすることが好ましい。より好ましくは、2分以下であり、特に好ましくは、1分以下である。例えば、オーブン中で乾燥させる方法においては、温度60~120℃にて、1秒間~5分間程度乾燥することが好ましい。【0067】次に、ガスバリア層を形成する工程について説明する。ガスバリア層を形成する工程は、ポリカルボン酸系重合体を含有する塗工液を用いてメインコート層(A)を形成する工程1と、樹脂及び水を含有する塗工液を用いてレジンコート層(B)を形成する工程2と、多価金属化合物、分散剤、バインダ樹脂及び水を含有する塗工液を用いて多価金属イオン供給層(C)を形成する工程3とを含む。【0068】ここで、本実施形態に係るガスバリア性積層体の製造方法は、好ましくは工程1と工程2と工程3とを順次行う工程流れIで行われるが、工程3と工程2と工程1とを順次行う工程流れIIで行うことも可能である。この場合、工程流れIIでもガスバリア性は得られ、その理由は定かではないが、以下のように推測する。すなわち、工程流れIIでは、レジンコート層(B)上にメインコート層(A)を形成することになり、その形成過程において該塗工液に含まれる水がレジンコート層(B)を経てさらにその下に形成されている多価金属イオン供給層(C)に至り、多価金属イオンを溶出させる。こうして溶出された多価金属イオンがレジンコート層(B)を通過してメインコート層(A)に到達することでイオン架橋し、ガスバリアが発現すると考える。ただし、メインコート層(A)の形成過程において、ポリカルボン酸系重合体が水に溶解した状態(分子鎖が拡がった状態)でレジンコート層(B)から多価金属イオンが到達した場合、緻密なイオン架橋構造は生成されず、高いガスバリア性は得られない。そこで、工程流れIIでは、乾燥によってメインコート層(A)を形成するのに要する時間(以降、A層形成時間という。)が、多価金属イオンがメインコート層(A)に到達するのにかかる時間(以降、イオン移動時間という。)よりも短いことが好ましい。例えば、メインコート層(A)形成用塗工液に用いる溶媒又は分散媒として水とアルコールなどの親水性有機溶媒との混合液を用いて、A層形成時間を短縮する、レジンコート層(B)の厚さを多価金属イオンがメインコート層(A)に到達可能な範囲内で厚くして、イオン移動時間を延長するなどの手法で、イオン移動時間とA層形成時間とを調整することができる。なお、本発明は、当該調整手法に制限されない。【0069】工程1は、メインコート層(A)を形成する工程である。メインコート層(A)は、前述したメインコート層(A)を形成する材料を溶媒に溶解又は分散媒に分散して、適当な固形分濃度に調製したメインコート層(A)形成用の塗工液を基材の表面又はアンカーコート層を形成した基材のアンカーコート層上に塗工し、乾燥することで形成することができる。【0070】メインコート層(A)形成用塗工液に用いる溶媒又は分散媒は、特に限定されず、アンカーコート層形成用の塗工液で例示したものを使用できる。ただし、工程流れIIである場合には、メインコート層(A)形成用塗工液に用いる溶媒又は分散媒は、水を含有することが好ましい。また、塗工方法、乾燥方法及び乾燥条件は、アンカーコート層形成用の塗工液で例示した方法及び条件を使用できる。【0071】メインコート層(A)形成用の塗工液の固形分濃度は、塗工適性の観点から、1~50質量%の範囲であることが好ましく、2~30質量%の範囲であることがより好ましい。また、メインコート層(A)形成用の塗工液の塗工量は、所望する層の厚さによって異なるが、例えば、塗工液を塗工して乾燥した後の1m2あたりの質量が0.03~2g/m2の範囲であることが好ましい。より好ましくは、0.05~1g/m2の範囲であることがより好ましい。0.03g/m2未満では、成膜が不十分となる場合がある。2g/m2を超えると、溶媒又は分散媒が残留しやすくなる傾向がある。また、溶媒又は分散媒を揮発させるのに時間がかかり、不経済である。【0072】工程2は、レジンコート層(B)を形成する工程である。レジンコート層(B)は、前述したレジンコート層(B)を形成する材料を溶媒に溶解又は分散媒に分散して、適当な固形分濃度に調製したレジンコート層(B)形成用の塗工液をメインコート層(A)上に塗工し、乾燥することで形成することができる。【0073】レジンコート層(B)形成用塗工液に用いる溶媒又は分散媒は、水を含有することが好ましい。また、塗工方法、乾燥方法及び乾燥条件は、アンカーコート層形成用の塗工液で例示した方法及び条件を使用できる。【0074】レジンコート層(B)形成用の塗工液の固形分濃度は、塗工適性の観点から、1~50質量%の範囲であることが好ましく、2~30質量%の範囲であることがより好ましい。また、レジンコート層(B)形成用の塗工液の塗工量は、所望する層の厚さによって異なるが、例えば、塗工液を塗工して乾燥した後の1m2あたりの質量が0.03~0.5g/m2の範囲であることが好ましい。より好ましくは、0.05~0.3g/m2の範囲であることがより好ましい。0.03g/m2未満では、成膜が不十分となる場合がある。0.5g/m2を超えると、溶媒又は分散媒が残留しやすくなる傾向がある。また、溶媒又は分散媒を揮発させるのに時間がかかり、不経済である。【0075】工程3は、多価金属イオン供給層(C)を形成する工程である。多価金属イオン供給層(C)は、前述した多価金属イオン供給層(C)を形成する材料を溶媒に溶解又は分散媒に分散して、適当な固形分濃度に調製した多価金属イオン供給層(C)形成用の塗工液をレジンコート層(B)上に塗工し、乾燥することで形成することができる。【0076】多価金属イオン供給層(C)形成用塗工液に用いる溶媒又は分散媒は、水を含有することが好ましい。また、塗工方法、乾燥方法は、アンカーコート層形成用の塗工液で例示した方法及び条件を使用できる。多価金属イオン供給層(C)の乾燥温度は、80℃以上とすることが好ましい。より好ましくは、85℃以上である。これによって、レジンコート層(B)の上に多価金属イオン供給層(C)を形成する場合、レジンコート層(B)を介して、多価金属イオンをメインコート層(A)に到達させることが可能になり、ポリカルボン酸系重合体のカルボキシル基との間に緻密なイオン架橋構造が生成される。なお、乾燥温度の上限値は、熱による基材及び塗工層へのダメージを防止する点で、200℃とすることが好ましい。より好ましくは、乾燥温度は、160℃以下とする。【0077】多価金属イオン供給層(C)形成用の塗工液の固形分濃度は、塗工適性の観点から、1~50質量%の範囲であることが好ましく、2~30質量%の範囲であることがより好ましい。また、多価金属イオン供給層(C)形成用の塗工液の塗工量は、所望する層の厚さによって異なるが、例えば、塗工液を塗工して乾燥した後の1m2あたりの質量が0.05~5g/m2の範囲であることが好ましい。より好ましくは、0.1~3g/m2の範囲であることがより好ましい。0.05g/m2未満では、成膜が不十分となる場合がある。5g/m2を超えると、溶媒又は分散媒が残留しやすくなる傾向がある。また、溶媒又は分散媒を揮発させるのに時間がかかり、不経済である。【0078】なお、ここまで、工程流れIを中心に説明したが、本実施形態に係るガスバリア性積層体の製造方法では、工程3と工程2と工程1とを順次行う工程流れIIとしてもよい。または、工程流れI及び工程流れIIの両方を順次行ってもよい。例えば、前記したガスバリア層の積層態様例2は、工程流れIに続いて、工程流れIIを行うことで形成することができる。前記したガスバリア層の積層態様例4は、工程流れIIに続いて、工程流れIを行うことで形成することができる。【0079】本実施形態に係るガスバリア性積層フィルムは、前述のとおり製造したガスバリア性積層体の少なくとも片面、より好ましくは、ガスバリア層上にラミネート層を積層させることで、製造することができる。ラミネート層を積層させる方法としては、特に制限されず、適宜公知の方法を用いることができる。公知の方法は、例えば、ドライラミネート法、エクストルージョンラミネート法、ホットメルトラミネート法である。【実施例】【0080】次に、実施例を示しながら本発明についてさらに詳細に説明するが、本発明は実施例に限定して解釈されない。【0081】<アンカーコート層形成用の塗工液調製>ポリエステル系ポリオール(三井化学社製「タケラックA‐525」、固形分濃度50質量%)と脂肪族イソシアネート系硬化剤(三井化学社製「タケネートA‐52」、固形分濃度70質量%)とを質量比(主剤/硬化剤)=9/1で混合し、この混合物を酢酸エチルで希釈して固形分濃度5質量%の塗工液(Ac)を得た。【0082】<メインコート層(A)形成用の塗工液調製1>ポリアクリル酸水溶液(東亞合成社製「アロンA‐10H」、重量平均分子量200000、25質量%)80gを蒸留水117.7gで溶解し、酸化亜鉛(和光純薬社製)2.3gを加えてポリアクリル酸のカルボキシル基の20モル%を中和した後、蒸留水を加えて固形分濃度を5質量%に調製し、塗工液(A‐1)を得た。【0083】<メインコート層(A)形成用の塗工液調製2>ポリアクリル酸水溶液(東亞合成社製「アロンA‐10H」、重量平均分子量200000、25質量%)80gを蒸留水117.7gで溶解し、酸化亜鉛(和光純薬社製)2.3gを加えてポリアクリル酸のカルボキシル基の20モル%を中和した後、ポリマレイン酸水溶液(日油社製「ノンポールPMA‐50W、重量平均分子量1100~2000、50質量%)を10g加え、固形分濃度を5質量%に調製し、塗工液(A‐2)を得た。【0084】<レジンコート層(B)形成用の塗工液調製1>ポリエステル系樹脂の水分散液(ユニチカ社製「エリーテルKT‐9204」、数平均分子量17000、固形分濃度30質量%)に予め水に分散させた脂肪族イソシアネート系硬化剤(Henkel社製「LiofolHardenerUR5889‐21」、固形分濃度100質量%)を固形分比で樹脂/硬化剤=9/1となるように添加し、そこに水及びイソプロピルアルコール(IPA)を加えて固形分濃度5質量%、水とIPAとの溶媒比(水/IPA)=88/12の塗工液(B‐1)を得た。【0085】<レジンコート層(B)形成用の塗工液調製2>ポリウレタン系樹脂の水分散液(大成ファインケミカル社製「WBR‐2000U」、固形分濃度32.5質量%)に水及びイソプロピルアルコール(IPA)を加えて固形分濃度5質量%、水とIPAとの溶媒比(水/IPA)=88/12の塗工液(B‐2)を得た。【0086】<レジンコート層(B)形成用の塗工液調製3>ポリエステル系樹脂(ユニチカ社製「エリーテルUE‐3210」、ペレット状)を酢酸エチルに溶解した後、脂肪族イソシアネート系硬化剤(三井化学社製「タケネートA‐52」、固形分濃度70質量%)を固形分比で樹脂/硬化剤=9/1となるように添加し、固形分濃度5質量%の塗工液(B‐3)を得た。【0087】<多価金属イオン供給層(C)形成用の塗工液調製1>酸化亜鉛(堺化学工業社製「FINEX‐30」)の水懸濁液(固形分濃度20質量%)と分散剤(アクリル酸‐マレイン酸共重合体ナトリウム、花王社製「ポイズ521」)とを固形分の質量比(酸化亜鉛/分散剤)=100/5となるよう混合した。これを遊星型ボールミル(フリッチュ社製「P‐7」)にて0.3mm径のジルコニアビーズを用いて分散させ、酸化亜鉛の水分散液を得た。得られた分散液にバインダ樹脂としてポリエステル系樹脂の水分散液(ユニチカ社製「エリーテルKT‐9204」、数平均分子量17000、固形分濃度30質量%)及び脂肪族イソシアネート系硬化剤(Henkel社製「LiofolHardenerUR5889‐21」、固形分濃度100質量%)を固形分比で酸化亜鉛/分散剤/バインダ樹脂/硬化剤=79/3.95/12.25/4.8となるように添加し、水及びイソプロピルアルコール(IPA)で希釈して固形分濃度10質量%、水とIPAとの溶媒比(水/IPA)=88/12の塗工液(C‐1)を得た。【0088】<多価金属イオン供給層(C)形成用の塗工液調製2>酸化マグネシウム(宇部マテリアルズ社製「気相法高純度超微粉マグネシア500A」)の水懸濁液(固形分濃度20質量%)と分散剤(アクリル酸‐マレイン酸共重合体ナトリウム、花王社製「ポイズ521」)とを固形分の質量比(酸化マグネシウム/分散剤)=100/5となるよう混合した。これを遊星型ボールミル(フリッチュ社製「P‐7」)にて0.3mm径のジルコニアビーズを用いて分散させ、酸化マグネシウムの水分散液を得た。得られた分散液にバインダ樹脂としてポリエステル系樹脂の水分散液(ユニチカ社製「エリーテルKT‐9204」、数平均分子量17000、固形分濃度30質量%)及び脂肪族イソシアネート系硬化剤(Henkel社製「LiofolHardenerUR5889‐21」、固形分濃度100質量%)を固形分比で酸化マグネシウム/分散剤/バインダ樹脂/硬化剤=79/3.95/12.25/4.8となるように添加し、水及びイソプロピルアルコール(IPA)で希釈して固形分濃度10質量%、水とIPAとの溶媒比(水/IPA)=88/12の塗工液(C‐2)を得た。【0089】<多価金属イオン供給層(C)形成用の塗工液調製3>炭酸カルシウム(竹原化学工業社製「カルネクスPN」、炭酸カルシウム/乳化剤=82/17)の水懸濁液(固形分濃度20質量%)を遊星型ボールミル(フリッチュ社製「P-7」)にて0.3mm径のジルコニアビーズを用いて分散させ、炭酸カルシウムの水分散液を得た。得られた分散液にバインダ樹脂としてポリエステル系樹脂の水分散液(ユニチカ社製「エリーテルKT‐9204」、数平均分子量17000、固形分濃度30質量%)及び脂肪族イソシアネート系硬化剤(Henkel社製「LiofolHardenerUR5889‐21」、固形分濃度100質量%)を固形分比でカルネクスPN(炭酸カルシウム+乳化剤)/バインダ樹脂/硬化剤=82.95/12.25/4.8となるように添加し、水及びイソプロピルアルコール(IPA)で希釈して固形分濃度10質量%、水とIPAとの溶媒比(水/IPA)=88/12の塗工液(C‐3)を得た。【0090】<多価金属イオン供給層(C)形成用の塗工液調製4>酸化亜鉛(堺化学工業社製「FINEX‐30」)の酢酸エチル懸濁液(固形分濃度20質量%)と分散剤(ソルビタン脂肪酸エステル)とを固形分の質量比(酸化亜鉛/分散剤)=100/5となるよう混合した。これを遊星型ボールミル(フリッチュ社製「P‐7」)にて0.3mm径のジルコニアビーズを用いて分散させ、酸化亜鉛の酢酸エチル分散液を得た。得られた分散液にバインダ樹脂としてポリエステル系樹脂(ユニチカ社製「エリーテルUE‐3210」、ペレット状)を酢酸エチルに溶解させて作製したポリエステル系樹脂の溶液(固形分濃度30質量%)及び脂肪族イソシアネート系硬化剤(三井化学社製「タケネートA‐52」、固形分濃度70質量%)を固形分比で酸化亜鉛/分散剤/バインダ樹脂/硬化剤=79/3.95/12.25/4.8となるように添加し、酢酸エチルで希釈して固形分濃度10質量%の塗工液(C‐4)を得た。【0091】[実施例1]先ず、厚さ12μmのポリエチレンテレフタレート樹脂製フィルム(PET)(東レ社製「ルミラーP60」)の一方の面に、バーコーター(K303PROOFER;RKPrint‐CoatInstruments社製)を用いて、アンカーコート層形成用の塗工液調製で得られた塗工液(Ac)を塗工し、70℃で2分間乾燥させてアンカーコート層(Ac)を形成した。得られた層(Ac)の厚さは0.1μmであった。次に、アンカーコート層(Ac)の表面に、前記バーコーターを用いて、メインコート層(A)形成用の塗工液調製で得られた塗工液(A‐1)を塗工し、100℃で2分間乾燥させてメインコート層(A‐1)を形成した(工程1)。得られたメインコート層(A‐1)の厚さは0.3μmであった。その後、メインコート層(A‐1)の表面に、前記バーコーターを用いて、レジンコート層(B)形成用の塗工液調製1で得られた塗工液(B‐1)を塗工し、70℃で2分間乾燥させて層(B‐1)を形成した(工程2)。得られた層(B‐1)の厚さは0.1μmであった。さらに、レジンコート層(B‐1)の表面に、前記バーコーターを用いて、多価金属イオン供給層(C)形成用の塗工液調製1で得られた塗工液(C‐1)を塗工し、85℃で2分間乾燥させて層(C‐1)を形成した(工程3)。得られた多価金属イオン供給層(C‐1)の厚さは0.3μmであった。こうして、PET/Ac/A‐1/B‐1/C‐1からなるガスバリア性積層体(実施例1a)を得た。【0092】このようにして得られたガスバリア性積層体の多価金属イオン供給層(C‐1)側の表面に、ポリエステル系ポリウレタン樹脂の接着剤(三井化学社製、主剤:タケラックA‐620、硬化剤:タケネートA‐65、溶剤:酢酸エチル)を介して、二軸延伸ナイロンフィルム(ONy)(ユニチカ社製「エンブレムONUM」:厚さ15μm)及び未延伸ポリプロピレンフィルム(CPP)(東レフィルム加工社製「トレファンNOZK93FM」:厚さ60μm)を順にドライラミネート法によって積層し、ガスバリア性積層体/接着剤/ONy/接着剤/CPPからなるガスバリア性積層フィルム(実施例1b)を得た。【0093】[実施例2]実施例1において、メインコート層(A‐1)の表面に塗工液(B‐1)の代わりにレジンコート層(B)形成用の塗工液調製2で得られた塗工液(B‐2)を塗工し、70℃で2分間乾燥させてレジンコート層(B‐2)を形成した以外は、実施例1と同様にして作製し、PET/Ac/A‐1/B‐2/C‐1からなるガスバリア性積層体(実施例2a)を得た。得られたレジンコート層(B‐2)の厚さは0.1μmであった。さらに、このガスバリア性積層体を用いて、実施例1と同様にしてガスバリア性積層フィルム(実施例2b)を作製した。【0094】[実施例3]実施例1において、レジンコート層(B‐1)の表面に塗工液(C‐1)の代わりに多価金属イオン供給層(C)形成用の塗工液調製2で得られた塗工液(C‐2)を塗工し、85℃で2分間乾燥させて層(C‐2)を形成した以外は、実施例1と同様にして作製し、PET/Ac/A‐1/B‐1/C‐2からなるガスバリア性積層体(実施例3a)を得た。得られた多価金属イオン供給層(C‐2)の厚さは0.3μmであった。さらに、このガスバリア性積層体を用いて、実施例1と同様にしてガスバリア性積層フィルム(実施例3b)を作製した。【0095】[実施例4]実施例1において、レジンコート層(B‐1)の表面に塗工液(C‐1)の代わりに多価金属イオン供給層(C)形成用の塗工液調製3で得られた塗工液(C‐3)を塗工し、85℃で2分間乾燥させて層(C‐3)を形成した以外は、実施例1と同様にして作製し、PET/Ac/A‐1/B‐1/C‐3からなるガスバリア性積層体(実施例4a)を得た。得られた多価金属イオン供給層(C‐3)の厚さは0.3μmであった。さらに、このガスバリア性積層体を用いて、実施例1と同様にしてガスバリア性積層フィルム(実施例4b)を作製した。【0096】[実施例5]実施例1において、アンカーコート層の表面に塗工液(A‐1)の代わりにメインコート層(A)形成用の塗工液調製2で得られた塗工液(A‐2)を塗工し、100℃で2分間乾燥させてメインコート層(A‐2)を形成した以外は、実施例1と同様にして作製し、PET/Ac/A‐2/B‐1/C‐1からなるガスバリア性積層体(実施例5a)を得た。得られたメインコート層(A‐2)の厚さは0.3μmであった。さらに、このガスバリア性積層体を用いて、実施例1と同様にしてガスバリア性積層フィルム(実施例5b)を作製した。【0097】[実施例6]実施例1と同一の塗工液を用いて、メインコート層(A)を形成する工程1と、レジンコート層(B)を形成する工程2と、多価金属イオン供給層(C)を形成する工程3とについて、工程3と工程2と工程1とを順次行う工程流れIIで行うこと以外は実施例1と同様にして作製し、PET/Ac/C‐1/B‐1/A‐1からなるガスバリア性積層体(実施例6a)を得た。さらに、このガスバリア性積層体を用いて、実施例1と同様にしてガスバリア性積層フィルム(実施例6b)を作製した。【0098】[比較例1]実施例1において、メインコート層(A‐1)の表面に塗工液(B‐1)を塗工することなく、多価金属イオン供給層(C)形成用の塗工液調製1で得られた塗工液(C‐1)を塗工し、85℃で2分間乾燥させて層(C‐1)を形成した以外は、実施例1と同様にして作製し、PET/Ac/A‐1/C‐1からなる積層体(比較例1a)を得た。さらに、この積層体を用いて、実施例1と同様にして積層フィルム(比較例1b)を作製した。【0099】[比較例2]実施例1において、メインコート層(A‐1)の表面に塗工液(B‐1)の代わりにレジンコート層(B)形成用の塗工液調製3で得られた塗工液(B‐3)を塗工し、70℃で2分間乾燥させて層(B‐3)を形成した以外は、実施例1と同様にして作製し、PET/Ac/A‐1/B‐3/C‐1からなる積層体(比較例2a)を得た。得られた層(B‐3)の厚さは0.1μmであった。さらに、この積層体を用いて、実施例1と同様にして積層フィルム(比較例2b)を作製した。【0100】[比較例3]実施例1において、レジンコート層(B‐1)の表面に塗工液(C‐1)の代わりに多価金属イオン供給層(C)形成用の塗工液調製4で得られた塗工液(C‐4)を塗工し、85℃で2分間乾燥させて層(C‐4)を形成した以外は、実施例1と同様にして作製し、PET/Ac/A‐1/B‐1/C‐4からなる積層体(比較例3a)を得た。得られた層(C‐4)の厚さは0.3μmであった。さらに、この積層体を用いて、実施例1と同様にして積層フィルム(比較例3b)を作製した。【0101】得られた実施例のガスバリア性積層体及びガスバリア性積層フィルム並びに比較例の積層体及び積層フィルムについて、次の評価を行った。【0102】<酸素透過度の測定1>得られた実施例のガスバリア性積層体及びガスバリア性積層フィルム並びに比較例の積層体及び積層フィルムについて、JISK‐7126B法(等圧法)、及びASTMD3985に記載された方法に準拠して、酸素透過率測定装置(ModernControl社製、OX‐TRAN2/20)を用いて、温度20℃、試料面積50cm2、両側80%相対湿度(RH)の条件で、積層フィルムの酸素透過度(単位:cm3(STP)/(m2・day・MPa))を測定した。図1に実施例のガスバリア性積層体及び比較例の積層体の酸素透過度の推移を示し、図2に実施例のガスバリア性積層フィルム及び比較例の積層フィルムの酸素透過度の推移を示す。【0103】図1及び図2からわかるように、実施例の方法によって作製したガスバリア性積層体及びガスバリア性積層フィルムは、測定時間の経過にともなう酸素透過度の値の大きな変動がなく、いずれも酸素透過度の値が、10cm3(STP)/(m2・day・MPa)以下と小さかった。一方、比較例の方法によって作製した積層体及び積層フィルムは、高温高湿処理を施さずに酸素透過度を測定すると、測定環境(湿度)の影響を受け、測定時間の経過にともなって値が変動することが確認できた。【0104】表1に、酸素透過度の値を示した(酸素透過度1)。ここで、表1において実施例及び比較例を比較するにあたり、比較例の積層体及び積層フィルムの酸素透過度の値は、図1及び図2に示した酸素透過度の最大値を採用して記載した。【0105】図1、図2及び表1からわかるように、実施例1a~6aのガスバリア性積層体は、いずれも高温高湿処理を施すことなく、温度20℃、相対湿度80%(RH)条件下で測定した酸素透過度が、10cm3(STP)/(m2・day・MPa)以下であり、高湿度条件下において高いガスバリア性を示した。さらに、ガスバリア性積層体にラミネート層を設けたガスバリア性積層フィルム(実施例1b~6b)も、ガスバリア性積層体と同様に、高湿度条件下において高いガスバリア性を示した。【0106】他方、比較例の積層体及び積層フィルムは、いずれも高温高湿処理なしでは、ガスバリア性を発現することがなく、相対湿度80%(RH)条件下で測定した酸素透過度が、10cm3(STP)/(m2・day・MPa)をはるかに超えていた。比較例1aの積層体は、レジンコート層(B)を形成していないため、メインコート層(A)は緻密なイオン架橋構造を有していない。このため、測定直後の酸素透過度の値は高いが、測定条件下の湿度の影響(水分)によりイオン架橋反応が進行して、経時により酸素透過度の値が小さくなったものと考えられる。比較例2aの積層体は、レジンコート層(B)を形成するための塗工液(B‐3)が溶媒として酢酸エチルを使用しており、水を含まなかった。このため、多価金属イオン供給層(C)の形成過程において多価金属イオンがレジンコート層(B)を経てメインコート層(A)に到達することができなかった。よって、この積層体について前記条件下で測定を行ったところ酸素透過度の値が次第に上昇したが、これは測定条件下の湿度の影響(水分)により緻密なイオン架橋構造を有していないメインコート層(A)が膨潤したためと考えられる。比較例3aの積層体は、多価金属イオン供給層(C)を形成するための塗工液(C‐4)が溶媒として酢酸エチルを使用しており、水を含まなかった。このため、多価金属イオン供給層(C)の形成過程においては多価金属イオンがレジンコート層(B)内を移動しない。よって、測定条件下の湿度の影響(水分)により多価金属イオン供給層(C)中の多価金属化合物から多価金属イオンが溶出し、これがレジンコート層(B)を介してメインコート層(A)に到達するには時間を要するため、バリア性が発現しにくいものと考えられる。さらに、積層体にラミネート層を設けた積層フィルム(比較例1b~3b)の場合、多価金属イオンよりも水分がラミネート層を設けた側から先に到達するために、緻密なイオン架橋構造を有していないメインコート層(A)が膨潤し、その結果、酸素透過度の値が一時的に上昇する。レジンコート層(B)がない比較例1aはその後、測定条件下の湿度の影響(水分)によるイオン架橋によりバリア性が発現するが、レジンコート層(B)がある比較例2b及び3bはラミネート層の存在によりガスバリア層内に供給される水分の量が制限されるため多価金属イオンがメインコート層(A)に到達しにくく、したがって高いバリア性が得られなかったものと考えられる。【0107】<酸素透過度の測定2>得られた実施例のガスバリア性積層フィルム及び比較例の積層フィルムに、高温高湿処理としてボイル処理又はレトルト処理を施した。ボイル処理は、ボイル槽を用いて温度90℃にて30分間行った。レトルト処理は、レトルト処理機(日阪製作所社製「RCS‐60」:貯湯式)を用いて、温度120℃にて30分間行った。その後、前記<酸素透過度の測定1>と同様の条件にて酸素透過度を測定した。表1に酸素透過度の測定結果を示す(酸素透過度2)。ここで、比較例の積層フィルムの酸素透過度の値は、酸素透過度の測定1と同様に最大値である。なお、高温高湿処理後のサンプルは、実施例及び比較例ともに測定時間の経過にともなう酸素透過度の値の大きな変動はなかった。したがって図示していない。【0108】表1からわかるように、実施例1b~6bのガスバリア性積層フィルムは、いずれも高温高湿処理後の酸素透過度が、10cm3(STP)/(m2・day・MPa)以下であり、高湿度条件(相対湿度80%(RH))下において高いガスバリア性を示した。また、いずれも高温高湿処理を施していない状態よりも、酸素透過度の値が更に小さくなる(ガスバリア性が高まる)傾向にあった。これは、高温高湿度処理によって未反応であったポリカルボン酸系重合体のカルボキシル基と多価金属化合物とのイオン架橋反応が進行したためと考えられる。他方、比較例1b~3bの積層フィルムは、いずれも高温高湿処理によって、ガスバリア性を発現し、相対湿度80%(RH)条件下で測定した酸素透過度が、10cm3(STP)/(m2・day・MPa)以下であった。【0109】【表1】【0110】次に、本発明に係るガスバリア性積層フィルムについて、熱成形適性の確認を行った。【0111】[参考例1]実施例1において、基材を厚さ20μmの未延伸ナイロンフィルム(CNy)(東レフィルム加工社製、「レイファン1401」)に変更した以外は、実施例1と同様にガスバリア性積層体(参考例1a)を得た。次いで、このガスバリア性積層体の未延伸ナイロンフィルム側及び多価金属イオン供給層(C‐1)側の両面にポリウレタン系接着剤(三井化学社製、主剤:タケラックA620、硬化剤:タケネート65)を介して、厚さ100μmの未延伸ポリプロピレンフィルム(CPP)をそれぞれドライラミネート法によって積層し、CPP/接着剤/熱成形用ガスバリア性積層体/接着剤/CPPという構成の熱成形用ガスバリア性積層フィルム(参考例1b)を得た。【0112】<熱成形容器の作製>こうして得られた熱成形用ガスバリア性積層フィルムを深絞り型高速自動真空包装機(大森機械工業社製、「FV‐603型」)を用いて、それぞれ直径φ90mm、深さ30mmに成形して熱成形容器を得た。【0113】<酸素透過度の測定3>得られた熱成形容器及びそれを高温高湿処理として、レトルト処理機(日阪製作所社製、「RCS‐60」:貯湯式)を用いて、温度120℃にて30分間のレトルト処理を施したものについて、酸素透過率測定装置(ModernControl社製、「OX‐TRAN2/20」)を用いて、容器外側の雰囲気を温度20℃、50%相対湿度(RH)、容器内側の雰囲気を温度20℃、80%相対湿度(RH)とした場合の酸素透過度を測定した。そして、得られた測定値を換算して、酸素濃度100%、表面積1m2における酸素透過度(単位:cm3(STP)/(m2・day・MPa))の値を算出した。その結果、酸素透過度は、レトルト処理の有無に関わらず、いずれも500cm3(STP)/(m2・day・MPa)と高かった。【0114】参考例1の試験結果からわかるように、本発明に係るガスバリア性積層フィルムは、熱成形によってガスバリア性が低下した。これは、熱成形前のガスバリア性積層フィルム(参考例1b)は、ガスバリア層において、ポリカルボン酸系重合体のカルボキシル基と多価金属化合物の多価金属イオンとの間にイオン架橋反応が起こって高いガスバリア性を有していたが、熱成形で延伸したことによって緻密なイオン架橋構造が破壊されたため、酸素透過度が高い値を示し(ガスバリア性が低下し)、その後に高温高湿処理(レトルト処理)を施しても、酸素透過度が高い値を示したものと考えられる。これに対して、特許文献4に記載の積層体は、高温高湿処理前は、イオン架橋反応が抑制されているため、熱成形で延伸しても前述のような現象が起こらず、その後の高温高湿処理によって、始めてガスバリア性が発揮する熱成形用として適した包材である。以上より、本発明に係るガスバリア性積層体及びガスバリア性積層フィルムは、ポリカルボン酸系重合体のカルボキシル基と多価金属イオンとの間で生成されたイオン架橋構造により高いバリア性を有しており、熱成形用ではなく、一般包材用として適していることが確認できた。
A
2012139943
2011000284
20110104
null
null
20120726
2012139943
20120726
null
null
null
null
null
null
null
印刷物
511005000
プレステック株式会社
100084582
松井 晃一
富岡 良成
null
8
B42D 1/00 (20060101)
B42D 1/00 H
2
null
null
null
0
6
null
null
【課題】最初は単一の紙葉の形態なので、新聞への折り込み等が容易であり、配布のあとは折畳めば冊子状になる、また、通常の書籍と同様にページ繰りが可能で、所謂永久保存版とも成り得る印刷物を提供する。【解決手段】紙葉11が、例えば横方向について左右2区分、縦方向について例えば4区分されている。横方向に並ぶ区分の組のうち、紙葉の両端の組については、その境界に山折り線12が形成されている。残りの組の境界については、ミシン目13が形成されている。縦方向に並ぶ4区分については、その境界に山折り線15と谷折り線16とが交互に形成されている。ミシン目13が破断され、これに続く山折り線12と一緒にに山折りされる。更に、縦方向に並ぶ四つの区分の山折り線15と谷折り線16とが夫々折畳まれ、これら折畳み部分が重畳され、冊子状書物20が形成される。【選択図】図1
【請求項1】紙葉が、その縦方向又は横方向の一方については二つに、他の方向については所定数に区分され、前記一方の方向に二つに区分され並んでいる区分のうち、この紙葉の両端で並ぶ区分については、その境界に山折り線が形成され、この両端以外で並ぶ区分については、その境界にミシン目が形成され、前記他の方向に所定数に区分されて並ぶ区分については、その境界に山折り線と谷折り線とが交互に形成され、前記ミシン目が破断され、該破断されたミシン目部分と、前記紙葉の両端で並ぶ区分について形成されている山折り線の部分とが山折りされ、前記他の方向に所定数に区分されて並ぶ区分について交互に形成されている山折り線と谷折り線とが夫々折畳まれ、これら折畳まれた部分が重畳され、この紙葉が冊子状に形成されることを特徴とする印刷物。【請求項2】紙葉が、その縦方向又は横方向の一方については二つに、他の方向については所定数に区分され、前記一方の方向に二つに区分され並んでいる区分のうち、この紙葉の両端で並ぶ区分については、その境界に山折り線が形成され、この両端以外で並ぶ区分については、その境界が破断されており、前記他の方向に所定数に区分されて並ぶ区分については、その境界に山折り線と谷折り線とが交互に形成され、前記破断されている境界部分と、前記紙葉の両端で並ぶ区分について形成されている山折り線の部分とが山折りされ、前記他の方向に所定数に区分されて並ぶ区分について交互に形成されている山折り線と谷折り線とが夫々折畳まれ、これら折畳まれた部分が重畳され、この紙葉が冊子状に形成されることを特徴とする印刷物。
【請求項1】紙葉が、その縦方向又は横方向の一方については二つに、他の方向については所定数に区分され、前記一方の方向に二つに区分され並んでいる区分のうち、この紙葉の両端で並ぶ区分については、その境界に山折り線が形成され、この両端以外で並ぶ区分については、その境界にミシン目が形成され、前記他の方向に所定数に区分されて並ぶ区分については、その境界に山折り線と谷折り線とが交互に形成され、前記ミシン目が破断され、該破断されたミシン目部分と、前記紙葉の両端で並ぶ区分について形成されている山折り線の部分とが山折りされ、前記他の方向に所定数に区分されて並ぶ区分について交互に形成されている山折り線と谷折り線とが夫々折畳まれ、これら折畳まれた部分が重畳され、この紙葉が冊子状に形成されることを特徴とする印刷物。【請求項2】紙葉が、その縦方向又は横方向の一方については二つに、他の方向については所定数に区分され、前記一方の方向に二つに区分され並んでいる区分のうち、この紙葉の両端で並ぶ区分については、その境界に山折り線が形成され、この両端以外で並ぶ区分については、その境界が破断されており、前記他の方向に所定数に区分されて並ぶ区分については、その境界に山折り線と谷折り線とが交互に形成され、前記破断されている境界部分と、前記紙葉の両端で並ぶ区分について形成されている山折り線の部分とが山折りされ、前記他の方向に所定数に区分されて並ぶ区分について交互に形成されている山折り線と谷折り線とが夫々折畳まれ、これら折畳まれた部分が重畳され、この紙葉が冊子状に形成されることを特徴とする印刷物。
【技術分野】【0001】本発明は印刷物に関する。詳しくは、通常の冊子のように綴じ込み等をすることが不要で、単に折畳むだけで、単一の紙葉の形態から冊子状の書物へと変形させることが可能な印刷物に関する。
【背景技術】【0002】情報伝達や宣伝の媒体として、古来から紙葉が使用されている。情報伝達や宣伝の媒体は、その後、有線、無線の通信からインターネットに至るまで、種々案出されて来た。にも拘わらず、紙葉は、今なお情報伝達や宣伝の媒体として有用である。紙葉の利用法は、大きく分けて二通りある。一つは、単一の紙葉としてその儘使用することであって、チラシがその典型例であり、新聞に折り込まれたり、各戸の郵便受けに投入されたりする。これらは、例えばマンション販売の宣伝とか、宅配サービスの宣伝とかに良く利用されている。【0003】他の一つは、冊子とすることである。ここに冊子とは、巻子本(巻物)以外の本の総称であり、現在普通の本として身近である洋装本のほか、粘葉装・綴葉装などの和装本、経典として用いられる折本などが存在する(広辞苑第5版より)。これら冊子は古くから存在する。従って、技術的には成熟分野とも言えるが、今なお新しい出願が見られる(特許文献1,2)。【0004】単一の紙葉は、製造作業が容易である。印刷すれば、それで作業完了だからである。しかも、上述したように、新聞に折り込んだり、各戸の郵便受けに投入したりでき、配布も簡単である。それ故、日常生活では、情報伝達、宣伝の媒体として今なお活用されている。
【発明が解決しようとする課題】【0006】しかし、単一の紙葉は、盛り込まれる情報量がそれほど多くない。それなのに、意外に場所を取る。即ち、製本された普通の本よりかなり嵩張る。それ故、紙面の大きいチラシだったりすると、両手で広げて見ることになる。これは扱いにくい。一方で、折畳めば嵩は小さくなる。しかし、そうしたのでは、逆に閲覧がしにくくなる。例えば地図の場合、普通は先ず左右方向に蛇腹に折畳み、それから上下を真中の部分に重畳する。しかし、こうしたのでは、普通の本のように、順にページ繰りをして各ページを閲覧して行く、というような操作は出来ない。勿論、製本すればこの問題は解決する。しかし、製本するにはそれなりの費用(工数)が掛かる。また、厚みが増すので新聞に折り込んだりすることが難しくなる。【0007】本発明の目的は、このような課題を解決することにある。即ち、最初は単一の紙葉の形態を採ることが出来、一方で、配布の後は冊子状とすることが出来る、そういう印刷物を提供することにある。また、製本に比べ手間が掛からない折畳みの作業だけで、冊子状の書物とすることが可能な、そういう印刷物を提供することにある。
【課題を解決するための手段】【0008】上記目的達成のため、請求項1の発明では、紙葉が、その縦方向又は横方向の一方については二つに、他の方向については所定数に区分され、前記一方の方向に二つに区分され並んでいる区分のうち、この紙葉の両端で並ぶ区分については、その境界に山折り線が形成され、この両端以外で並ぶ区分については、その境界にミシン目が形成され、前記他の方向に所定数に区分されて並ぶ区分については、その境界に山折り線と谷折り線とが交互に形成され、前記ミシン目が破断され、該破断されたミシン目部分と、前記紙葉の両端で並ぶ区分について形成されている山折り線の部分とが山折りされ、前記他の方向に所定数に区分されて並ぶ区分について交互に形成されている山折り線と谷折り線とが夫々折畳まれ、これら折畳まれた部分が重畳され、この紙葉が冊子状に形成される。【0009】また、請求項2の発明では、紙葉が、その縦方向又は横方向の一方については二つに、他の方向については所定数に区分され、前記一方の方向に二つに区分され並んでいる区分のうち、この紙葉の両端で並ぶ区分については、その境界に山折り線が形成され、この両端以外で並ぶ区分については、その境界が破断されており、前記他の方向に所定数に区分されて並ぶ区分については、その境界に山折り線と谷折り線とが交互に形成され、前記破断されている境界部分と、前記紙葉の両端で並ぶ区分について形成されている山折り線の部分とが山折りされ、前記他の方向に所定数に区分されて並ぶ区分について交互に形成されている山折り線と谷折り線とが夫々折畳まれ、これら折畳まれた部分が重畳され、この紙葉が冊子状に形成される。
【発明の効果】【0010】本発明によれば、最初は単一の紙葉の形態を採れる。従って、新聞に折り込んで配布等をする場合に便利である。一方で、折畳めば冊子状の書物となる。それ故、ページ繰りが可能になり、閲覧がし易い。しかも、折畳みで嵩が小さくなる。それ故、取扱いが容易になり、所謂永久保存版にも為し得る。そういう印刷物が実現出来る。また、折畳みという作業だけで冊子状になる。それ故、通常の製本のような費用、手間を掛けなくても、冊子状の書物が得られる。従って、例えば薬の効能書きとか、商品の取扱説明書など、商品の箱に同封するような簡易な書物を製作するのに好適である。【0011】なお、ミシン目を破断するには、それなりの工数が掛かる。この点で、紙葉の両端以外で並ぶ区分の境界が、請求項2の発明のように最初から破断されていると、その分、折畳みの工数が少なくなる。従って、この構成は、前記薬の効能書きとか、商品の取扱説明書など、商品の箱に同封するような簡易な書物を製作するのに適している。一方で、この境界が最初から破断されていると、この部分が口を開け易くなり、新聞への折り込みや郵便受けへ投函したりする際に、紙葉が撚れ易くなる。従って、こういう用途の場合は、請求項1の発明のように、この境界にミシン目が形成されている構成が適している。何れの構成を適用するかは、印刷物の用途による。
【発明を実施するための形態】【0013】以下、本発明の詳細を、先ずは、図1に示した第一の実施の形態例たる印刷物10に基いて説明する。ここで、分図(A)は、単一の紙葉の状態の印刷物10を平面図で示す。この印刷物10は、その素材である紙葉11が、横方向には二つに区分され、また、縦方向には四つに区分されている。なお、紙葉11に印刷を施したのが印刷物10であり、図面上は区別が出来ない。そこで、印刷物を表す符号10の後に、括弧書きで素材たる紙葉を表す符号11を付す。上記横方向が、請求項に言う一方の方向に当る。縦方向が、請求項に言う他方の方向に当たる。また、四つに区分されていることが、請求項に言う所定数に区分されていることに当る。【0014】ここで、請求項に言う、一方の方向に二つに区分され並んでいる区分に当るのは、区分A-6とA-5、区分A-7とA-4、区分A-8とA-3、表紙と区分A-2の、夫々の区分の組である。そして、これら並んでいる夫々の区分の組のうち、この紙葉10の上端と下端で並ぶ区分A-6とA-5、表紙とA-2については、夫々の境界に山折り線12が形成されている。また、この両端以外で並ぶ区分A-7とA-4、区分A-8とA-3については、夫々の境界にミシン目13が形成されている。【0015】また、請求項に言うところの、他の方向に所定数に区分されて並ぶ区分に当るのは、区分A-6、A-7、A-8、及び表紙であり、また、区分A-5、A-4、A-3、及びA-2である。これら各区分の境界には、山折り線15と谷折り線16とが交互に形成されている。なお、各区分には、冊子状の書物にされたときの表紙や、各ページの内容が印刷される。図に「表紙」と表示されている区分が、文字通り表紙になる区分で、ここに表紙の内容が印刷される。また、この表紙を第1ページとして、区分A-2~A-8に、第2ページ~第8ページの各内容が印刷される。各区分の印刷の向きは、表紙、A-2~A-8の各符号の向きと同じである。また、ミシン目13、山折り線12,15、谷折り線16は、例えばパーフォレーターで形成される。【0016】この印刷物10は以下の如くして、折畳み、重畳される。即ち、先ずミシン目13が破断される(分図(A)の注記(1))。破断されたミシン目13と、紙葉11の上下両端で並ぶ、区分A-6とA-5、表紙とA-2について形成されている、各山折り線12が一緒に山折りされる(分図(A)の注記(2))。この山折りで、印刷物10は二つ折りになる(分図(B))。次いで、破断されたミシン目13の部分が外側に開かれ、山折りされる(分図(B)注記(3))。ここで山折りになるのは、区分A-7とA-8の境界の山折り線15、及び裏側の区分A-3とA-4の境界の山折り線15である。このとき、これらの区分の外側の各谷折り線16は、文字通り谷折りされる。【0017】山折りと谷折りは更に続けられる。ここで開かれて行った部分の内側の面が相互に着けられる(分図(C)注釈(4))。これで、各区分が折畳まれる(分図(D))。折畳まれるのは、表紙と区分A-2、区分A-3とA-4、区分A-5とA-6、区分A-7とA-8である。これら折畳まれてなる各部分が、線Cを中心にして回動される(分図(D))。これにより、区分A-3とA-4、区分A-5とA-6、区分A-7とA-8から成る各折畳み部分が、表紙と区分A-2からなる折畳み部分に重畳される(分図(D)注釈(5))。これで印刷物10は冊子状の書物20となる(分図(E)及び注釈(6))。【0018】図2に請求項1の発明の第二の実施の形態例たる印刷物30を示す。この図2の分図(A),(B),(C)は、図1の分図(A),(B),(C)と同様の各状態を示す。これら分図で、第二の実施の形態例の印刷物30の構造は理解され得ると解す。よって、図1の分図(D),(E)に相当する図は表示しない。この実施の形態例の印刷物30では、紙葉11を、縦方向に六つに区分している。即ち、請求項に言う所定数を6にしている。ページ数を増やしたいときは、この所定数を更に増やす。この実施の形態例の印刷物30では、ページ構成は、表紙からA-12迄の12ページとなり、区分A-3とA-4、区分A-5とA-6、区分A-7とA-8、区分A-9とA-10、区分A-11とA-12とが、夫々折畳みされる。その後は、図1(D)と同様に重畳され、図1(E)と同様に冊子状の書物となる。
A
2012139988
2011010254
20110104
null
null
20120726
2012139988
20120726
null
null
null
null
null
null
null
スクイージングヘッドを有する塗膜転写具
507237417
神門 徹
null
null
神門 徹
null
8
B43L 19/00 (20060101), B43M 11/06 (20060101)
B43L 19/00 H ,B43M 11/06
3
null
null
null
0
10
null
null
【課題】従来の塗膜転写具は使いはじめにスリップして転写開始が出来ないことや、短い長さの揃った転写が難しいという欠点があった。本発明は引きながら長い転写をする従来の使い方に加え、スタンプのように押し付ける操作で矩形状の短い転写を可能にした。【解決手段】塗膜を転写するための押圧部が配設されたヘッドを、本体に対しスライド可能なスライダーによって回転可能に保持し、押圧部を被転写面に強く押しこむと、スライダー、ヘッドともに本体内側にスライドし、同時に押圧部が被転写面に沿ってわずかに回転し短い矩形状の転写を可能にした。さらにスライダーの戻りで、供給リールを蹴って回転させ、転写終了時に常にテープが繰り出された状態となるようにした。【選択図】図13
【請求項1】片面に塗膜が塗布されたテープ基材と、該テープ基材が巻装され回転可能な供給リールと、該テープ基材が該供給リールに巻装されて成すテープロールの外周から繰り出された該テープ基材を被転写面に押し付けて該塗膜を転写する押圧部と、該塗膜が転写された後の使用済みテープ基材を回転して巻き取る巻き取りリール、が本体内に配設され、該供給リールと該巻き取りリールが同期して回転し、手持ちで使用される塗膜転写具であって、該本体内方向に移動可能なスライダーと、該スライダーに回転可能に支持され一端が該本体外に突出した該押圧部を有するヘッドとからなり、通常は該押圧部が該本体より大きく突出した位置で該押圧部を該被転写面に押し当てながら動かすことで該テープ基材の該塗膜を転写し、該押圧部を該被転写面にあてて強く押し込むことで該スライダー及び該ヘッドを該本体内方向に移動させると同時に、該ヘッドの該押圧部が該被転写面に沿って回転し該テープ基材の一定の長さの該塗膜を該被転写面に押し付けて転写可能なことを特徴とする塗膜転写具。【請求項2】前期スライダーの一端にラチェット爪部を設け、前記供給リールあるいは前記供給リールと同軸に回転する部材には該ラチェット爪部と係合するラチェットホイールが形成され、前記スライダーが本体内に移動した位置から復帰する動きで前記供給リールを一定角度だけ強制回転させ、前記テープロールの外周から前記テープ基材を繰り出すことを特徴とする請求項1記載の塗膜転写具。【請求項3】前記ラチェット爪部は前記ラチェットホイールに常に当接しており、前記供給リールの回転方向を一方向において阻止していることを特徴とする請求項2記載の塗膜転写具。
【請求項1】片面に塗膜が塗布されたテープ基材と、該テープ基材が巻装され回転可能な供給リールと、該テープ基材が該供給リールに巻装されて成すテープロールの外周から繰り出された該テープ基材を被転写面に押し付けて該塗膜を転写する押圧部と、該塗膜が転写された後の使用済みテープ基材を回転して巻き取る巻き取りリール、が本体内に配設され、該供給リールと該巻き取りリールが同期して回転し、手持ちで使用される塗膜転写具であって、該本体内方向に移動可能なスライダーと、該スライダーに回転可能に支持され一端が該本体外に突出した該押圧部を有するヘッドとからなり、通常は該押圧部が該本体より大きく突出した位置で該押圧部を該被転写面に押し当てながら動かすことで該テープ基材の該塗膜を転写し、該押圧部を該被転写面にあてて強く押し込むことで該スライダー及び該ヘッドを該本体内方向に移動させると同時に、該ヘッドの該押圧部が該被転写面に沿って回転し該テープ基材の一定の長さの該塗膜を該被転写面に押し付けて転写可能なことを特徴とする塗膜転写具。
【技術分野】【0001】本発明は、使用者が手に持って押し付けたり引いたりする操作によって塗膜を転写するための塗膜転写具に関するものである。
【背景技術】【0002】粘着剤や文字等をカバーして修正する塗膜を転写するための塗膜転写具は、一般的にひろく用いられている。これらの塗膜転写具に於いては、ローラーで構成された押圧部やスクィージーのような先端が尖ったヘラ形状をなした押圧部で塗膜が塗られたテープ基材を塗膜と反対側から被転写面に押圧転写する方法が多く用いられている。【0003】ローラーの場合、テープ基材面に接触して転がるために転写性は良好で操作も軽いが、反面、塗膜先端位置がローラー外径の円周上でばらつくため、転写を始めるべき位置からずれて転写開始される不具合が多々発生し、正確な位置決めや短い転写が容易に出来ないという不都合がある。【0004】また、スクウィージーのような先端が尖ったヘラ形状の場合、短い転写をするときには押圧する力を保持しながら本体をわずかに動かす微妙な操作が必要とされる。【0005】上記の不具合に対して、押圧部を有するヘッドあるいは単独のスライド部材を本体内方向に移動可能に構成して、その移動あるいは復帰に連動して巻き取り部材あるいは供給リールを駆動し、短い転写を容易にすると同時につねに塗膜面が押圧部直下に存在するように構成された従来例がある。【0006】特許第3998221号公報(特許文献1)では、弾性体で形成された矩形状の押圧部を有するヘッドで転写をすると同時に本体内方向に移動させ、その復帰によって使用済みテープ基材を収納する収納手段を連動させる提案がなされている。実用新案登録第3137300号公報(特許文献2)では、ローラーを覆うようにスライダーを設け、そのスライダーを被転写面に当接させて退避させることによって供給リールを蹴り上げ回転させてテープを送り、ローラーによって転写する提案がなされている。又、特開2008-279622号公報(特許文献3)では、ローラーで構成された第1の転写部と矩形状の押圧面を有する第2の転写部をひとつの転写ヘッドとして構成し、かつその転写ヘッドの一端が揺動可能にケースに枢着され、その揺動の戻り方向の動きによって供給リールを回転させ、使用終了時につねに第1及び第2転写部の直下まで塗膜が繰り出される提案がなされている。
【発明が解決しようとする課題】【0008】従来の塗膜転写具の場合、押圧部直下に塗膜先端があるときは押圧部を被転写面に接触させた位置から転写を始めることが可能であるが、前回の終了時に塗膜が粘着性により引っ張られて、いわゆるのり引きして押圧部直下より手前で切れた場合、あたらしく引き始める時、押圧部直下には塗膜が存在せず、塗膜のないテープ基材を被着面に押し付けることになる。【0009】そのため、被転写面とテープ基材がスリップしてテープ基材上の塗膜先端を引き出すことが出来ない現象が多々発生する。この場合、押圧部を被転写面により強く押し付けながら引く操作を繰り返してテープ基材上の塗膜先端を引き出さなければならない。【0010】このような不具合は、被転写面が長く例えば封筒の耳のような場合は差し支えない場合もあるが、被転写面が例えば切手大のように小さい場合、塗膜が被転写面をはみだす場合も多々あり大きな問題を有する。【0011】特に短い転写を繰り返すような場合、塗膜先端位置が一定でないため使用者が腕を動かしてひく操作では転写長さがばらつき、実用にならない大きな問題を有する。【0012】またその操作は、一定の力で押圧しながら引く微妙な勘が要求され、使用者にとっては、非常に大きい負担となる。
【課題を解決するための手段】【0013】本発明は、本体にスライド可能に支持されたスライダーの一端でヘッドを回転可能に保持し、さらにそのヘッドの下側の一端を押圧部とし、使用者が軽い力で押圧部を被転写面に押し付けながら本体を傾けて引くと、従来どおりの連続した長い転写ができ、かつ使用者が押圧部を被転写面にまっすぐ当て強く押しこむことで、スライダーとヘッドがベース内に移動すると同時にスライダーに回転可能に軸支されたヘッドが回転して押圧部を短い長さで被転写面に摺動させ、押圧部直下の塗膜を短い長さで転写可能な構成とした。【0014】使用者が転写を終了し、本体を被転写面から持ち上げて離すと、スライダーが本体内に引っ込んだ位置から元の位置まで復帰するが、その動きによって、スライダー他端に設けられたラチェット爪が、巻き取りリールと一体に回転するラチェットホイールと係合して巻き取りリールを一定角度回転させ、テープ基材を送って塗膜先端位置を転写ヘッド直下まで前進させる。【0015】さらにラチェット爪を常にラチェットホイールに当接させて、使用者が逆方向に動かしてもテープ基材が送られない逆回転防止を同時に実現した。
【発明の効果】【0016】本発明の塗膜転写具によれば、押圧部を被転写面に軽く押し付けながら手前に傾けて引くと従来通りの連続転写が可能であり、また押圧部を被転写面に真上から強く押しこむと押圧部がテープ基材を押し付けながら被転写面にそって回転し、矩形の短いスタンプ転写も可能となる。また上記二つの操作は、それぞれ単独のやり方に限らず、スタンプ転写した後に傾けて手前に引き連続転写を行う、といった組み合わせた使い方も可能である。【0017】以上のように、ひとつの押圧部で、連続した長い転写と矩形状の短い転写が可能であり、部品点数の増加と構成の複雑化を避けることが可能である。また、押圧部は、常に被転写面に接触して使用者が押し付ける力が加わっているので、押付力不足等の問題が無く、連続使用、スタンプ使用の別に拘わらず、常に確実な転写が可能となる。【0018】さらに、転写を終了し、押圧部を被転写面から離すと、ヘッド及びスライダーの復帰に伴い、供給リールを一定角度回転させて、テープ基材を繰り出し塗膜先端位置を押圧部直下まで進めるので、次回の使用に際して、スリップ等の不具合が無い。【0019】スタンプ転写後にテープ基材を繰り出す場合、供給リールを回転駆動してテープ基材をテープロール外周から繰り出しながら、同時に供給リールに同期して回転する巻き取りリールによって巻き取る方法と、巻き取りリールを回転駆動して引っ張り出しながら繰り出す方法の二つのやりかたがあるが、後者の場合、少なくとも繰り出し初めに於いては供給リールの回転部の抵抗に抗しながら引っ張ることになるので、テープロール外周から離れて押圧部を周回し巻き取りリールに至るテープパスには大きなテンションが発生する。このテンションは使用につれて累積され、テープロール終盤の使用に於いては、非常に大きい抵抗となる。【0020】さらに、押圧部を被転写面に押し付けながら引く連続転写の場合には、繰り出されるテープ基材によって回される供給リールを駆動側とし供給リールと同期して回転する巻き取りリールを従動側として、駆動側と従動側での巻き径の変化による回転速度差を吸収相殺するスリップ機構を設定することが必要であるが、前記の後者のようにスタンプ転写において巻き取りリールを外部から回転駆動する場合も想定すると、駆動側と従動側が連続転写とスタンプ転写で逆転した関係になり、スリップ機構の主要素である摩擦力の設定が難しくなり、かつ同期した回転をさせるギア噛合や摩擦によるベルト伝動に伴う効率ロスまで含んで、強い摩擦力を設定しなければならない。【0021】上記の問題を改善するため、本発明に於いては、スタンプ転写後のテープ基材の繰り出しに於いても、供給リールを回転駆動する方法を採り、上記のテンションの増加と効率ロスを抑え、簡単な設定を可能にした。【0022】さらに、本発明に於いては押圧部が使用者によって被転写面に直接押しあてられるので、押圧部をローラーで構成しても、あるいは矩形状やヘラ状の弾性体で構成しても、いずれも可能である。
【発明を実施するための形態】【0024】以下、本発明の実施の形態を、図を基に説明する。【0025】図1から図6は本発明の第一実施例の構成である。【0026】フリクションホイール6は供給ギア7を介してベース2の供給リール軸部2aで回転可能に保持される。同様に巻き取りギア9はベース2の巻き取り軸部2bで回転可能に保持される。【0027】テープロール4は供給リール4の外周に巻装されて一体となり、カバー3の供給リール保持軸部3aで回転可能に保持される。同様に巻き取りリール8の外周にはテープパス4aの先端が留められカバー3の巻き取りリール保持軸部3bで回転可能に保持される。【0028】ベース2とカバー3が組み合わされた状態が本体1(図示せず)となるが、この状態に於いては、巻き取りリール5の内面のリブがフリクションホイール6の外周のリブに当接し両者が同軸一体となって回転する。同様に巻き取りリール8の内面のリブが巻き取りギア9の外周のリブに当接し両者が同軸一体となって回転する。また供給ギア7のギア部7aと巻き取りギアは噛合する。【0029】フリクションホイール6の腕部分6aは巻き取りギア7の内径部分7cに嵌められ、腕先端の接触抵抗によりスリップ可能である。巻き取りリール8に使用済みテープ基材が巻かれて径が大きくなったとき、このスリップで小さくなったテープロール4の外径と巻かれた使用済みテープ基材外径の周速の違いが吸収される。【0030】以上は公知で広く使われている構成である。【0031】スライダー10は長穴10aがベース2のスライダーガイド部2cに挿入されスライド可能に保持される。またスライドばねフック10eとスライドばねかけ2eの間でスライドばね12が掛けられており、スライダー10は常に下方向に付勢されている。【0032】スライダー10の下側のヘッド軸部10cにはヘッド11の回転穴11aが挿入され、ヘッド11は回転可能に保持される。またヘッド軸部10cの先端はカバー3のスライダー保持穴3cで案内規制され、スライダー10の振れを排除しスライド移動を確実にしている。またヘッド11の下端には押圧部11が設けられている。この例ではローラー形状であるが、先端が尖ったヘラ形状でも問題はない。またローラー形状、ヘラ形状いずれも被転写面14(図示せず)に接触する部分を弾性体とするのが、転写性から好ましい。【0033】ヘッド11の他端には従動ボス11bが設けられ、カバー2の規制穴2dに勘合して案内される。従動ボス11bと規制穴2dはすべり対偶をなす。ベース側に固定ボスを、カバー側に規制穴を設けてもよく、また規制穴は溝形状でもよいのは言うまでも無い。【0034】以上の構成により、スライダー10とヘッド11が本体内方向に上に移動すると、同時にヘッド11は規制穴2dに案内されて反時計方向にわずかに回される。【0035】図6はこの状態を反対側のベース側からみているが、スライダー10のラチェット爪10bは供給ギア7のラチェットホイール7bの外周に当接している。スライダー10がスライドばね12によって下方向に復帰するとき、ラチェット爪10bがラチェットホイール7bを掻き下げるので、テープロール4は時計方向に回転駆動される。なお、この反対方向では弾性腕部10dが弾性変形しながらラチェットホイール7bの外周をすべるので、テープロール4は回転駆動されない。公知のワンウェイ構造である。【0036】図5はスライダー11が下に下げられた状態であるが、この状態でもラチェット爪10bはラチェットホイール7bの溝に入っているので、逆転防止が可能である。【0037】図7、図8は、使用者の手15で本体1が保持されて、この第一実施例が使われる状態を示している。本体1の片側をなすカバー3の表面には、方向指示矢印3eが刻印されている。【0038】図7は本体1をわずかに手前に傾けて水平方向に引く連続した転写を行う状態であるが、このとき、手前に傾いていることで、本体1を被転写面4に押し付ける力の反力はスライダーのスライド方向とは角度を有し、スライド方向の力は減じられるため、容易にスライダーは動かない。【0039】図8は本体1を傾けず真っ直ぐ上から下に強く押しこむスタンプ転写を行う状態である。図7に於けるヘッド11の位置を二点鎖線で示しているが、本体1を押し始めると、ヘッド11は、押圧部11dと被転写面14が接触したまま、この位置から反時計方向にわずかに回転させられ、押し切った実線で示す位置では、約9.6mm手前に移動し、結果的にこの長さの転写が行われる。【0040】以上二つの状態は、図7から図8に、あるいは図8から図7に途中で状態移動して連続的に転写を行うことも可能である。【0041】この第一実施例の配置に於いては、図3に示す通り、内巻きテープパス4aは巻き取りリールの右側外周を周回しながら押圧部11dを周回、折り返して巻き取りリール8にその先端を留められる。テープ基材は塗膜面を内側にして供給リール5に巻装されている。【0042】また図4に示すとおり、スタンプ転写時は、押圧部が本体内方向に移動する分、内巻きテープパス4aが大きく弛むが、テープロール外周から離れて押圧部に至る右側では、同時に押圧部も右側に大きく張り出すので、弛みはほとんど相殺される。【0043】押圧部で折り返され巻き取りリールに至る左側では、図3のように弛んだ分が蛇行するが、この部分は塗膜が転写された後なので基本的にはテープ基材上に塗膜が残っておらず、また、残っていたとしても転写後のごくわずかな量なので蛇行が及ぼす問題は無い。【0044】さらに、スタンプ転写後のスライダー11の復帰に伴って、緩みも再び元の状態に戻るので、スタンプ転写を繰り返しても、何ら問題は無い。【0045】図9から図13において、本発明の第二実施例を示す。【0046】基本的な部品構成は第一実施例と同様であるが、スライダー3の配置が右側に変わり、テープロール4は塗膜面を外側にして巻かれた外巻きに変わり、それに伴ってアイドルギア13とアイドルギア軸部2gが追加されている。またベース2には規制穴2dに代わって規制ボス2eが、ヘッド11には従動ボス11bに代わって従動穴11cが配設されている。外巻きテープパス4bはテープロール4の外周から離れた後、押圧部11dを周回し折り返して巻き取りリール8に留められる。使用時には供給リール5、巻き取りリール8ともに、カバー3側からみて、時計方向に回転する。【0047】第二実施例に示されるように、本発明の実施形態はひとつに限られるものではなく、テープロール4の巻装方法や外形に応じて、様々な展開が考えられる。
A
2012140100
2011000039
20110104
null
null
20120726
2012140100
20120726
null
null
5778428
20150717
null
null
null
インホイールモータ駆動装置
000102692
NTN株式会社
100087538
鳥居 和久
山本 哲也
null
8
B60K 7/00 (20060101), B60B 35/14 (20060101), H02K 5/06 (20060101), F16C 19/18 (20060101), F16C 35/077 (20060101), F16J 15/06 (20060101), F16B 37/04 (20060101)
B60K 7/00 ,B60B 35/14 Q ,H02K 5/06 ,F16C 19/18 ,F16C 35/077 ,F16J 15/06 C ,F16B 37/04 B ,F16J 15/06 H
14
null
null
null
0
13
3D235,3J040,3J117,3J701,5H605
3D235 AA01 ,3D235 BB18 ,3D235 BB19 ,3D235 BB25 ,3D235 CC42 ,3D235 GA04 ,3D235 GA13 ,3D235 GA32 ,3D235 GB04 ,3D235 GB32 ,3D235 GB35 ,3D235 HH06 ,3D235 HH42 ,3D235 HH43 ,3D235 HH51,3J040 AA01 ,3J040 AA12 ,3J040 AA17 ,3J040 BA02 ,3J040 FA05,3J117 AA01 ,3J117 DA01 ,3J117 DB02,3J701 AA02 ,3J701 AA32 ,3J701 AA43 ,3J701 AA54 ,3J701 AA62 ,3J701 BA56 ,3J701 EA63 ,3J701 FA51 ,3J701 GA03,5H605 CC01 ,5H605 CC02 ,5H605 GG06 ,5H605 GG16
【課題】アルミ合金等の軽金属で形成されたインホイールモータユニットのモータ部や減速部のハウジングと、車輪ハブ軸受部の固定輪もしくは固定輪に一体結合される部材とをボルト締結しても、十分な耐久性が得られるようにし、しかも、ボルト締結部分の肉厚を最小限に抑える。【解決手段】ボルト締結部材を構成する雄部材61又は雌部材62を鉄製材料によって形成し、この雄部材61又は雌部材62の一方を、減速部のハウジング22bをアルミ合金によって鋳造する際に、鋳込み成型によりハウジング22bにインサートする。【選択図】図2
【請求項1】ハブベアリングの固定輪もしくは固定輪に一体結合される部材と、インホイールモータユニットのハウジングとをボルト締結部材によって締結したインホイールモータ駆動装置において、前記モータユニットのハウジングを軽金属製によって構成し、ボルト締結部材を構成する雄部材又は雌部材を鉄製材料によって形成し、この雄部材又は雌部材の一方を前記ハウジングに配置したことを特徴とするインホイールモータ駆動装置。【請求項2】前記モータユニットのハウジングを鋳物によって構成し、ボルト締結部材を構成する雄部材又は雌部材の一方を、ハウジングの鋳造時にインサートしていることを特徴とする請求項1記載のインホイールモータ駆動装置。【請求項3】前記ハウジングに配置される雄部材又は雌部材に、ハウジングに対する抜け止め又は回り止め手段を設けている請求項1又は2記載のインホイールモータ駆動装置。【請求項4】前記ハウジングに配置される雄部材又は雌部材が、周方向に一体化されたリング状部材に形成されている請求項1~3のいずれかに記載のインホイールモータ駆動装置。【請求項5】前記リング状部材が鋳物又はプレス加工品である請求項4記載のインホイールモータ駆動装置。【請求項6】請求項2~5の雄部材又は雌部材に形成されるネジ部が、鋳込み後に加工されていることを特徴とするインホイールモータ駆動装置。【請求項7】前記雌部材がナットである請求項1~5のいずれかに記載のインホイールモータ駆動装置。【請求項8】前記請求項7のナットが袋ナットであるインホイールモータ駆動装置。【請求項9】前記雄部材がボルトである請求項1~8のいずれかに記載のインホイールモータ駆動装置。【請求項10】前記ボルトのネジ部を、前記ハウジングに鋳込んだ円筒部材に後加工により形成したことを特徴とする請求項9記載のインホイールモータ駆動装置。【請求項11】前記カラーが軸線方向に分割されている請求項4~6のいずれかに記載のインホイールモータ駆動装置。【請求項12】前記雄部材又は雌部材が配置される周方向位置よりも内径側に、内部オイル封止用のシール部材を配置している請求項1~11のいずれかに記載のインホイールモータ駆動装置。【請求項13】前記シール部材がOリングである請求項12記載のインホイールモータ駆動装置。【請求項14】請求項1~13のインホイールモータ駆動装置を備える車両。
【請求項1】ハブベアリングの固定輪もしくは固定輪に一体結合される部材と、インホイールモータユニットのハウジングとをボルト締結部材によって締結したインホイールモータ駆動装置において、前記モータユニットのハウジングを軽金属製によって構成し、ボルト締結部材を構成する雄部材又は雌部材を鉄製材料によって形成し、この雄部材又は雌部材の一方を前記ハウジングに配置したことを特徴とするインホイールモータ駆動装置。
【技術分野】【0001】この発明は、インホイールモータ駆動装置に関するものである。
【背景技術】【0002】従来のインホイールモータ駆動装置101は、例えば、特開2007-99106号公報(特許文献1)に記載されている。【0003】図11に示すインホイールモータ駆動装置101は、モータ側回転部材106を回転駆動するモータ部103と、前記モータ側回転部材106の回転を減速して車輪側回転部材108に伝達する減速部105と、前記車輪側回転部材108に固定連結される車輪ハブ109を有する車輪ハブ軸受部104とを備えている。【0004】上記構成のインホイールモータ駆動装置101は、モータ部103や減速部105の固定部材と、車輪ハブ軸受部104の固定輪もしくは固定輪に一体結合される部材とを何らかの方法で締結する必要がある。【0005】図11の例では、車輪ハブ軸受部104の固定輪102(固定側部材)と減速部105のハウジング107とをボルト110で締結している。
【発明が解決しようとする課題】【0007】ところで、上記のように、インホイールモータ駆動装置101においては、モータ部103や減速部105の固定部材と、車輪ハブ軸受部104の固定輪もしくは固定輪に一体結合される部材とを何らかの方法で締結する必要があり、ボルト締結する場合には、モータ部103や減速部105のハウジング107は、軽量化のために、通常、アルミ合金によって形成されているため、強度を確保する観点から、ボルト締結するためのねじ穴を鋼材に比べ、長く設定する必要がある。【0008】特許文献1に示されたインホイールモータユニットにおいても、ボルト締結部は比較的長めに設定されており、他の壁部よりも肉が厚く形成されている。【0009】そして、ボルト締結部の肉厚だけを厚くできない場合には、インホイールモータユニットの軸長が伸びてしまうため、全体の重量アップにつながるという問題が生じる。【0010】さらに、ボルト締結部のねじ穴を内部に貫通させることは、油漏れの観点から好ましくないため、ねじ穴を内部に貫通させないようにすると、肉厚がより厚くなるという問題も生じる。【0011】また、車輪ハブ軸受部は、頻度は少ないが交換するパーツであるため、締結ボルトの取付け、取り外しを行う必要があり、ねじ穴がアルミ合金であるというのは、耐久性の点で好ましくない。【0012】そこで、この発明は、アルミ合金等の軽金属で形成されたインホイールモータユニットのモータ部や減速部のハウジングと、車輪ハブ軸受部の固定輪もしくは固定輪に一体結合される部材とをボルト締結しても、十分な耐久性が得られるようにし、しかも、ボルト締結部分の肉厚を最小限に抑えることを課題とするものである。
【課題を解決するための手段】【0013】前記の課題を解決するために、この発明は、車輪ハブ軸受部の固定輪もしくは固定輪に一体結合される部材と、インホイールモータユニットのハウジングとをボルト締結部材によって締結したインホイールモータ駆動装置において、前記インホイールモータユニットのハウジングを軽金属製によって構成し、ボルト締結部材を構成する雄部材又は雌部材を鉄製材料によって形成し、この雄部材又は雌部材の一方を前記ハウジングに配置したことを特徴とする。【0014】前記鉄製材料によって形成された雄部材又は雌部材は、前記ハウジングを鋳造する際に、鋳込み成型によりインサートすることが好ましい。【0015】前記ハウジングに配置される雄部材又は雌部材は、ボルト締結する際に、抜け出したり、共回りしたりしないように、ハウジングに対して抜け止め又は回り止め手段を設けておくことが好ましい。【0016】前記ハウジングに配置される雄部材又は雌部材は、周方向に一体化されたリング状部材に形成してもよい。【0017】前記リング状部材は、鋳物又はプレス加工品を使用することができる。【0018】前記雄部材又は雌部材に形成されるねじ部は、鋳込み前に加工していてもよいし、鋳込み後に加工してもよい。【0019】前記雌部材は、ナット、特に、袋ナットで構成することができる。【0020】前記雄部材は、ボルトで構成することができる。【0021】前記ボルトは、ハウジングに軸部材を鋳込み、その後に、ねじ加工して形成してもよい。【0022】前記雄部材又は雌部材が配置される周方向位置よりも内径側のハウジングに、内部オイル封止用のシール部材を配置してもよい。【0023】前記シール部材としては、Oリングを使用することができる。
【発明の効果】【0024】この発明は、以上のように、軽金属製のハウジングに、ボルト締結部材を構成する鉄製材料からなる雄部材又は雌部材を配置しているので、ボルト締結の際の耐久性が良好で、ボルト締結部分の肉厚も最小限に抑えることができる。
【発明を実施するための形態】【0026】以下、この発明の実施の形態を添付図面に基づいて説明する。この発明の一実施形態に係るインホイールモータ駆動装置を備えた電気自動車11は、図9に示すように、シャーシ12と、操舵輪としての前輪13と、駆動輪としての後輪14と、左右の後輪14それぞれに駆動力を伝達するインホイールモータ駆動装置21とを備える。後輪14は、図10に示すように、シャーシ12のホイールハウジング12aの内部に収容され、懸架装置(サスペンション)12bを介してシャーシ12の下部に固定されている。【0027】懸架装置12bは、左右に伸びるサスペンションアームによって後輪14を支持すると共に、コイルスプリングとショックアブソーバとを含むストラットによって、後輪14が地面から受ける振動を吸収してシャーシ12の振動を抑制する。さらに、左右のサスペンションアームの連結部分には、旋回時等に車体の傾きを抑制するスタビライザーが設けられる。なお、懸架装置12bは、路面の凹凸に対する追従性を向上し、駆動輪の駆動力を効率良く路面に伝達するために、左右の車輪を独立して上下させることができる独立懸架式とするのが望ましい。【0028】この電気自動車11は、ホイールハウジング12a内部に、左右の後輪14それぞれを駆動するインホイールモータ駆動装置21を設けることによって、シャーシ12上にモータ、ドライブシャフト、およびデファレンシャルギヤ機構等を設ける必要がなくなるので、客室スペースを広く確保でき、かつ、左右の駆動輪の回転をそれぞれ制御することができるという利点を備えている。【0029】一方、この電気自動車11の走行安定性を向上するために、ばね下重量を抑える必要がある。また、さらに広い客室スペースを確保するために、インホイールモータ駆動装置21の小型・軽量化が求められる。【0030】インホイールモータ駆動装置21は、図1に示すように、駆動力を発生させるモータ部Aと、モータ部Aの回転を減速して出力する減速部Bと、減速部Bからの出力を駆動輪14に伝える車輪ハブ軸受部Cとを備え、モータ部Aと減速部Bとは、モータ部ハウジング22aと減速部ハウジング22bに収納されて、図10に示すように電気自動車11のホイールハウジング12a内に取り付けられる。【0031】モータ部Aは、モータ部ハウジング22aに固定されるステータ23と、ステータ23の内側に径方向の隙間を空けて対向する位置に配置されるロータ24と、ロータ24の内側に固定連結されてロータ24と一体回転するモータ側回転部材25とを備えるラジアルギャップモータである。ロータ24は、フランジ形状のロータ部24aと円筒形状の中空部24bとを有し、転がり軸受36a、36bによってモータ部ハウジング22aに対して回転自在に支持されている。【0032】モータ側回転部材25は、モータ部Aの駆動力を減速部Bに伝達するためにモータ部Aから減速部Bにかけて配置され、減速部B内に偏心部25a、25bを有する。このモータ側回転部材25は、ロータ24の中空部24bに嵌合固定されて、ロータ24と一体回転する。さらに、2つの偏心部25a、25bは、偏心運動による遠心力を互いに打ち消し合うために、180°位相を変えて設けられている。【0033】減速部Bは、偏心部25a、25bに回転自在に保持される公転部材としての曲線板26a、26bと、減速部ハウジング22b上の固定位置に保持され、曲線板26a、26bの外周部に係合する外周係合部材としての複数の外ピン27と、曲線板26a、26bの自転運動を車輪側回転部材28に伝達する運動変換機構と、偏心部25a、25bに隣接する位置にカウンタウェイト29とを備える。また、減速部Bには、減速部Bに潤滑油を供給する減速部潤滑機構が設けられている。【0034】車輪側回転部材28は、フランジ部28aと軸部28bとを有する。フランジ部28aの端面には、車輪側回転部材28の回転軸心を中心とする円周上の等間隔に内ピン31を固定する穴が形成されている。また、軸部28bはハブ輪32に嵌合固定され、減速部Bの出力を車輪14に伝達する。車輪側回転部材28のフランジ部28aとモータ側回転部材25とは、転がり軸受36cによって回転自在に支持されている。【0035】曲線板26a、26bは、図8に示すように、外周部にエピトロコイド等のトロコイド系曲線で構成される複数の波形を有し、一方側端面から他方側端面に貫通する複数の貫通孔30aを有する。貫通孔30aは、曲線板26a、26bの自転軸心を中心とする円周上に等間隔に複数個設けられており、後述する内ピン31を受入れる。また、貫通孔30bは、曲線板26a、26bの中心に設けられており、偏心部25a、25bに嵌合する。【0036】曲線板26a、26bは、転がり軸受41によって偏心部25a、25bに対して回転自在に支持されている。この転がり軸受41は、偏心部25a、25bの外径面に嵌合し、その外径面に内側軌道面を有する内輪部材と、曲線板26a、26bの貫通孔30bの内径面に直接形成された外側軌道面と、内側軌道面および外側軌道面の間に配置される複数の円筒ころ44と、隣接する円筒ころ44の間隔を保持する保持器(図示省略)とを備える円筒ころ軸受である。【0037】外ピン27は、モータ側回転部材25の回転軸心を中心とする円周軌道上に等間隔に設けられる。曲線板26a、26bが公転運動すると、曲線形状の波形と外ピン27とが係合して、曲線板26a、26bに自転運動を生じさせる。ここで、外ピン27は、針状ころ軸受によって減速部ハウジング22bに対して回転自在に支持されている。これにより、曲線板26a、26bとの間の接触抵抗を低減することができる。【0038】カウンタウェイト29は、円板状で、中心から外れた位置にモータ側回転部材25と嵌合する貫通孔を有し、曲線板26a、26bの回転によって生じる不釣合い慣性偶力を打ち消すために、各偏心部25a、25bに隣接する位置に偏心部と180°位相を変えて配置される。【0039】運動変換機構は、車輪側回転部材28に保持された複数の内ピン31と、曲線板26a、26bに設けられた貫通孔30aとで構成される。内ピン31は、車輪側回転部材28の回転軸心を中心とする円周軌道上に等間隔に設けられており、その軸方向一方側端部が車輪側回転部材28に固定されている。また、曲線板26a、26bとの摩擦抵抗を低減するために、曲線板26a、26bの貫通孔30aの内壁面に当接する位置に針状ころ軸受が設けられている。【0040】貫通孔30aは、複数の内ピン31それぞれに対応する位置に設けられ、貫通孔30aの内径寸法は、内ピン31の外径寸法(「針状ころ軸受を含む最大外径」を指す。以下同じ。)より所定分大きく設定されている。【0041】減速部潤滑機構は、減速部Bに潤滑油を供給するものであって、潤滑油路25cと、潤滑油給油口25dと、潤滑油排出口22cと、潤滑油貯留部22dと、回転ポンプ51と、循環油路22gとを備える。【0042】潤滑油路25cは、モータ側回転部材25の内部を軸線方向に沿って延びている。また、潤滑油供給口25dは、潤滑油路25cからモータ側回転部材25の外径面に向かって延びている。なお、この実施形態において、潤滑油供給口25dは、偏心部25a、25bに設けられている。【0043】また、減速部Bの位置における減速部ハウジング22bの少なくとも1箇所には、減速部B内部の潤滑油を排出する潤滑油排出口22cが設けられている。また、潤滑油排出口22cと潤滑油路25cとを接続する循環油路22gがモータ部ハウジング22aの内部に設けられている。そして、潤滑油排出口22cから排出された潤滑油は、循環油路22gを経由して潤滑油路25cに還流する。【0044】さらに、減速部潤滑機構は、循環油路22gを通過する潤滑油を冷却する冷却手段をさらに有する。この実施形態における冷却手段は、モータ部ハウジング22bに設けられた冷却水路22eを備え、冷却手段は、潤滑油のみならず、モータ部Aの冷却にも寄与する。【0045】車輪ハブ軸受部Cは、車輪側回転部材28に固定連結された車輪14を取付けるハブ輪32と、ハブ輪32を減速部ハウジング22bに対して回転自在に保持する固定輪33とを備える。ハブ輪32は、円筒形状の中空部32aとフランジ部32bとを有する。フランジ部32bにはボルト32cによって車輪14が固定連結される。また、車輪側回転部材28の軸部28bの外径面にはスプラインおよび雄ねじが形成されている。また、ハブ輪32の中空部32aの内径面にはスプライン穴が形成されている。そして、ハブ輪32の内径面に車輪側回転部材28を螺合し、先端をナット32dでとめることによって、両者を締結している。【0046】ハブ輪32は、中空部32aの外面に車輪取付けフランジ32bが一体形成されている。中空部32aの車両アウター側の外径面には、アウター側軌道面が一体に形成され、中空部32aの車両インナー側の外径面に、外面にインナー側軌道面を有する内輪32cを嵌合している。【0047】固定輪33は、内周面に、ハブ輪32のアウター側軌道面とインナー側軌道面に対向するアウター側軌道面とインナー側軌道面を有し、外周面に、固定用フランジ33aを有する。【0048】ハブ輪32と固定輪33の対向するアウター側軌道面とインナー側軌道面間には、複列の玉34が収容されている。【0049】固定輪33の固定用フランジ33aと減速部ハウジング22bとは、ボルト締結部材によって締結されている。【0050】ボルト締結部材は、鉄製材料によって形成された雄部材61と雌部材62とによって構成され、図1及び図2の実施形態では、雄部材61としてボルトを採用し、ボルトを固定用フランジ33aに形成したボルト挿通孔に挿通し、ボルトの端部を、減速部ハウジング22bに配置した雌部材62にねじ込んで、固定輪33の固定用フランジ33aと減速部ハウジング22bとを締結している。【0051】図1及び図2の実施形態で採用している雌部材62は、図3に示すように、ねじ穴を有する筒部62aと、筒部62aの端部外周に形成されたフランジ部62bとからなり、減速部ハウジング22bをアルミ合金によって鋳造する際に、鋳込み成型により減速部ハウジング22bにインサートされている。【0052】図3に示す雌部材62は、ボルト締結時に軸方向に抜け出さないように、筒部62aの端部外周に、フランジ部62bを形成している。また、この雌部材62の筒部62aの外形は、ボルト締結時に共回りしないように、単純円筒ではなく、D形に形成している。【0053】ボルト締結時の回り止め手段としては、上記D形の他に、例えば、花びら形状、楕円形状にすることもできる。また、外形をローレット加工してもよいし、ボルト締結時の抜け止め手段として、軸方向に段差を付けてもよい。【0054】上記雌部材62は、減速部ハウジング22bに、ボルトの本数に対応して、ボルトと同数を個別に配置してもよいが、図4に示すように、リング状部材63を減速部ハウジング22bにインサートし、リング状部材63に必要な数のねじ穴64を形成するようにしてもよい。【0055】リング状部材63は、ねじ穴64以外の部分は、軽量化のために、薄肉部65にしておくことが望ましい。【0056】次に、図5の実施形態は、雌部材62として、鉄製のナットを使用した例である。【0057】また、図6の実施形態は、雌部材62として、鉄製の袋ナットを使用した例である。【0058】また、図7の実施形態は、雄部材61として鉄製のボルトを使用し、このボルトの頭部を減速部ハウジング22bにインサートし、雌部材62としてのナットを、固定輪33の固定用フランジ33aに配置した例を示している。【0059】このボルトを減速部ハウジング22bにインサートする実施形態では、鋳込み時に、ねじ加工していない軸部材をインサートし、インサート後に、軸部材にねじ加工を施すようにしてもよい。【0060】以上の実施形態では、固定輪33の固定用フランジ33aと減速部ハウジング22bとの間の突き合わせ面の密封性を向上させて、内部からのオイル漏れを防止するために、締結ボルトが配置される周方向位置の内径側にシール部材66を配置している。【0061】シール部材66としては、Oリングを使用することができる。【0062】以下、インホイールモータ駆動装置21の作動原理について説明する。モータ部Aは、例えば、ステータ23のコイルに交流電流を供給することによって生じる電磁力を受けて、永久磁石または磁性体によって構成されるロータ24が回転する。これにより、ロータ24に接続されたモータ側回転部材25が回転すると、曲線板26a、26bはモータ側回転部材25の回転軸心を中心として公転運動する。このとき、外ピン27が、曲線板26a、26bの曲線形状の波形と係合して、曲線板26a、26bをモータ側回転部材25の回転とは逆向きに自転運動させる。【0063】貫通孔30aに挿通する内ピン31は、曲線板26a、26bの自転運動に伴って貫通孔30aの内壁面と当接する。これにより、曲線板26a、26bの公転運動が内ピン31に伝わらず、曲線板26a、26bの自転運動のみが車輪側回転部材28を介して車輪ハブ軸受部Cに伝達される。【0064】このとき、モータ側回転部材25の回転が減速部Bによって減速されて車輪側回転部材28に伝達されるので、低トルク、高回転型のモータ部Aを採用した場合でも、駆動輪14に必要なトルクを伝達することが可能となる。【0065】なお、上記構成の減速部Bの減速比は、外ピン27の数をZA、曲線板26a、26bの波形の数をZBとすると、(ZA-ZB)/ZBで算出される。図8に示す実施形態では、ZA=12、ZB=11であるので、減速比は1/11と、非常に大きな減速比を得ることができる。【0066】このように、多段構成とすることなく大きな減速比を得ることができる減速部Bを採用することにより、コンパクトで高減速比のインホイールモータ駆動装置21を得ることができる。また、外ピン27および内ピン31に針状ころ軸受を設けたことにより、曲線板26a、26bとの間の摩擦抵抗が低減されるので、減速部Bの伝達効率が向上する。【0067】上記の実施形態に係るインホイールモータ駆動装置21を電気自動車11に採用することにより、ばね下重量を抑えることができる。その結果、走行安定性に優れた電気自動車11を得ることができる。【0068】また、上記の実施形態においては、潤滑油供給口25dを偏心部25a、25bに設けた例を示したが、これに限ることなく、モータ側回転部材25の任意の位置に設けることができる。ただし、転がり軸受41に安定して潤滑油を供給する観点からは、潤滑油供給口25dは偏心部25a、25bに設けるのが望ましい。【0069】また、上記の実施形態においては、減速部Bの曲線板26a、26bを180°位相を変えて2枚設けたが、この曲線板の枚数は任意に設定することができ、例えば、曲線板を3枚設ける場合は、120°位相を変えて設けるとよい。【0070】また、上記の実施形態における運動変換機構は、車輪側回転部材28に固定された内ピン31と、曲線板26a、26bに設けられた貫通孔30aとで構成される例を示したが、これに限ることなく、減速部Bの回転を車輪ハブ32に伝達可能な任意の構成とすることができる。例えば、曲線板に固定された内ピンと、車輪側回転部材に形成された穴とで構成される運動変換機構であってもよい。【0071】なお、上記の実施形態における作動の説明は、各部材の回転に着目して行ったが、実際にはトルクを含む動力がモータ部Aから駆動輪に伝達される。したがって、上述のように減速された動力は高トルクに変換されたものとなっている。【0072】また、上記の実施形態における作動の説明では、モータ部Aに電力を供給してモータ部Aを駆動させ、モータ部Aからの動力を駆動輪14に伝達させたが、これとは逆に、車両が減速したり坂を下ったりするようなときは、駆動輪14側からの動力を減速部Bで高回転低トルクの回転に変換してモータ部Aに伝達し、モータ部Aで発電しても良い。さらに、ここで発電した電力は、バッテリーに蓄電しておき、後でモータ部Aを駆動させたり、車両に備えられた他の電動機器等の作動に用いたりしてもよい。【0073】また、上記の各実施形態においては、モータ部Aにラジアルギャップモータを採用した例を示したが、これに限ることなく、任意の構成のモータを適用可能である。例えばハウジングに固定されるステータと、ステータの内側に軸方向の隙間を空けて対向する位置に配置されるロータとを備えるアキシアルギャップモータであってもよい。【0074】また、上記の各実施形態においては、減速部Bにサイクロイド減速機構を採用したインホイールモータ駆動装置21の例を示したが、これに限ることなく、任意の減速機構を採用することができる。例えば、遊星歯車減速機構や平行軸歯車減速機構等が該当する。また、この発明は、減速機がないインホイールモータユニット(モータダイレクト駆動)においても適用可能である。【0075】さらに、図9に示した電気自動車11は、後輪14を駆動輪とした例を示したが、これに限ることなく、前輪13を駆動輪としてもよく、4輪駆動車であってもよい。なお、本明細書中で「電気自動車」とは、電力から駆動力を得る全ての自動車を含む概念であり、例えば、ハイブリッドカー等をも含むものとして理解すべきである。【0076】以上、図面を参照してこの発明の実施形態を説明したが、この発明は、図示した実施形態のものに限定されない。図示した実施形態に対して、この発明と同一の範囲内において、あるいは均等の範囲内において、種々の修正や変形を加えることが可能である。
A
2012140101
2011000184
20110104
null
null
20120726
2012140101
20120726
null
null
5618840
20140926
null
null
null
飛行体の飛行制御システム
000220343
株式会社トプコン
100083563
三好 祥二
大友 文夫,大谷 仁志
null
8
B64C 13/20 (20060101), B64C 39/02 (20060101), B64D 47/08 (20060101), G05D 1/04 (20060101), G01C 5/00 (20060101), G01C 11/06 (20060101)
B64C 13/20 Z ,B64C 39/02 ,B64D 47/08 ,G05D 1/04 ,G01C 5/00 Z ,G01C 11/06
6
null
null
null
0
14
5H301
5H301 AA06 ,5H301 CC07 ,5H301 GG03 ,5H301 GG09 ,5H301 GG17 ,5H301 LL06 ,5H301 LL11
【課題】簡便に而も高精度に飛行体の高さ位置の測定を可能とし、無人飛行時の飛行体の飛行高度を適正に制御可能とした飛行制御システムを提供する。【解決手段】飛行体と、該飛行体に設けられた航行手段8,9,10,11と、位置測定部17と、前記航行手段を制御する飛行制御部18と、前記位置測定部と前記飛行制御部とを制御する主演算制御部19とを具備し、前記位置測定部は飛行体の地上座標を測定するGPS装置23と、飛行体下方のデジタル画像を撮像する鉛直カメラ13とを有し、該鉛直カメラにより撮像した2地点の画像と、前記GPS装置により測定した2地点の地上座標と、前記鉛直カメラの焦点距離に基づき前記飛行体の高度を測定し、前記主演算制御部は測定された高度に基づき前記飛行制御部を介して前記航行手段を制御し、前記飛行体を所定の高度で飛行させる。【選択図】図2
【請求項1】飛行体と、該飛行体に設けられた航行手段と、位置測定部と、前記航行手段を制御する飛行制御部と、前記位置測定部と前記飛行制御部とを制御する主演算制御部とを具備し、前記位置測定部は飛行体の地上座標を測定するGPS装置と、飛行体下方のデジタル画像を撮像する鉛直カメラとを有し、該鉛直カメラにより撮像した2地点の画像と、前記GPS装置により測定した2地点の地上座標と、前記鉛直カメラの焦点距離に基づき前記飛行体の高度を測定し、前記主演算制御部は測定された高度に基づき前記飛行制御部を介して前記航行手段を制御し、前記飛行体を所定の高度で飛行させることを特徴とする飛行体の飛行制御システム。【請求項2】前記位置測定部は、第1地点から第2地点迄連続して画像を取得し、第1地点で取得した画像から特徴点を抽出し、第1地点から第2地点に至る画像中で画像トラッキングにより第2地点での画像中に第1地点の画像中の特徴点を特定し、第1地点の画像と第2地点の画像に基づき、前記特徴点に対応する地表の測定点の3次元測定を行う請求項1の飛行体の飛行制御システム。【請求項3】前記主演算制御部には、飛行計画データが設定され、該主演算制御部は前記飛行計画データに基づき前記飛行体の飛行コース、飛行高度を制御する請求項1又は請求項2の飛行体の飛行制御システム。【請求項4】前記飛行体は鉛直カメラを傾斜させる傾動機構部を具備し、前記飛行体が傾斜面を飛行する場合は、前記傾動機構部が前記鉛直カメラの光軸が傾斜面に対して垂直となる様に前記鉛直カメラを傾斜させる請求項1の飛行体の飛行制御システム。【請求項5】前記飛行体は前方の画像を取得する前視カメラを具備し、前記主演算制御部は前記前視カメラが取得した画像から前方の障害物を判断し、障害物の回避を行う請求項1又は請求項4の飛行体の飛行制御システム。【請求項6】前記飛行体は、飛行体の傾斜を検出する姿勢検出器を具備し、該姿勢検出器は前記鉛直カメラの撮像時の前記飛行体の傾斜を検出し、前記位置測定部は、前記飛行体の傾斜に基づき第1地点の画像と第2地点の画像とを絶対標定する請求項1乃至請求項4のいずれか1つの飛行体の飛行制御システム。
【請求項1】飛行体と、該飛行体に設けられた航行手段と、位置測定部と、前記航行手段を制御する飛行制御部と、前記位置測定部と前記飛行制御部とを制御する主演算制御部とを具備し、前記位置測定部は飛行体の地上座標を測定するGPS装置と、飛行体下方のデジタル画像を撮像する鉛直カメラとを有し、該鉛直カメラにより撮像した2地点の画像と、前記GPS装置により測定した2地点の地上座標と、前記鉛直カメラの焦点距離に基づき前記飛行体の高度を測定し、前記主演算制御部は測定された高度に基づき前記飛行制御部を介して前記航行手段を制御し、前記飛行体を所定の高度で飛行させることを特徴とする飛行体の飛行制御システム。
【技術分野】【0001】本発明は、飛行体の無人飛行時の飛行高度を適正に制御する飛行体の飛行制御システムに関するものである。
【背景技術】【0002】上空からの写真撮影、或は上空からの測量では、地上からの写真撮影、地上での測量では得られない情報が得られ、或は人の立入れない場所の写真撮影、測量が困難な場所の情報が得られる。又、近年、遠隔操作される小型飛行機、小型ヘリコプタ等の小型飛行体の性能向上、遠隔操作技術の向上、更に撮像装置の性能の向上、小型化の促進等により小型飛行体に撮像装置を搭載し、遠隔操作により上空からの写真撮影が無人で行える様になっている。更に、予め設定した飛行スケジュールに従い、自律飛行も可能となっている。【0003】小型飛行体を遠隔操作により飛行させる場合、或は自律飛行させる場合、飛行中の小型飛行体自体の正確な位置情報が必要となる。【0004】位置情報を取得する手段として、近年ではGPS位置測定装置が普及しており、簡便に地心座標(平面位置情報)が測定できる様になっている。更に、GPS位置測定装置の小型軽量化が進み、飛行体等にも容易に設置することが可能となっている。【0005】GPS位置測定装置は、地心座標については高い精度で測定することができるが、地表からの高さについては測定精度が悪い。小型飛行体が飛行する地域は、必ずしも平坦とは限らず、地表の起伏、或は構築物、建造物のある場合では、地表の起伏、或は構築物、建造物に合わせて小型飛行体の高度を制御する必要がある。【0006】この為、高さ測定の精度を上げるには、別途、地表迄の高さを測定する測定装置を用意する必要がある。ところが、小型飛行体の飛行能力から、小型飛行体の搭載能力は、数百グラムと極めて限定されており、種々の測定機器を搭載することは困難である。
【発明が解決しようとする課題】【0008】本発明は斯かる実情に鑑み、簡便に而も高精度に飛行体の高さ位置の測定を可能とし、無人飛行時の飛行体の飛行高度を適正に制御可能とした飛行制御システムを提供するものである。
【課題を解決するための手段】【0009】本発明は、飛行体と、該飛行体に設けられた航行手段と、位置測定部と、前記航行手段を制御する飛行制御部と、前記位置測定部と前記飛行制御部とを制御する主演算制御部とを具備し、前記位置測定部は飛行体の地上座標を測定するGPS装置と、飛行体下方のデジタル画像を撮像する鉛直カメラとを有し、該鉛直カメラにより撮像した2地点の画像と、前記GPS装置により測定した2地点の地上座標と、前記鉛直カメラの焦点距離に基づき前記飛行体の高度を測定し、前記主演算制御部は測定された高度に基づき前記飛行制御部を介して前記航行手段を制御し、前記飛行体を所定の高度で飛行させる飛行体の飛行制御システムに係るものである。【0010】又本発明は、前記位置測定部は、第1地点から第2地点迄連続して画像を取得し、第1地点で取得した画像から特徴点を抽出し、第1地点から第2地点に至る画像中で画像トラッキングにより第2地点での画像中に第1地点の画像中の特徴点を特定し、第1地点の画像と第2地点の画像に基づき、前記特徴点に対応する地表の測定点の3次元測定を行う飛行体の飛行制御システムに係るものである。【0011】又本発明は、前記主演算制御部には、飛行計画データが設定され、該主演算制御部は前記飛行計画データに基づき前記飛行体の飛行コース、飛行高度を制御する飛行体の飛行制御システムに係るものである。【0012】又本発明は、前記飛行体は鉛直カメラを傾斜させる傾動機構部を具備し、前記飛行体が傾斜面を飛行する場合は、前記傾動機構部が前記鉛直カメラの光軸が傾斜面に対して垂直となる様に前記鉛直カメラを傾斜させる飛行体の飛行制御システムに係るものである。【0013】又本発明は、前記飛行体は前方の画像を取得する前視カメラを具備し、前記主演算制御部は前記前視カメラが取得した画像から前方の障害物を判断し、障害物の回避を行う飛行体の飛行制御システムに係るものである。【0014】又本発明は、前記飛行体は、飛行体の傾斜を検出する姿勢検出器を具備し、該姿勢検出器は前記鉛直カメラの撮像時の前記飛行体の傾斜を検出し、前記位置測定部は、前記飛行体の傾斜に基づき第1地点の画像と第2地点の画像とを絶対標定する飛行体の飛行制御システムに係るものである。
【発明の効果】【0015】本発明によれば、飛行体と、該飛行体に設けられた航行手段と、位置測定部と、前記航行手段を制御する飛行制御部と、前記位置測定部と前記飛行制御部とを制御する主演算制御部とを具備し、前記位置測定部は飛行体の地上座標を測定するGPS装置と、飛行体下方のデジタル画像を撮像する鉛直カメラとを有し、該鉛直カメラにより撮像した2地点の画像と、前記GPS装置により測定した2地点の地上座標と、前記鉛直カメラの焦点距離に基づき前記飛行体の高度を測定し、前記主演算制御部は測定された高度に基づき前記飛行制御部を介して前記航行手段を制御し、前記飛行体を所定の高度で飛行させるので、地形の変化がある場所でも適正な自律飛行が可能となる。【0016】又本発明によれば、前記位置測定部は、第1地点から第2地点迄連続して画像を取得し、第1地点で取得した画像から特徴点を抽出し、第1地点から第2地点に至る画像中で画像トラッキングにより第2地点での画像中に第1地点の画像中の特徴点を特定し、第1地点の画像と第2地点の画像に基づき、前記特徴点に対応する地表の測定点の3次元測定を行うので、地表面の画像を適正な状態で撮影できると共に地表面の3次元データを合わせて取得できる。【0017】又本発明によれば、前記飛行体は鉛直カメラを傾斜させる傾動機構部を具備し、前記飛行体が傾斜面を飛行する場合は、前記傾動機構部が前記鉛直カメラの光軸が傾斜面に対して垂直となる様に前記鉛直カメラを傾斜させるので、地表の状態に拘らず、射影歪み(投影歪み)のない鮮明な画像を取得できる。【0018】又本発明によれば、前記飛行体は前方の画像を取得する前視カメラを具備し、前記主演算制御部は前記前視カメラが取得した画像から前方の障害物を判断し、障害物の回避を行うので、障害物のある場所でも、自律飛行が可能である。【0019】又本発明によれば、前記飛行体は、飛行体の傾斜を検出する姿勢検出器を具備し、該姿勢検出器は前記鉛直カメラの撮像時の前記飛行体の傾斜を検出し、前記位置測定部は、前記飛行体の傾斜に基づき第1地点の画像と第2地点の画像とを絶対標定するので、撮影時に飛行体が傾斜していた場合でも、精度の高い高度測定、地表の3次元測定が可能となる等の優れた効果を発揮する。
【発明を実施するための形態】【0021】以下、図面を参照しつつ本発明の実施例を説明する。【0022】先ず、図1に於いて、本発明に係る飛行体の飛行高度制御システムの概略を説明する。【0023】図1中、1は自律飛行する飛行体、2は地上に設置される基地制御装置であり、該基地制御装置2は、前記飛行体1とデータ通信可能であり、前記飛行体1の飛行の制御、飛行計画の設定、変更、前記飛行体1が収集した情報を保存、管理する。【0024】前記飛行体1は、例えば自律飛行する小型飛行体としてのヘリコプタである。該ヘリコプタ1は前記基地制御装置2から遠隔操作で操縦され、或は前記基地制御装置2から前記ヘリコプタ1の制御装置(後述)に飛行計画が設定され、飛行計画に従って、自律飛行する。【0025】前記ヘリコプタ1は、機体3、該機体3に設けられた所要数のプロペラ、例えば前後左右、計4組のプロペラ4,5,6,7を有し、該プロペラ4,5,6,7はそれぞれ個別に第1モータ8、第2モータ9、第3モータ10、第4モータ11(後述)に連結され、又後述する様に各第1モータ8、第2モータ9、第3モータ10、第4モータ11は独立して駆動が制御される様になっている。尚、前記プロペラ4,5,6,7及び前記第1モータ8、第2モータ9、第3モータ10、第4モータ11等は飛行体の航行手段を構成する。【0026】前記ヘリコプタ1の機体3には、撮像装置及び制御装置が設けられている。撮像装置はデジタル画像を取得する、鉛直カメラ13と前視カメラ14から構成される。該鉛直カメラ13、該前視カメラ14は、静止画像を所定時間間隔で撮像するカメラであってもよいし、或は画像を連続的に撮像するビデオカメラであってもよい。前記鉛直カメラ13は前記機体3の下面に設けられている。又、撮像装置は、撮像素子として、画素(ピクセル)の集合体であるCCD、CMOSセンサを有しており、各画素は撮像素子上の位置(座標)が特定でき、更に各画素の画角が分る様になっている。【0027】前記鉛直カメラ13は、前記機体3の基準位置(例えば機械中心)を通過する光軸15を有し、該光軸15は、前記ヘリコプタ1が水平姿勢で、鉛直線と合致する。前記鉛直カメラ13は、角度θの視野角を持っており、航空写真用の画像を取得可能となっている。又、該鉛直カメラ13で撮像した画像は、後述する様に、位置測定用の画像データとしても使用される。【0028】前記鉛直カメラ13は、好ましくは、前記光軸15が前記基準位置を中心として進行・後退方向(以下、前後方向)に傾動する様に支持され、又前記鉛直カメラ13を前後方向に傾動させる傾動機構部30(後述)が設けられている。該傾動機構部30は前記光軸15が地表に対して常に垂直となる様に前記鉛直カメラ13の傾きを調整する。【0029】又、前記前視カメラ14は、前記機体3の前面に設けられ、前方の画像を取得するものであり、前記前視カメラ14の光軸は、水平であるか、又は水平から下方に所要角度傾斜している。従って、前記前視カメラ14は、前記ヘリコプタ1が飛行する前方の景色を撮影し、撮影した画像は、前方の地形の変化の判断、或は障害物の認識に供される。【0030】前記鉛直カメラ13、前記前視カメラ14で撮像された画像は、撮像した時間、GPS装置23(後述)で測定した地心座標、ジャイロユニット29(後述)で検出した前記ヘリコプタ1の姿勢状態(飛行体の傾斜)に関連付けられて、後述する第1記憶部25に格納される。【0031】図2は、前記機体3に設けられる制御装置16を示している。該制御装置16は、主に位置測定部17、飛行制御部18、主演算制御部19、通信部20、電源部21から構成される。【0032】前記位置測定部17は、平面位置測定装置としてのGPS装置23、位置演算用CPU24、第1記憶部25、前記鉛直カメラ13、前記前視カメラ14等から構成される。【0033】前記GPS装置23は、前記ヘリコプタ1の基準位置、例えば機械中心を測定する様に構成され、又、前記GPS装置23の測定値は地心座標(絶対座標)系から求められる地上座標系を表すので、前記GPS装置23は前記基準位置の地上座標系の座標を測定する。【0034】又、前記ヘリコプタ1が水平姿勢で、前記鉛直カメラ13が基準姿勢では、前記光軸15は前記基準位置を通過すると共に鉛直となっている。従って、前記鉛直カメラ13は、前記ヘリコプタ1直下の所要の画角θの範囲の画像を取得可能であり、更に画像の中心は前記基準位置と合致する様に設定されている。【0035】前記第1記憶部25には、前記鉛直カメラ13で取得した画像及び画像を取得した位置、時刻が前記画像に関連付けられて格納される様になっており、更に、画像を取得した時刻に同期させて前記GPS装置23によって前記ヘリコプタ1の地上座標が測定され、測定された地上座標も画像を取得した時刻に関連付けられて前記第1記憶部25に格納される様になっている。【0036】又、前記第1記憶部25には、画像処理プログラム、3次元位置測定プログラム、撮像制御プログラム等のプログラムが格納されている。更に、前記第1記憶部25には、前記鉛直カメラ13、前記前視カメラ14で撮像された画像が、撮像時の時間、地上座標、前記ヘリコプタ1の姿勢状態(飛行体の傾斜)に関連付けられて格納される。【0037】前記画像処理プログラムは、前記鉛直カメラ13で取得した画像から特徴点を抽出する等の画像処理を行い、又前記前視カメラ14で取得した画像から、前方の地形を判断し、或は前方の障害物の認識を行う。前記3次元位置測定プログラムは、前記鉛直カメラ13の画像から抽出した特徴点に基づき、前方交会法、後方交会法等の測定方法により前記ヘリコプタ1の高さ距離を演算する。又、前記GPS装置23の測定結果から、地上座標を求め、求めた高さ距離と地上座標により前記機体3の3次元位置を演算する。前記撮像制御プログラムは、前記鉛直カメラ13、前記前視カメラ14の撮像状態を制御する。【0038】前記飛行制御部18は、前記第1モータ8、第2モータ9、第3モータ10、第4モータ11及びこれらモータを個別に駆動制御するモータコントローラ26、該モータコントローラ26を制御する飛行制御用CPU27、第2記憶部28、前記ヘリコプタ1の水平に対する姿勢状態(傾斜)を検出して姿勢状態信号を発する姿勢検出器、例えばジャイロユニット29を具備する。【0039】前記第2記憶部28には、前記位置測定部17からの飛行誘導データに基づき、飛行速度、上昇速度、降下速度、飛行方向、飛行高度等の飛行状態を演算する飛行制御プログラム、前記ジャイロユニット29からの前記姿勢状態信号に基づき姿勢制御用の情報を演算する姿勢制御プログラム等が格納されている。前記飛行制御用CPU27は、前記飛行制御プログラムに基づき飛行制御指令を前記モータコントローラ26に送出して該モータコントローラ26を介して前記第1モータ8、第2モータ9、第3モータ10、第4モータ11を制御し、所定の飛行を実行し、又前記姿勢制御プログラムに基づき姿勢制御指令を前記モータコントローラ26に送出して、該モータコントローラ26を介して前記第1モータ8、第2モータ9、第3モータ10、第4モータ11をそれぞれ制御することで、前記ヘリコプタ1の姿勢を所望の状態(例えば水平状態)に制御する。【0040】前記主演算制御部19は、主CPU32、第3記憶部33、入出力制御部34を具備し、該第3記憶部33には、統合プログラム、飛行制御プログラム、測量画像処理プログラム、通信制御プログラム、撮像部姿勢制御プログラム等のプログラム及び飛行計画データが格納されている。該飛行計画データに格納されているデータとしては、例えば飛行コース、飛行高度、撮影する場所、範囲等である。【0041】前記統合プログラムは、前記位置測定部17、前記飛行制御部18を統合して制御する。前記飛行制御プログラムは、飛行計画データに基づき自律飛行する様、前記飛行制御部18に飛行制御信号を発し、又、前記位置測定部17からの高度測定結果に基づき、地表に対し所定の高度を維持する様前記飛行制御部18に飛行制御信号を発する。更に前記飛行制御プログラムは、前記前視カメラ14からの画像に基づき、前方の地形の変化の判断、或は障害物の認識を行い、危険回避、飛行コース変更の判断を行う。【0042】又、撮像部姿勢制御プログラムは、地形の変化の判断に基づき、前記傾動機構部30を制御して前記鉛直カメラ13の光軸15が地表に対して垂直になる様、前記鉛直カメラ13の傾きを制御する。【0043】前記通信部20は、無線通信部35、情報通信部36等からなり、前記無線通信部35は、地上基地からの遠隔飛行制御指令を受信し、又ヘリコプタ1の飛行状態を地上基地に通信する。又、前記情報通信部36は、無線LAN或はブルートゥース(Bluetooth:登録商標)等の通信手段を用いて地上基地とヘリコプタ1間の情報の授受を行うものであり、例えば前記ヘリコプタ1が基地に着陸した状態で、前記飛行計画データが基地から前記ヘリコプタ1に送信され、或は飛行中撮像した画像、位置、時刻情報がヘリコプタ1から基地に送信される。【0044】前記電源部21は、例えば可充電電池であり、基地に着陸した状態で充電され、飛行中は前記位置測定部17、前記飛行制御部18、前記主演算制御部19、前記通信部20、前記傾動機構部30に必要な電力を供給する。【0045】前記鉛直カメラ13が取得した画像、前記位置測定部17が測定した位置情報は、前記通信部20を介して前記基地制御装置2に通信され、該基地制御装置2では、前記画像からステレオ画像を作成し、或はステレオ画像に基づき写真測量を行う。【0046】次に、写真測量の測定精度について、図3を参照して説明する。【0047】図3は、写真測量に用いられる画像が、撮影距離(高さ)H、撮影基線長B、カメラの焦点距離f、カメラの画素サイズΔpで撮像され、この条件での平面精度Δxyと高さ精度Δzとを示している。【0048】平面精度:Δxy=H×Δp/f【0049】高さ精度:Δz=H×H×Δp/(B×f)となる。【0050】従って、高さ精度ΔzはH2に比例するので、撮影距離Hを正確に維持すること、即ち前記機体3の高度を所定の値に正確に維持することが精度の高い写真測量を実行する条件となる。ここで撮影距離Hは、画像中の特徴点について演算した高さ距離であり、更に特徴点の高さ距離の加重平均値であり、或いは画像について得られた3次元座標全体の平均でもよい。【0051】前記機体3の高度を所定の値に維持する為に、前記機体3の高度を測定する測定方法としては、レーザ測量機を搭載し、該レーザ測量機により測定した高度に基づき前記機体3の飛行高度を制御することも考えられるが、レーザ測量機は高価であり、又前記機体3の機器構成が複雑となる。何よりも、前記機体3の搭載能力による制限がある。本実施例では、既に搭載されている鉛直カメラ13を用いて、機体3の高度をリアルタイムで測定し、更に測定結果を機体3の飛行に反映させ、地表からの高度を一定に保とうとするものである。【0052】先ず、図4により、写真測量による距離測定についての概略を説明する。【0053】図4では、前記鉛直カメラ13により、既知点O1地点、既知点O2地点で測定点P点を含む画像を取得した場合であり、O1地点とO2地点とは撮影基線長Bだけ離れている。又、説明を簡略化する為、O1地点、O2地点で撮影した場合の前記鉛直カメラ13の光軸は平行であるとする。又、前記鉛直カメラ13は撮像素子41を有し、図中、41-1,41-2は、それぞれO1地点、O2地点で撮像した状態での撮像素子である。【0054】O1地点で撮像した測定点Pの画像上(即ち撮像素子上)の位置は、p1(x1,y1)、O2地点で撮像した測定点Pの画像上(即ち撮像素子上)の位置は、p2(x2,y2)となる。撮像素子41-1の中心0-1(原点)からp1迄の距離をl1、撮像素子41-2の中心0-2(原点)からp2迄の距離をl2とすると、前記撮影基線長BからP迄の距離Zは、3角形O1,O2,Pと3角形O1,0-1,p1及び3角形O2,0-2,p2との相似関係から、Z=Bf/(l1+l2)となる。【0055】ここで、前記O1地点、O2地点の地上座標は前記GPS装置23によって測定でき、又前記撮影基線長Bは前記O1地点とO2地点の2点間の距離となり、前記GPS装置23の測定結果に基づき前記撮影基線長Bを求めることができる。又、測定点Pの地心位置(平面座標)も、同様にp1(x1,y1)及びp2(x2,y2)と前記GPS装置23で測定したO1地点、O2地点の地心位置から求めることができる。【0056】従って、前記ヘリコプタ1が移動する過程で順次撮像した2つの画像から、ヘリコプタ1の高度をリアルタイムで測定(高さ距離測定)することができる。【0057】図5は、飛行中のヘリコプタ1で撮像した画像から順次高度測定を行っている状態を模式化したものである。又、図4では、ヘリコプタ1の高度測定について説明したが、前記鉛直カメラ13で撮像した画像全体について、画像の任意の部位についての高さ測定、即ち画像の部位に対応する地表面42の部位の高さ測定を実施することができる。【0058】前記鉛直カメラ13で撮像した地表面の画像について、画像処理を行い、画像中から特徴点a~nを抽出する。特徴点の抽出については、エッジ処理、コントラスト処理等適宜な画像処理により実行される。【0059】又、O1地点での画像から抽出した特徴点をO2地点で撮像した画像中に特定する必要があるが、特定する方法として画像トラッキングがある。画像トラッキングでは、O1地点からO2地点迄連続的に画像を取得し、時間的に隣接する画像に次々に特徴点を特定(画像トラッキング)し、O1地点の画像の特徴点をO2地点の画像中に特定する。【0060】尚、画像トラッキングについては、本出願人が先に出願した特願2005-370867(特開2007-171048号公報)に示されている。【0061】抽出した特徴点について、又O1地点の画像とO2地点の画像中とに基づき、各特徴点について上記した測定方法を実行し、同様にして各特徴点の高さ距離、平面座標が求められる。特徴点は、画像全体に亘るので、従って、地表面42の状態、例えば凹凸、傾斜等も測定でき、測定結果を取得した画像に関連付けることで、3次元座標を有する航空写真が得られる。【0062】図6は、地表面42が隆起している場所をヘリコプタ1が飛行する場合を示している。【0063】前記ヘリコプタ1は、前記鉛直カメラ13で取得した画像から、高度をリアルタイムで測定しており、測定した高度は前記主演算制御部19にフィードバックされる。該主演算制御部19では、入力された高度から地表から前記ヘリコプタ1迄の距離を一定に保つ様に、高度の修正指令を前記飛行制御部18に出力し、該飛行制御部18は高度が一定となる様に前記モータコントローラ26を介して前記第1モータ8~第4モータ11の駆動を制御する。【0064】又、低所平面42aを飛行していた前記ヘリコプタ1が、傾斜面42bに到達すると(図6(A)中1-3の位置)、前記鉛直カメラ13で撮像した画像中の、前方側の部位(図6(A)中、右の部位)に存在する特徴点の高さ距離が他の部位の特徴点の高さ距離より小さくなる。従って、画像中の特徴点の高さ距離が部分的に小さくなることで傾斜面42bに達したことが判断できる。又前記傾斜面42bでの測定点が3点以上得られると斜面の状態の情報、例えば傾斜角が演算できる。【0065】前記主演算制御部19は演算された傾斜角に基づき、前記傾動機構部30を駆動制御し、光軸15が前記傾斜面42bと垂直となる様に前記鉛直カメラ13を傾斜させる。而して、前記傾斜面42bに対して高さ距離が所定の値となる様に前記飛行制御部18が制御される。【0066】次に、前記ヘリコプタ1が前記傾斜面42bの最高点付近に達すると(図6(A)中1nの位置)、前記鉛直カメラ13で撮像した画像の右の部位に存在する特徴点の高さ距離が他の部位の高さ距離より大きくなる。画像中の部分的な高さ距離の変化で、傾斜面42bから高所平面42cへ移行することが判断できる。【0067】尚、前記ヘリコプタ1の前方は前記前視カメラ14によって撮像されており、該前視カメラ14からの映像から、前方に傾斜面が存在することを判断できる。傾斜面の傾斜角が大きくない場合は、上記した様に鉛直カメラ13の画像からも傾斜が判断できるので、前記前視カメラ14で取得した画像を飛行に反映させる必要はないが、前記傾斜面42bの傾斜角が大きく、該傾斜面42bの最高点が飛行高度を超える様な場合、或は建築物が垂直に立っている様な場合、ヘリコプタ1が傾斜面42b、建築物に衝突する危険が生じる。前記主演算制御部19は前記前視カメラ14で取得された画像から前方の障害物を判断し、前記飛行制御部18に対して飛行コースの変更等の指令を発し、障害物と前記ヘリコプタ1とが干渉又は衝突する可能性を回避する。【0068】上記した様に、前記ヘリコプタ1は前記飛行制御部18によって水平に制御されているが、傾斜面に沿って上昇、或は下降している場合、又傾斜面に光軸が垂直となる様に前記傾動機構部30によって前記鉛直カメラ13が傾斜されている場合等では、O1地点で撮像する鉛直カメラ13の姿勢と、O2地点での鉛直カメラ13の姿勢とが異なる場合がある。図7は、O1地点で撮像した画像とO2地点で撮像した画像の傾きの状態を示す模式図である。画像の傾きは、光軸15の鉛直(Z軸)を基準とすると、Z軸を中心とする回転角κ、水平第1軸(X軸)を中心とした回転角ω、水平第2軸(Y軸)を中心とした回転角φによって表され、O1地点で撮像した画像とO2地点で撮像した画像とをκ,ω,φを変数として絶対標定することで、図中破線で示す状態(図4の状態)に変換することができる。又、絶対標定することで、測定点Pの3次元座標が演算でき、更にO1地点、O2地点でのヘリコプタ1の高度を測定できる。【0069】ここで、O1地点、O2地点での前記3軸の回転角は、前記ジャイロユニット29によって測定でき、O1地点での画像中のp1の座標(x1,y1)、O2地点での画像中のp2の座標(x2,y2)は、撮像素子の画素の位置から測定できる。従って、絶対標定後の変換座標系でのp1の座標を(X1,Y1,Z1)とし、絶対標定後の変換座標系でのp2の座標を(X2,Y2,Z2)、鉛直カメラ13の焦点距離fとすれば、【0070】変換座標系でのp1,p2の座標は以下に表される。【0071】X1=x1cosφ1・cosκ1-y1cosφ1・sinκ1-fsinφ1Y1=x1sinκ1-y1cosκ1Z1=-x1sinφ1・cosκ1-y1sinφ1・sinκ1-fcosφ1X2=x2cosφ2・cosκ2-y2cosφ2・sinκ2-fsinφ2+1Y2=x2(cosω2sinκ2+sinω2・sinφ2cosκ2)+y2(cosω2cosκ2-sinω2・sinφ2・sinκ2)+fsinω2・cosφ2Z2=x2(sinω2・sinκ2-cosω2・sinφ2・cosκ2)+y2(sinω2・cosκ2+cosω2・sinφ2・sinκ2)-fcosω2・cosφ2【0072】従って、得られたp1の座標(X1,Y1,Z1)、p2の座標(X2,Y2,Z2)に基づき図4で示したと同様にして測定点Pの3次元座標を演算することができる。【0073】尚、上記した実施例では、前記位置測定部17が位置演算用CPU24、第1記憶部25を具備し、該位置演算用CPU24、第1記憶部25によって画像処理、地表面42の3次元測定を行ったが、前記主CPU32、前記第3記憶部33が前記位置演算用CPU24、前記第1記憶部25の機能を兼用してもよい。尚、前記位置演算用CPU24及び前記第1記憶部25が省略された場合は、前記主CPU32、前記第3記憶部33及び前記GPS装置23、前記鉛直カメラ13等が前記位置測定部17を構成する。【0074】上記した様に、本発明によれば、搭載したカメラのみで地表面42からのヘリコプタ1の高度を測定できると共に前記地表面42の凹凸等、地表面42の3次元測定が可能となる。従って、適正な高度で而も適正な位置での地表面42の撮影が可能であり、又地表面42の3次元測定の結果と撮像画像を関連付けることで、3次元位置情報付の画像を取得することができる。
A
2012140164
2011000070
20110104
null
null
20120726
2012140164
20120726
null
null
5199402
20130215
null
null
null
紙容器
599154412
松崎 健
null
null
松崎 健
null
8
B65D 5/355 (20060101),//B65D 5/40 (20060101), B65D 5/54 (20060101)
B65D 5/42 H ,B65D 5/40 A ,B65D 5/54 301H
1
null
null
null
1
6
3E060
3E060 AA03 ,3E060 AB04 ,3E060 BC04 ,3E060 DA30 ,3E060 EA03 ,3E060 EA13
【課題】内容物の減少に伴い高さ方向に減縮可能な紙容器を提供する。【解決手段】紙容器10は、台紙を角筒状に折り曲げて形成される側面部20と、この側面部の長手方向の一側を折り畳んで溶着・形成される底面部30と、他側に設けられて内容物が内部に充填されると共に、溶着されて内部が密封される切妻屋根形状の容器トップ部40とを備えてなる。そして本発明の紙容器10は、紙容器10の高さ方向を形成する側面部20に、折り目案内線100を設け、折り目案内線100を山折り(破線)および/または谷折り(点線)することにより、高さ方向に減縮可能となる。【選択図】図1
【請求項1】紙容器の高さ方向を形成する側面部に、折り目案内線を設け、前記折り目案内線を山折りおよび/または谷折りすることにより、高さ方向に減縮可能となることを特徴とする紙容器。
【請求項1】紙容器の高さ方向を形成する側面部に、折り目案内線を設け、前記折り目案内線を山折りおよび/または谷折りすることにより、高さ方向に減縮可能となることを特徴とする紙容器。
【技術分野】【0001】本発明は、紙容器に関する。
【背景技術】【0002】かつて流動性のある食品の容器は主として瓶および缶に限られていた。内容物を利用する際には、瓶の場合は孔部を塞いでいる栓(コルク、王冠等)を栓抜き等で開放することにより、また缶の場合においては缶の上部に穴を穿つことにより内容物を開放していた。その後プルトップによる注ぎ口が登場し特別な器具を使用せずに開放できるようになった。開封後に内容物を使い切らない場合、食品の衛生面からの配慮により冷蔵庫等の冷暗所に保存することが求められることが多い。その結果、残量がごくわずかになっても、容器本体の大きさに変化がないために、ほとんど空の状態の容器を引き続き冷蔵庫等に保存し続けなければならない状況が発生する。一方、絞り延伸容器の登場により特にケチャップやマヨネーズといったゲル状食品の容器はその残量に応じて容器本体も減容することが可能となった。しかしながら、絞り延伸容器は一般的に自立性がなく横たわった状態で保存することが多く、使用量に応じて容器本体が減容されてもその上に別のものを乗せると安定性に欠き保存庫の収納性向上は期待できない。また、自立性のある構造のものは自立性を保つために一般的に容器底部付近が比較的硬く減容に際しても底部付近の構造は変化しにくく、従って容器本体が減容されても容器を自立させている限りにおいて保存庫の占有面積に変化はなかった。その後、柔軟性と自立性の両方を兼ね備えた紙容器やペットボトルの登場に伴い、容器本体の減容に関する多くの提案が紹介されている(特許文献1~29)。しかしながら、このうちほとんどが、内容物の使用後の廃棄に際しての減容を前提としている(特許文献1~8、10~15、17、18、20~27)。これらの中には内容物の減少に伴い徐々に容器本体を減容させることが可能な構造を有するものも含まれる(特許文献1、3~5、8、19、24)。しかしながら、特許文献1、3および8の蛇腹構造は紙容器においては実現が困難である。また、特許文献4および5は内容物の抽出に伴い容器側面が容器中央部へ窪む構造を有すため容器底面ならびに高さの減少への寄与は不十分であり、したがって保存庫の収納性向上への寄与は少ない。さらに、特許文献24は内容物の減少に伴い容器底面の減少は期待できるが容器の高さは変わらない。一方、内容物の減少に伴う減容を前提としているものは絞出し構造を有する(特許文献9、16、19、28、29)。特許文献9は概ね回転体の熱可塑性樹脂容器を軸に対称な折目に従って容器下部を内側に折り込む方法による絞出し容器であるが、紙容器では実現が困難である。同様に、特許文献16はシリンダ/ピストン式容器であり、紙容器においては実現不可能である。特許文献19、28および29は内容物の抽出に伴い容器側面が容器中央部へ窪む構造を有すため容器底面ならびに高さの減少への寄与は不十分であり、したがって保存庫の収納性向上への寄与は少ない。
【発明が解決しようとする課題】【0004】本発明の目的は、内容物の減少に伴い高さ方向に減縮可能な紙容器を提供することにある。
【課題を解決するための手段】【0005】本発明は、以下のとおりである。紙容器の高さ方向を形成する側面部に、折り目案内線を設け、前記折り目案内線を山折りおよび/または谷折りすることにより、高さ方向に減縮可能となることを特徴とする紙容器。本発明の好適な形態では、紙容器の高さ方向を形成する側面部に、折り目案内線を設け、前記折り目案内線を山折りおよび/または谷折りするとともに、山折りおよび/または谷折りした際に側面部から突出した部分を紙容器本体方向に折り曲げ、その状態を維持することにより、高さ方向に減縮可能となり尚且つ減縮された状態において、紙容器内容物を注ぎ出す経路が確保されていることを特徴とする紙容器を提供する。
【発明の効果】【0006】本発明によれば、内容物の減少に伴い高さ方向に減縮可能な紙容器が提供される。
【発明を実施するための形態】【0008】以下、本発明を図面を参照しながらさらに説明する。図1は本発明の紙容器の一実施形態の斜視図である。図1に示す紙容器10は、台紙を角筒状に折り曲げて形成される側面部20と、この側面部の長手方向の一側を折り畳んで溶着・形成される底面部30と、他側に設けられて内容物が内部に充填されると共に、溶着されて内部が密封される切妻屋根形状の容器トップ部40とを備えてなる。そして本発明の紙容器10は、紙容器10の高さ方向を形成する側面部20に、折り目案内線100を設け、折り目案内線100を山折り(破線)および/または谷折り(点線)することにより、高さ方向に減縮可能となることを特徴とする。【0009】図2は、図1に示す紙容器を展開した図である。紙容器10を展開すると、図2に示すように概ね長方形になり、折り目案内線100が側面部20を形成する箇所に交差して、あるいは水平方向に設けられている。折り目案内線100は、紙容器の製造工程において、微小な溝を線状または点状に設けたり、プレス機等を用いて強制的に折り曲げること等により形成することができる。【0010】図3は、紙容器内部の内容物の減少に伴い、折り目案内線を山折りおよび/または谷折りすることにより、高さ方向に減縮していく過程を説明するための斜視図である。まず、図3(a)において、例えば紙容器内部の内容物が5割程減少した場合、折り目案内線100に沿って対向する側面部200Aおよび200Bの谷折を開始する。なおここでいう谷折りとは、折られた部分が紙容器10の内側方向に向かうことを意味する。これに伴い、他方の側面部200Cおよび200Dは、山折りが開始される。なおここでいう山折りとは、折られた部分が紙容器10の外側方向に向かうことを意味する。続いて図3(b)において、山折りおよび谷折りを完成させると、紙容器10の外側方向に向かって突出部Mが形成されるとともに、紙容器10の高さ方向が減縮する。この突出部Mが例えば冷蔵庫内の収納スペース等に悪影響を及ぼす場合は、図3(c)に示すように突出部Mを上方に向かって折り、突出部Mの先端部を紙容器10方向に小さく折り曲げる(図3(d))とともに、その突出部Mの先端部を紙容器10の容器トップ部40における凹み401に差込めば、上記問題点は解決する(図3(e))。なお凹み401は、側面部20の対向する2つの面の上部をそれぞれ内側に折り込んで形成されている。【0011】図4は、紙容器内部の内容物の減少に伴い、折り目案内線を山折りおよび/または谷折りすることにより、高さ方向に減縮していく別の形態の過程を説明するための斜視図である。まず、図4(a)において、例えば紙容器内部の内容物が5割程減少した場合、折り目案内線100に沿って対向する側面部200Aおよび200Bの谷折を開始する。なおここでいう谷折りとは、折られた部分が紙容器10の内側方向に向かうことを意味する。これに伴い、他方の側面部200Cおよび200Dは、山折りが開始される。なおここでいう山折りとは、折られた部分が紙容器10の外側方向に向かうことを意味する。続いて図4(b)において、山折りおよび谷折りを完成させると、紙容器10の外側方向に向かって突出部Mが形成されるとともに、紙容器10の高さ方向が減縮する。この突出部Mが例えば冷蔵庫内の収納スペース等に悪影響を及ぼす場合は、図4(c)に示すように突出部Mを下方に向かって折り曲げ、突出部M全体を紙容器10の側面部20に密着させる(図4(d))とともに、その状態を維持させるために別途用意したリング50を側面部20に密着している突出部Mを押さえつけるように容器10の側面部20にはめ込めば、上記問題点は解決する(図4(e))。この形態では、本発明は紙容器10とリング50との組立体を提供することになる。なお、この形態による場合、別途リングが必要となるが、これにより以下に示すような様々な利点がある。まず、図3に示した形態、即ち突出部Mを凹み401に差込むことにより容器10が減縮された状態を維持させる場合、突起部Mの位置は凹み401の直下に限定される。このために、紙容器10を図3(e)の状態で容器内部の液体を注ぎ出す場合、谷折りされた側面部200Aおよび200Bが容器10の内部に堰を形成するため、通常よりも容器を大きく傾斜させなければならず、最後まで注ぎ出す場合においては容器の上下を概ね完全に逆転させなければならない。一方、リングを用いた場合、突出部Mは図4に示した側面部200Cおよび200D方向に限定されず、側面部200Aおよび200B方向に形成することができる。該形態では、側面部200Cおよび200Dを谷折りすることで側面部200Aおよび200B方向に突出部Mを形成させることが可能となる。この場合、液体を注ぎ出す際に谷折りされた側面部200Cおよび200Dにより流出経路は狭められるが、側面部200Aおよび200Bを谷折りしたときに見られる、注ぎ口からの液体の注ぎを直接的に妨げるような堰は形成されないため、スムーズに注ぐことが出来る。しかし該形態では液体の流出経路が注ぎ口の手前で若干狭められているために、内容物を注ぐ際に誤って容器を傾け過ぎて内容物が一気に注ぎ口に流れ込んでも、その勢いをある程度抑制する効果が期待できる。さらに、リングを用いた場合、突出部Mを凹み401に差込む必要がないため、切妻屋根形状の容器トップ部40の片側半分の溶着を剥がし該片側の凹み401を引き出し、注ぎ口を形成するタイプの紙容器においても応用することが可能となる。【0012】実用に鑑み、図3および図4のいずれの形態においても、紙容器の減縮により狭められる流出経路の幅は2mm以上確保することが好ましい。すなわち、例えば図3および図4の形態において、側面部200Aおよび200Bを谷折りしたときに、容器の幅方向全体にわたり形成される液体の流出経路の幅が2mm以上であるのが好ましい。流出経路の幅を広くするほどスムーズに内容物を注ぐことが可能となるが、一方で紙容器の減縮幅は小さくなる。両者に鑑み、流出経路の幅は7~27mmであることが望ましく、もっとも望ましくは12~22mm確保すべきである。【0013】リングは予め紙容器の周囲に嵌め込んでおいても良いし、紙容器本体とは別に添付することでも良い。更に、紙容器入り飲料をフィルム包装したうえで販売している場合においては、該フィルム包装の一部にリングとしての構成を持たせることが可能となる。例えば、該フィルム包装の紙容器側面に接している部分の上下二箇所に水平面に沿った切れ目を入れておき、フィルム包装を剥離する際に、切れ目の上下のみを剥ぎ取ることによりリングの部分が紙容器の周囲に残される。【0014】なお上記実施の形態では、紙容器10の側面部20を折り目案内線100に沿って山折りおよび谷折りすることにより、紙容器10を高さ方向に二段階減縮させることが可能な容器において一段階減縮させるプロセスを示したが、同様のプロセスにより二段階目の減縮が可能であることは言うまでもない他、同様の折り目案内線を追加することにより三段階以上に紙容器10を減縮させることが可能となり、内容物の減少の程度に応じて、よりきめ細やかな紙容器10の段階的減縮が可能となる。さらに上記実施の形態では、紙容器10の側面部20を折り目案内線100に沿って山折りおよび谷折りする例を示したが、本発明はこれに限定されず、すべて山折りまたは谷折りによって紙容器10を高さ方向に減縮させてもよい。また上記実施の形態では、切妻屋根形状を有する飲料用紙容器を例にとり説明したが、本発明はこれに限定されず、切妻屋根形状を有さない概ね直方体の形状を有する紙容器はもちろんのこと、概ね三角錐の形状を有するいわゆるテトラパックやその他の形状の紙容器のいずれにも適用可能である。
A
2012140165
2011000144
20110104
null
null
20120726
2012140165
20120726
null
null
null
null
null
null
null
ホッパ装置および投入方法
000006208
三菱重工業株式会社
100134544
森 隆一郎
東坂 淳,石飛 龍彦,山田 周治,伊藤 貴司
null
8
B65D 88/26 (20060101),//F23G 5/44 (20060101)
B65D 88/26 F ,F23G 5/44 ZABC
5
null
null
null
0
12
3E070,3K065
3E070 AA19 ,3E070 AB21 ,3E070 GA11 ,3E070 VA18,3K065 AA24 ,3K065 AC09 ,3K065 BA06 ,3K065 EA06
【課題】容器が載置される面への衝撃や加重などの影響を緩和することができるホッパ装置および投入方法を提供すること。【解決手段】開口を有する容器100に流動体Wを収容させるホッパ装置1であって、容器100に流動体Wを投入する投入手段2を備え、投入手段2は、容器100の開口に流動体Wを案内する案内筒6と、案内筒6と容器100との間に伸縮可能に設けられ、容器100に接して容器100を封止する封止部7と、を備えることを特徴とする。【選択図】図1
【請求項1】開口を有する容器に流動体を収容させるホッパ装置であって、前記容器に前記流動体を投入する投入手段を備え、前記投入手段は、前記容器の開口に流動体を案内する案内筒と、前記案内筒と前記容器との間に伸縮可能に設けられ、前記容器に接して前記容器を封止する封止部と、を備えることを特徴とするホッパ装置。【請求項2】請求項1に記載のホッパ装置であって、前記封止部は、前記案内筒の外周面に設けられており、収縮時には前記容器の内周面から離間し、伸張時には前記容器の内周面を押圧することを特徴とするホッパ装置。【請求項3】請求項1または2に記載のホッパ装置であって、前記封止部は、前記容器への押圧力を制限する圧力制限手段を有することを特徴とするホッパ装置。【請求項4】請求項1から3のいずれか一項に記載のホッパ装置であって、前記容器内に収容された前記流動体の重量を測定する重量測定部をさらに備えることを特徴とするホッパ装置。【請求項5】開口を有する容器に流動体を投入する投入方法であって、前記流動体を内部に流す案内筒における流出口の外周面と前記容器との間に伸縮可能な封止部を介在させ、前記封止部を前記容器に接触させて前記開口を封止し、前記開口が前記封止部により封止された状態で前記流出口から前記流動体を流出させることを特徴とする投入方法。
【請求項1】開口を有する容器に流動体を収容させるホッパ装置であって、前記容器に前記流動体を投入する投入手段を備え、前記投入手段は、前記容器の開口に流動体を案内する案内筒と、前記案内筒と前記容器との間に伸縮可能に設けられ、前記容器に接して前記容器を封止する封止部と、を備えることを特徴とするホッパ装置。【請求項5】開口を有する容器に流動体を投入する投入方法であって、前記流動体を内部に流す案内筒における流出口の外周面と前記容器との間に伸縮可能な封止部を介在させ、前記封止部を前記容器に接触させて前記開口を封止し、前記開口が前記封止部により封止された状態で前記流出口から前記流動体を流出させることを特徴とする投入方法。
【技術分野】【0001】本発明は、ホッパ装置および投入方法に関する。
【背景技術】【0002】従来、放射性廃棄物を廃棄する場合、放射性廃棄物を容器内に収容し、地中に設けた蔵置施設などにこの容器を蔵置することが行われている。容器を運搬したり蔵置したりする際に放射性廃棄物が容器から漏れたりこぼれたりしないようにする目的、および放射線を遮蔽する目的で、容器内の放射性廃棄物はコンクリート、セメント、あるいはモルタルなどによって固化される(例えば特許文献1参照)。特許文献1には、容器(ドラム缶)内に放射性廃棄物を収容する場合に、容器の開口から放射性廃棄物を投入するとともに、放射性廃棄物投入された容器の重量を測定し、容器内に収容された放射性廃棄物の量を重量により管理することが開示されている。しかしながら、特許文献1に記載の方法では、放射性廃棄物を容器内に投入する場合に容器の底で跳ね返った放射性廃棄物が容器外に飛散するおそれがある。【0003】容器外に放射性廃棄物が飛散するのを防止する目的で、たとえば特許文献2には、放射性廃棄物焼却装置における焼却処理後に発生する焼却灰をドラム缶に収容する装置が開示されている。特許文献2には、ドラム缶の開口の上端にシールパッキンを当接させることにより、ドラム缶の開口を封止しながら焼却灰をドラム缶の内部に収容することができる。これにより、ドラム缶外に焼却灰が飛散するのを防止することができる。
【発明が解決しようとする課題】【0005】しかしながら、特許文献2に記載の装置では、ドラム缶の開口の上端にシールパッキンを押し当てているので、ドラム缶の下方への押圧力がかかっている。このため、特許文献2に開示された技術を特許文献1に適用しようとすると、容器が載置される面(特許文献1の場合には計量器)への衝撃や加重などの影響が生じてしまう。【0006】本発明は、上述した事情に鑑みてなされたものであって、その目的は、容器が載置される面への衝撃や加重などの影響を緩和することができるホッパ装置および投入方法を提供することである。
【課題を解決するための手段】【0007】上記課題を解決するために、この発明は以下の手段を提案している。本発明のホッパ装置は、開口を有する容器に流動体を収容させるホッパ装置であって、前記容器に前記流動体を投入する投入手段を備え、前記投入手段は、前記容器の開口に流動体を案内する案内筒と、前記案内筒と前記容器との間に伸縮可能に設けられ、前記容器に接して前記容器を封止する封止部と、を備えることを特徴とするホッパ装置である。【0008】この発明によれば、封止部によって容器が封止されるので、容器内に流入する流動体が容器外に飛散するのを防止できる。さらに、封止部が伸縮可能であるので、容器に封止部を接触させたときに、容器が載置される面への衝撃や加重などの影響を緩和することができる。【0009】また、前記封止部は、前記案内筒の外周面に設けられており、収縮時には前記容器の内周面から離間し、伸張時には前記容器の内周面を押圧することが好ましい。この場合、封止部が容器を封止しているときには、封止部は伸張して容器の内周面に接し、容器の径方向に容器の内周面を押圧するので、容器を移動させるような力が生じない。このため、容器が載置される面への衝撃や加重などの影響をさらに緩和することができる。【0010】また、前記封止部は、前記容器への押圧力を制限する圧力制限手段を有することが好ましい。この場合、圧力制限手段によって、封止部が伸張することにより容器が移動するような力がかからない程度に押圧力を制限するように調整することができるようになり、容器が載置される面への衝撃や加重などの影響をさらに緩和することができる。【0011】また、本発明のホッパ装置は、前記容器内に収容された前記流動体の重量を測定する重量測定部をさらに備えることが好ましい。この場合、容器が載置される面への衝撃や加重が重量測定部に伝わりにくいので、重量測定部における測定値の変動を抑え、精度よく流動体の重量を測定することができる。【0012】本発明の投入方法は、開口を有する容器に流動体を投入する投入方法であって、前記流動体を内部に流す案内筒における流出口の外周面と前記容器との間に伸縮可能な封止部を介在させ、前記封止部を前記容器に接触させて前記開口を封止し、前記開口が前記封止部により封止された状態で前記流出口から前記流動体を流出させることを特徴とする投入方法である。この発明によれば、容器の開口を封止してから流動体を容器内に流入させることができるので、容器内に流入する流動体が容器外に飛散するのを防止できる。さらに、封止部が伸縮可能であるので、容器に封止部を接触させたときに、容器が載置される面への衝撃や加重などの影響を緩和することができる。
【発明の効果】【0013】本発明のホッパ装置および投入方法によれば、封止部によって容器が封止されるので、容器内に流入する流動体が容器外に飛散するのを防止できる。さらに、封止部が伸縮可能であるので、容器に封止部を接触させたときに、容器が載置される面への衝撃や加重などの影響を緩和することができる。
【発明を実施するための形態】【0015】本発明の一実施形態のホッパ装置および投入方法について説明する。まず、本実施形態のホッパ装置の構成について説明する。図1は、本実施形態のホッパ装置の模式図である。図1に示すように、本実施形態のホッパ装置1は、粉粒体、液体、ゲル、およびゾルなど(以下「流動体W」と称する。)を、開口を有する容器100に収容させるための装置である。ホッパ装置1は、容器100に流動体Wを投入する投入手段2と、投入された流動体Wの重量を測定する重量測定手段15とを備える。【0016】投入手段2は、ホッパ本体3と、流出バルブ4と、カバー5と、案内筒6と、封止部7とを備える。ホッパ本体3は、上方から流動体Wが供給される中空部材であり、下方へ行くに従って漸次縮径されている。ホッパ本体3の下端は、流出バルブ4の内部と連通されている。また、ホッパ本体3は、図示しない昇降機構を有し、上下方向へ移動させることができるようになっている。【0017】流出バルブ4は、開度が調整可能なバルブであり、ホッパ本体3の下端から流出バルブ4内へ流入した流動体Wの流量を制御して下方へ通過させるバルブである。【0018】カバー5は、流出バルブ4を通過した流動体Wが飛散するのを防止する目的で流出バルブ4の下に取り付けられた筒形部材である。【0019】案内筒6は、流動体Wを収容する容器100の開口の内径よりも小さい外径を有する筒状部材であり、本実施形態ではカバー5の内側に固定されている。案内筒6は、円筒状であってもよいし、角筒状であってもよい。本実施形態では、案内筒6が円筒状である例で説明する。案内筒6の下端は、ホッパ本体3の昇降機構によりホッパ本体3が下降したときに、容器100の開口から内部へ差し込むことができるようになっている。案内筒6の下端は、流動体Wが流出する流出口6aとなっており、案内筒6の下端が容器100内に差し込まれることにより、容器100の開口に流動体Wを案内することができる。【0020】図2は、図1において符号Xで示す部分を拡大して示す拡大図である。封止部7は、案内筒6と容器100との間に設けられた伸縮可能な環状中空シール8と、環状中空シール8内に流体を供給して環状中空シール8の内圧を調整する圧力調整部9と、環状中空シール8の外周面に固定された低摩擦部材14とを有する。【0021】環状中空シール8は、案内筒6の下端の外周面に取り付けられており、内部に空気などの流体が供給されることにより案内筒6の径方向へ向って伸張する弾性部材である。環状中空シール8の外径は、収縮時には容器100の開口の内寸より小さく、伸張時には容器100の開口の内寸より大きくなる。また、環状中空シール8は、収縮状態から伸張状態となるときに、案内筒6の中心軸線方向への伸張量よりも案内筒6の径方向への伸張量の方が大きくなるように形成されている。具体的には、環状中空シール8は、案内筒6の周方向に沿った稜線Lを有する蛇腹部8aを有し、環状中空シール8の内部に流体が供給されたときに蛇腹部8aが径方向へ伸張するようになっている。環状中空シール8には、詳細は後述する圧力調整部9と連通された流体管路が接続されている。【0022】圧力調整部9は、環状中空シール8の内部と流体管路を介して連通されている。本実施形態では、圧力調整部9は、環状中空シール8内に流体として空気を出入りさせるようになっており、送気部10と、排気バルブ11と、圧力センサ12と、制御部13とを備える。【0023】送気部10は、例えば電気によって駆動され、給気バルブ10aを通じて圧縮空気を供給する送気ポンプである。なお、ホッパ装置1が設備される工場等の施設に圧縮空気供給施設が設備されている場合には、圧縮空気供給施設からの高圧空気管路に給気バルブ10aを接続して圧縮空気を使用することができる。【0024】排気バルブ11は、送気部10によって環状中空シール8内に供給された空気を外部へ排気するためのバルブであり、制御部13によって開度が調整されている。【0025】圧力センサ12は、環状中空シール8内に供給された空気の圧力を測定するセンサである。圧力センサ12は制御部13に接続されており、圧力センサ12が測定した圧力は、制御部13によって参照されている。【0026】図3は、制御部13の構成を示すブロック図である。制御部13は、環状中空シール8を伸張させる伸張信号と、環状中空シール8の伸張および収縮を停止させる停止信号と、環状中空シール8を収縮させる収縮信号を受け付ける受付手段13a(図3参照)を有する。また、制御部13は、伸張信号に基づいて排気バルブ11を閉じるとともに給気バルブ10aを開き、停止信号に基づいて給気バルブ10aおよび排気バルブ11を閉じ、収縮信号に基づいて給気バルブ10aを閉じるとともに排気バルブ11を開く制御を行うバルブ開閉部13bを有する。さらに、制御部13は、圧力センサ12が測定した圧力を参照して環状中空シール8の内圧を上限内圧以下に制限する圧力制限手段13cを有する。【0027】圧力制限手段13cには、環状中空シール8が容器100の内周面を押圧する押圧力の上限値に対応する環状中空シール8の上限内圧が記憶されている。圧力制限手段13cは、環状中空シール8の内圧が上限内圧を超えたときに、環状中空シール8の内圧が上限内圧に下がるまで排気バルブ11を開く制御をバルブ開閉部13bに対して行う。【0028】図2に示すように、低摩擦部材14は、環状中空シール8の外周面に互いに隙間を空けて複数設けられている。詳細は図示しないが、各低摩擦部材14は平行四辺形状に形成されており、隣り合う低摩擦部材14の隙間は環状中空シール8の外周面に沿う螺旋の一部をなす帯状に空けられている。また、各低摩擦部材14の外面には、環状中空シール8の周方向に沿った複数の凸条部14aが互いに間隔を空けて形成されている。低摩擦部材14の材質は、環状中空シール8と容器100との間の摩擦係数よりも容器100に対する摩擦係数が小さい材質となっている。【0029】図1に示すように、重量測定手段15は、容器100内に流入する流動体Wの重量を測定する目的でホッパ本体3の下に設けられている。重量測定手段15は、容器100を載置する載置台16と、載置台16に接続された図示しない秤量機構と、この秤量機構に接続され秤量結果が出力される出力部17とを備える。重量測定手段15は、容器100と容器100内の流動体Wとの合計重量から容器100の重量を差し引いた正味重量W1を出力部17に出力し、出力部17では正味重量W1が表示されるようになっている。【0030】次に、本実施形態の投入方法について、ホッパ装置1を用いた例で説明する。なお、以下では、流動体Wの例として、原子力施設などにおいて発生した放射性固体廃棄物のペレット、具体的には使用済み樹脂を例示し、流動体Wを注入固化により固体廃棄物として処理する例を説明する。【0031】図4は、本実施形態の投入方法を示すフローチャートである。図4に示すステップS101は、案内筒6の下端を容器100に挿入する工程である。ステップS101では、まず、ホッパ本体3の流出バルブ4の下に、開口が流出バルブ4側に向くように容器100を配置する(図1参照)。また、このとき圧力調整部9により環状中空シール8は収縮状態とされている。さらに、ホッパ本体3の図示しない昇降機構を動作させてホッパ本体3を下降させ、案内筒6の先端を容器100の開口から内部に挿入する。この状態で、案内筒6の下端の外周面に取り付けられた環状中空シール8は容器100の内周面との間に介在された位置関係となる。封止部7の環状中空シール8は、収縮時には容器100の内寸よりも外径が小さくなっているので、容器100の内周面からは離間している。これでステップS101は終了し、ステップS102へ進む。【0032】ステップS102は、環状中空シール8を伸張させる工程である。ステップS102では、案内筒6の先端が開口内に挿入された後、手入力あるいは図示しない入力手段により、制御部13に対して伸張信号が発せられる。制御部13においては、受付手段13aによって伸張信号が受け付けられたこと基づいてバルブ開閉部13bが給気バルブ10aを開き、環状中空シール8内に空気が供給される。これにより、環状中空シール8は径方向へ伸張する。環状中空シール8の内周面は案内筒6の外周面によって支持されているので、伸張した環状中空シール8の外周面は径方向外側へ移動する。これにより、環状中空シール8の外周面に設けられた低摩擦部材14は容器100の内周面に接し、環状中空シール8は低摩擦部材14を介して容器100の内周面を押圧する。その結果、封止部7により容器100の開口は封止される。これでステップS102は終了し、ステップS103へ進む。【0033】ステップS103は、環状中空シール8の内圧に基づいて処理を分岐する工程である。ステップS103では、圧力調整部9の制御部13は、圧力センサ12が検出した圧力を参照し、環状中空シール8の内圧が上限圧力であるか否かを判定する。環状中空シール8の内圧が上限圧力以外である場合にはステップS104へ進み、環状中空シール8の内圧が上限圧力である場合にはステップS105へ進む。【0034】ステップS104は、圧力制限手段13cにより環状中空シール8の内圧が上限内圧となるように調整する工程である。ステップS104では、環状中空シール8の内圧が不足している場合には環状中空シール8内に空気を供給する。また、圧力制限手段13cによって環状中空シール8の内圧は上限圧力に制限され、これにより、環状中空シール8が容器100を押圧する押圧力は制限される。これでステップS104は終了しステップS103へ進む。【0035】ステップS105は、環状中空シール8の伸張を停止させる工程である。ステップS105では、手入力あるいは図示しない入力手段によって制御部13に対して停止信号が発せられ、制御部13においては受付手段13aによって停止信号が受け付けられたことに基づいてバルブ開閉部13bが給気バルブ10aを閉じる。これにより、容器100の内周面を環状中空シール8によって押圧する押圧力は一定に維持される。これでステップS105は終了し、ステップS106へ進む。【0036】ステップS106は、流動体Wを容器100に投入して計量する工程である。ステップS106では、手入力等によって流出バルブ4が開かれ、流出バルブ4を通じてホッパ本体3から流動体Wがカバー5内へ移動し、さらに案内筒6の下端の流出口6aから流動体Wが流出する。流出口6aから流出した流動体Wは、容器100の内部に流入する。このとき、流動体Wは重力によってホッパ本体3から落下するので、容器100の底などにおいて跳ね返り、容器100の開口側へ向う場合がある(図1参照)。本実施形態では流動体Wは放射性廃棄物であるので、容器100の開口から外部へ流動体Wが飛散すると、容器100の外部環境を汚染する可能性がある。しかしながら、本実施形態のホッパ装置1では、容器100の開口は封止部7によって封止されているので、流動体Wは開口から外部へは飛散せず全て容器100内に収容される。また、重量測定手段15の載置台16に載せられた容器100は、容器100内に流動体Wが流入することにより下方へ沈みこむ。このとき、低摩擦部材14と容器100の内周面とが摺動することにより封止部7およびホッパ本体3を含む投入手段2が下方に引かれることなく容器100が下方へ移動する。これにより、容器100内に流動体Wが流入したときに封止部7によって容器100が吊り下げられた状態となることが抑えられ、流動体Wの重量の分だけ重力測定部に力がかかるようになる。流動体Wの重量分の力がかけられた重量測定手段15では、流動体Wの重量が測定される。これでステップS106は終了し、ステップS107へ進む。【0037】ステップ107は、容器100内の流動体Wの重量に応じて処理を分岐する工程である。ステップS107では、ステップS106において測定された重量が、容器100内に収容予定の流動体Wの重量に対して不足である場合にはステップS106へ進み、ステップS106において測定された重量が、容器100内に収容予定の流動体Wの重量に対して適正である場合にはステップS108へ進む。このように、ステップS106およびステップS107の繰り返しにより、容器100内に流入した流動体Wの重量は、流動体Wの流入中継続して重量測定手段15により測定されている。【0038】ステップS108は、容器100への流動体Wの投入を終了する工程である。ステップS108では、手入力などにより流出バルブ4を閉じて流動体Wの流出を停止させる。このとき、容器100内に収容予定の収容体の重量と実際に容器100内に収容された流動体Wの重量との間に誤差が生じる場合もあるが、実際に容器100内に収容された流動体Wの重量が重量測定手段15において測定されており、出力部17において実際の重量を確認し、記録することができる。続いて、圧力調整部9の制御部13に例えば手入力により収縮信号が発せられ、これによりバルブ開閉部13bが排気バルブ11を開く。これにより、排気バルブ11を通じて環状中空シール8内の空気が外部に排気される。すると、環状中空シール8の外周面は径方向内側へ移動し、低摩擦部材14は容器100の内周面から離間する。低摩擦部材14が容器100の内周面から離間したら、ホッパ本体3の昇降手段によりホッパ本体3を上昇させ、案内筒6を容器100の開口から抜き取る。これでステップS108は終了し、ホッパ装置1による一連の動作は終了する。【0039】ホッパ装置1によって容器100内に流動体Wが収容されたあと、容器100内に、セメントやモルタルなどの固化剤を注入して固化させる。さらに、容器100の開口に蓋をして、流動体Wが内部に収容された容器100を固体廃棄物として廃棄する。【0040】以上説明したように、本実施形態のホッパ装置1によれば、封止部7によって容器100が封止されるので、容器100内に流入する流動体Wが容器100外に飛散するのを防止できる。さらに、封止部7が伸縮可能であるので、容器100に封止部7を接触させたときに、容器100が載置される面への衝撃や加重などの影響を緩和することができる。特に、本実施形態では、容器100が載置される面への衝撃や加重などの影響を緩和することができることにより、重量測定手段15における秤量を精度よく行うことができる。【0041】また、封止部7が容器100を封止しているときには、封止部7は伸張して容器100の内周面に接し、容器100の径方向に容器100の内周面を押圧するので、容器100を移動させるような力が生じない。このため、容器100が載置される面への衝撃や加重などの影響をさらに緩和することができる。【0042】また、圧力制限手段13cによって、封止部7が伸張することにより容器100が移動するような力がかからない程度に押圧力を制限するように調整することができるようになり、容器100が載置される面への衝撃や加重などの影響をさらに緩和することができる。【0043】また、本実施形態の投入方法によれば、容器100の開口を封止してから流動体Wを容器100内に流入させることができるので、容器100内に流入する流動体Wが容器100外に飛散するのを防止できる。さらに、封止部7が伸縮可能であるので、容器100に封止部7を接触させたときに、容器100が載置される面への衝撃や加重などの影響を緩和することができる。【0044】(変形例1)次に、本実施形態のホッパ装置1の変形例について説明する。図5は、本実施形態のホッパ装置1の変形例を示す模式図である。図5に示すように、本変形例のホッパ装置1Aは、上述の環状中空シール8とは構成が異なる環状中空シール8Aを有する点において上述の実施形態のホッパ装置1と異なっている。環状中空シール8Aは、案内筒6の外周面に取り付けられており、案内筒6の中心軸線方向に伸縮可能となっている。また、圧力調整部9と環状中空シール8Aとの間には、空気を貯留するバッファタンク20が設けられている。【0045】本変形例では、環状中空シール8Aは、伸張することにより容器100の開口の端部に当接する。また、環状中空シール8Aが容器100の開口の端部に当接したときには、環状中空シール8Aの内部へ供給された空気の一部はバッファタンク20内へ移動し、これにより環状中空シール8Aは凹む。【0046】本変形例では、環状中空シール8Aの内部へ供給された空気の一部がバッファタンク20内へ移動することにより環状中空シール8Aが凹むので、容器100が載置される面への衝撃が緩和される。また、環状中空シール8Aが凹んだ状態で容器100の内部に流動体Wが流入すると、容器100が下方へ沈みこむに従って環状中空シール8Aは伸張状態における形状へと徐々に復元し、環状中空シール8Aの容器100への当接状態は維持される。これにより、環状中空シール8Aと容器100との間に隙間が空くことが防止され、容器100内へ流入する流動体Wが容器100外へ飛散するのを防止することができる。【0047】(変形例2)次に、本実施形態のホッパ装置1の他の変形例について説明する。図6は、本実施形態のホッパ装置1の他の変形例を示す模式図である。図6に示すように、本変形例のホッパ装置1Bは、バッファタンク20に代えて精密レギュレータ30を備える点が異なっている。精密レギュレータ30は、環状中空シール8A内の圧力変動に応じて環状中空シール8A内へ供給される空気の量を調整するものである。このような構成であっても上述のホッパ装置1Aと同様の効果を奏する。【0048】(変形例3)次に、本実施形態のホッパ装置1のさらに他の変形例について説明する。図7は、本実施形態のホッパ装置1のさらに他の変形例を示す模式図である。図7に示すように、本変形例のホッパ装置1Cは、圧力調整部9およびバッファタンク20を備えておらず、環状中空シール8Aの内外が連通されている点が上述のホッパ装置1Aと異なっている。本変形例では、重力によって環状中空シール8Aの下端が下がることで伸張状態となる。また、案内筒6が下降して環状中空シール8Aが容器100の開口の端部に当接すると、環状中空シール8A内の空気は外部へ排出される。これにより環状中空シール8Aは収縮する。【0049】また、環状中空シール8Aが収縮した状態で容器100の内部に流動体Wが流入すると、容器100が下方へ沈みこむに従って環状中空シール8Aは再び伸張し、環状中空シール8Aの容器100への当接状態は維持される。これにより、環状中空シール8Aと容器100との間に隙間が空くことが防止され、容器100内へ流入する流動体Wが容器100外へ飛散するのを防止することができる。また、本変形例では、環状中空シール8A内の圧力を変えるための手段を設ける必要がないので装置構成が単純であり、故障の発生確率が低いという効果もある。なお、本変形例における環状中空シール8Aは、案内筒6の中心軸線方向へ容易に伸縮可能な形状として、たとえばベローズ状の折りたたみ構造を有していてもよい。【0050】以上、本発明の実施形態について図面を参照して詳述したが、具体的な構成はこの実施形態に限られるものではなく、本発明の要旨を逸脱しない範囲の設計変更等も含まれる。また、上述の実施形態及び各変形例において示した構成要素は適宜に組み合わせて構成することが可能である。
A
2012140166
2011000268
20110104
null
null
20120726
2012140166
20120726
null
null
5631749
20141017
null
null
null
箱詰め装置の運転方法
000208444
大和製衡株式会社
110000556
特許業務法人 有古特許事務所
竹本 文彦,有本 直弘,池澤 和幸,田口 迪男
null
8
B65B 57/16 (20060101), B65B 57/00 (20060101), B65B 57/10 (20060101), B65B 35/46 (20060101)
B65B 57/16 ,B65B 57/00 Z ,B65B 57/10 A ,B65B 35/46
4
null
null
null
0
9
3E054
3E054 AA04 ,3E054 AA14 ,3E054 BA08 ,3E054 CA09 ,3E054 DA01 ,3E054 DB04 ,3E054 DC01 ,3E054 DD07 ,3E054 EA02 ,3E054 FA07 ,3E054 GA01 ,3E054 JA02
【課題】箱詰め装置の電力消費量を従来よりも抑制できる箱詰め装置の運転方法を提供する。【解決手段】箱詰め装置100の運転方法は、検出手段20の出力信号に基づいて物品1の供給が一定の監視時間を超えて検出されない場合、箱詰め装置100の自動運転モードから一時停止モードへの切り替えが行われ、監視時間は、ユーザーにより任意の値に設定される方法である。【選択図】図2
【請求項1】無端ベルト上に立設する複数の仕切部材によって形成されている物品収容部を用いて、前記無端ベルトの移動方向に沿って物品を整列させる整列コンベアと、前記物品収容部に1個ずつ、前記物品を供給する物品供給コンベアと、を備える箱詰め装置の運転方法であって、検出手段を用いて前記物品供給コンベア上の前記物品の供給状態が検出され、前記検出手段の出力信号に基づいて、前記物品の供給が一定の監視時間を超えて検出されない場合、前記箱詰め装置の自動運転モードから一時停止モードへの切り替えが行われ、前記監視時間は、ユーザーにより任意の値に設定される、箱詰め装置の運転方法。【請求項2】前記監視時間は、前記物品供給コンベアの端部と前記検出手段との距離に基づいて設定される、請求項1に記載の箱詰め装置の運転方法。【請求項3】前記検出手段の出力信号に基づいて前記物品の供給が検出された場合、一定の遅延時間に基づいて前記箱詰め装置の一時停止モードから自動運転モードへの切り替えが行われ、前記遅延時間は、ユーザーにより任意の値に設定される、請求項1または2に記載の箱詰め装置の運転方法。【請求項4】前記遅延時間は、前記物品供給コンベアの端部と前記検出手段との距離に基づいて設定される、請求項3に記載の箱詰め装置。
【請求項1】無端ベルト上に立設する複数の仕切部材によって形成されている物品収容部を用いて、前記無端ベルトの移動方向に沿って物品を整列させる整列コンベアと、前記物品収容部に1個ずつ、前記物品を供給する物品供給コンベアと、を備える箱詰め装置の運転方法であって、検出手段を用いて前記物品供給コンベア上の前記物品の供給状態が検出され、前記検出手段の出力信号に基づいて、前記物品の供給が一定の監視時間を超えて検出されない場合、前記箱詰め装置の自動運転モードから一時停止モードへの切り替えが行われ、前記監視時間は、ユーザーにより任意の値に設定される、箱詰め装置の運転方法。
【技術分野】【0001】本発明は箱詰め装置の運転方法に関する。特に、本発明は箱詰め装置の省エネ運転方法に関する。
【背景技術】【0002】図4に示すように、複数枚の仕切板2A間に物品1を収容することによって物品1を整列可能な整列コンベア2が、箱詰め装置10の物品1の搬送手段として用いられる。【0003】この整列コンベア2では、無端ベルト2V上に複数枚の仕切板2Aが立設しており、仕切板2Aの間に物品収容部2Cが形成される。そして、仕切板2Aが、下方から上方に折り返すときに、物品供給コンベア3から図中のX方向に搬送される物品1が1個ずつ、物品収容部2C内に投入される。【0004】次いで、図4に示すように、物品収容部2C内の物品1は、整列コンベア2の仕切板2Aの搬送動作によって、物品押し出し位置9に整列する。その後、物品1は、押し出しプレート4により整列コンベア2の側方(図4のY方向)に押し出される。【0005】次いで、複数の物品1は、一対の保持プレート(図示せず)によって両側から挟むように保持される。そして、一対のシャッター部材(図示せず)が、左右に2分されるように開くと、この状態の物品1を、物品1の吸引手段(例えば、ブロワ;図示せず)および物品1の昇降手段(図示せず)を用いて降下させることができる。これにより、シャッター部材の下方に配置された外装箱(例えば、段ボール箱;図示せず)に物品1が収納される。【0006】ところで、箱詰め装置10では、物品供給コンベア3の無端ベルト3V上の物品1の供給状態に応じて、整列コンベア2の物品収容部2Cに物品1が投入されていない空きスペースが生じる場合がある。そこで、かかる整列コンベア2の物品収容部2Cの空きスペース発生を防止する趣旨で、物品供給コンベア3上の物品1の欠落が光電センサによって検出された場合、整列コンベア2の動作を一旦停止するようにした制御方法がすでに提案されている(特許文献1参照)。
【発明が解決しようとする課題】【0008】ところで、箱詰め装置の自動運転モードを開始した場合、ユーザーが、運転停止ボタンを押すことにより意識的に箱詰め装置を停止させるか、機械エラーによって箱詰め装置が停止する以外、上記自動運転モードが継続する。このため、整列コンベアの前段側の物品供給コンベアのトラブルにより物品の供給がストップしても、箱詰め装置は自動的に停止しない。この場合、箱詰め装置に用いる様々な動力機械(例えば、上記整列コンベアのモータや物品吸引保持用のブロワモータ等)が運転し続けるので、無駄な電力を消費する。【0009】そこで、本件発明者等は、箱詰め装置の省エネ運転を実現するには、箱詰め装置に未だ改善の余地があると考えている。【0010】なお、特許文献1に記載の整列装置の制御方法は、整列コンベアの物品収容部の空きスペース発生を防止するよう、物品の欠落検出のみを目的とするものであり、以上の箱詰め装置の省エネ運転について何等意図されておらず、参酌に値しない。【0011】例えば、箱詰め装置の運転モードの切り替えタイミングにおける監視時間や遅延時間(いずれも、詳細は後述)をユーザーが任意に値に設定できると、箱詰め装置の省エネ運転にとって有益であるが、上記特許文献1では、このような問題認識を欠いている。【0012】本発明は、このような事情に鑑みてなされたものであり、箱詰め装置の電力消費量を従来よりも抑制できる箱詰め装置の運転方法を提供することを目的とする。
【課題を解決するための手段】【0013】本発明は、このような事情に鑑みてなされたものであり、無端ベルト上に立設する複数の仕切部材によって形成されている物品収容部を用いて、前記無端ベルトの移動方向に沿って物品を整列させる整列コンベアと、前記物品収容部に1個ずつ、前記物品を供給する物品供給コンベアと、を備える箱詰め装置の運転方法であって、検出手段を用いて前記物品供給コンベア上の前記物品の供給状態が検出され、前記検出手段の出力信号に基づいて前記物品の供給が一定の監視時間を超えて検出されない場合、前記箱詰め装置の自動運転モードから一時停止モードへの切り替えが行われ、前記監視時間は、ユーザーにより任意の値に設定される、箱詰め装置の運転方法を提供する。【0014】以上により、箱詰め装置の自動運転モードから一時停止モードへの切り替えが適時に行われ、箱詰め装置の電力消費量を従来よりも抑制できる。そして、この場合、監視時間について、ユーザーが任意の値に設定できるので、箱詰め装置の構成に適合した好適な監視時間を選ぶことができる。【0015】例えば、前記監視時間を前記物品供給コンベアの端部と前記検出手段との距離に基づいて設定してもよい。【0016】以上により、検出手段を通過した物品供給コンベア上の物品の全てを整列コンベアの物品収容部に投入した後、整列コンベアの運転を停止できるので都合がよい。【0017】また、本発明の箱詰め装置の運転方法では、前記検出手段の出力信号に基づいて前記物品の供給を検出した場合、一定の遅延時間に基づいて前記箱詰め装置の一時停止モードから自動運転モードへの切り替えを行い、前記遅延時間をユーザーが任意の値に設定してもよい。【0018】以上により、遅延時間について、ユーザーが任意の値に設定できるので、箱詰め装置の構成に適合した好適な遅延時間を選ぶことができる。【0019】例えば、上記遅延時間を物品供給コンベアの端部と検出手段との距離に基づいて設定してもよい。【0020】以上により、整列コンベアの運転再開を最大限、遅らすことができ、その分、箱詰め装置の電力消費量を抑制できる。
【発明の効果】【0021】本発明によれば、箱詰め装置の電力消費量を従来よりも抑制できる箱詰め装置の運転方法が得られる。
【発明を実施するための形態】【0023】以下、本発明の好ましい実施形態について、図面を参照しながら説明する。なお、以下では、全ての図面を通じて同一又は相当する要素には同一の参照符号を付して、重複する要素の説明を省略ないし簡略化する場合がある。また、本発明は、以下の実施形態に限定されない。つまり、以下の実施形態の説明は、上記箱詰め装置の運転方法の特徴を例示しているに過ぎない。(実施形態)[箱詰め装置の構成]図1は、本発明の箱詰め装置の一構成例を示した図である。図2は、図2の箱詰め装置の整列コンベアおよび物品供給コンベアの一部を側面視した図である。【0024】図1および図2に示すように、本実施形態の箱詰め装置100は、無端ベルト2V上に立設する複数枚の仕切板2A(複数枚のフィン状の仕切部材)によって形成されている物品収容部2Cを用いて、無端ベルト2Vの移動方向に沿って物品1を整列できる整列コンベア2と、物品収容部2Cに1個ずつ、物品1を供給できる物品供給コンベア3と、物品供給コンベア3上の物品1の供給状態を検出する反射型の光電センサ20(検出手段)と、光電センサ20の出力信号を受け取ることができる制御装置30と、を備える。【0025】図1および図2に示すように、整列コンベア2と、物品供給コンベア3と、は、物品1の搬送方向(X方向)に沿って並んで配されている。【0026】整列コンベア2は、図2に示す如く、無端ベルト2Vが架けられた一対のプーリ2R(物品供給コンベア3から離れている側のプーリの図示は省略)を備え、モータ等の駆動装置2Dを用いて両プーリ2Rのうち何れか一方をドライブプーリとして駆動できるように構成されている。物品供給コンベア3は、無端ベルト3Vが架けられた一対のプーリ3R(整列コンベア2から離れている側のプーリの図示は省略)を備え、モータ等の駆動装置3Dを用いて両プーリ3Rのうち何れか一方をドライブプーリとして駆動できるように構成されている。【0027】反射型の光電センサ20は、投光部(図示せず)からの出射光が物品1によって反射された反射光を受光部(図示せず)において検出し出力信号を得るセンサである。本実施形態では、光電センサ20は、その検出窓が、物品供給コンベア3の無端ベルト3Vに対向するように配置されている。これにより、光電センサ20を用いて物品1の通過の有無を検出でき、その検出結果を出力信号として制御装置30に送信できる。【0028】なお、本検出手段として、光電センサ20に代えて、他の方式のセンサ(例えば、超音波センサ等)を用いてもよい。【0029】制御装置30は、例えば、CPUと、このCPUの制御プログラム及び制御パラメータ等が記憶されているROM及びRAMのメモリ等を備えたマイクロコントローラ等からなる。制御装置30のCPUが、メモリに記憶されている制御プログラムを実行することにより、この箱詰め装置100全体の動作の制御等を行う。例えば、制御装置30は、上記駆動装置2D、3Dの駆動を制御する。また、本実施形態の箱詰め装置100では、制御装置30は、光電センサ20の出力信号に基づいて、箱詰め装置100の運転モードの切り替え動作も制御する(詳細は後述する)。【0030】なお、制御装置30は、必ずしも、単独の制御装置で構成される必要はなく、複数の制御装置が分散配置されていて、それらが協働して箱詰め装置の動作を制御するよう構成されていてもよい。【0031】このように、本実施形態の箱詰め装置100のハードウェアは、物品供給コンベア3の無端ベルト3V上に光電センサ20を設けたこと以外は、図4の従来の箱詰め装置10の構成と同じであり、後述する箱詰め装置の動作(運転方法)に特徴がある。よって、ここでは、両者に共通する構成の詳細な説明は省略する。[箱詰め装置の動作]図3は、本発明の実施形態の箱詰め装置の動作の一例を示したフローチャートである。【0032】図3の各動作フローを実行するための制御プログラムおよび制御パラメータ(例えば、以下に詳述する監視時間や遅延時間等)は、予め制御装置30のメモリに記憶されている。【0033】そして、上記制御プログラムおよび制御パラメータは、箱詰め装置100の運転開始時に、制御装置30のメモリからCPUに読み出され、この制御プログラムに基づいて、制御装置30が、以下の動作を箱詰め装置100の各部を制御しながら実行する。【0034】まず、ユーザーにより箱詰め装置100の運転開始ボタン(図示せず)が押されると、箱詰め装置100の自動運転モードを開始する(ステップS301)。【0035】この状態で、制御装置30は、光電センサ20の出力信号を常時監視しており、この出力信号が、一定の監視時間内に検出されるか否かを判定する(ステップS302)。【0036】制御装置30が、光電センサ20の出力信号を、上記監視時間以内に検出した場合(ステップS302において「Yes」の場合)、無端ベルト3V上の物品1の供給状態が正常であると考えられる。よって、この場合、箱詰め装置100の自動運転モードがそのまま維持される。【0037】一方、制御装置30が、上記監視時間を超えて、光電センサ20の出力信号を検出しない場合(ステップS302において「No」の場合)、無端ベルト3V上の物品1の供給状態が異常(例えば、物品供給コンベア3での物品1の供給ストップ等)であると考えられる。よって、この場合、箱詰め装置100が一時停止モードに入る(ステップS303)。この箱詰め装置100の一時停止モードにおいては、例えば、整列コンベア2の駆動装置2D(モータ等)や箱詰め装置100の物品吸引保持用のブロワモータ(図示せず)の作動が停止する。これにより、箱詰め装置100の電力消費量を従来よりも抑制できる。【0038】なお、ブロワモータについては、物品1を吸引するときにのみ作動するような方策と取れば、ブロワモータの電力消費量を最小にできるとも考えられる。しかし、ブロワモータは、その作動開始から一定時間を経過しないと、適当な吸引力が得られないので、上記のような方策は取り難い。この点で、ステップS303の箱詰め装置100の一時停止モードによるブロワモータの電力消費量抑制が有効に機能する。【0039】ここで、上記監視時間については、ユーザーが任意の値に設定できると、以下の如く、箱詰め装置100の構成に適合した好適な監視時間を選ぶことができる。【0040】第1に、監視時間は、無端ベルト3V上の個々の物品1間の間隔(距離)D(図2参照)に基づいて設定するとよい。つまり、間隔Dを物品1の速度Vで除した値(D/V)よりも上記監視時間が充分に長い場合、制御装置30は、この監視時間を用いて無端ベルト3V上の物品1の供給状態を適切に監視できる。【0041】第2に、監視時間は、物品供給コンベア3の物品1の搬送方向(X方向)先端部と光電センサ20との距離L(図2参照)に基づいて設定するとよい。つまり、距離Lを物品1の搬送速度Vで割った値(L/V)よりも僅かに長い値に、上記監視時間を設定する場合(監視時間=L/V+α;αは、物品1の搬送速度誤差を勘案した値)、光電センサ20を通過した無端ベルト3V上の物品1の全てを整列コンベア2の物品収容部2Cに投入した後、整列コンベア3の運転を停止できるので都合がよい。【0042】次いで、箱詰め装置100の一時停止モードにおいても、制御装置30は、光電センサ20の出力信号を常時監視しており、この出力信号が再び、検出されるか否かを判定する(ステップS304)。【0043】制御装置30が光電センサ20の出力信号を検出しない場合(ステップS304において「No」の場合)、無端ベルト3V上の物品1の供給状態の異常が継続していると考えられる。よって、この場合、箱詰め装置100の一時停止モードがそのまま維持される。【0044】一方、制御装置30が光電センサ20の出力信号を検出した場合(ステップS304において「Yes」の場合)、無端ベルト3V上の物品1の供給状態が正常に戻ったと考えられる。よって、この場合、次の判定ステップS305に進み、光電センサ20の出力信号が検出された時から一定の遅延時間が経過したか否かが判定される。【0045】この遅延時間を経過していない場合(判定ステップS305において「No」の場合)、箱詰め装置100の一時停止モードがそのまま維持される。【0046】一方、上記遅延時間を経過した場合(判定ステップS305において「Yes」の場合)、箱詰め装置100の自動運転モードに復帰する。【0047】ここで、上記遅延時間については、ユーザーが任意の値に設定できると、以下の如く、箱詰め装置100の構成に適合した好適な遅延時間を選ぶことができる。【0048】具体的には、遅延時間は、物品供給コンベア3の物品1の搬送方向(X方向)先端部と光電センサ20との距離L(図2参照)に基づいて設定するとよい。つまり、距離Lを物品1の搬送速度Vで割った値(L/V)とほぼ同じか、これよりも僅かに短い値に、上記遅延時間を設定する場合(遅延時間=L/V-α;αは、物品1の搬送速度誤差を勘案した値)、整列コンベア2の運転再開を最大限、遅らすことができ、箱詰め装置100の電力消費量を抑制できる。(変形例)本実施形態の箱詰め装置100では、物品供給コンベア3上の物品1の供給状態を検出する検出手段の一例として、光電センサ20を例示しているが、このような検出手段は、これに限らない。【0049】例えば、物品供給コンベア3の前段の包装機(図示せず)のトラブルにより、物品供給コンベア3上の物品1の供給がストップする場合、制御装置30は、包装機のトラブル信号(出力信号)を受け取り、この出力信号に基づいて、箱詰め装置100の運転モードの切り替えを行ってもよい。なお、この場合、上記包装機が、物品供給コンベア3上の物品1の供給状態を検出する検出手段に相当する。
A
2012140179
2011011381
20110104
null
null
20120726
2012140179
20120726
null
null
null
null
null
null
null
水きり・水抜きのできる冷蔵・冷凍用食品保存箱
510170327
高橋 隆
null
null
高橋 隆
null
8
B65D 81/26 (20060101), B65D 81/18 (20060101), B65D 85/50 (20060101)
B65D 81/26 F ,B65D 81/18 A ,B65D 85/50 A
1
null
null
null
0
3
3E035,3E067
3E035 AA11 ,3E035 BA01 ,3E035 BB07,3E067 AB01 ,3E067 BA05A,3E067 BC06A,3E067 CA08 ,3E067 EE60 ,3E067 FA01 ,3E067 GA01 ,3E067 GB07 ,3E067 GD01
【課題】吸水性シートで食品をくるんで水切りをしたり、非通水性の袋に網目の内袋を設けた袋を使用する必要のない水切り・水抜きのできる冷蔵・冷凍用食品保存箱を提供する。【解決手段】食品保存箱3の底に水きり用の網1を設け、網1の下側に水気の受け台7を設け、受け台7の内底に適当な間隔で複数個の網支え下駄5を設けて水きり用のたわみを防ぎ、受け台7の底に溜まった水に食品が触れぬように、食品保存箱3の底角に水抜き穴2を設け、水抜き穴2の外の出口に栓6を設けた。【選択図】図2
【請求項1】食品保存箱の底に水きり用の網を設け、網の下側に水気の受け台を設け、受け台の内底に適当な間隔で複数個の網支え下駄を設けて水きり用のたわみを防ぎ、受け台の底に溜まった水に食品が触れぬように、箱の底角に水抜き穴を設け、水抜き穴の外の出口に栓を設けた事を特徴とする水きり・水抜きのできる冷蔵・冷凍用食品保存箱。
【請求項1】食品保存箱の底に水きり用の網を設け、網の下側に水気の受け台を設け、受け台の内底に適当な間隔で複数個の網支え下駄を設けて水きり用のたわみを防ぎ、受け台の底に溜まった水に食品が触れぬように、箱の底角に水抜き穴を設け、水抜き穴の外の出口に栓を設けた事を特徴とする水きり・水抜きのできる冷蔵・冷凍用食品保存箱。
【技術分野】【0001】本発明は、食品の冷蔵、冷凍保存に際して、水気をスムーズに徐去する機能えた冷蔵・冷凍食品保存箱である。
【背景技術】【0002】従来の冷蔵・冷凍用食品保存箱は、野菜などの食品を箱に直に入れて保存をしたり、吸水性のシートで食材をくるんで保存する為の水きりシートや、非通水性の袋に網目の内袋を設けて水切りする多目的ネット袋などが知られている。
【発明が解決しようとする課題】【0003】従来の冷蔵・冷凍用食品保存箱は野菜などの食品を入れる時、箱に直に入れるため保存前に水きりをしっかりしないと底に水が溜まる。吸水性シートで食品をくるんで水切りをしたり、非通水性の袋に網目の内袋を設けた袋を使用することは、手間がかかる上に、吸水性シートは使い捨てになってしまう。
null
null
null
A
2012140218
2011000058
20110104
null
null
20120726
2012140218
20120726
null
null
null
null
null
null
null
エスカレータ用治具
000236056
三菱電機ビルテクノサービス株式会社
110001210
特許業務法人YKI国際特許事務所
岡田 一
null
8
B66B 31/00 (20060101), B66B 23/14 (20060101), B25B 27/14 (20060101),//B25C 11/00 (20060101)
B66B 31/00 D ,B66B 23/14 Z ,B25B 27/14 Z ,B25C 11/00 Z
2
null
null
null
0
7
3C031,3C068,3F321
3C031 EE59,3C068 AA09 ,3C068 BB07,3F321 AA09 ,3F321 CC09 ,3F321 HA06
【課題】エスカレータのトラス内に用いられる締結部材を好適に引き抜くことができるエスカレータ用治具を提供することである。【解決手段】エスカレータ7のトラス58内の締結対象部を締結し、頭部472と胴部474とを有する締結部材47を引き抜くために用いられるエスカレータ用治具10であって、当該締結対象部と頭部472との間に挿入可能なテーパ部を含む挿入部110と、挿入部110から延伸する延伸部120と、を備え、挿入部110は、延伸部120に外力が与えられた際に挿入部110の基端部114を支点として傾くことで、挿入部110の先端部112が頭部472を引き抜き方向に押し上げる。【選択図】図3
【請求項1】エスカレータのトラス内の締結対象部を締結し、頭部と胴部とを有する締結部材を引き抜くために用いられるエスカレータ用治具であって、前記締結対象部と前記頭部との間に挿入可能なテーパ部を含む挿入部と、前記挿入部から延伸する延伸部と、を備え、前記挿入部は、前記延伸部に外力が与えられた際に前記挿入部の基端部を支点として傾くことで、前記挿入部の先端部が前記頭部を前記引き抜き方向に押し上げることを特徴とするエスカレータ用治具。【請求項2】請求項1に記載のエスカレータ用治具において、前記挿入部は、前記胴部の外形に沿った形状を有する切り欠き部をさらに含むことを特徴とするエスカレータ用治具。
【請求項1】エスカレータのトラス内の締結対象部を締結し、頭部と胴部とを有する締結部材を引き抜くために用いられるエスカレータ用治具であって、前記締結対象部と前記頭部との間に挿入可能なテーパ部を含む挿入部と、前記挿入部から延伸する延伸部と、を備え、前記挿入部は、前記延伸部に外力が与えられた際に前記挿入部の基端部を支点として傾くことで、前記挿入部の先端部が前記頭部を前記引き抜き方向に押し上げることを特徴とするエスカレータ用治具。
【技術分野】【0001】本発明は、エスカレータ用治具に係り、特に、エスカレータのトラス内の締結対象部を締結し、頭部と胴部とを有する締結部材を引き抜くために用いられるエスカレータ用治具に関する。
【背景技術】【0002】近年、商業施設等の様々な場所において、エスカレータが設置されている。高揚程のエスカレータ等においては、各ステップを駆動するためのステップチェーンの代わりに、1ステップ毎の伸び量が小さいステップリンクが用いられている。エスカレータの乗降口付近では、ステップが水平状態となり、それ以外の部分ではステップが階段状態となっている。また、ステップは、乗降口に到着した後はトラスの内部側を移動するが、湾曲したインナーレールとアウターレールによって形成されたターンマッチ部によって軌道の反転動作が行われる。【0003】本発明に関連する技術として、例えば、特許文献1には、エスカレータのステップ反転部でステップを連続的に移動させるステップリンク列が通過する空間軌道を形成する対向するインナーレールとアウターレールで構成されるターンマチック部の配置調整を行う調整装置が開示されている。そして、アウターレールまたはインナーレールのいずれか一方のレールの基準位置に着脱可能な第1着脱子を有する長尺の本体部材と、他方のレールの基準位置に着脱可能な第2着脱子を有し、本体部材の長手方向に対して直交する方向にスライド自在に係合するスライド部材と、を含むことが述べられている。さらに、スライド部材は、各レールの基準位置に装着された第1着脱子及び第2着脱子が形成するスライド部材に沿った離間距離を表示する表示部を有することが述べられている。
【発明が解決しようとする課題】【0005】エスカレータの保守点検作業の1つとして、ランディングプレートの少なくとも一部を開いて、保守作業員がトラス内に入ってインナーレールやアウターレール等の部品を取り替える場合がある。このとき、例えば、ターンマッチ部に設けられた締結部材を取り外すことがあるが、当該締結部材の焼きつき等が原因で当該締結部材を引き抜くことが困難な場合がある。【0006】本発明の目的は、エスカレータのトラス内に用いられる締結部材を好適に引き抜くことができるエスカレータ用治具を提供することである。
【課題を解決するための手段】【0007】本発明に係るエスカレータ用治具は、エスカレータのトラス内の締結対象部を締結し、頭部と胴部とを有する締結部材を引き抜くために用いられるエスカレータ用治具であって、前記締結対象部と前記頭部との間に挿入可能なテーパ部を含む挿入部と、前記挿入部から延伸する延伸部と、を備え、前記挿入部は、前記延伸部に外力が与えられた際に前記挿入部の基端部を支点として傾くことで、前記挿入部の先端部が前記頭部を前記引き抜き方向に押し上げることを特徴とする。【0008】また、本発明に係るエスカレータ用治具において、前記挿入部は、前記胴部の外形に沿った形状を有する切り欠き部をさらに含むことが好ましい。
【発明の効果】【0009】上記構成によれば、延伸部に外力が与えられた際に当該挿入部の基端部を支点として、当該挿入部が傾くことで当該挿入部の先端部が締結部材の頭部を引き抜き方向に押し上げることができる。これにより、エスカレータのトラス内に用いられる締結部材を好適に引き抜くことができる。
【発明を実施するための形態】【0011】以下に図面を用いて、本発明に係る実施の形態を詳細に説明する。また、以下では、全ての図面において、同様の要素には同一の符号を付し、重複する説明を省略する。また、本文中の説明においては、必要に応じそれ以前に述べた符号を用いるものとする。【0012】図1は、エスカレータ7の乗降口付近の様子を示す図である。エスカレータ7は、移動手摺32と、内側板36と、スカートガード34と、ランディングプレート38と、トラス58を含んで構成される。【0013】移動手摺32は、乗客が手を添え、または掴まる部分である。内側板36は、移動手摺32の下方に設けられたステップの側面のパネルである。スカートガード34は、内側板36の下方に設けられ、ステップの側面とわずかな隙間を保って相対しているパネルである。【0014】ランディングプレート38は、乗降口の床板のことで、表面には滑り止め加工が施されている。また、保守作業員は、ランディングプレート38の一部を開いて形成される開口からトラス58内に出入りして保守作業を行う。【0015】トラス58内には、ステップ軸43や駆動ローラ44等が設けられている。ステップ軸43は、図示しないステップを支える軸である。駆動ローラ44は、ステップ軸43の両端に設けられ、ステップを駆動するためのローラである。【0016】ステップリンク42は、各ステップ軸43同士を連結するための連結部材である。そして、複数のステップリンク42を用いて無端状に連結している。【0017】往路側駆動レール46は、駆動ローラ44を案内する往路側のレールであり、上階の乗場口と下階の乗場口に渡って設けられている。そして、帰路側駆動レール54は、駆動ローラ44を案内する帰路側のレールであり、上階の乗場口と下階の乗場口に渡って設けられている。また、追従レール40は、図示しない追従ローラを支えるレールである。【0018】インナーレール48は、往路側駆動レール46の先端部に設けられる湾曲したレールである。そして、締結部材47は、インナーレール48の基端部と往路側駆動レール46の先端部とを締結する締結部材である。【0019】アウターレール56は、帰路側駆動レール54の先端部に設けられる湾曲したレールであり、インナーレール48と所定の間隔を設けてインナーレール48の外側に配置される。そして、締結部材57は、アウターレール56の基端部と帰路側駆動レール54の先端部とを締結する締結部材である。【0020】また、インナーレール48とアウターレール56とは、平板形状を有する連結部材50によって連結される。連結部材50とインナーレール48とは、締結部材51によって締結され、連結部材50とアウターレール56とは締結部材52によって締結される。ここで、インナーレール48とアウターレール56とを含んで構成されるターンマッチ部によって、駆動ローラ44は、往路側駆動レール46から帰路側駆動レール54に切り替わって移動する。【0021】図2は、図1において点線Aで囲まれた部分の拡大図である。上述したように、インナーレール48の基端部と往路側駆動レール46の先端部とは、締結部材47によって締結されている。そして、図2に示されるように、締結部材47は、頭部472と胴部474とを有する。頭部472は、六角柱形状を有する部位である。胴部474は、頭部472の底面中心部から延伸し、頭部472よりも断面積が小さく、円柱形状を有する部位である。なお、締結部材51,52,57は、締結部材47と同様の構成を有しているが、ここでは詳細な説明を省略する。そして、トラス58内は、非常に狭いため、これらの締結部材47,51,52,57の取り外し作業等が困難である。【0022】図3は、インナーレール48から締結部材47を引き抜くためのエスカレータ用治具10の構成を示す図である。エスカレータ用治具10は、挿入部110と、延伸部120とを有している。【0023】挿入部110は、先端部112で二股に分離された直立可能な地下足袋形状の部位である。そして、挿入部110は、基端部114側から先端部112側に向かって厚みが薄くなり、当該先端部112からインナーレール48の上面と頭部472の底面との間に挿入することが可能なテーパ形状を有する。さらに、挿入部110において、二股に分離された先端部112の間には、胴部474の外形に沿った形状(略U字形状)を有する切り欠き部113を有している。これにより、当該先端部112がインナーレール48の上面と頭部472の底面との間に挿入された際に、切り欠き部113には、胴部474が挿入され、二股に分離された先端部112を頭部472の底面に係合させることができる。なお、挿入部110の底面の面積は、締結部材47の形状に合わせて適宜変更することが可能であり、一例をあげると、20cm2~30cm2とすることができる。【0024】延伸部120は、挿入部110の底面に対する鉛直方向に対して所定の角度(例えば、5度)を有するように傾いて延伸している部位である。また、延伸部120は、略四角柱形状を有している。そして、延伸部120の断面積は、保守作業員が握りやすい程度の断面積に設定すればよく、一例をあげると、9cm2~16cm2とすることができる。また、延伸部120の形状は、略四角柱形状を有するものとして説明したが、もちろん、その他の形状であってもよく、例えば、保守作業員が握りやすい形状とすることができる。例えば、略円柱形状としてもよい。また、延伸部120の延伸方向の長さは、適宜変更が可能であるが、例えば、20cm~30cmの範囲とすることができる。【0025】上記構成のエスカレータ用治具10の作用について、図4を用いて説明する。図4は、1つのエスカレータ用治具10を用いてインナーレール48から締結部材47を引き抜いている様子を示す図である。インナーレール48やアウターレール56の取替え等の保守作業を行う際に、保守作業員は、ランディングプレート38の一部を開いて形成される開口からトラス58内に入る。そして、例えば、インナーレール48の基端部と往路側駆動レール46の先端部とを締結する締結部材47を取り外すときに、二股に分離された先端部112をインナーレール48の上面と頭部472の底面との間に挿入する。具体的には、切り欠き部113には、胴部474を挿入し、二股に分離された先端部112を頭部472の底面に係合させる。このとき、例えば、金槌等で側面側から叩いて、好適に挿入することができる。【0026】その後、保守作業員は、図4の矢印F1方向に向かって、延伸部120に対して力を与えることで、延伸部120の基端部114側の縁部が支点となって、梃子の原理によって、延伸部120の二股に分離された先端部112が締結部材47の頭部472を引き抜き方向に押し上げるように作用する。これにより、締結部材47をインナーレール48から好適に引き抜くことができる。【0027】上記では、1つのエスカレータ用治具10を用いてインナーレール48から締結部材47を引き抜くものとして説明したが、2つのエスカレータ用治具10を用いて引き抜いてもよい。図5は、2つのエスカレータ用治具10を用いてインナーレール48から締結部材47を引き抜いている様子を示す図である。【0028】図5に示されるように、1つ目のエスカレータ用治具10について、締結部材47の右側から、二股に分離された先端部112をインナーレール48の上面と頭部472の底面との間に挿入する。そして、2つ目のエスカレータ用治具10について、締結部材47の左側から、二股に分離された先端部112をインナーレール48の上面と頭部472の底面との間に挿入する。そして、保守作業員は、右側のエスカレータ用治具10については、矢印F1方向に向かって、延伸部120に対して力を与える。また、保守作業員は、左側のエスカレータ用治具10については、矢印F2方向に向かって、延伸部120に対して力を与える。これにより、締結部材47の頭部472を左側からも右側からも引き抜き方向に押し上げることができるため、より好適に締結部材47をインナーレール48から引き抜くことが可能である。
A
2012140219
2011000078
20110104
null
null
20120726
2012140219
20120726
null
null
null
null
null
null
null
エレベータ
000236056
三菱電機ビルテクノサービス株式会社
110001210
特許業務法人YKI国際特許事務所
川尻 孝靖
null
8
B66B 13/28 (20060101), B66B 13/14 (20060101), B66B 13/30 (20060101), B66B 1/46 (20060101)
B66B 13/28 E ,B66B 13/14 L ,B66B 13/30 H ,B66B 1/46 B
10
null
null
null
0
8
3F002,3F307
3F002 GB02 ,3F002 GB03,3F307 AA02 ,3F307 DA01 ,3F307 EA02 ,3F307 EA18 ,3F307 EA21 ,3F307 EA31 ,3F307 EA35 ,3F307 EA39
【課題】別の構成により、乗場扉と乗場扉の周囲に設けられる三方枠との間に異物が挟まれる場合の対応を行なうことを可能とするエレベータを提供することである。【解決手段】エレベータ10は、乗りかご20に対して乗降する際に開閉する乗場扉28a,28bと、乗場扉28a,28bの周囲に設けられる乗場三方枠32と、乗場扉28a,28bの開閉制御を行う制御盤18と、乗場三方枠32の近傍に設けられ、乗場扉28a,28bの開閉動作によって異物が挟まれるときに、制御盤18による開閉制御とは別に乗場扉28a,28bの開閉制御を行う緊急用押釦33と、を備える。【選択図】図2
【請求項1】乗りかごに対して乗降する際に開閉する乗場扉と、前記乗場扉の周囲に設けられる三方枠と、前記乗場扉の開閉制御を行う制御部と、前記三方枠の近傍に設けられ、前記乗場扉の開閉動作によって異物が挟まれるときに、前記制御部による自動開閉制御とは別に前記乗場扉の手動開閉制御を行うための押釦部と、を備えることを特徴とするエレベータ。【請求項2】請求項1に記載のエレベータにおいて、前記押釦部が押されたときに、前記乗場扉の開閉動作が停止することを特徴とするエレベータ。【請求項3】請求項1に記載のエレベータにおいて、前記押釦部が押されたときに、前記乗場扉の開閉動作が反転することを特徴とするエレベータ。【請求項4】請求項1に記載のエレベータにおいて、前記押釦部が押されたときに、前記乗場扉の開閉動作の速度が所定の割合で遅くなることを特徴とするエレベータ。【請求項5】請求項4に記載のエレベータにおいて、前記所定の割合は、約50パーセントであることを特徴とするエレベータ。【請求項6】請求項1に記載のエレベータにおいて、前記押釦部が1回目に押されたときに前記乗場扉の開閉動作が停止し、前記押釦部が2回目に押されたときに前記乗場扉の開閉動作が反転することを特徴とするエレベータ。【請求項7】請求項1に記載のエレベータにおいて、前記押釦部が1回目に押されたときに前記乗場扉の開閉動作が反転し、前記押釦部が2回目に押されたときに前記乗場扉の開閉動作が停止することを特徴とするエレベータ。【請求項8】請求項1から請求項7のいずれか1に記載のエレベータにおいて、前記押釦部は、前記三方枠に設けられていることを特徴とするエレベータ。【請求項9】請求項1から請求項8のいずれか1に記載のエレベータにおいて、前記押釦部は、乗場の床面から約130センチメートルの高さ位置に設けられていることを特徴とするエレベータ。【請求項10】請求項1から請求項9のいずれか1に記載のエレベータにおいて、前記押釦部は、前記乗場扉の両側にそれぞれ設けられていることを特徴とするエレベータ。
【請求項1】乗りかごに対して乗降する際に開閉する乗場扉と、前記乗場扉の周囲に設けられる三方枠と、前記乗場扉の開閉制御を行う制御部と、前記三方枠の近傍に設けられ、前記乗場扉の開閉動作によって異物が挟まれるときに、前記制御部による自動開閉制御とは別に前記乗場扉の手動開閉制御を行うための押釦部と、を備えることを特徴とするエレベータ。
【技術分野】【0001】本発明は、エレベータに係り、特に、乗りかごに対して乗降する際に開閉する乗場扉の自動開閉制御を行う制御部を備えるエレベータに関する。
【背景技術】【0002】現在、商業施設等の様々な場所において、エレベータが設置されている。エレベータには、乗りかごに対して乗降する際に開閉する乗場扉が設けられているが、乗場扉と乗場扉の周囲に設けられる三方枠との間に異物が挟まれる可能性がある。これに対し、乗場扉と乗場扉の周囲に設けられる三方枠との間に異物が挟まれた場合について、種々の対策が考えられている。【0003】本発明に関連する技術として、例えば、特許文献1には、エレベータ出入口の三方枠の扉に対面する面で、乗客の体の少なくとも一部が上記扉と上記三方枠との間に挿入されることのある領域に設けられ、上記三方枠の一部を形成する不作動位置および上記扉との間の間隙が広がる方向に移動した作動位置の間で可動の可動枠を備えるエレベータ扉安全装置が開示されている。さらに、当該装置は、上記可動枠の上記扉に対面する面に設けられ、乗客が直接触れたことを検知して、乗客の体の少なくとも一部が上記扉と上記三方枠との間に挿入されたことを表す検出信号を発生する接触センサと、上記三方枠に設けられ、上記接触センサからの上記検出信号に応じて上記可動枠を上記不作動位置から上記作動位置に駆動する駆動装置を備えることが開示されている。
【発明が解決しようとする課題】【0005】上記のように、特許文献1の構成によっても乗場扉と乗場扉の周囲に設けられる三方枠との間に異物が挟まれた場合の対策を講じることができる。しかし、特許文献1では、接触センサにおいて、異物が直接触れたことを検知する必要がある。このため、例えば、異物が直接触れる前の段階では、好適に対策することができない可能性もある。【0006】本発明の目的は、別の構成により、乗場扉と乗場扉の周囲に設けられる三方枠との間に異物が挟まれる場合の対応を行なうことを可能とするエレベータを提供することである。
【課題を解決するための手段】【0007】本発明に係るエレベータは、乗りかごに対して乗降する際に開閉する乗場扉と、前記乗場扉の周囲に設けられる三方枠と、前記乗場扉の開閉制御を行う制御部と、前記三方枠の近傍に設けられ、前記乗場扉の開閉動作によって異物が挟まれるときに、前記制御部による自動開閉制御とは別に前記乗場扉の手動開閉制御を行うための押釦部と、を備えることを特徴とする。【0008】また、本発明に係るエレベータにおいて、前記押釦部が押されたときに、前記乗場扉の開閉動作が停止することが好ましい。【0009】また、本発明に係るエレベータにおいて、前記押釦部が押されたときに、前記乗場扉の開閉動作が反転することが好ましい。【0010】また、本発明に係るエレベータにおいて、前記押釦部が押されたときに、前記乗場扉の開閉動作の速度が所定の割合で遅くなることが好ましい。【0011】また、本発明に係るエレベータにおいて、前記所定の割合は、約50パーセントであることが好ましい。【0012】また、本発明に係るエレベータにおいて、前記押釦部が1回目に押されたときに前記乗場扉の開閉動作が停止し、前記押釦部が2回目に押されたときに前記乗場扉の開閉動作が反転することが好ましい。【0013】また、本発明に係るエレベータにおいて、前記押釦部が1回目に押されたときに前記乗場扉の開閉動作が反転し、前記押釦部が2回目に押されたときに前記乗場扉の開閉動作が停止することが好ましい。【0014】また、本発明に係るエレベータにおいて、前記押釦部は、前記三方枠に設けられていることが好ましい。【0015】また、本発明に係るエレベータにおいて、前記押釦部は、乗場の床面から約130センチメートルの高さ位置に設けられていることが好ましい。【0016】また、本発明に係るエレベータにおいて、前記押釦部は、前記乗場扉の両側にそれぞれ設けられていることが好ましい。
【発明の効果】【0017】上記構成によれば、乗場扉の開閉動作によって異物が挟まれるときに、制御部による自動開閉制御とは、別に乗客の判断によって乗場扉の手動開閉制御を行うことができる。
【発明を実施するための形態】【0019】以下に図面を用いて、本発明に係る実施の形態を詳細に説明する。また、以下では、全ての図面において、同様の要素には同一の符号を付し、重複する説明を省略する。また、本文中の説明においては、必要に応じそれ以前に述べた符号を用いるものとする。【0020】図1は、エレベータ10を示す図である。エレベータ10は、主ロープ12と、釣合錘14と、巻上機16と、制御盤18と、乗りかご20と、乗場扉28a,28bと、昇降路30とを備える。また、エレベータ10は、乗りかご20について、各階27の間を昇降させることで乗客を移動させる。【0021】主ロープ12は、乗りかご20を吊るすためのロープであり、巻上機16に巻き掛けられている。そして、主ロープ12の一方端は乗りかご20に接続され、他方端は釣合錘14が接続されている。【0022】釣合錘14は、主ロープ12の他方端に接続され、主ロープ12の一方端に接続される乗りかご20との間でバランスを取るために必要な重量が設定される。【0023】巻上機16は、制御盤18の制御によって、巻き掛けられた主ロープ12を駆動させることで乗りかご20を昇降させるための装置である。また、巻上機16は、機械室8に配置されている。【0024】乗りかご20は、制御盤18の制御によって、巻上機16が作動すると昇降路30内を昇降し、乗客を乗せるための構造物である。乗りかご20は、かご操作盤24と、乗りかご扉26とを含む。【0025】かご操作盤24は、乗りかご20内に乗り込んだ乗客が行先階を選択したり、乗りかご扉26を開閉したりするための押釦が配置されている。また、かご操作盤24には、上記押釦の他に、乗りかご20が位置している階やその他の情報を表示する表示画面242が取り付けられている。【0026】乗りかご扉26は、乗りかご20に設けられる扉であり、乗りかご20が各階27に着床した際に、当該着床階27の乗場扉28a,28bとともに開く。これにより、乗客が乗りかご20に対して乗り降りすることができる。【0027】乗場扉28a,28bは、各階27の乗場に設けられる扉であり、乗りかご20が着床した際に、乗りかご扉26とともに開く。これにより、乗客が乗りかご20に対して乗り降りすることができる。【0028】図2は、各階27の乗場扉28a,28bの周囲の様子を示す図である。図3は、図2のA-A線断面図である。各階27には、縦枠32a,32bと横枠32cとから構成される乗場三方枠32と、緊急用押釦33と、乗場ボタン34と、乗場表示器36と、乗場扉28a,28bとが設けられている。【0029】乗場ボタン34は、乗場三方枠32の縦枠32aの左側に位置する乗場壁35aに取り付けられ、乗りかご20の呼び登録を行うための押しボタンである。乗場表示器36は、乗場三方枠32の横枠32cの上側に位置する乗場壁35cに取り付けられ、乗りかご20の階床位置を表示するための表示器である。乗場扉28a,28bは、乗場三方枠32の縦枠32,32bと横枠32cとによって区画された開口領域37を開閉可能な片開き式の扉である。もちろん、乗場扉28a,28bは両開き式扉であってもよく、この場合は、乗場扉28a,28b同士の間には、片開き式扉のような間隙41は形成されない。【0030】乗場三方枠32の縦枠32a,32bと横枠32cとは、乗客が乗りかご20に対して乗り降りするための出入り口となる開口領域37を区画するために、各階27に設けられる部材である。縦枠32a,32bと横枠32cとは、適当な強度を有する部材、例えばステンレス部材で構成される。ここで、図3に示されるように、乗場扉28a,28bと乗場三方枠32の縦枠32a,32bとの間には、若干の幅の間隙39a,39bが形成されている。また、乗場扉28a,28b同士の間には、若干の幅の間隙41が形成されている。【0031】緊急用押釦33は、縦枠32aの側面322a及び縦枠32bの側面322bにおいて、着床階27の乗場の床面から約130cm(センチメートル)の高さ位置に設けられる押し釦である。また、緊急用押釦33には、いたずら目的で押し釦が押されることを防止するための乱用防止カバーが設けられている。緊急用押釦33が押されると、制御盤18に対して、乗場扉28a,28bの開閉動作を停止するように指令が伝送される。もちろん、緊急用押釦33の高さ位置は、着床階27の乗場の床面から130cmに限定されない。例えば、100cm~150cmの範囲で好適な高さ位置に設けることもできる。【0032】制御盤18は、乗場扉28a,28bの自動開閉制御や乗りかご20の昇降動作制御等といったエレベータ10全体を制御する機能を有する。また、制御盤18は、上記乗場扉28a,28bの自動開閉制御の他に、乗客によって操作される緊急用押釦33の指令に基づいて乗場扉28a,28bの開閉動作を制御(手動開閉制御)する機能を有する。さらに、制御盤18は、乗りかご20が各階27の乗場に着床しているときにのみ緊急用押釦33が作動するように制御する。【0033】続いて、上記構成からなるエレベータ10の作用について、図1~図3を参照して説明する。着床階27の乗場において、例えば、小さい子供と、その母親が乗りかご20を待っている場合に、子供が誤って玩具等を間隙39a,39b,41に挟んでしまうことがある。この状態で乗りかご20がこの階床に到着すると玩具等が挟まった状態で乗場扉28a,28bが開いてしまうこととなる。しかし、エレベータ10には、乗場三方枠32の縦枠32a,32bに緊急用押釦33が設けられているため、例えば、その母親が、玩具等が間隙39a,39b,41に挟まっていることに気づいて押し釦を押すと乗場扉28a,28bの開閉動作が停止するため、安全な状態で玩具等を間隙39a,39b,41から取り出すことができる。【0034】また、エレベータ10には、上記のように緊急用押釦33は、乗場三方枠32のうち、左側の縦枠32aにも右側の縦枠32bにも設けられているため、より近い位置の押釦を操作して乗場扉28a,28bの開閉動作を停止することができ便利である。さらに、エレベータ10には、緊急用押釦33は、乗場の床面から約130cmの高さ位置に設けられているため、操作しやすい。【0035】次に、エレベータ10の第1変形例であるエレベータ10aについて説明する。エレベータ10aとエレベータ10の相違は、緊急用押釦33と制御盤18との制御指令関係であるため、その点を中心に説明する。【0036】エレベータ10aにおいても緊急用押釦33が設けられているが、ここでは、緊急用押釦33が押されると、制御盤18に対して、乗場扉28a,28bの開閉動作を反転するように指令が伝送される。そして、制御盤18は、緊急用押釦33が押されたときに、乗場扉28a,28bの開閉動作を反転するように制御する。ここで、乗場扉28a,28bの開閉動作の反転とは、乗場扉28a,28bが戸開動作を行なっているときは戸閉動作させることをいい、乗場扉28a,28bが戸閉動作を行なっているときは戸開動作させることをいう。【0037】したがって、エレベータ10aにおいて、例えば、小さい子供と、その母親が乗りかご20を待っている場合に、子供が誤って玩具等を間隙39a,39b,41に挟んでしまった場合に、その母親がそのことに気づいて緊急用押釦33が押して乗場扉28a,28bの開閉動作を反転させることができる。これにより、安全な状態で上記の玩具等を間隙39a,39b,41から取り出すことができる。【0038】次に、エレベータ10の第2変形例であるエレベータ10bについて説明する。エレベータ10bとエレベータ10の相違は、緊急用押釦33と制御盤18との制御指令関係であるため、その点を中心に説明する。【0039】エレベータ10bにおいても緊急用押釦33が設けられているが、ここでは、緊急用押釦33が押されると、制御盤18に対して、乗場扉28a,28bの開閉動作を通常時の開閉速度の50%の速度まで減速するように指令が伝送される。そして、制御盤18は、緊急用押釦33が押されたときに、乗場扉28a,28bの開閉動作を通常時の開閉速度の50%の速度まで減速するように制御する。もちろん、当該開閉速度の減速の割合は50%に限定されない。例えば、30%~70%の範囲で好適に設定することができる。【0040】したがって、エレベータ10bにおいて、例えば、小さい子供と、その母親が乗りかご20を待っている場合に、子供が誤って玩具等を間隙39a,39b,41に挟んでしまった場合に、その母親がそのことに気づいて緊急用押釦33が押して乗場扉28a,28bの開閉動作を通常時の開閉速度の50%の速度まで減速させることができる。これにより、安全な状態で上記の玩具等を間隙39a,39b,41から取り出すことができる。【0041】次に、エレベータ10の第3変形例であるエレベータ10cについて説明する。エレベータ10cとエレベータ10の相違は、緊急用押釦33と制御盤18との制御指令関係であるため、その点を中心に説明する。【0042】エレベータ10cにおいても緊急用押釦33が設けられている。ここでは、緊急用押釦33が1回押される(1回目)と、制御盤18に対して、乗場扉28a,28bの開閉動作を停止するように指令が伝送される。そして、制御盤18は、緊急用押釦33が押されたときに、乗場扉28a,28bの開閉動作を停止するように制御する。その後もう1回緊急用押釦33が押される(2回目)と、乗場扉28a,28bの開閉動作を反転するように指令が伝送される。そして、制御盤18は、緊急用押釦33が押されたときに、乗場扉28a,28bの開閉動作を反転するように制御する。ここで、乗場扉28a,28bの開閉動作の反転とは、乗場扉28a,28bが戸開動作を行なっているときは戸閉動作させることをいい、乗場扉28a,28bが戸閉動作を行なっているときは戸開動作させることをいう。【0043】したがって、エレベータ10cにおいて、例えば、小さい子供と、その母親が乗りかご20を待っている場合に、子供が誤って玩具等を間隙39a,39b,41に挟んでしまった場合に、その母親がそのことに気づいて緊急用押釦33が押して乗場扉28a,28bの開閉動作を停止及び反転させることができる。これにより、安全な状態で上記の玩具等を間隙39a,39b,41から取り出すことができる。なお、上記では、緊急用押釦33が1回目に押されときに乗場扉28a,28bの開閉動作を停止させ、2回目に押されときに乗場扉28a,28bの開閉動作を反転させるものとして説明したが、これに限らず緊急用押釦33が押されるたびに、乗場扉28a,28bの制御を変えてもよい。例えば、緊急用押釦33が1回目に押されときに乗場扉28a,28bの開閉動作を反転させ、2回目に押されときに乗場扉28a,28bの開閉動作を停止させることもできる。
A
2012140220
2011000079
20110104
null
null
20120726
2012140220
20120726
null
null
null
null
null
null
null
エスカレータ用治具
000236056
三菱電機ビルテクノサービス株式会社
110001210
特許業務法人YKI国際特許事務所
岡田 一
null
8
B66B 31/00 (20060101)
B66B 31/00 D
2
null
null
null
0
7
3F321
3F321 HA06
【課題】エスカレータのトラス内に用いられる締結部材を好適に引き抜くことができるエスカレータ用治具を提供することである。【解決手段】エスカレータ用治具10であって、第1挿入部110aと第1延伸部120aとを有する第1引き抜き部100aと、第2挿入部110bと第2延伸部120bとを有する第2引き抜き部100bと、第1挿入部110aと第2挿入部110bとを連結する連結部100cと、第1引き抜き部100aの貫通孔130aに設けられる螺子切りに螺合する第1螺合部140aと、第2引き抜き部100bの貫通孔130bに設けられる螺子切りに螺合する第2螺合部140bと、を備える。【選択図】図3
【請求項1】エスカレータのトラス内の締結対象部を締結し、頭部と胴部とを有する締結部材を引き抜くために用いられるエスカレータ用治具であって、前記締結対象部と前記頭部との間に挿入可能なテーパ部を含む第1挿入部と、前記第1挿入部から延伸する第1延伸部とを有する第1引き抜き部と、前記締結対象部と前記頭部との間に挿入可能なテーパ部を含む第2挿入部と、前記第2挿入部から延伸する第2延伸部とを備え、前記第1引き抜き部と一対となるように設けられる第2引き抜き部と、前記第1挿入部と前記第2挿入部とを連結する連結部と、前記第1引き抜き部の貫通孔に設けられる螺子切りに螺合し、先端部が前記締結対象部に当接したときに前記第1引き抜き部を前記引き抜き方向に押し上げる第1螺合部と、前記第2引き抜き部の貫通孔に設けられる螺子切りに螺合し、先端部が前記締結対象部に当接したときに前記第2引き抜き部を前記引き抜き方向に押し上げる第2螺合部と、を備えることを特徴とするエスカレータ用治具。【請求項2】請求項1に記載のエスカレータ用治具において、前記第1挿入部及び前記第2挿入部のうち少なくとも1つは、前記胴部の外形に沿った形状を有する切り欠き部を有することを特徴とするエスカレータ用治具。
【請求項1】エスカレータのトラス内の締結対象部を締結し、頭部と胴部とを有する締結部材を引き抜くために用いられるエスカレータ用治具であって、前記締結対象部と前記頭部との間に挿入可能なテーパ部を含む第1挿入部と、前記第1挿入部から延伸する第1延伸部とを有する第1引き抜き部と、前記締結対象部と前記頭部との間に挿入可能なテーパ部を含む第2挿入部と、前記第2挿入部から延伸する第2延伸部とを備え、前記第1引き抜き部と一対となるように設けられる第2引き抜き部と、前記第1挿入部と前記第2挿入部とを連結する連結部と、前記第1引き抜き部の貫通孔に設けられる螺子切りに螺合し、先端部が前記締結対象部に当接したときに前記第1引き抜き部を前記引き抜き方向に押し上げる第1螺合部と、前記第2引き抜き部の貫通孔に設けられる螺子切りに螺合し、先端部が前記締結対象部に当接したときに前記第2引き抜き部を前記引き抜き方向に押し上げる第2螺合部と、を備えることを特徴とするエスカレータ用治具。
【技術分野】【0001】本発明は、エスカレータ用治具に係り、特に、エスカレータのトラス内の締結対象部を締結し、頭部と胴部とを有する締結部材を引き抜くために用いられるエスカレータ用治具に関する。
【背景技術】【0002】近年、商業施設等の様々な場所において、エスカレータが設置されている。高揚程のエスカレータ等においては、各ステップを駆動するためのステップチェーンの代わりに、1ステップ毎の伸び量が小さいステップリンクが用いられている。エスカレータの乗降口付近では、ステップが水平状態となり、それ以外の部分ではステップが階段状態となっている。また、ステップは、乗降口に到着した後はトラスの内部側を移動するが、湾曲したインナーレールとアウターレールによって形成されたターンマッチ部によって軌道の反転動作が行われる。【0003】本発明に関連する技術として、例えば、特許文献1には、エスカレータのステップ反転部でステップを連続的に移動させるステップリンク列が通過する空間軌道を形成する対向するインナーレールとアウターレールで構成されるターンマチック部の配置調整を行う調整装置が開示されている。そして、アウターレールまたはインナーレールのいずれか一方のレールの基準位置に着脱可能な第1着脱子を有する長尺の本体部材と、他方のレールの基準位置に着脱可能な第2着脱子を有し、本体部材の長手方向に対して直交する方向にスライド自在に係合するスライド部材と、を含むことが述べられている。さらに、スライド部材は、各レールの基準位置に装着された第1着脱子及び第2着脱子が形成するスライド部材に沿った離間距離を表示する表示部を有することが述べられている。
【発明が解決しようとする課題】【0005】エスカレータの保守点検作業の1つとして、ランディングプレートの少なくとも一部を開いて、保守作業員がトラス内に入ってインナーレールやアウターレール等の部品を取り替える場合がある。このとき、例えば、ターンマッチ部に設けられた締結部材を取り外すことがあるが、当該締結部材の焼きつき等が原因で当該締結部材を引き抜くことが困難な場合がある。【0006】本発明の目的は、エスカレータのトラス内に用いられる締結部材を好適に引き抜くことができるエスカレータ用治具を提供することである。
【課題を解決するための手段】【0007】本発明に係るエスカレータ用治具は、エスカレータのトラス内の締結対象部を締結し、頭部と胴部とを有する締結部材を引き抜くために用いられるエスカレータ用治具であって、前記締結対象部と前記頭部との間に挿入可能なテーパ部を含む第1挿入部と、前記第1挿入部から延伸する第1延伸部とを有する第1引き抜き部と、前記締結対象部と前記頭部との間に挿入可能なテーパ部を含む第2挿入部と、前記第2挿入部から延伸する第2延伸部とを備え、前記第1引き抜き部と一対となるように設けられる第2引き抜き部と、前記第1挿入部と前記第2挿入部とを連結する連結部と、前記第1引き抜き部の貫通孔に設けられる螺子切りに螺合し、先端部が前記締結対象部に当接したときに前記第1引き抜き部を前記引き抜き方向に押し上げる第1螺合部と、前記第2引き抜き部の貫通孔に設けられる螺子切りに螺合し、先端部が前記締結対象部に当接したときに前記第2引き抜き部を前記引き抜き方向に押し上げる第2螺合部と、を備えることを特徴とする。【0008】また、本発明に係るエスカレータ用治具において、前記第1挿入部及び前記第2挿入部のうち少なくとも1つは、前記胴部の外形に沿った形状を有する切り欠き部を有することが好ましい。
【発明の効果】【0009】上記構成によれば、第1螺合部及び第2螺合部をそれぞれ第1引き抜き部の貫通孔及び第2引き抜き部の貫通孔に螺合させることで、第1引き抜き部及び第2引き抜き部を押し上げることができる。これにより、エスカレータのトラス内に用いられる締結部材を好適に引き抜くことができる。
【発明を実施するための形態】【0011】以下に図面を用いて、本発明に係る実施の形態を詳細に説明する。また、以下では、全ての図面において、同様の要素には同一の符号を付し、重複する説明を省略する。また、本文中の説明においては、必要に応じそれ以前に述べた符号を用いるものとする。【0012】図1は、エスカレータ7の乗降口付近の様子を示す図である。エスカレータ7は、移動手摺32と、内側板36と、スカートガード34と、ランディングプレート38と、トラス58を含んで構成される。【0013】移動手摺32は、乗客が手を添え、または掴まる部分である。内側板36は、移動手摺32の下方に設けられたステップの側面のパネルである。スカートガード34は、内側板36の下方に設けられ、ステップの側面とわずかな隙間を保って相対しているパネルである。【0014】ランディングプレート38は、乗降口の床板のことで、表面には滑り止め加工が施されている。また、保守作業員は、ランディングプレート38の一部を開いて形成される開口からトラス58内に出入りして保守作業を行う。【0015】トラス58内には、ステップ軸43や駆動ローラ44等が設けられている。ステップ軸43は、図示しないステップを支える軸である。駆動ローラ44は、ステップ軸43の両端に設けられ、ステップを駆動するためのローラである。【0016】ステップリンク42は、各ステップ軸43同士を連結するための連結部材である。そして、複数のステップリンク42を用いて無端状に連結している。【0017】往路側駆動レール46は、駆動ローラ44を案内する往路側のレールであり、上階の乗場口と下階の乗場口に渡って設けられている。そして、帰路側駆動レール54は、駆動ローラ44を案内する帰路側のレールであり、上階の乗場口と下階の乗場口に渡って設けられている。また、追従レール40は、図示しない追従ローラを支えるレールである。【0018】インナーレール48は、往路側駆動レール46の先端部に設けられる湾曲したレールである。そして、締結部材47は、インナーレール48の基端部と往路側駆動レール46の先端部とを締結する締結部材である。【0019】アウターレール56は、帰路側駆動レール54の先端部に設けられる湾曲したレールであり、インナーレール48と所定の間隔を設けてインナーレール48の外側に配置される。そして、締結部材57は、アウターレール56の基端部と帰路側駆動レール54の先端部とを締結する締結部材である。【0020】また、インナーレール48とアウターレール56とは、平板形状を有する連結部材50によって連結される。連結部材50とインナーレール48とは、締結部材51によって締結され、連結部材50とアウターレール56とは締結部材52によって締結される。ここで、インナーレール48とアウターレール56とを含んで構成されるターンマッチ部によって、駆動ローラ44は、往路側駆動レール46から帰路側駆動レール54に切り替わって移動する。【0021】図2は、図1において点線Aで囲まれた部分の拡大図である。上述したように、インナーレール48の基端部と往路側駆動レール46の先端部とは、締結部材47によって締結されている。そして、図2に示されるように、締結部材47は、頭部472と胴部474とを有する。頭部472は、六角柱形状を有する部位である。胴部474は、頭部472の底面中心部から延伸し、頭部472よりも断面積が小さく、円柱形状を有する部位である。なお、締結部材51,52,57は、締結部材47と同様の構成を有しているが、ここでは詳細な説明を省略する。そして、トラス58内は、非常に狭いため、これらの締結部材47,51,52,57の取り外し作業等が困難である。【0022】図3は、インナーレール48から締結部材47を引き抜くためのエスカレータ用治具10の構成を示す図である。エスカレータ用治具10は、第1引き抜き部100aと、第2引き抜き部100bと、連結部100cと、第1螺合部140aと、第2螺合部140bとを有する。【0023】第1引き抜き部100aは、第1挿入部110aと、第1延伸部120aとを有する。【0024】第1挿入部110aは、先端部112aにおいて二股に分離され、直立可能な地下足袋形状の部位である。そして、第1挿入部110aは、基端部114a側から先端部112a側に向かって厚みが薄くなり、当該先端部112aからインナーレール48の上面と頭部472の底面との間に挿入することができるテーパ形状を有する。第1挿入部110aにおいて、二股に分離された先端部112aの間には、胴部474の外形に沿った形状(略U字形状)を有する切り欠き部113aを有している。これにより、当該先端部112aがインナーレール48の上面と頭部472の底面との間に挿入された際に、切り欠き部113aには胴部474が挿入され、二股に分離された先端部112aを頭部472の底面に係合することができる。なお、挿入部110aの底面の面積は、締結部材47の形状に合わせて適宜変更することが可能であり、一例をあげると、20cm2~30cm2とすることができる。【0025】第1延伸部120aは、第1挿入部110aの底面に対する鉛直方向に対して延伸している部位である。また、第1延伸部120aは、略四角柱形状を有している。そして、第1延伸部120aの上面から第1挿入部110aの底面に渡って貫通孔130aが形成されており、当該貫通孔130aには、第1螺合部140aが螺合するための螺子切りが形成されている。また、第1延伸部120aの延伸方向の長さは、第1螺合部140aの長さよりも短いものであれば、適宜変更が可能であるが、例えば、5cm~10cmの範囲とすることができる。【0026】第2引き抜き部100bは、第2挿入部110bと、第2延伸部120bとを有する。第2引き抜き部100bは、第1引き抜き部100aと同じ構成を有し、第2挿入部110bの先端部112bは、第1挿入部110aの先端部112aと向かい合って一対となるように配置される。なお、第2引き抜き部100bの構成は、第1引き抜き部100aと同じ構成であるため、構成の具体的な説明は省略する。【0027】連結部100cは、第1挿入部110aと第2挿入部110bとを連結する部位である。具体的には、第1挿入部110aの二股に分離された先端部112aの一方側と、第2挿入部110bの二股に分離された先端部112bの一方側とを接続する。これにより、第1切り欠き部113aと第2切り欠き部113bとが1つの略C字形状の切り欠きを形成することとなる。【0028】第1螺合部140a及び第2螺合部140bは、それぞれ貫通孔130a及び貫通孔130bに螺合される締結部材である。また、第1螺合部140a及び第2螺合部140bは、それぞれ貫通孔130a及び貫通孔130bの深さよりも長い長さを有する。例えば、第1螺合部140a及び第2螺合部140bの長さは、8cm~15cmとすることができる。そして、第1螺合部140a及び第2螺合部140bは、それぞれ平坦な底面を有している。【0029】上記構成のエスカレータ用治具10の作用について、図4を用いて説明する。図4は、エスカレータ用治具10を用いてインナーレール48から締結部材47を引き抜いている様子を示す図である。インナーレール48やアウターレール56の取替え等の保守作業を行う際に、保守作業員は、ランディングプレート38の一部を開いて形成される開口からトラス58内に入る。そして、例えば、インナーレール48の基端部と往路側駆動レール46の先端部とを締結する締結部材47を取り外すときに、二股に分離された先端部112a及び先端部112bをインナーレール48の上面と頭部472の底面との間に挿入する。具体的には、第1切り欠き部113aと第2切り欠き部113bとを含んで形成される切り欠きには、胴部474が挿入され、先端部112a及び先端部112bを頭部472の底面に係合させる。【0030】その後、保守作業員は、第1螺合部140a及び第2螺合部140bを、それぞれ貫通孔130a及び貫通孔130bに螺合させる。そして、当該第1螺合部140a及び第2螺合部140bがそれぞれ貫通孔130a及び貫通孔130bを貫通して、その底面がインナーレール48の上面に当接した際に、それぞれ第1引き抜き部100a及び第2引き抜き部100bがそれぞれ引き抜き方向に押し上げられる。これにより、先端部112a及び先端部112bが締結部材47の頭部472を引き抜き方向に押し上げるように作用する。したがって、締結部材47をインナーレール48から好適に引き抜くことができる。
A
2012140221
2011000148
20110104
null
null
20120726
2012140221
20120726
null
null
null
null
null
null
null
搬送装置および記録装置
000002369
セイコーエプソン株式会社
100064908
志賀 正武
柴田 洋平,川本 誠
null
8
B65H 29/22 (20060101), B65H 29/28 (20060101), B65H 31/28 (20060101), B65H 33/16 (20060101)
B65H 29/22 Z ,B65H 29/28 ,B65H 31/28 ,B65H 33/16
5
null
null
null
0
14
3F049,3F054,3F106,3F107
3F049 AA02 ,3F049 DA12 ,3F049 DB02 ,3F049 EA10 ,3F049 LA01 ,3F049 LB01,3F054 AA01 ,3F054 AC01 ,3F054 BA02 ,3F054 BJ06,3F106 AA14 ,3F106 AC03 ,3F106 AE06,3F107 AA01 ,3F107 AB01 ,3F107 AC01 ,3F107 BA01 ,3F107 CD05
【課題】被記録媒体の仕様に関わらず、搬送機構から排出される被記録媒体の載置手段における落下位置のばらつきを抑えることにより、受容手段でのスタック性を高めることのできる搬送装置および記録装置を提供する。【解決手段】本発明の搬送装置は、仕様の異なる複数の被記録媒体を搬送して搬送方向下流側へ排出する搬送手段と、搬送手段から排出された被記録媒体を受け止める載置手段と、搬送手段による被記録媒体の排出速度を制御する制御手段と、を備え、制御手段が、被記録媒体の仕様に対応させて排出速度を変化させるよう搬送手段を制御して、載置手段で受け止められる複数の被記録媒体の第1搬送方向に沿う前後いずれか一方の端部の位置を揃える機能を有する。【選択図】図3
【請求項1】仕様の異なる複数の被記録媒体を搬送して搬送方向下流側へ排出する搬送手段と、前記搬送手段から排出された前記被記録媒体を受け止める載置手段と、前記搬送手段による前記被記録媒体の排出速度を制御する制御手段と、を備え、前記制御手段が、前記被記録媒体の仕様に対応させて前記排出速度を変化させるよう前記搬送手段を制御して、前記載置手段で受け止められる複数の前記被記録媒体の第1搬送方向に沿う前後いずれか一方の端部の位置を揃える機能を有する、搬送装置。【請求項2】前記制御手段が、前記被記録媒体の前記第1搬送方向に沿う長さが短いほど前記排出速度を速くする、請求項1に記載の搬送装置。【請求項3】前記載置手段は、前記搬送手段による前記被記録媒体の前記第1搬送方向と直交する第2搬送方向に前記被記録媒体を搬送するように構成され、前記第2搬送方向の下流側には前記被記録媒体を受容して、前記第2搬送方向と直交する第3搬送方向に前記被記録媒体を搬送する受容手段が設けられている、請求項1または2に記載の搬送装置。【請求項4】前記受容手段は、前記載置手段で受け止められる際に互いに揃えられた複数の前記被記録媒体の前記いずれか一方の端部が下側になるように起き上がらせて搬送する、請求項3に記載の搬送装置。【請求項5】請求項1から4のいずれか一項に記載の搬送装置と、前記搬送装置の搬送手段によって搬送される被記録媒体に対して液体を付着させて記録を行う記録手段と、を備える、記録装置。
【請求項1】仕様の異なる複数の被記録媒体を搬送して搬送方向下流側へ排出する搬送手段と、前記搬送手段から排出された前記被記録媒体を受け止める載置手段と、前記搬送手段による前記被記録媒体の排出速度を制御する制御手段と、を備え、前記制御手段が、前記被記録媒体の仕様に対応させて前記排出速度を変化させるよう前記搬送手段を制御して、前記載置手段で受け止められる複数の前記被記録媒体の第1搬送方向に沿う前後いずれか一方の端部の位置を揃える機能を有する、搬送装置。
【技術分野】【0001】本発明は、搬送装置および記録装置に関するものである。
【背景技術】【0002】従来から液体を用紙に対して付着させて記録を施す記録装置として、インクジェット式プリンターが広く知られている。このプリンターは、液体噴射ヘッドに供給されるインク(液体)を液体噴射ヘッドのノズルから噴射することにより用紙(被記録媒体)に印刷(画像形成)を施すようになっている。【0003】特許文献1には、プリンター本体部と、プリンター本体部の下流側に配置された搬送ユニットと、搬送ユニットの搬送方向の下流側に配置された集積ユニット(受容手段)とを備えるインクジェットプリンターが開示されている。このプリンターにおいては、ロールペーパーを引き出して印刷を行った後、所定のサイズに切断して搬送ユニットへと送り出し、搬送ユニットではプリンター本体部から排出されたプリントペーパーを、プリンター本体部におけるペーパーの搬送方向と交差する方向へ搬送して集積ユニットへ送り出すようになっている。
【発明が解決しようとする課題】【0005】しかしながら、ロールペーパーから切り出されるプリントペーパーのサイズ(仕様)が異なっていると、プリンター本体部から搬送ユニットの搬送ベルト上に排出されるプリントペーパーの落下位置がばらついてしまい、集積ユニットのスタック性を低下させてしまうという問題があった。【0006】本発明は、上記従来技術の問題点に鑑み成されたものであって、被記録媒体の仕様に関わらず、搬送機構から排出される被記録媒体の載置手段における落下位置のばらつきを抑えることにより、受容手段でのスタック性を高めることのできる搬送装置および記録装置を提供することを目的の一つとしている。
【課題を解決するための手段】【0007】本発明の搬送装置は、仕様の異なる複数の被記録媒体を搬送して搬送方向下流側へ排出する搬送手段と、前記搬送手段から排出された前記被記録媒体を受け止める載置手段と、前記搬送手段による前記被記録媒体の排出速度を制御する制御手段と、を備え、前記制御手段が、前記被記録媒体の仕様に対応させて前記排出速度を変化させるよう前記搬送手段を制御して、前記載置手段で受け止められる複数の前記被記録媒体の前記第1搬送方向に沿う前後いずれか一方の端部の位置を揃える機能を有する。【0008】これによれば、載置手段で受け止められる複数の被記録媒体の第1搬送方向に沿う前後いずれか一方の端部の位置を揃えることにより、載置手段での被記録媒体の受け止め位置のばらつきを抑えることができる。【0009】また、前記制御手段が、前記被記録媒体の前記第1搬送方向に沿う長さが短いほど前記排出速度を速くする構成としてもよい。【0010】これによれば、被記録媒体の第1搬送方向に沿う長さが短いほど、搬送手段からの被記録媒体の排出速度を速くすることにより、被記録媒体の仕様に関わらず、載置手段での複数の被記録媒体の受け止め位置のばらつきを抑えることができる。【0011】また、前記載置手段は、前記搬送手段による前記被記録媒体の前記第1搬送方向と直交する第2搬送方向に前記被記録媒体を搬送するように構成され、前記第2搬送方向の下流側には前記被記録媒体を受容して、前記第2搬送方向と直交する第3搬送方向に搬送する受容手段が設けられている構成としてもよい。【0012】これによれば、仕様の異なる複数の被記録媒体が載置手段で順次受け止められる際、第1搬送方向に沿う前後いずれか一方の端部の位置が被記録媒体ごとに揃うので、受容手段での被記録媒体のスタック性の低下を防止することができる。【0013】また、前記受容手段は、前記載置手段で受け止められる際に互いに揃えられた複数の前記被記録媒体の前記いずれか一方の端部が下側になるように起き上がらせて搬送する構成としてもよい。【0014】これによれば、受容手段での被記録媒体のスタック性の低下を抑えることができ、後のユーザー操作に影響を与えることが防止される。【0015】本発明の記録装置は、上記の搬送装置と、前記搬送装置の搬送手段によって搬送される被記録媒体に対して液体を付着させて記録を行う記録手段と、を備える。【0016】これによれば、搬送機構から排出される被記録媒体の載置手段における落下位置を一部揃えてそれらの落下位置のばらつきを抑えることにより、受容手段でのスタック性を高めることのできる記録装置を得ることができる。
null
【発明を実施するための形態】【0018】図1は、仕分装置を備えたプリンターユニットの構成を示す斜視図、図2は、仕分装置を備えたプリンターユニットの構成を示す上面図、図3は、図2における2-2線矢視断面図、図4は、図2における3-3線矢視断面図、図5は、図2における4-4線矢視断面図、図6は、案内部の構成を示す斜視図、図7は、プリンターユニットの排出機構側の要部拡大図である。【0019】以下、本発明の記録装置の一種であるインクジェット式プリンター(以下、「プリンター」と略す場合もある)に具体化した実施形態を説明する。また、以下の説明において、「前後方向」、「左右方向」、「上下方向」を言う場合は、各図中に矢印で示す前後方向、左右方向、上下方向をそれぞれ示すものとする。【0020】図1および図2に示すように、プリンターユニット(記録装置)11は、プリンター100と、搬送装置(載置手段)110と、仕分装置(受容手段)120とを構成要素として備えている。プリンター100は、長尺状の用紙であるシート(被記録媒体)ST(図3参照)に対して記録(印刷)を施すとともに、シートSTの記録が施された部分を切断することで搬送物の一例としてのカット用紙(被記録媒体)CSとして排紙する。【0021】搬送装置110は、プリンター100から排紙された記録済みのカット用紙CSを仕分装置120に向けて搬送するとともに、仕分装置120がカット用紙CSを受容する受け渡し領域DAに向けてカット用紙CSを排出する。また、仕分装置120は、積載部材の一例としての複数のトレイ130を備えている。各トレイ130は、半透明の合成樹脂からなる。そして、仕分装置120は、各トレイ130が受け渡し領域DAに順次移動していくことで、カット用紙(印刷物:被記録媒体)CSを仕分けするようになっている。【0022】次に、プリンター100の構成について詳述する。図3に示すように、プリンター100は、後壁の上部に排紙部12aを有する略直方体状の本体ケース12と、本体ケース12内の前側下部においてシートSTをロール状に巻き重ねたロール体RSの様態で保持する保持部13とを備えている。また、プリンター100は、本体ケース12内に、保持部13から排紙部12aに向かって延びる搬送経路に沿ってシートSTを搬送する搬送機構(搬送手段)14を備えている。また、本体ケース12内には、ロール体RSから巻き解かれたシートSTに対して記録を施す記録部15と、カッター16とが設けられている。また、本体ケース12内において排紙部12aと隣接する位置には、排紙機構(搬送手段)17が設けられている。【0023】搬送機構14によって搬送されるシートSTは、記録部15において表面に記録処理が施される。その後、シートSTは、表面に印刷が施された部分がカッター16で切断される。そして、切断されたシートSTの先端側の部分はカット用紙CS(単票)となる。【0024】保持部13は、ロール体RSを回転可能に支持する回転軸18と、回転軸18を回転させるための回転モーター(図示略)とを備えている。そして、回転モーターの駆動に伴って回転軸18が図3における反時計回り方向に回転すると、ロール体RSからシートSTが巻き解かれるようになっている。【0025】搬送機構14は、シートSTを搬送方向(第1搬送方向)X1の上流側から下流側に搬送する複数の搬送ローラー20~24と、各搬送ローラー20,21,22,24との間にシートSTを挟持する従動ローラー30,31,32,34とを備えている。各搬送ローラー20~24と、各従動ローラー30~34とは、搬送経路を介して互いに対向する位置に配置されている。【0026】尚、以下の説明において、互いに対をなす搬送ローラー22と従動ローラー32を搬送ローラー対R2と言うことがある。また、搬送機構14は、搬送ローラー20~24を回転させるための搬送モーター(制御手段)68と、搬送ローラー対R2と対応する位置に配置された搬送経路形成部材38とを備えている。【0027】記録部15は、搬送経路の上側に配置されたガイド軸42と、ガイド軸42に支持されたキャリッジ43と、キャリッジ43に支持された記録ヘッド(記録手段)44とを備えている。また、記録部15は、搬送経路を介して記録ヘッド44と対向する位置に配置された支持部材45を備えている。ガイド軸42は、搬送方向X1と交差(本実施形態では直交)するシートSTの幅方向Y1(本実施形態では左右方向)に沿って延びるように本体ケース12に架設されている。また、キャリッジ43は、ガイド軸42に案内されつつ幅方向Y1に沿って往復移動するようになっている。【0028】支持部材45の上面には複数の吸引孔(図示略)が開口している。また、支持部材45には吸引孔を通じてシートSTを吸着するための吸着機構46が内蔵されている。また、記録ヘッド44には、液体としてのインクを噴射する複数のノズル47が設けられている。そして、支持部材45に支持されたシートSTの表面(図2では上面となる記録面)に記録ヘッド44のノズル47からインクが噴射されることで、シートSTに記録が施されるようになっている。【0029】なお、プリンター100では、1つの印刷ジョブに含まれる印刷データを複数に分割し、分割された各印刷データに基づく印刷処理をキャリッジ43の走査毎に行うとともに、各印刷処理の合間に、シートSTの印刷が施された部分が間欠的に搬送されるようになっている。すなわち、記録部15では、幅方向Y1が長手方向となる帯状の画像の形成と紙送りとが交互に繰り返されることで、1つの印刷ジョブに基づく画像が形成されるようになっている。【0030】また、カッター16によるシートSTの切断は、搬送機構14によるシートSTの搬送を停止させ、上流側を支持部材45の吸着機構46で保持する一方、下流側を搬送ローラー対R2で挟持した状態で行われる。なお、本実施形態においては、印刷を行うためにシートSTの搬送を停止したときに、シートSTの切断を行うようになっている。そして、切断されたカット用紙CSは、搬送ローラー22~24によって停止されることなく連続的に搬送され、排紙機構17により、排紙部12aを通じて搬送装置110側に排紙される。【0031】排紙機構17は、図3に示すように、駆動ローラー23,24および従動ローラー34を構成要素の一部として有している。駆動ローラー24と従動ローラー34との間にカット用紙CSを挟持して、搬送方向X1の下流側、すなわち搬送装置110側へと排出させる。以下の説明において、排紙機構17によって搬送方向X1の下流側へとカット用紙CSを排紙させる方向を、排出方向X1と呼ぶこともある。【0032】本実施形態の排紙機構17は、駆動ローラー24と従動ローラー34とによってカット用紙CSを所定の排出速度V1で排出する。ここで、カット用紙CSの仕様に応じて排出速度V1を適宜変化させており、搬送モーター68を通じて駆動ローラー24の回転を制御することにより実現されるようになっている。カット用紙CSの仕様とは、サイズ、材質、質量等であり、本実施形態では長尺状のシートSTを切断することによりカット用紙CSを作製しているのでカット用紙CS同士の材質は等しい。そのため、排紙機構17によるカット用紙CSの排出方向X1に沿う長さLに応じて排出速度V1を変化させるようになっている。【0033】具体的には、カット用紙CSの排出方向X1における長さが短い場合ほど、排出速度V1を速くするように駆動ローラー23,24が駆動される。本実施形態では、搬送ベルト53上に載置させることが可能なカット用紙CSの排出方向X1に沿う最大長さL1が例えば12インチとなっている。この最大長さL1に比べて短くなるにしたがって排出速度V1を速くする。これにより、排紙機構17から搬送ベルト53上に排出されるカット用紙CSの落下位置のばらつきを抑えることができ、仕分装置120でのスタック性の低下を抑えることができる。【0034】すなわち、カット用紙CSの排出方向X1における長さLが長い場合には、遅い排出速度で排出することにより排出距離を短くし、カット用紙CSの長さLが短い場合には、速い排出速度で排出することにより排出距離を長くすることにより、搬送ベルト53上に落下する際の各カット用紙CSの排出方向X1における後端(端部)CS(b)の位置を揃えることができる。【0035】なお、排紙機構17によってカット用紙CSを排出する排出速度V1は、カット用紙CSの仕様に応じて可変させていることから、搬送機構14によるシートSTの搬送速度と一致することもある。【0036】次に、搬送装置110の構成について詳述する。図4に示すように、搬送装置110は、プリンター100の排紙部12aから排紙されたカット用紙CSを搬送方向(第2搬送方向)X2に上流側から下流側に向けて搬送するもので、基台部50上に載置されている。【0037】搬送装置110は、駆動ローラー51と、従動ローラー52と、駆動ローラー51および従動ローラー52に巻き掛けられた無端状の搬送ベルト53と、駆動ローラー51を回転させるための駆動モーター54(制御手段:図2参照)とを備えている。そして、駆動モーター54の駆動によって駆動ローラー51が図4における反時計回り方向に回転することで搬送ベルト53が回転(周回移動)するようになっている。【0038】このような搬送装置110は、プリンター100の排紙部12aの下方に、搬送ベルト53の搬送方向X2における上流側が位置するとともに、搬送ベルト53の搬送方向X2における下流側が仕分装置120の受け渡し領域DAと隣り合う位置となるように配置されている。したがって、駆動モーター54の駆動時にカット用紙CSが搬送ベルト53の載置面53a(上面からなる水平面)に載置されると、カット用紙CSは仕分装置120の受け渡し領域DAに向かって搬送方向X2に搬送されるようになっている。【0039】このとき、搬送ベルト53は受け渡し領域DAの搬送方向X2における中央付近に向けてカット用紙CSを投げ出すような速度で回転される。そして、カット用紙CSは載置面53aの搬送方向X2における下端側に至ると、載置面53aから離間して仕分装置120の受け渡し領域DAに向けて排出される。【0040】図7に示すように、プリンター100における排紙機構17の排紙部12aの直下に搬送ベルト53が位置しており、この載置面53aと駆動ローラー24と従動ローラー34とのニップ位置との高さHは63.5mmである。また、排紙機構17からカット用紙CSが排紙される際、載置面53a(水平面)に対して傾斜した姿勢で排紙される。このときのカット用紙CSの排紙角度θは35°である。【0041】ここで、図2に示すように、プリンター100の排紙機構17におけるカット用紙CSの排出方向(搬送方向)X1と、搬送装置110によるカット用紙CSの搬送方向X2と、が略直交している。つまり、載置面53a上に排出されてくるカット用紙CSの排出方向X1は、搬送ベルト53の幅方向に沿うこととなり、搬送方向X2の下流側となる搬送ベルト53の側部53b側にカット用紙CSの先端CS(a)が落下し、搬送方向X2の上流側となる搬送ベルト53の側部53d側にカット用紙CSの後端CS(b)が落下する。この際、カット用紙CSは、その用紙に応じてプリンター100から所定の排出速度V1で排出されるため、搬送ベルト53の載置面53a上に順次落下するカット用紙CSどうしの後端CS(b)の位置が一致することとなる。【0042】次に、仕分装置120の構成について詳述する。図2に示すように、仕分装置120は、箱型の本体部59を備えている。仕分装置120は、本体部59において後ろ側となる位置に受け渡し領域DAを有しているとともに、本体部59において前側となる位置に反転領域RAを有している。さらに、仕分装置120は、本体部59の前後方向における受け渡し領域DAと反転領域RAとの間に、搬送装置110によって搬送方向X2の上流側から搬送されるカット用紙CSを仕分けするための仕分領域SAを有している。【0043】仕分装置120は、搬送装置110から仕分領域SAへのカット用紙CSの受け渡し領域DAにおいて、受け渡し領域DAに向けて搬送方向X2の上流側から順次投げ出される複数のカット用紙CSを受容する。また、仕分装置120は、受容したカット用紙CSを仕分領域SAにおいて仕分する。なお、受け渡し領域DAは、搬送方向X2と直交するカット用紙CSの仕分方向(第3搬送方向)X3において、仕分領域SAの上流側に配置されている。【0044】図5に示すように、仕分装置120は本体部59に収容された移動機構60を備えている。移動機構60は、歯車61,62,63,64と、移動体の一例として無端状のチェーン65と、歯車61を回転させるための駆動モーター(図示略)とを備えている。歯車61,63は駆動歯車で、駆動モーター(図示略)によって図4における反時計回り方向に回転させる。また、歯車62は従動歯車であるとともに、歯車64はばね(図示略)によって下側に付勢されるテンション歯車である。そして、各歯車61~64は、チェーン65に対して内側からそれぞれ噛合している。【0045】チェーン65は、歯車61~64に巻き掛けられるとともに、歯車64によって適度に張設された状態に保持されている。そして、チェーン65は、駆動モーターの駆動に伴って歯車61,63が回転すると、一定の周回移動経路を形成するように図5において反時計回り方向に周回移動するようになっている。【0046】チェーン65には、トレイ130を装着するための複数の保持部67が略等間隔に設けられている。トレイ130は、保持部67に装着される装着部70と、平面状の受容部71と、側面視において受容部71と略直交するように設けられた位置決め部72とを有している。そして、チェーン65の各保持部67には、それぞれ1つのトレイ130が周回移動経路に沿って並ぶように取着されている。【0047】各トレイ130は、チェーン65の周回移動に伴って移動する過程で、受け渡し領域DAに順次配置される。また、受け渡し領域DAでカット用紙CSを受容した各トレイ130は、チェーン65の周回移動に伴って受け渡し領域DAから仕分領域SAに移動し、さらに仕分領域SAにおいて仕分方向X3に移動することで、カット用紙CSを仕分けする。【0048】トレイ130は、受容部71が仕分方向X3の上流側から下流側に向けてやや低くなるように傾斜した受容姿勢で受け渡し領域DAに配置されるとともに、この受け渡し姿勢においてカット用紙CSを寝かせた状態で受け入れる。また、トレイ130の位置決め部72は受容部71の仕分方向X3における下流側の端部に受け入れられている。そのため、トレイ130の受容部71に積載されたカット用紙CSは自重によって仕分方向X3の下流側に移動し、位置決め部72によって仕分方向X3における位置決めおよび下端位置の位置決めが行われる。【0049】受け渡し領域DAにおいてカット用紙CSを受容した各トレイ130は、カット用紙CSを積載した状態で、チェーン65の周回移動に伴って受容姿勢から起き上がるように姿勢を変化させながら、受け渡し領域DAから仕分領域SAに向けて順次移動する。これにより、仕分領域SAにおいてチェーン65の上側に位置する複数(本実施形態では9つ)のトレイ130は、受容部71同士が互いに平行をなす仕分姿勢で仕分領域SAに配置される。【0050】トレイ130が仕分姿勢になっている場合は、位置決め部72が受容部71の下側に位置するため、カット用紙CSは図5に二点差線で示すように下面側が受容部71にもたれかかった状態で下端が位置決め部72に支持される。すなわち、トレイ130は、仕分姿勢にある場合、カット用紙CSを立てた状態で支持する。【0051】ここで、トレイ130ごとにカット用紙CSの受容位置がばらついていると、カット用紙CSが斜め姿勢で移動するなどして後端CS(b)全体が位置決め部72に当接しない可能性も出てくる。上述したように、カット用紙CSを受容した各トレイ130が、カット用紙CSを積載した状態で受容姿勢から起き上がるように姿勢を変化させながら順次移動するようになっている。ここで、本実施形態では、搬送装置110側から順次搬送されてくるカット用紙CSの後端CS(b)同士がその搬送方向X2に直交する方向(搬送ベルト53の幅方向)で一致している。このため、各トレイ130にカット用紙CSを順次受け入れて立ち上がるように姿勢を変化させた際にカット用紙CSの後端CS(b)が下端側となる。そして、トレイ130の姿勢変化に伴って内部のカット用紙CSが位置決め部72側へ滑るように移動して、後端CS(b)が位置決め部72に当接する。トレイ130ごとにカット用紙CSの受容位置が一部一致しており、カット用紙CSの後端CS(b)と位置決め部72との距離がトレイ130ごとに一定であって、トレイ130の位置決め部72との距離も短い。このため、少ない滑り量でカット用紙CSの後端CS(b)が位置決め部72に到達することとなり、その結果、カット用紙CSの後端CS(b)全体が確実に位置決め部72に当接することとなる。このように、仕分装置120は、搬送ベルト53により搬送されてきたカット用紙CSをトレイ130にて受け止める際に、互いに備えられた複数のカット用紙CSの後端CS(b)側が下になるように起き上がらせて搬送するため、搬送ベルト53上における各カット用紙CSの後端CS(b)の位置を揃えておくことによって、仕分装置120でのカット用紙CSの搬送を良好に行える。【0052】なお、本実施形態では、各トレイ130に1枚ずつカット用紙CSを受容するようになっているが、1つのトレイ130に複数枚のカット用紙CSを受容させるようにしても良い。1つのトレイ130に複数のカット用紙CSを受容させた場合、トレイ130の立ち上げ時に用紙間の摩擦によって用紙同士が滑りにくくなり、カット用紙CSの受容姿勢にばらつきが生じやすい。このため、搬送ベルト53上でのカット用紙CSの後端CS(b)の位置を一致させておくことは、トレイ130に複数のカット用紙CSを集積する場合に特に有効である。【0053】図6において、トレイ130において受容部71の右端部側には、ユーザーによるカット用紙CSの取り出しを補助するために、2つの切欠部75,76が形成されている。なお、仕分装置120は、図6に二点鎖線で示す最小サイズのカット用紙CSから図6に一転鎖線で示す最大サイズのカット用紙CSまでの複数サイズのカット用紙CSを仕分する。したがって、切欠部75は主に小さいサイズのカット用紙CSの取り出しを補助し、切欠部76は主に大きいサイズのカット用紙CSの取り出しを補助する。【0054】搬送ベルト53は、受け渡し領域DAの搬送方向X2における中央付近に向けてカット用紙CSを投げ出すような速度で回転される。図6に示すように、受け渡し領域DAには、受け渡し領域DAに向けて投げ出されるカット用紙CSを受け止めてトレイ130の方に案内する案内板79および案内板80が設けられている。【0055】ここで、本発明に係る搬送装置は、上記した搬送機構14、排紙機構17、搬送装置110、仕分装置120を含んで構成されている。そして、図2に示したように、プリンター100の排紙機構17におけるカット用紙CSの搬送方向(排紙方向)X1と、搬送装置110によるカット用紙CSの搬送方向X2と、仕分装置120によるカット用紙CSの仕分方向X3とがそれぞれ略直交している。【0056】従来においては、カット用紙CSの仕様に関わらず一定の排出速度(搬送速度)で搬送ベルト53へと排出させていたため、カット用紙CSごとに載置面53a上における落下位置が異なり、各トレイ130にてバラバラにスタックされてしまう。トレイ130でのカット用紙CSのスタック性はユーザー操作に直接影響を与えてしまうため、各トレイ130内あるいは各トレイ130間にてカット用紙CSの姿勢にばらつきのない良好な状態で受容させる必要がある。【0057】図8~図9は、カット用紙CSの仕様に関わらず一定の排出速度(搬送速度)で搬送ベルト53へと排出させた場合における、落下位置を示す図である。各図において、搬送方向X1に沿うカット用紙CSの長さをLとし、搬送方向X2に沿うカット用紙CSの長さをL2で表す。【0058】図8に示すカット用紙CS(1)の大きさL:L2=4インチ:8インチ図9に示すカット用紙CS(2)の大きさL:L2=4インチ:6インチ図10に示すカット用紙CS(3)の大きさL:L2=8インチ:4インチ図11に示すカット用紙CS(4)の大きさL:L2=10インチ:8インチ【0059】このように、図8および図9に示すように相対的に排出方向X1に沿う長さLが短いカット用紙CS(1),CS(2)と、図10および図11に示すように相対的に排出方向X1に沿う長さLが長いカット用紙CS(3),CS(4)とでは、搬送ベルト53の載置面53a上における落下位置が異なっている。具体的には、排出方向X1に沿う長さLの短いカット用紙CS(1),CS(2)に比べて、排出方向X1に沿う長さLの長いカット用紙CS(3),CS(4)の方がプリンター100の排紙機構17から遠ざかる位置に落下しており、排出方向X1に沿う長さLが異なるとその落下位置が異なってしまっている。【0060】本実施形態のプリンターユニット11では、プリンター100の排紙機構17が、その排出方向X1に沿ってカット用紙CSを投げ出すようにして搬送ベルト53上に排出する際に、カット用紙CSの仕様(サイズ、材質、質量等)に応じて排出速度V1を変化させている。これによって、図6に示したように、搬送ベルト53の載置面53a上に順次排出されるカット用紙CSどうしの後端CS(b)の落下位置を揃えることができる。上述したように、搬送装置110によるカット用紙CSの搬送方向X2と、仕分装置120によるカット用紙CSの仕分方向X3とは略直交しており、仕分方向X3の下流側となる、カット用紙CSどうしの端部(後端CS(b))を揃えておくことによって、各トレイ130内にカット用紙CSが良好な姿勢で受容されることとなる。したがって、後のユーザー操作が円滑に行われるようになる。【0061】以上、添付図面を参照しながら本発明に係る好適な実施形態について説明したが、本発明は係る例に限定されないことは言うまでもない。当業者であれば、特許請求の範囲に記載された技術的思想の範疇内において、各種の変更例または修正例に想到し得ることは明らかであり、それらについても当然に本発明の技術的範囲に属するものと了解される。【0062】例えば、プリンター100は、長尺状のシートSTを切断してカット用紙CSとするものに限らず、予め単票とされたカット用紙CSに対して印刷を施すものであってもよい。【0063】プリンター100は、インク以外の他の液体の微少量の液滴を噴射したり吐出したりする液体噴射ヘッド等を備える液体噴射装置であってもよい。なお、液滴とは、上記液体噴射装置から吐出される液体の状態をいい、粒状、涙状、糸状に尾を引くものも含むものとする。また、ここで言う液体とは、液体噴射装置が噴射させることができる材料であればよい。例えば、物質が液相であるときの状態のものであれば良く、粘性の高い又は低い液状態、ゾル、ゲル水、その他の無機溶剤、有機溶剤、溶液、液状樹脂、液状金属(金属融液)のような流状態、また物質の一状態としての液体のみならず、顔料や金属粒子などの固形物からなる機能材料の粒子が溶媒に溶解、分散又は混合されたものなどを含む。【0064】また、液体の代表的な例としては上記実施形態で用いたようなインクや液晶等が挙げられる。ここで、インクとは一般的は水性インクおよび油性インクならびにジェルインク、ホットメルトインク等の各種液体組成物を包含するものとする。液体噴射装置の具体例としては、例えば、液晶ディスプレイ、EL(エレクトロルミネッセンス)ディスプレイ、面発光ディスプレイ、カラーフィルターの製造などに用いられる電極材や色材などの材料を分散又は溶解の形で含む液体を噴射する液体噴射装置、バイオチップ製造などに用いられる生態有機物を噴射する液体噴射装置、精密ピペットとして用いられる試料となる液体を噴射する液体噴射装置、捺染装置やマイクロディスペンサー等であってもよい。さらに、時計や精密機械にピンポイントで潤滑油を噴射する液体噴射装置、光通信装置等に用いられる微小半球レンズ(光学レンズ)などを形成するために紫外線硬化樹脂等の透明樹脂液を基板上に噴射する液体噴射装置、基板などをエッチングするために酸又はアルカリ等のエッチング液を噴射する液体噴射装置を採用しても良い。そして、これらのうちいずれか一種の噴射装置に本発明を適用することができる。
A
2012140222
2011000160
20110104
null
null
20120726
2012140222
20120726
null
null
5694785
20150213
null
null
null
紙葉類幅寄せ機構及び紙葉類幅寄せ方法
000001432
グローリー株式会社
100114306
中辻 史郎
平岡 重秋
null
8
B65H 9/00 (20060101), G07D 9/00 (20060101), B65H 5/02 (20060101)
B65H 9/00 A ,G07D 9/00 416C ,B65H 5/02 N
8
null
null
null
0
21
3E040,3F049,3F102
3E040 AA01 ,3E040 DA08 ,3E040 FG03,3F049 BB01 ,3F049 DA02 ,3F049 DB11 ,3F049 LA08 ,3F049 LB04,3F102 AA15 ,3F102 AB03 ,3F102 BA06 ,3F102 BB04 ,3F102 EA06 ,3F102 EA10
【課題】紙葉類を搬送方向と垂直な方向に皺や折れが生じないように確実に幅寄せする。【解決手段】対向して設けられた2つの入口側ローラの間に受けた紙葉類を、入口側ローラと出口側ローラとの間に巻回した2本の搬送ベルトの間に挟持して搬送する。入口側ローラと出口側ローラの間に、回動可能な偏位ローラを設けて、紙幣の幅寄せ量に応じて偏位ローラを回動させる。偏位ローラは外周面が紙幣を挟持する搬送ベルトを押し上げるように設けられているので、偏位ローラの回転移動によって搬送ベルトの状態が変化し、搬送される紙幣は搬送方向と垂直な方向に移動する。搬送ベルトに挟持された紙幣はその姿勢を保ったまま幅寄せされて皺や折れを生ずることなく出口側から排出される。【選択図】図2
【請求項1】搬送する紙葉類の位置を搬送方向と垂直な方向に幅寄せする紙葉類幅寄せ機構であって、入口側第1ローラと、前記入口側第1ローラの回転軸と平行な軸を回転軸とする出口側第1ローラと、前記入口側第1ローラ及び前記出口側第1ローラに巻回された第1搬送ベルトと、入口側第2ローラと、前記入口側第2ローラの回転軸と平行な軸を回転軸とする出口側第2ローラと、前記入口側第2ローラ及び前記出口側第2ローラに巻回されて、前記第1搬送ベルトとの間で紙葉類を挟持しながら搬送する第2搬送ベルトと、前記入口側第2ローラと前記出口側第2ローラとの間に設けられ、前記第2搬送ベルトを内周側から前記第1搬送ベルト側へ押し上げる偏位ローラと、前記偏位ローラを回動可能に支持するとともに、前記紙葉類の幅寄せ量に応じて前記偏位ローラを回動させる偏位ローラ支持台とを備えたことを特徴とする紙葉類幅寄せ機構。【請求項2】前記入口側第1ローラの回転軸を回転軸とする入口側第3ローラと、前記出口側第1ローラの回転軸を回転軸とする出口側第3ローラと、前記入口側第3ローラ及び前記出口側第3ローラに巻回された第3搬送ベルトと、前記入口側第2ローラの回転軸を回転軸とする入口側第4ローラと、前記出口側第2ローラの回転軸を回転軸とする出口側第4ローラと、前記入口側第4ローラ及び前記出口側第4ローラに巻回されて、前記第3搬送ベルトとの間で紙葉類を挟持しながら搬送する第4搬送ベルトとをさらに備え、前記偏位ローラが、前記入口側第4ローラと前記出口側第4ローラとの間で、前記第4搬送ベルトを内周側から前記第3搬送ベルト側へ押し上げることを特徴とする請求項1に記載の紙葉類幅寄せ機構。【請求項3】前記第1から第4の各搬送ベルトの位置を、前記偏位ローラの回転軸が前記入口側第1ローラの回転軸と平行な状態にある場合の位置に規制する位置規制手段をさらに備えたことを特徴とする請求項1又は請求項2に記載の紙葉類幅寄せ機構。【請求項4】前記位置規制手段は、前記第1から第4搬送ベルトを紙幣搬送方向に対して略90度回転させた状態で位置を規制することを特徴とする請求項3に記載の紙葉類幅寄せ機構。【請求項5】前記位置規制手段はピンチローラであることを特徴とする請求項3又は請求項4に記載の紙葉類幅寄せ機構。【請求項6】前記入口側第1ローラ、前記入口側第2ローラ、前記入口側第3ローラ及び前記入口側第4ローラが、クラウンローラであることを特徴とする請求項1から請求項5のいずれか1項に記載の紙葉類幅寄せ機構。【請求項7】前記第1から第4出口ローラのうち同じ回転軸上で回転する2つのローラが、一体化されたフラットローラであることを特徴とする請求項2から請求項6のいずれか1項に記載の紙葉類幅寄せ機構。【請求項8】搬送ベルトによって挟持されて搬送される紙葉類の位置情報を取得する工程と、前記位置情報に基づいて、前記搬送ベルトによって構成される搬送面上で前記紙葉類を搬送方向に対して垂直に移動させる幅寄せ量を決定する工程と、前記搬送ベルトを下方から押し上げる偏位ローラを、決定された前記幅寄せ量に応じて回転移動させる工程と、前記搬送面上で前記搬送方向に垂直な方向から前記幅寄せ量に対応した角度を成す直線上を、前記搬送ベルトの下方から前記偏位ローラによって押し上げた状態とする工程と、押し上げた前記搬送ベルトによって前記紙幣を搬送して位置を調整する工程とを含んだことを特徴とする紙葉類幅寄せ方法。
【請求項1】搬送する紙葉類の位置を搬送方向と垂直な方向に幅寄せする紙葉類幅寄せ機構であって、入口側第1ローラと、前記入口側第1ローラの回転軸と平行な軸を回転軸とする出口側第1ローラと、前記入口側第1ローラ及び前記出口側第1ローラに巻回された第1搬送ベルトと、入口側第2ローラと、前記入口側第2ローラの回転軸と平行な軸を回転軸とする出口側第2ローラと、前記入口側第2ローラ及び前記出口側第2ローラに巻回されて、前記第1搬送ベルトとの間で紙葉類を挟持しながら搬送する第2搬送ベルトと、前記入口側第2ローラと前記出口側第2ローラとの間に設けられ、前記第2搬送ベルトを内周側から前記第1搬送ベルト側へ押し上げる偏位ローラと、前記偏位ローラを回動可能に支持するとともに、前記紙葉類の幅寄せ量に応じて前記偏位ローラを回動させる偏位ローラ支持台とを備えたことを特徴とする紙葉類幅寄せ機構。【請求項8】搬送ベルトによって挟持されて搬送される紙葉類の位置情報を取得する工程と、前記位置情報に基づいて、前記搬送ベルトによって構成される搬送面上で前記紙葉類を搬送方向に対して垂直に移動させる幅寄せ量を決定する工程と、前記搬送ベルトを下方から押し上げる偏位ローラを、決定された前記幅寄せ量に応じて回転移動させる工程と、前記搬送面上で前記搬送方向に垂直な方向から前記幅寄せ量に対応した角度を成す直線上を、前記搬送ベルトの下方から前記偏位ローラによって押し上げた状態とする工程と、押し上げた前記搬送ベルトによって前記紙幣を搬送して位置を調整する工程とを含んだことを特徴とする紙葉類幅寄せ方法。
【技術分野】【0001】この発明は、搬送する紙葉類の位置を搬送方向と垂直な方向に幅寄せする紙葉類幅寄せ機構及び紙葉類幅寄せ方法に関し、特に、紙幣処理装置内において皺や折れを生じさせたり斜行させたりすることなく紙幣を確実に幅寄せする紙葉類幅寄せ機構及び紙葉類幅寄せ方法に関する。
【背景技術】【0002】従来、紙幣等の紙葉類を処理する場合に、処理後に集積された紙葉類を取り出しやすくしたり、集積された紙葉類の外観を見栄え良くしたりするために、紙葉類の位置を揃えるための技術が知られている。【0003】例えば、特許文献1には、ATM内部で利用される媒体集積装置に関して、搬送方向に対して垂直な方向に紙幣の位置を揃えるための様々な方法が開示されている。その一例として、集積する紙幣を両側から叩くことによって位置を揃えながら集積する方法が開示されている。ところが、この方法では紙幣を叩くことによって発生する騒音が大きいという問題がある。また複数の集積部がある場合に全ての集積部に偏位修正装置を設ける必要があるため、装置の大型化やコスト増を招くという問題がある。【0004】これを解決する例として、特許文献1には、搬送路上に回転速度の異なるローラを設け、搬送される紙幣の端部を中央部に比べて高速に搬送し、紙幣を回転させることによって搬送路上の一方へ幅寄せする偏位修正装置が開示されている。その他にも、紙幣を挟持して搬送する搬送路上において、紙幣の挟持力を一時的に解放し、その間に紙幣を回転させたり、斜行させたりすることによって幅寄せする方法が開示されている。このような偏位修正装置を、各集積部に向けて搬送路が分岐する分岐点より上流側に設ければ、複数の集積部がある場合でも、一つの偏位修正装置によって全ての紙葉類を幅寄せすることができる。
【発明が解決しようとする課題】【0006】しかし、かかる従来技術によれば、いずれの方法においても紙幣の端部のみを高速に搬送したり、紙幣の一部に力を加えて回転又は斜行させたりするため、紙幣に皺や折れが生じやすいという問題がある。また搬送される紙幣が所謂腰のない撚れた紙幣の場合には、紙幣の一部に力を加えても、紙幣が部分的に撓んだり皺が生じたりするだけで、紙幣の位置を上手く制御できないという問題がある。【0007】かかる従来技術の問題点を、図9を参照しながら具体的に説明する。例えば、紙幣処理装置では、投入口に載置された紙幣が1枚ずつ搬送路104に繰り出され、搬送路104上を搬送される間に識別や計数等の処理が行われた後、集積部に搬送されて集積される。投入口や集積部では、側壁等によって紙幣の幅方向の位置を規制している。側壁は、紙幣の幅に応じて位置調整可能に設けられていて、その位置は処理対象となる紙幣のうち最も幅の広い紙幣に合わせて調整される。幅の広い紙幣90Aは、投入口で側壁により位置を規制されて搬送路104に繰り出され、図9(A)の破線矢印で示したように、その位置を維持したまま搬送路104上を搬送される。そのため幅の広い紙幣90Aは位置が揃った状態で搬送され集積される。【0008】ところが、幅の狭い紙幣91Aについては、幅の広い紙幣90Aに合わせて調整された側壁によってその位置を規制できないため、搬送路104の幅方向に様々な位置で繰り出されることになる。このようにバラバラな位置で繰り出された幅の狭い紙幣91Aの位置を、搬送路104の途中に設けられた偏位修正装置によって調整する。具体的には、図9(B)に示すように紙幣を回転させたり、斜行させたりすることによって、搬送路104幅方向のいずれか一方へ紙幣を幅寄せする。【0009】このとき、紙幣の中央部に対して紙幣の端部を高速に搬送しようとすると、中央部と端部との間に皺や折れが生ずる場合がある。紙幣の一部に力を加えて斜行させたり回転させたりする場合も、紙幣上の狭い領域に急激に力が加えられるため、その領域や領域周辺で皺や折れが生ずる場合がある。新券を処理する場合には、皺や折れの発生が特に問題となる。また紙幣が使い古されて柔らかくなっている場合にも、部分的に力を加えても、その部分が変形して皺や折れを生ずるだけで、加えた力に応じて紙幣を移動させることができず、幅寄せできない場合がある。特に、傷みの激しい紙幣が多い国では紙幣を上手く幅寄せできないことが多い。【0010】また、紙幣91Bを回転させたり斜行させたりすることによって幅寄せできたとしても、図9(C)に示すように、斜めになった状態で紙幣91Cが搬送されてしまうという問題がある。そのため幅寄せを行った後に、集積部に集積する紙幣をまっすぐに揃えるための処理が必要である。例えば、集積部に集積される紙幣の端面を叩いたり、各集積部やその近傍で壁部材等に当てたりすることによって紙幣端部を揃える。【0011】本発明は、上記従来技術による課題を解決するためになされたものであって、紙葉類に皺や折れを生じさせたり斜行させたりすることなく紙葉類を幅寄せし、その搬送位置を確実に調整することができる紙葉類幅寄せ機構及び紙葉類幅寄せ方法を提供することを目的とする。
【課題を解決するための手段】【0012】上述した課題を解決し、目的を達成するため、本発明は、搬送する紙葉類の位置を搬送方向と垂直な方向に調整する紙葉類幅寄せ機構であって、入口側第1ローラと、この入口側第1ローラの回転軸と平行な軸を回転軸とする出口側第1ローラと、入口側第1ローラ及び出口側第1ローラに巻回された第1搬送ベルトと、入口側第2ローラと、この入口側第2ローラの回転軸と平行な軸を回転軸とする出口側第2ローラと、入口側第2ローラ及び出口側第2ローラに巻回されて第1搬送ベルトとの間で紙葉類を挟持しながら搬送する第2搬送ベルトと、入口側第2ローラと出口側第2ローラとの間に設けられ第2搬送ベルトを内周側から第1搬送ベルト側へ押し上げる偏位ローラと、偏位ローラを回動可能に支持するとともに紙葉類の幅寄せ量に応じて偏位ローラを回動させる偏位ローラ支持台とを備えたことを特徴とする。【0013】また、本発明は、上記発明において、入口側第1ローラの回転軸を回転軸とする入口側第3ローラと、出口側第1ローラの回転軸を回転軸とする出口側第3ローラと、入口側第3ローラ及び出口側第3ローラに巻回された第3搬送ベルトと、入口側第2ローラの回転軸を回転軸とする入口側第4ローラと、出口側第2ローラの回転軸を回転軸とする出口側第4ローラと、入口側第4ローラ及び出口側第4ローラに巻回されて、第3搬送ベルトとの間で紙葉類を挟持しながら搬送する第4搬送ベルトとをさらに備え、偏位ローラが、入口側第4ローラと出口側第4ローラとの間で、第4搬送ベルトを内周側から第3搬送ベルト側へ押し上げることを特徴とする。【0014】また、本発明は、上記発明において、第1から第4の各搬送ベルトの位置を、偏位ローラの回転軸が入口側第1ローラの回転軸と平行な状態にある場合の位置に規制する位置規制手段をさらに備えたことを特徴とする。【0015】また、本発明は、上記発明において、位置規制手段は、第1から第4搬送ベルトを紙幣搬送方向に対して略90度回転させて位置を規制することを特徴とする。【0016】また、本発明は、上記発明において、位置規制手段はピンチローラであることを特徴とする。【0017】また、本発明は、上記発明において、入口側第1ローラ、入口側第2ローラ、入口側第3ローラ及び入口側第4ローラが、クラウンローラであることを特徴とする。【0018】また、本発明は、上記発明において、第1から第4出口ローラのうち同じ回転軸上で回転する2つのローラが一体化されたフラットローラであることを特徴とする。【0019】また、本発明は、搬送ベルトによって挟持されて搬送される紙葉類の位置情報を取得する工程と、位置情報に基づいて搬送ベルトによって構成される搬送面上で紙葉類を搬送方向に対して垂直に移動させる幅寄せ量を決定する工程と、搬送ベルトを下方から押し上げる偏位ローラを決定された幅寄せ量に応じて回転移動させる工程と、搬送面上で搬送方向に垂直な方向から幅寄せ量に対応した角度を成す直線上を搬送ベルトの下方から偏位ローラによって押し上げた状態とする工程と、押し上げた搬送ベルトによって紙幣を搬送して幅寄せする工程とを含んだことを特徴とする。【0020】なお、本発明における「幅寄せ」とは、搬送路上を搬送する紙幣を幅方向いずれか一方へ移動させることを言い、搬送路幅方向のいずれか一方へ搬送路端部まで移動させることに加えて、搬送路の略中央位置へ移動させることを含む概念である。
【発明の効果】【0021】本発明によれば、第1搬送ベルトと第2搬送ベルトの間に紙幣を挟持して搬送しながら、紙葉類の幅寄せ量に応じて偏位ローラの回転移動を制御して、搬送方向に垂直な方向と所定角度を成した偏位ローラによって搬送路を押し上げた状態とし、第1搬送ベルト及び第2搬送ベルトが押し上げられる位置が変化することによって紙幣の搬送方向も変化することを利用して、搬送方向に対して垂直な方向へ紙幣の幅寄せを行うこととしたので、偏位ローラの制御のみによって紙幣を幅寄せすることができる。また紙幣の傷み具合等に影響されず、紙幣に皺や折れを生じさせることもなく、確実に紙幣を幅寄せすることができる。【0022】また、本発明によれば、紙幣を挟持して搬送する2本を一対とする搬送ベルトを、2組設けて紙幣の異なる位置を挟持して搬送することとしたので、紙幣をより確実に搬送することができる。また2本の搬送ベルトが平行な状態で同期して紙幣の移動調整を行うため、紙幣の姿勢を維持したまま搬送方向に垂直な方向にのみ移動させることができる。【0023】また、本発明によれば、位置規制手段によって各搬送ベルトの位置を規制することとしたので、偏位ローラの回転移動によって、各搬送ベルトに搬送方向と垂直な方向の力が作用してもベルトが各ローラから外れることがない。【0024】また、本発明によれば、各搬送ベルトを紙幣の搬送方向に対して略90度回転させてからベルトの位置を規制することとしたので、ベルトに大きな力が作用してもベルトが幅方向に折れ曲がることがない。【0025】また、本発明によれば、位置規制手段として、ピンチローラを利用して、各搬送ベルトの位置をベルトの表面又は裏面から規制することとしたので、広い面積でベルトを押さえることができ、ベルトが変形することがない。【0026】また、本発明によれば、2組の搬送ベルトを巻回するローラのうち、フラットローラを利用することができる出口側で、上側ローラ及び下側ローラを各々一本のフラットローラとしたので、部品点数や製造コストを抑制することができる。【0027】また、本発明によれば、入口側ローラをクラウンローラとしたので、位置規制手段を、入口側ローラの上方又は下方のいずれか一方側に設けるだけで、他方側でも各搬送ベルトが各ローラから外れることがなく安定して回転を継続させることができる。
【発明を実施するための形態】【0029】以下に添付図面を参照して、本発明に係る紙葉類幅寄せ機構の好適な実施例を詳細に説明する。以下では、本発明に係る紙葉類幅寄せ機構が紙幣処理装置内で利用される場合について説明する。【0030】図1は、本実施例にかかる紙幣処理装置1の内部構成を模式的に示す縦断面図である。紙幣処理装置1は最上部に操作表示部2を有し、主として前面上部の分類集積部100、前面下部の紙幣結束部200、背面の搬送部300から構成されている。【0031】まず、分類集積部100について説明する。分類集積部100の前面のほぼ中央部に、処理すべき紙幣を受け入れる入金口101が設けられ、ここに投入された金種の混在する紙幣が繰り出しローラ102,103により繰り出され、搬送路104に沿って搬送される。【0032】搬送路104の途中には識別部105が設けられ、ここで金種、正損、真偽、表裏等の識別を行う。識別部105は、同じ金種でデザインが相違する新旧券を識別することもできる。また識別部105は、搬送路104上を搬送されて識別部105を通過する紙幣をスキャンして紙幣画像を生成したり、紙幣の大きさや搬送路104上における紙幣の位置を認識したりする機能を有している。【0033】具体的には、例えば識別部105が搬送路104の幅方向に配されたラインセンサを備え、このラインセンサによって通過する紙幣表面をスキャンして画像データを生成する。この画像データから、各種の情報を抽出して解析することによって、金種等の識別を行うとともに、紙幣の大きさ、搬送路104上の紙幣の位置、搬送状態等を特定することができる。【0034】識別部105を通過した紙幣が搬送される搬送路104上に、本発明にかかる紙葉類幅寄せ機構10が設けられる。紙葉類幅寄せ機構10は、搬送される紙幣の位置を搬送方向と垂直な方向に幅寄せする機能を有する。紙葉類幅寄せ機構10は、紙幣処理装置1の搬送路104の一部を構成するように、内部に紙幣の幅寄せを行うための搬送路を有している。紙葉類幅寄せ機構10は、搬送路104上の紙幣位置に関する情報を識別部105から取得して、この情報に基づいて紙幣の幅寄せを行う。なお、幅寄せが必要な紙幣のみを幅寄せし、幅寄せが不要な紙幣はそのまま搬送する。幅寄せが必要な紙幣とは、識別部105による識別結果に基づいて集積部111~115に搬送されて集積される紙幣であり、かつ搬送路104上の位置が搬送方向と垂直な方向にずれており、所定位置に移動させる幅寄せが必要な紙幣である。【0035】紙葉類幅寄せ機構10は、識別部105から取得した情報を利用するため、幅寄せに必要な情報を検出するための専用のセンサ等を別途設ける必要がない。また紙葉類幅寄せ機構10は、識別部105の直後に設けられているため、直前に通過した識別部105からの情報に基づいて正確に紙幣の幅寄せを行うことができる。さらに全ての紙幣が通過する識別部105の直後に設けられているため、複数の集積部がある場合でも1つの紙葉類幅寄せ機構10によって全ての紙幣の幅寄せを行うことができる。紙幣の幅寄せの方法詳細については後述する。【0036】搬送路104は表裏反転部107の先で分岐されており、識別部105による識別の結果に基づいて、損券、偽券等はリジェクト紙幣としてリジェクト紙幣集積部106に集積される。一方、正券で真券のものは、表裏反転部107において、識別部105による表裏判定結果に応じ、すべての紙幣の表裏面が一致するようにされ、さらに判定された金種等に基づいて結束対象紙幣を収納する集積部111~115のいずれかに集積される。これらの各集積部111~115はそれぞれ集積用のステージ111a~115aを有しており、これらは図示しない駆動手段で昇降可能とされている。【0037】図1においては、各集積部111~115は集積可能な状態として図示されている。すなわち、上側約2/3に設けられた壁部材111b~115bの下端位置にステージ111a~115aが位置しているため、搬送された紙幣は壁部材111b~115bに当たって停止し、集積される。この際、紙幣の飛び出しを防止し、安定な集積を可能とするために、集積量に応じて回動可能な押さえ板111c~115cが設けられている。なお、ステージ111a~115a及び壁部材111b~115bには後述する搬送部のハンドが自由に通過できる切り欠きが形成されている。一方、結束対象外の紙幣はさらに搬送され、外部集積部121及び122に集積される。これらの集積部111~115、及び外部集積部121及び122に対して、集積すべき対象を種々設定することができる。【0038】操作表示部2の下には結束対象となったものの、結束可能枚数に達しなかった端数紙幣を返却するための端数紙幣返却部130が設けられており、端数紙幣返却トレイ131の下面に設けられた突き当て部材132の先端部132aが背面より押されることにより、端数返却トレイ131が前進し、前面に設けられたシャッタ133が開いて一点鎖線で示された位置まで進み、端数紙幣を取り出すことができる。【0039】次に、紙幣結束部200について説明する。分類集積部100の下に設けられた紙幣結束部200は、所定枚数(通常100枚)に達した分類・集積後の紙幣に紙の帯を巻回させ帯封を行う部分である。【0040】集積部111~115において集積枚数が所定枚数に達した紙幣は、後述する搬送部300によりセット部201に移送されて挟持される。挟持された紙幣の所定箇所に帯封テープを巻回させるための回転機構202が設けられている。帯封テープ集積部203から取り出された帯封テープ204の先端がテープ止め205によって止められる。そして、回転機構202によって、挟持した紙幣の周囲で、このテープ止め205を回転させて紙幣を結束するようになっている。結束後、テープの先端を切断するためのカッタ206と、その先端部を熱接着するためのヒータ207も設けられている。【0041】帯封された結束紙幣はベルト式の搬送機構208で結束紙幣投出口209に投出される。結束紙幣投出口209に搬送される途中には、金種、日時、連続番号等を帯封の上に印字する印字部210と、処理を行った金融機関印を押印する押印部211とが設けられている。【0042】背面に設けられた搬送部300は、集積部111~115と紙幣結束部200または端数紙幣返却部130との間で結束対象紙幣または端数紙幣を搬送するものである。【0043】次に搬送部300について説明する。搬送部300は、装置の下端から上端に到る垂直に設置されたガイド軸301、このガイド軸301に係合しつつ上下移動の可能な昇降ユニット310、この昇降ユニット310を昇降させるための駆動ベルト302を備える。【0044】昇降ユニット310はベルト機構311による前進後退可能なブロック312を有しており、このブロック312には固定の下ハンド313と、ベルト316により軸315に沿って上下移動する上ハンド314を有している。ハンド313及び314は、集積部111~115に集積された結束対象紙幣あるいは端数紙幣を挟んで取り出し、紙幣結束部200あるいは端数紙幣返却部131に移送するためのものである。【0045】次に、本発明に係る紙葉類幅寄せ機構10について説明する。図2(A)は紙葉類幅寄せ機構10の構成概略を示す上面図、同図(B)は側方から見た装置断面を示す模式図、同図(C)はローラ及びベルトの回転方向を説明する模式図である。同図に示すように、紙葉類幅寄せ機構10を紙幣処理装置1内部で利用する際の装置上方向をZ方向、紙葉類幅寄せ機構10内で紙幣が搬送される方向をX方向、各ローラの軸方向をY方向として説明する。【0046】図2に示すように、紙葉類幅寄せ機構10は、上側クラウンローラ12A(入口側第1ローラ)及び12B(入口側第3ローラ)と、下側クラウンローラ13A(入口側第2ローラ)及び13B(入口側第4ローラ)と、上側ピンチローラ40及び下側ピンチローラ41と、偏位ローラ11と、偏位ローラ支持台20と、偏位ローラ支持台20と一体に設けられたラックギア22と、ラックギア22と噛み合うピニオンギア23と、上側フラットローラ14(出口側第1ローラ及び出口側第3ローラ)及び下側フラットローラ15(出口側第2ローラ及び出口側第4ローラ)と、上側搬送ベルト42A(第1搬送ベルト)及び42B(第3搬送ベルト)と、下側搬送ベルト43A(第2搬送ベルト)及び43B(第4搬送ベルト)と、進入検知センサS1と、を枠体50内部に備えている。なお、以下では、同一の構造及び機能を有する構成部品については同じ数字の後に異なるアルファベットを付して記載し、これらの構成部品全てを指す場合にはアルファベットのみを省略して記載する。【0047】枠体50は、各構成部品11~43を固定又は回転可能に支持しており、枠体50と各構成部品11~43によって紙葉類幅寄せ機構10がユニット化されている。紙葉類幅寄せ機構10をユニットとして紙幣処理装置1内部へ組み込むことによって、紙幣処理装置1内部で紙幣の幅寄せを行うことができる。また例えば処理対象とする全紙幣が同じ幅を有しているため幅寄せする必要がない場合には、紙葉類幅寄せ機構10をユニットごと取り外すことにより、紙幣処理装置1の重量やコストを抑制することができる。ただし、紙葉類幅寄せ機構10は、ユニット構造に限定されるものではなく、専用の枠体50を有さず、各構成部品11~43が紙幣処理装置1の内部に組み込まれて装置の一部を構成していてもよい。【0048】本実施例では、紙幣処理装置1の搬送路104から紙葉類幅寄せ機構10の入口側にあるローラ(12及び13)迄の距離、及び出口側にあるローラ(14及び15)から紙幣処理装置1の搬送路104迄の距離が短いため、紙幣処理装置1の搬送路104と入口側及び出口側の各ローラ(12及び13、又は14及び15)との間で直接紙幣を受け渡すようになっている。しかし、これに限定されず、枠体50に、紙幣処理装置1の搬送路104との間で紙幣を受け渡すためのガイドを備えていてもよい。紙幣が紙葉類幅寄せ機構10の備える上側クラウンローラ12及び下側クラウンローラ13の間に入り、上側フラットローラ14及び下側フラットローラ15の間から出て行くように紙幣をガイドし、円滑な紙幣搬送が行われるように機能するものであれば、ガイドの構造、材質及び取り付け状態等は特に限定されない。【0049】上側クラウンローラ12及び下側クラウンローラ13は、上下に対向して設けられた一対のローラである。回転軸を介して枠体50に取り付けられ、枠50又は回転軸に対して回転可能に設けられている。クラウンローラ12及び13は、軸方向(Y方向)の両端から中央部へ至るにつれてX軸方向断面の直径が徐々に大きくなる樽形形状を有している。上下に設けられた平行な各軸上で、対向する2つのクラウンローラ(12A及び13Aと、12B及び13B)が回転する。上側クラウンローラ12Aと下側クラウンローラ13Aとが対向し、上側クラウンローラ12Bと下側クラウンローラ13Bとが対向する位置関係にある。【0050】上側フラットローラ14及び下側フラットローラ15は、上下に対向して設けられた一対のローラである。回転軸を介して枠体50に取り付けられ、枠50又は回転軸に対して回転可能に設けられている。図2(A)に示すように、フラットローラ14及び15は、そのY軸方向下端が、Y軸方向下方にあるクラウンローラ12B及び13Bよりさらに下側の位置にあり、Y軸方向上端が、Y軸方向上方にあるクラウンローラ12A及び13Aよりさらに上側の位置にある。フラットローラ14及び15は、X軸方向断面が同一の円形となる円筒形状を有している。フラットローラ14及び15の位置及び長さは、後述する2組の搬送ベルト(42A及び42Bと、43A及び43B)が移動したときに、搬送ベルト42及び43がフラットローラ14及び15から外れることがないように設定される。詳細については後述する。【0051】上側フラットローラ14と、上側クラウンローラ12A及び12Bとの間には、2本の上側搬送ベルト42A及び42Bが各々巻回されている。また下側フラットローラ15と、下側クラウンローラ13A及び13Bとの間には、2本の下側搬送ベルト43A及び43Bが各々巻回されている。上側搬送ベルト42及び下側搬送ベルト43は、伸縮性を有する無端平ベルトである。例えば約6%の伸縮性を有するゴム製の無端平ベルトで、その長さは、巻回された2つのローラ間で伸びて張力を生ずるように設定される。そのため、クラウンローラ12及び13の回転面は樽形の曲面を構成しているが、搬送ベルト42及び43は、巻回されたときの張力によってローラの表面に密着する。またフラットローラ14及び15側でも、同様にローラ表面と搬送ベルト42及び43が密着した状態となる。この張力と、搬送ベルト(42及び43)と各ローラ表面との間の摩擦力とによって、搬送ベルト42及び43は、各ローラの回転に伴って、滑りを生ずることなく回転する。紙葉類幅寄せ機構10では、上側搬送ベルト42と下側搬送ベルト43との間に紙幣を挟持して、X軸方向に入口側から出口側へ紙幣を搬送する。【0052】下側搬送ベルト43を構成する無端平ベルトの内側で、下側フラットローラ15と、下側クラウンローラ13A及び13Bとの間に、偏位ローラ11が設けられている。偏位ローラ11は、回転軸を介して偏位ローラ支持台20に取り付けられ、偏位ローラ支持台20又は回転軸に対して回転可能に設けられている。偏位ローラ11は、図2(A)に示す初期位置にあるときに、そのY軸方向下端が、Y軸方向下方にあるクラウンローラ12B及び13Bよりさらに下側の位置にあり、Y軸方向上端が、Y軸方向上方にあるクラウンローラ12A及び13Aの上端よりさらに上側の位置にあるローラで、X軸方向断面が同一の円形となる円筒形状を有している。【0053】なお、初期位置とは、電源投入時の装置の初期化処理実行直後の位置を言う。紙幣の幅寄せを行わず、紙幣処理装置1の搬送路104上の紙幣位置を維持したまま紙幣を搬送するときの偏位ローラ11の位置である。紙幣の幅寄せを行う場合に、紙幣が搬送されてくる度に毎回偏位ローラ11を初期位置に戻す必要はない。紙幣の幅寄せを行った後、初期位置に戻すことなく、次の紙幣の幅寄せ量に合わせて偏位ローラ11の回転移動を制御する。具体的には、例えば、偏位ローラ11が初期位置から時計回りに回転移動した位置で紙幣の幅寄せを行った後、偏位ローラ11を時計回りにさらに回転移動させて次の紙幣を処理する必要がある場合に、偏位ローラ11を初期位置に戻すことなく、そこからさらに時計回りに回転移動させて次の紙幣の幅寄せを行う。紙幣が搬送されてくる度に初期位置へ戻すことなく、次の紙幣に応じた位置へ偏位ローラ11の回転移動を制御することによって処理時間を短縮し、高速に搬送される紙幣の処理に対応することができる。偏位ローラ11の回転移動制御、及び紙幣の幅寄せ方法の詳細については後述する。【0054】偏位ローラ11の直径、及び偏位ローラ11を軸支する位置は、上側搬送ベルト42と下側搬送ベルト43との位置関係に基づいて設定される。具体的には、偏位ローラ11が図2(A)の初期位置にあるときに、同図(B)及び(C)に示したように、偏位ローラ11の外周面によって、上側搬送ベルト42及び下側搬送ベルト43の紙幣を挟持して搬送する部分領域の略中央を、各ベルトが水平となる位置よりも上側(Z軸方向)に押し上げ、かつ押し上げられた上側搬送ベルト42が内周側で接触しないように設定される。【0055】図2(C)は、紙葉類幅寄せ機構10を側方(Y軸マイナス方向)から見たときの上側搬送ベルト42及び下側搬送ベルト43について、その回転方向を説明する図である。ベルトの回転方向を理解しやすいよう模式的に示している。図示しないモータによって上側フラットローラ14を時計回りに回転させると、張力及び摩擦力によって巻回された上側搬送ベルト42が回転し、上側クラウンローラ12も時計回りに回転する。そして、上側搬送ベルト42の回転に伴って下側搬送ベルト43が反時計回りに回転する。下側搬送ベルト43が回転すると、張力及び摩擦力によって、下側クラウンローラ13、下側フラットローラ15及び偏位ローラ11が反時計回りに回転する。図示したように、上側搬送ベルト42は、回転によって、ローラ12及び14の上側では左から右(X軸マイナス方向)へと移動するのに対し、下側では右から左(X軸方向)へ移動する。このように上側搬送ベルト42はローラ(12及び14)の上下で移動する方向が逆になるため、偏位ローラ11によって押し上げられたときに、上下の内周面が接触して摩耗しないように各構成部品の形状や位置関係が設定される。下側搬送ベルト43については、偏位ローラ11の上下両方で右から左(X軸方向)へベルトが移動するため、偏位ローラ11下方にある内周面が偏位ローラ11と接触しても構わない。【0056】上側クラウンローラ12、下側クラウンローラ13、上側フラットローラ14、下側フラットローラ15及び偏位ローラ11の少なくともいずれか一つを、モータによって図2(C)に図示した方向に回転させると、他のローラ及び上側搬送ベルト42及び下側搬送ベルト43を同図に図示した方向に回転させることができる。各ローラ及び搬送ベルトの材質は特に限定されないが、ローラとベルトの間で滑りが生じないように、摩擦力を考慮した材質が用いられる。上側クラウンローラ12から上側フラットローラ14迄の距離と、下側クラウンローラ13から下側フラットローラ15迄の距離は、偏位ローラ11によって押し上げられて図2(C)に図示した状態にあるときに、上側搬送ベルト42及び下側搬送ベルト43に張力が生ずるように設定される。材質を決定する際には、ベルトとローラ間の摩擦力に加えて張力も考慮される。また上側クラウンローラ12及び上側フラットローラ14と、下側クラウンローラ13及び下側フラットローラ15との位置関係は、図2(C)に示した矢印401の方向に挿入された紙幣が、上側搬送ベルト42及び下側搬送ベルト43の間に挟持されて確実に搬送されるように、紙幣を挟持する部分領域で上下のベルトが密着するように設定される。【0057】なお図2(C)では、搬送ベルト42及び43を模式的に示したが、実際には同図(B)に示すように、各搬送ベルト42及び32は、その一部で、平ベルトの幅方向が垂直(Z軸方向)になるようにねじれた状態にある。具体的には、上側搬送ベルト42は、上側クラウンローラ12の上方近傍に設けられた一対の上側ピンチローラ40によって、ベルト42の幅方向が垂直(Z軸方向)になるよう、搬送方向(X軸方向)に対して略90度回転した状態で支持される。これにより、ベルト42の内周面が装置側方(Y軸マイナス方向)から見える状態になっている。下側搬送ベルト43も同様に、下側クラウンローラ13の下方近傍に設けられた一対のピンチローラ41によって、搬送方向(X軸方向)に対して90度回転した状態で支持されている。後述するように、偏位ローラ11がピニオンギア23及びラックギア22によって回転移動すると、各搬送ベルト42及び43は、フラットローラ14及び15の表面上をY軸方向(又はY軸マイナス方向)に移動する。このとき搬送ベルト42及び43が、クラウンローラ12及び13側でも同様に移動してローラから外れないよう、搬送ベルト42及び43の位置がピンチローラ40及び41によって規制されている。ピンチローラ40及び41の位置は、図2(A)に示すように偏位ローラ11の回転軸方向がY軸方向と一致する初期位置にある状態で、各ローラ及びベルトが同図(C)に示す方向に回転したときの状態に基づいて設定される。【0058】ピンチローラ40及び41は、枠体50に固定された軸16及び17上で、Z軸回りに回転可能に設けられたローラである。ピンチローラは対向する一対の回転可能なローラから構成され、ローラはその間をベルトが通るようベルトの厚さより僅かに広い幅で離間されている。ピンチローラ40及び41の回転軸(Z軸)方向の長さは、搬送ベルト42及び43の幅より長く設定されている。搬送ベルト42及び43の位置を規制する方法は、ローラから外れないように機能すれば特に限定されず、このピンチローラ40及び41を利用する他、例えばU字形のピンガイド等、各種のガイドを利用することができる。ただし、ガイドが固定されている場合、紙幣を高速に搬送する搬送ベルト42及び43がピンガイドとの接触部分で摩耗しやすいため、Z軸回りに回転可能なピンチローラ40及び41の利用が好ましい。【0059】偏位ローラ11が回転移動すると各搬送ベルト42及び43には、Y軸方向(又はY軸マイナス方向)へ移動させようとする力が作用する。各搬送ベルト42及び43は、幅方向に広い表面(又は裏面)と、厚さ方向に薄い側面から構成される平ベルトで、幅方向がY軸方向となるようにローラに巻回される。この状態のまま、側面を規制するよりも、図2(B)に示すようにベルトの一部をねじるように回転させて面積の大きい表面又は裏面を規制する方法が望ましい。平ベルトを側面からガイドで規制した場合、大きな力が作用したときに、ベルトの一部がガイドに乗り上げて幅方向に折れ曲がることがある。その状態のまま回転を続けるとベルトが外れたり、回転を続けることができても耐久性に影響する可能性がある。これに対し、平ベルトを搬送方向に対して略90度回転させた状態で、ベルトにかかる力の方向(Y軸方向)を平ベルトの厚さ方向と一致するようにすれば、大きな力が作用しても問題なくベルトの移動を規制することができる。【0060】偏位ローラ11を軸支する偏位ローラ支持台20は、軸21によって枠体50に対して回動可能に設けられ、その一部にラックギア22を有している。このラックギア22が枠体50に回転可能に軸支されたピニオンギア23と噛み合った状態にある。ピニオンギア23は、図示しないモータ等の駆動装置によって回転制御されている。ピニオンギア23が上面(Z軸方向)から見て時計回りに回転すると、これに伴って偏位ローラ支持台20が軸21を中心に時計回りに回転移動する。またピニオンギア23が、反時計回りに回転すると、偏位ローラ支持台20も反時計回りに回転して初期位置に戻る。【0061】偏位ローラ11が回転移動するときの角度は、偏位ローラ支持台20に固定された軸21の回転角度、又は偏位ローラ支持台20を回転させるピニオンギア23等のギアの回転角度に基づいて検知する。角度の検知には、例えばポテンショメータを利用する。紙幣の幅寄せに必要な偏位ローラ11の回転角度は、偏位ローラ11とベルト43間の摩擦係数μ及びベルトの弾性係数Kや、図2(C)に示した偏位ローラ11の径、偏位ローラ11が搬送ベルト43を押し上げる押し上げ角θ1及びθ2、搬送ベルト43の回転速度V等に依存する。予め、偏位ローラ11の回転角度に対応するポテンショメータの出力電圧(V)と、紙幣が幅寄せされる幅寄せ量(mm)との関係を実験的に求めて、表1のようなテーブルを作成しておく。そして、紙幣を幅寄せするときは、必要な幅寄せ量に対応する電圧がポテンショメータから出力されるように、偏位ローラ11の回転移動を制御する。【表1】【0062】偏位ローラ11の回転移動を制御することができれば、その方法は特に限定されない。例えば、ピニオンギア23及びラックギア22の代わりに平歯車やかさ歯車を利用してもよいし、偏位ローラ支持台20に固定された軸21を直接回転させてもよい。駆動源としてDCモータ、ステッピングモータ、サーボモータ等を利用することができる。また回転角度の検出についてもロータリーエンコーダ等の従来技術を利用することができる。またギア及びモータを利用して回転移動を制御する他、油圧や空気圧によって偏位ローラ支持台20に接続されたアクチュエータ等を制御する方法であってもよい。【0063】進入検知センサS1は、紙葉類幅寄せ機構10に進入する紙幣を検知する機能を有する。例えば、進入検知センサS1として一対の投受光素子を利用し、投受光する光を遮光する紙幣の進入を検知する。偏位ローラ11の回転移動制御は、幅寄せの対象となる紙幣を進入検知センサS1によって検知した後、所定タイミングで行われる。詳細については後述する。【0064】次に上記構成を有する紙葉類幅寄せ機構10の動作を説明する。上側搬送ベルト42と下側搬送ベルト43の動作は同じであるため、以下では上側搬送ベルト42のみを利用して説明する。【0065】図3は、偏位ローラ11を図2(A)に示す初期位置から時計回りに回転移動させたときの上側搬送ベルト42の状態を示している。同図では、各部の動作を理解しやすいように、上側搬送ベルト42に関する構成部品のみを示している。【0066】図2(A)に示す上側フラットローラ14、偏位ローラ11、及び上側クラウンローラ12の各回転軸が平行な初期位置から、偏位ローラ11の回転軸をXY平面内で回転移動させる制御を行う。モータ等の駆動装置によってピニオンギア23を時計回りに回転させるとラックギア22の位置が変化して偏位ローラ支持台20が軸21を中心に回転し、図3に示す状態となる。【0067】即ち、偏位ローラ支持台20の動作を制御することによって、偏位ローラ11の軸方向を、搬送方向(X軸方向に)に垂直な方向(Y軸方向)から、この方向(Y軸方向)と角度を成す方向に回転移動させる。搬送ベルト42及び43は、搬送方向(X軸方向に)に垂直な方向(Y軸方向)から所定角度回転した直線上を下方から偏位ローラ11の外周によって押し上げられた状態となる。【0068】上側フラットローラ14は、図3に示すように、左側から右側方向(X軸マイナス向)に白矢印で示す方向に回転し、これに伴って上側搬送ベルト42及び上側クラウンローラ12も白矢印で示す方向に回転する。これに対して上側クラウンローラ12及び上側フラットローラ14に巻回された上側搬送ベルト42は、ローラ12及び14の下側、即ち紙幣を挟持して搬送する部分領域では、右側から左側方向(X軸方向)へ黒矢印で示す方向に移動する。以下では、説明を簡単にするため、上側搬送ベルト42について、Y軸方向から見たときに、ローラ12及び14の上側で白矢印方向に移動する部分をベルト上部52と記載し、ローラ12及び14の下側で黒矢印方向に移動する部分をベルト下部51と記載する。ベルト下部51は偏位ローラ11によって下方から押し上げられた状態にある。【0069】偏位ローラ11が、他のローラ12及び14の回転軸に対して角度を成した回転軸周りに回転すると、下から(Z軸方向。図3紙面裏面から表面。)押し上げられて偏位ローラ11に接触した状態で回転するベルト下部51は、偏位ローラ11上をY軸方向へ移動する。上側クラウンローラ12から偏位ローラ11に至る領域では、黒矢印で示すベルト下部51の移動方向と、偏位ローラ11の回転軸方向とが略垂直な方向となって安定する。【0070】偏位ローラ11の回転移動によって、ベルト下部51全体をY軸方向へ移動させる力が作用する。しかし、上側ピンチローラ40による位置の規制と、巻回されたベルトの位置をローラ中央に維持しようとする上側クラウンローラ12Aの性質とによって、上側クラウンローラ12A側ではベルト下部51の位置は変化しない。そのため、上側クラウンローラ12から偏位ローラ11に至る領域では、ベルト下部51の偏位ローラ11側だけがY軸方向に移動し、X軸方向と角度を成した状態で安定する。【0071】これに対し、偏位ローラ11から上側フラットローラ14に至る領域では、偏位ローラ11上と同様に、ベルト下部51が上側フラットローラ14上を移動する。具体的には、上側フラットローラ14上では、黒矢印で示す移動方向がフラットローラ14の回転軸方向(Y軸方向)と略垂直となるように、ベルト下部51がY軸方向に移動する。その結果、ベルト下部51は、上側クラウンローラ12から偏位ローラ11に至る領域ではX軸方向と角度を成し、偏位ローラ11上で屈曲した後、偏位ローラ11から上側フラットローラ14に至る領域ではX軸方向と略平行な状態となる。搬送ベルト42は、このような形状を維持したまま安定して回転する。【0072】このとき、ベルト下部51の移動に伴い、ベルト上部52にもY軸方向へ移動させようとする力が作用する。しかし、上側ピンチローラ40によってY軸方向の位置を規制されているため、上側ピンチローラ40の位置ではベルト上部52の位置は変化しない。またベルト上部52は偏位ローラ11とは接触していないので、ベルト下部51のように途中で屈曲することもなく、上側フラットローラ14上でY軸方向に移動したベルト下部51の位置からピンチローラ40の間で、X軸方向と角度を成す直線状になる。その結果、搬送ベルト42は、図3に示す形状となって安定して回転する。【0073】樽形形状のクラウンローラは、張力を有する状態でベルトを巻回して回転させると、ベルトの幅方向中央と、クラウンローラの軸方向中央の最も径の大きい位置が一致した状態でベルトを回転させる性質がある。そのため、ベルト下部51の位置を規制せず、ベルト上部52の位置のみを上側ピンチローラ40によって規制するだけで、Y軸方向への力が作用しても、上側搬送ベルト42はローラから外れることなく安定して回転を続けることができる。また上側クラウンローラ12のY軸方向の幅を、ベルト幅より僅かに広くするだけでよい。また図2(B)に示すように、ローラに対して紙幣を挟持して搬送する側では、ベルトの位置を規制するピンチローラ等を設ける必要がないため、部品点数やコストの増加を抑制することができる。【0074】上側クラウンローラ12A及び12Bの各々に巻回された2本の上側搬送ベルト42A及び42Bは、偏位ローラ11の回転移動に伴って同じように移動して、図3に示す状態で安定して回転する。その結果、上側クラウンローラ12A及び12Bから偏位ローラ11に至る領域と、偏位ローラ11から上側フラットローラ14に至る領域との各々で、2本のベルトの下部51A及び51Bが平行になる。即ち、偏位ローラ11上の屈曲点の前後で各々平行な状態となる。また2本のベルトの上部52A及び52Bも同様に平行な位置関係となる。【0075】下側搬送ベルト43でも上記説明と同様にベルトが移動するが、図2(B)から分かるように、上側搬送ベルト42とは上下方向に反転した状態となる。即ち、偏位ローラ11の下側で移動する部分が、図3に示すベルト上部52と同様の状態となる。また偏位ローラ11と接触して回転し紙幣を挟持して搬送する部分が、図3に示すベルト下部51と同様の状態となる。その結果、上側搬送ベルト42と下側搬送ベルト43の位置は略重なってZ軸方向からは下側搬送ベルト43が見えない状態となる。【0076】偏位ローラ11が回転移動すると、ベルトの各部が移動して、上側のローラ12及び14に巻回された上側搬送ベルト42のベルト下部51と、下側のローラ13及び15に巻回された下側搬送ベルト43のベルト上部とが、図3の黒矢印で示すように移動しながら回転する。紙幣は、上側搬送ベルト42のベルト下部51と、下側搬送ベルト43のベルト上部とによって挟持されて搬送されるので、図3の黒矢印が紙幣の搬送方向と一致する。即ち、偏位ローラ11を回転制御することによって、上側クラウンローラ12から偏位ローラ11に至る領域で、黒矢印のように紙幣を搬送方向(X軸方向)と垂直な方向(Y軸方向)へ移動させることができる。【0077】次に、紙幣の幅寄せを行う方法について説明する。図4は、偏位ローラ11が図3に示す状態にある場合に、搬送される紙幣のY軸方向の位置が変化する様子を示す模式図である。上記説明の通り、下側搬送ベルト43は、上側搬送ベルト42と同様に移動して位置が重なるため、上側搬送ベルト42の下にあってZ軸方向からは見えない状態となる。そのため、図4においても、図3と同様に上側搬送ベルト42に関する構成部品のみを示している。【0078】紙葉類幅寄せ機構10に進入した紙幣が進入検知センサS1によって検知されると、所定タイミングで偏位ローラ11の回転移動が制御される。進入検知センサS1によって紙幣が検知されたときには、前段の識別部105によって取得された情報に基づいて必要な幅寄せ量が決定されているため、偏位ローラ11の回転移動制御を行うことができる。進入検知センサS1によって検知された紙幣は、その後、紙幣処理装置1の搬送路104から、上側クラウンローラ12と下側クラウンローラ13との間に進入して、上側搬送ベルト42と下側搬送ベルト43との間に挟持され、紙葉類幅寄せ機構10内を搬送される。【0079】図4(A)に示すように、矢印402の方向(X軸方向)に搬送されてきた紙幣91Aの先端がピンチローラ40及び41の位置に達すると、同図(B)に示す矢印403方向への搬送が開始される。矢印403は、上側クラウンローラ12から偏位ローラ11に至る領域で搬送ベルトが移動する方向、即ちベルト上に示した黒矢印の方向である。【0080】図4(B)に示すように、先端が偏位ローラ11の位置に達した紙幣91Bは、偏位ローラ11の外周に沿った2組の搬送ベルト(42A及び43Aと、42B及び43B)に挟持されて搬送される。紙幣91Bは、その搬送方向後端が偏位ローラ11を通過するまで矢印403の方向へ搬送される。そして紙幣後端が偏位ローラ11を通過すると、同図(C)に示すように、再び矢印402方向へ搬送される。上側フラットローラ14及び下側フラットローラ15の間を通過した紙幣91Cは、紙幣処理装置1の搬送路104に送り出され、その後、表裏反転部107を経て、集積部111~115のいずれかへ搬送され集積される。【0081】このように紙幣91を、紙幣処理装置1の搬送方向(X軸方向)に対して角度を成して搬送することにより、紙幣91の位置を搬送方向に垂直な方向(Y軸方向)に移動させて、その位置を調整することができる。紙幣処理装置1の搬送路104と同様に、上側搬送ベルト42と下側搬送ベルト43との間に紙幣を挟持して強制的に移動させるため、紙幣が新しい場合でも、使い古されて柔らかくなっている場合でも、確実に移動させることができる。また紙幣を挟持する全てのベルト(42A、42B、43A及び43B)が、同期した状態で回転しているため紙幣に皺や折れを生じさせることがない。【0082】また、別々に設けられた2組のベルト(42A及び43Aと、42B及び43B)は、その一部が屈曲した場合でも各領域で平行な位置関係を維持し、同期して回転するため、図9に示したように幅寄せの途中で紙幣が回転することがなく、図4に示したように紙幣のY軸方向の位置のみが調整される。そのため幅寄せを原因とする紙幣の斜行が起こらず、斜行を修正する処理や機構を必要としない。搬送される紙幣の姿勢を保ったまま、ベルトによって挟持して強制的に幅寄せを行うため、紙幣の傷み具合等の影響を受けることがなく、紙幣に皺や折れを生じさせることもなく、所望の調整量で確実に紙幣の幅寄せを行うことができる。【0083】予め偏位ローラ11の回転移動量と、搬送される紙幣のY軸方向の移動量(幅寄せ量)との関係を把握しておけば、偏位ローラ11を制御することによって紙幣91の幅寄せを行うことができる。具体的には、偏位ローラ11の回転移動量と、紙幣の移動量との関係を上記表1のようにテーブル化しておき、このテーブルを利用して紙幣の位置調整を行う。例えば、識別部105によって取得された紙幣91の位置情報に基づいて、その紙幣に必要な幅寄せ量を決定する。幅寄せ量が決定されると、予め作成したテーブルを参照し、その幅寄せ量を実現するための偏位ローラ11の回転移動量が決定される。そして、決定した偏位ローラ11の回転移動量に基づいてピニオンギア23の回転を制御して偏位ローラ支持台20を回転することによって偏位ローラ11の回転軸を所定量だけ回転移動させて、紙幣を幅寄せする。【0084】識別部105は、処理対象と成る紙幣のうち最も幅の広い紙幣の全体をスキャンできるように設けられている。そのため、紙幣端部を揃えたい幅の狭い紙幣が搬送されてきた場合は、幅の広い紙幣に対する位置関係を認識し、紙幣の位置を揃えるために必要な幅寄せ量を決定する。識別部105によって取得した情報を利用するため、紙葉類幅寄せ機構10用に専用のセンサ等を設ける必要がない。【0085】なお、幅寄せが必要な場合には、進入検知センサS1によって幅寄せが必要な紙幣の進入を検知した後、所定タイミングで偏位ローラ11の回転移動を制御する。また、幅寄せが不要な場合は、同様に所定タイミングで、Y軸方向の紙幣の位置が変わらないように偏位ローラ11の位置を初期位置(軸方向がY軸方向と一致する位置)に戻すよう制御する。このように、幅寄せが不要な紙幣を含む全ての紙幣について、予め設定された所定タイミングで、偏位ローラ支持台20を紙幣の幅寄せ量に応じて制御して偏位ローラ11を回転移動させることにより、必要に応じて紙幣を幅寄せすることができる。【0086】図5は、紙葉類幅寄せ機構10によって紙幣の幅寄せを行う例を示した模式図である。幅の広い紙幣90Aと、幅の狭い紙幣91Aとが、紙葉類幅寄せ機構10内で幅寄せされた後、紙葉類幅寄せ機構10から紙幣処理装置1の搬送路104上に送り出される。幅の狭い紙幣91の幅寄せを行って、同図(A)に示すように、幅の広い紙幣90Cと幅の狭い紙幣91Cの左右いずれかの端部が揃うように幅寄せする他、同図(B)に示すように、中央位置が揃うように位置調整することもできる。また処理対象紙幣のうち幅の広い紙幣90の幅が搬送路104の幅に比べて狭い場合には、同図(C)に示すように、幅の広い紙幣90と幅の狭い紙幣91の両方で幅寄せを行って、端部を揃えたり中央部を揃えたりすることもできる。ベルトによって紙幣を挟持しながら幅寄せを行うため、幅寄せ量を細かく制御することができる。【0087】なお、紙葉類幅寄せ機構10で利用する紙幣の幅寄せ量に関する情報は、識別部105から取得する方法に限定されず、例えば専用のセンサが紙幣処理装置1の搬送路104上に設けられてもよい。また受けた紙幣の位置を認識するセンサが紙葉類幅寄せ機構10内に設けられてもよい。ラインセンサや投受光素子によって、搬送方向(X軸方向)に対して垂直な方向(Y軸方向)の紙幣端部の位置を、少なくともいずれか一方の端部で検知することができれば幅寄せ量を決定することができる。【0088】具体的には、紙幣全面について位置を認識する必要はなく、例えば紙幣を搬送路104の右側へ幅寄せしたい場合は、紙幣右端部の搬送路上での位置を検知することができれば、幅寄せ量を決定することができる。即ち、幅寄せする方向の搬送路104端部から、紙幣の幅寄せする方向の端部までの距離を求めることができれば、紙幣寸法等の情報が不明であっても、幅寄せ量を決定して幅寄せを行うことができる。【0089】また、偏位ローラ11を、図2(A)に示す初期位置から図3に示すように時計回りに回転移動させる例を示したが、これに限定されず、例えば図6に示すように、偏位ローラ11を反時計回りに回転移動させて利用してもよい。この場合には、搬送される紙幣は、図4で説明したのとは反対方向(Y軸マイナス方向)に移動する。【0090】偏位ローラ11が時計回りに回転移動する場合には、図3を参照して説明した通り、搬送ベルト42及び43はY軸方向に移動する。そのため、上側フラットローラ14及び下側フラットローラ15のY軸方向下端は、図2(A)に示す初期位置でベルトが回転する位置より僅かに下に位置していればよい。しかし、図6に示すように、偏位ローラ11が反時計回りに回転移動する場合には、搬送ベルト42及び43は、Y軸マイナス方向にも移動する。そのため、上側フラットローラ14及び下側フラットローラ15のY軸方向下端は、移動した際に搬送ベルト42及び43が回転する位置より下に位置するように設定する。【0091】また、偏位ローラ11が、図7(A)に示すように、偏位ローラ11の略中央にある軸21を中心に回転する他、回転中心21が同図(B)のように偏位ローラ11の略中央より上(又は下)にあってもよいし、同図(C)のように偏位ローラ11の外側にあってもよい。また偏位ローラ11の回転移動についても、図2及び図3で説明した通り初期位置から時計回りにのみ回転移動するものであってもよいし、時計回り及び反時計回りの両方に回転移動するものであってもよい。偏位ローラ11を回転移動する際の中心位置や、回転移動の方向は、紙幣処理装置1の搬送路104と、搬送路104上に繰り出されて搬送される紙葉類との位置関係によって適宜設定される。ただし、同じ角度αを成すときの距離がL1<L2<L3の関係があることから分かるように、装置の小型化のためには上記実施例の通り、偏位ローラ11の略中央位置に回転移動の中心を設定し、初期位置から時計回り又は反時計回りのいずれか一方にのみ回転移動する構成であることが望ましい。【0092】本発明にかかる紙葉類幅寄せ機構10は、紙幣を挟持して搬送するベルト(42及び43)の一部を上方向に押し上げる(又は下方向に押し下げる)ように偏位ローラ11を設けて、偏位ローラ11の回転軸が搬送方向垂直な方向と角度を成すように回転移動させると紙幣を挟持して搬送するベルト(42及び43)が偏位ローラ11上を偏位ローラの回転軸方向に移動することを利用し、偏位ローラ11を軸支する偏位ローラ支持台20を制御することによって搬送方向に垂直な方向へ紙幣を幅寄せすることを特徴とする。これを実現することができれば、紙葉類幅寄せ機構10の構成及び動作は、上記各図に示したものに限定されない。【0093】例えば、搬送ベルトの本数や、各ローラの個数も特に限定されず、図8(A)に示すように、2つの偏位ローラ511A及び511Bと、2つのフラットローラ514A及び514Bとを備え、2つの偏位ローラ511A及び511Bを、独立した偏位ローラ支持台520A及び520Bによって制御してもよい。また、同図(B)に示すように、クラウンローラ612及びフラットローラ614に1本の搬送ベルト642のみが巻回された構成であってもよい。複数の搬送ベルトを利用する場合の搬送路幅方向のベルト位置を含め、各部の構成及び動作は、紙幣処理装置1の搬送路104と、搬送路104上を搬送される紙葉類との位置関係によって適宜設定される。【0094】なお、図8では、図3及び図4と同様に、構成を理解しやすいよう上側搬送ベルト542及び642に関する構成部品のみを示しているが、実際にはこれに対応する下側搬送ベルトとその構成部品等が存在する。図8(A)の場合には、上側クラウンローラ12A(入口側第1ローラ)及び12B(入口側第3ローラ)と、下側クラウンローラ13A(入口側第2ローラ)及び13B(入口側第4ローラ)と、上側フラットローラ514A(出口側第1ローラ)及び514B(出口側第3ローラ)に加え、さらに独立した下側フラットローラ(出口側第2ローラ及び出口側第4ローラ)が存在する。【0095】また、上側搬送ベルト42と下側搬送ベルト43の間に紙幣を挟持して搬送することができれば、上側と下側で対向するローラの径や、各ローラの回転軸の位置関係は、特に限定されない。例えば、図2に示す上側クラウンローラ12と下側ローラ13の径が同じであってもよいし、上側クラウンローラ12の回転軸と下側クラウンローラ13の回転軸がX軸上で同じ位置上にあっても構わない。上側フラットローラ14と下側フラットローラ15についても同様に、その径や回転軸の位置関係は限定されない。【0096】上述してきたように、本発明によれば、偏位ローラ11を制御することによって、紙幣を搬送方向と垂直な方向に移動させる幅寄せを行うことができる。紙幣を搬送ベルト42及び43の間に挟持した状態で幅寄せするため、紙幣の材質、傷み具合等の影響を受けることなく確実に紙幣を幅寄せすることができる。また搬送ベルトを複数利用する場合も各搬送ベルトが平行な状態となり、挟持された紙幣を搬送方向に搬送しつつ、その姿勢を保ったまま搬送方向と垂直な方向へ移動させることができる。そのため紙幣が回転して斜行状態になることがなく、斜行を修正する動作や機構は不要である。また全ての搬送ベルトが同期して回転するため紙幣の一部の領域に他の領域と異なる方向や大きさの力が急激に作用するようなことがなく、紙幣に皺や折れが生じることがない。そのため、新券や使い古された紙幣を含む全ての紙幣を、皺や折れを生じさせることなく、確実に幅寄せすることができる。【0097】また紙幣を搬送する搬送ベルト42及び43の適切な位置に、偏位ローラ11及び偏位ローラ支持台20を設けて、偏位ローラ支持台20の回転動作を制御するだけで紙幣の位置を確実に調整することができるため、装置の大型化やコスト増を回避することができる。例えば従来の紙幣処理装置の搬送路に偏位ローラ11及び偏位ローラ支持台20を設けて制御すれば紙幣の幅寄せを実現し、上述した効果を得ることができる。【0098】本発明に係る紙葉類幅寄せ機構10は、上記紙幣処理装置1に利用する他、小切手処理装置、バーコードチケット処理装置、帳票処理装置等の様々な紙葉類を処理対象とする装置に利用することができる。
A
2012140223
2011000287
20110104
null
null
20120726
2012140223
20120726
null
null
null
null
null
null
null
排紙装置、用紙折り装置、及び画像形成装置
000006747
株式会社リコー
100091258
吉村 直樹
伊藤 茂行
null
8
B65H 31/26 (20060101), G03G 21/00 (20060101), B65H 29/22 (20060101), B65H 31/10 (20060101)
B65H 31/26 ,G03G 21/00 370 ,B65H 29/22 ,B65H 31/10
7
null
null
null
0
16
2H270,3F049,3F054
2H270 KA57 ,2H270 LC18 ,2H270 MC47 ,2H270 MC61 ,2H270 MC67 ,2H270 PA38,3F049 AA06 ,3F049 LA07 ,3F049 LA13 ,3F049 LB03,3F054 AA05 ,3F054 AC01 ,3F054 BA01 ,3F054 BB18 ,3F054 BG15 ,3F054 BJ04 ,3F054 DA01
【課題】用紙の取り出し性に影響を与えることなく、用紙の状態に関係なく、満杯状態の誤検知を防止し、安定して多数の用紙をスタックする。【解決手段】排紙装置70は、排出する用紙を帯電させるローラ帯電ユニット80を排紙ローラ72、73に配置し、排紙トレイ71には、シート状の吸着部材75を配置する。吸着部材75には、用紙を吸引するように吸着部材75を帯電させる帯電ユニット76を配置する。吸着部材75は着脱自在に排紙トレイ71に配置される。【選択図】図3
【請求項1】排出された用紙が配置される排紙トレイと、この排紙トレイに用紙を排出する用紙排出装置とを備えた排紙装置において、排紙される用紙を帯電させる帯電装置を備え、前記排紙トレイ上に帯電した前記用紙を吸着する吸着部材を配置したことを特徴とする排紙装置。【請求項2】請求項1記載の排紙装置において、前記吸着部材は前記排紙トレイに配置されたシート状の部材であることを特徴とする排紙装置。【請求項3】請求項1または請求項2に記載の排紙装置において、前記吸着部材は、前記排紙トレイ上に着脱自在に設けたことを特徴とする排紙装置。【請求項4】請求項1から請求項3のいずれかに記載の排紙装置において、設定枚数が排出されたとき、あるいはトレイ上に積載された用紙が満杯となったとき前記用紙を除電する機能を備えたことを特徴とする排紙装置。【請求項5】請求項1ないし4記載の用紙吸着装置であって、前記帯電装置は前記排紙トレイ上に排紙される直前部に配置されていることを特徴とする排紙装置。【請求項6】排出すべき用紙を折り畳む用紙折り機構と、請求項1ないし5のいずれか1項に記載の排紙装置と、を備えることを特徴とする用紙折り装置。【請求項7】排出すべき用紙に画像形成を行う画像形成手段と、請求項6記載の用紙折り装置とを備えていることを特徴とする画像形成装置。
【請求項1】排出された用紙が配置される排紙トレイと、この排紙トレイに用紙を排出する用紙排出装置とを備えた排紙装置において、排紙される用紙を帯電させる帯電装置を備え、前記排紙トレイ上に帯電した前記用紙を吸着する吸着部材を配置したことを特徴とする排紙装置。
【技術分野】【0001】本発明は、排紙装置、用紙折り装置、及び画像形成装置に係り、特に排出された用紙が配置される排紙トレイと、この排紙トレイに用紙を排出する用紙排出装置と、を備えた排紙装置、この排紙装置を備えた用紙折り装置、及びこの用紙折り装置を備えた画像形成装置に関する。
【背景技術】【0002】画像形成装置では、排紙装置を備えており、印刷や印刷後に折り処理をした用紙を排紙トレイ上に用紙をスタックしていく。このような排紙装置として、スタックした用紙を排紙トレイ上に効率よく配置するため、排紙トレイ上で用紙を押さえつける機構を備えるものがある。【0003】特許文献1には、メカニカル機構によって、用紙を押さえる排紙装置が記載されている。すなわち特許文献1に記載の排紙装置は、画像形成装置によって印刷された用紙を積載する排出トレイに排出する用紙排出口の上部に揺動可能に一端が配設され該用紙排出口より排出された用紙の先端に当接してガイドする第1の揺動部材と、該第1の揺動部材の他端に揺動可能に一端が配設され前記排出トレイ上に積載された用紙を押しつける第2の揺動部材とを備えている。
【発明が解決しようとする課題】【0004】しかし、上述した特許文献1に記載の排紙装置にあっては、用紙によっては、揺動部材が抵抗となって、用紙が正常に排出トレイ上に排出されずにジャムとなったり、あるいは、ジャムに至らないまでも用紙にダメージを与えてしまったりすることがある。特にコシの無い用紙、薄紙などはジャムやダメージが発生しやすい。【0005】また、前記排紙装置は、第1の揺動部材と第2の二つの揺動部材とを備えるので、摺動性が悪く、二つの揺動部材を用紙の待機状態である初期の形態や位置に戻すことがむずかしい。そのため以下の問題が発生することがある。図6は従来の排紙装置の揺動部材の挙動を示す模式図である。この排紙装置200には排紙トレイ210上に第1の揺動部材221と、第2の揺動部材222とをヒンジ部223で接続して構成した用紙押さえ部材220が配置されている。【0006】上述した問題のうち第一の問題は、排出される用紙の状態用紙の厚さ、排出スピード、用紙束、その他によっては、図6(a)に示すように、第1の揺動部材221と第2の揺動部材222とが一直線状に伸びきった状態になってしまうことである。用紙押さえ部材220がこのような状態になると、排紙トレイ210上の用紙を押さえることができなくなる。【0007】第二の問題は、第1の揺動部材221と第2の揺動部材222とがヒンジ部223で折れ曲がり、図6bに示すように、逆「くの字」状になってしまうことである。用紙押さえ部材220がこのような状態になると排紙トレイ210上の用紙を押さえることができなくなる。【0008】さらに、従来の排紙装置では、ユーザーが用紙を取り出す際に用紙を押さえ揺動部材を破損させてしまうなどの問題がある。【0009】また、画像形成装置では、排紙装置の前段に印刷を行った大きなサイズの用紙を製本するため用紙の折り処理を行う用紙折り装置を備えたものがある。このような画像形成装置300では、用紙320は折り畳まれた状態で用紙排出装置310から排紙トレイ330上に排出される(図7(a)参照)。なお、図中符号341、342は用紙の満杯状態を光学的に検出する満杯センサーを示している。【0010】このように用紙320が折られて排出されるに際して、用紙320が厚紙などであると折りが甘くなることがある。この場合、排紙される用紙320は折り目から開き気味に排出されて排紙トレイ330に配置されると、図6(b)に示すように、用紙320の折り部321が開いて、用紙320が満杯センサー341、342で検出されてしまい、排紙トレイ330上の用紙の枚数が少なくても満杯状態と誤検知されてしまう。【0011】そこで、本発明は、用紙の取り出し性に影響を与えることなく、用紙の状態(用紙が単葉、重ね状態、折り種類、カール有り無し、紙厚であること)に関係なく、満杯状態の誤検知を防止し、安定して多数の用紙をスタックすることができる排紙装置、用紙折り装置、及び画像形成装置を提供することを目的とする。
【課題を解決するための手段】【0012】上述した課題を解決する請求項1の発明は、排出された用紙が配置される排紙トレイと、この排紙トレイに用紙を排出する用紙排出装置とを備えた排紙装置において、排紙される用紙を帯電させる帯電装置を備え、前記排紙トレイ上に帯電した前記用紙を吸着する吸着部材を配置したことを特徴とする排紙装置である。【0013】同じく請求項2の発明は、請求項1記載の排紙装置において、前記吸着部材は前記排紙トレイに配置されたシート状の部材であることを特徴とする。【0014】同じく請求項3の発明は、請求項1または請求項2に記載の排紙装置において、前記吸着部材は、前記排紙トレイ上に着脱自在に設けたことを特徴とする。【0015】同じく請求項4の発明は、請求項1から請求項3のいずれかに記載の排紙装置において、設定枚数が排出されたとき、あるいはトレイ上に積載された用紙が満杯となったとき前記用紙を除電する機能を備えたことを特徴とする。【0016】同じく請求項5の発明は、請求項1ないし4記載の用紙吸着装置であって、前記帯電装置は前記排紙トレイ上に排紙される直前部に配置されていることを特徴とする。【0017】同じく請求項6の発明は、排出すべき用紙を折り畳む用紙折り機構と、請求項1ないし5のいずれか1項に記載の排紙装置と、を備えたことを特徴とする用紙折り装置である。【0018】同じく請求項7の発明は、排出すべき用紙に画像形成を行う画像形成手段と、請求項6記載の用紙折り装置とを備えていることを特徴とする画像形成装置である。
【発明の効果】【0019】本発明によれば、排紙トレイ上にメカニカル機構を配置することなく単純な構成とでき、用紙の取り出し性に影響を与えず、用紙の状態に無関係に満杯状態の誤検知を防止し、安定して多数の用紙を排紙トレイ上にスタックすることができる。
【発明を実施するための形態】【0021】実施例に係る排紙装置は、排出された用紙が配置される排紙トレイと、この排紙トレイに用紙を排出する用紙排出装置とを備える。排紙装置は、排紙される前記用紙を帯電させる帯電装置を備え、前記排紙トレイ上に帯電した前記用紙を吸着する吸着部材を配置し、用紙どうし及び用紙と吸着部材とが吸着して折り畳まれた用紙の開きを防止し、多数の用紙を安定して満杯の誤検知なくスタックする。吸着部材はシート状のものとでき、排紙トレイ上に着脱自在に配置できる。【実施例】【0022】以下、本発明の実施例(以下では単に実施例と記載する)に係る画像形成装置について説明する。以下、いくつかの例について説明するが、本発明はこれらに限定されず、かつ本発明の真の趣旨及び範囲から逸脱せずに、数多くの改良、変更、変形、置換をなすこと及び応用例を想到することが当業者には可能であろう。【0023】<実施例1>以下実施例1に係る画像形成装置を図面に基づいて説明する。図1は実施例1に係る画像形成装置の概略図である。実施例1に係る画像形成装置100は、画像形成部110、用紙折り装置120、及びソーター等の後処理装置130を備えて構成される。画像形成部110は、例えば図示しない画像読取部で取得した画像データや上位装置から取得した画像データに基づいて公知の電子写真方式で用紙に画像を形成する。なお、この画像形成部110での画像の形成は電子写真方式に限らず、インク吐出ヘッドから用紙にインクを吐出して画像を形成するインクジェット方式、その他の方式のものを採用できる。【0024】この画像形成部110で画像形成された用紙は、用紙折り装置120に搬送され、指定された折加工が施され、用紙折り装置120の排出トレイである用紙収容部41または後処理装置130に搬送される。実施例1では、排紙装置70は、用紙折り装置120に配置されており、折り処理がなされた用紙を排紙トレイ71上の用紙収容部41に排出する。【0025】実施例1では、用紙折り装置120には、排紙装置70が配置されており、折り処理された用紙を用紙収容部41に排出する。【0026】実施例1に係る画像形成装置100の用紙折り装置120では、二つ折り、Z折り、外三つ折り、内三つ折り、単純四つ折り、観音四つ折りの各折りを行う。以下図1に基づいて、各折り動作別に説明する。【0027】<Z折り(後処理装置130に排紙)>用紙入口から搬送された用紙は、第1切替爪31により第1搬送路1に案内され、第1折りローラ21と第2折りローラ22とのニップを通過して、用紙先端が第3搬送路3に配置されている折り位置に移動可能な第2ストッパ52に突き当たる。次いで用紙の撓み部分を第2折りローラ22と第3折りローラ23のニップに進入させて1回目の折りを行う。その後、用紙は、第2切替爪によって、第4搬送路4に搬送される。そして、用紙の先端が第4搬送路4に配置されている折り位置に移動可能な第3ストッパ53に突き当たり、用紙の撓み部分を第4折りローラ24と第5折りローラ25のニップに進入させて2回目の折りが行われ、Z折りが完了する。【0028】Z折りが完了した用紙は、第6搬送路6、第7搬送路7を経由して、第9搬送路9側に切り替えられている第3切替爪33により、後処理装置130へ排紙される。【0029】<Z折り(用紙収容部41排紙)>用紙入口から搬送された用紙は、第1切替爪31により第1搬送路1に案内され、第1折りローラ21と第2折りローラ22のニップを通過して、用紙先端が第3搬送路3に配置されている折り位置に移動可能な第2ストッパ52に突き当たる。次いで、用紙の撓み部分を第2折りローラ22と第3折りローラ23のニップに進入させて1回目の折りを行う。その後、第2切替爪によって、第4搬送路4に搬送される。そして、用紙の先端が第4搬送路4に配置されている折り位置に移動可能な第3ストッパ53に突き当たり、用紙の撓み部分を第4折りローラ24と第5折りローラ25のニップに進入させて2回目の折りを行い、Z折りが完了する。【0030】Z折りが完了した用紙は、第6搬送路6、第7搬送路7を経由して、第8搬送路8側に切り替えられ第3切替爪33により、水平搬送路13を経由し、第5切替爪35により第11搬送路11に案内され、用紙収容部排紙経路12を経由し、用紙収容部41に排紙される。【0031】<二つ折り>用紙入口から搬送された用紙は、第1切替爪31により第1搬送路1を経由し、第2搬送路2へ案内され、用紙の先端が第2搬送路2に配置されている折り位置に移動可能な第1ストッパ51に突き当たる。次いで、用紙の撓み部分を第1折りローラ21と第2折りローラ22のニップに進入させて1回目の折りを行い、二つ折りが完了する。折り完了後、用紙は、第3搬送路3へは進入せずに、第2折りローラ22と第3折りローラ23のニップを通過し、第2切替爪32によって第5搬送路5へ案内され、第7搬送路7を経由して、第8搬送路8側に切り替えられた第3切替爪33により、水平搬送路13を経由し、第5切替爪35で第11搬送路11に案内され、用紙収容部排紙経路12を経由し、用紙収容部41に排紙される。【0032】<外三つ、内三つ、単純四つ折り>用紙入口から搬送された用紙は、第1切替爪31により第1搬送路1を経由し、第2搬送路2に案内され、用紙の先端が第2搬送路2に配置されている折り位置に移動可能な第1ストッパ51に突き当たる。そして、用紙の撓み部分を第1折りローラ21と第2折りローラ22のニップに進入させて1回目の折りを行う。その後、用紙は、第3搬送路3に搬送され、先端が第3搬送路3に配置されている折り位置に移動可能な第2ストッパ52に突き当たり、用紙の撓み部分を第2折りローラ22と第3折りローラ23のニップに進入させて2回目の折りを行い、折りは完了する。【0033】このとき、外三つ、内三つ、単純四つの各折りモードと、用紙サイズにより、第1ストッパ51、第2ストッパ52は制御された位置に停止している。折り完了後、用紙は、第2切替爪32によって第5搬送路5へ案内され、第7搬送路7を経由して、第3切替爪33が第8搬送路8へ切り替えられており、水平搬送路13を経由し、第5切替爪35により第11搬送路11に案内され、用紙収容部排紙経路12を経由し、用紙収容部41に排紙される。【0034】<観音四つ折り>用紙入口から搬送された用紙は、第1切替爪31により第1搬送路1を経由して、第2搬送路2へ案内される。用紙の先端が第2搬送路2に配置されている折り位置に移動可能な第1ストッパ51に突き当たる。そして、用紙の撓み部分を第1折りローラ21と第2折りローラ22のニップに進入させて1回目の折りを行った後、第3搬送路3に搬送される。用紙の先端が第3搬送路3に配置されている折り位置に移動可能な第2ストッパ52に突き当たり、用紙の撓み部分を第2折りローラ22と第3折りローラ23のニップに進入させて2回目の折りを行う。その後、用紙は、第2切替爪32によって、第4搬送路4に案内される。そして、用紙の先端が第4搬送路4に配置されている折り位置に移動可能な第3ストッパ53に突き当たって、用紙の撓み部分を第4折りローラ24と第5折りローラ25のニップに進入させて3回目の折りを行い、観音四つ折りが完了する。【0035】この3回目の折り時、観音四つ折り先端めくれ防止機構64が撓み部分を押さえることで、1回目の折り、2回目の折りで折り込まれた用紙先端部・後端部を押さえることで、用紙端部がめくれることなく3回目の折りが行われる。折りが完了した後、用紙は、第2切替爪32によって第5搬送路5へ案内され、第7搬送路7を経由して、第8搬送路8側に切り替えられた第3切替爪33により、水平搬送路13を移動し、第5切替爪35により第11搬送路11に案内され、さらに用紙収容部排紙経路12を経由し、用紙収容部41に排紙される。【0036】<複数枚重ね折り>3枚重ね折り時を例に説明する。1枚目の用紙が第1切替爪31によって、第1搬送路1を経由し、第2搬送路2へ用紙を案内され、用紙の先端が第2搬送路2に配置されている折り位置に移動可能な第1ストッパ51に突き当たり停止する。この際重ね折り用紙縦揃え機構叩きコロ66により、用紙は確実に第1ストッパ51に突き当たる。そして、重ね折り用紙横揃え機構ジョガー67により、幅方向の用紙揃えを行い、重ね折り順狂い防止機構61が用紙後端側を押さえる。【0037】次に、2枚目の用紙が第1切替爪31によって、第1搬送路1を経由し、第2搬送路2に案内される。この用紙は、重ね折り順狂い防止機構61が1枚目の用紙後端側を押さえているため、1枚目に重なるように搬送される。そして、2枚目の用紙の先端が第2搬送路2に配置されている折り位置に移動可能な第1ストッパ51に突き当たり、停止すると、重ね折り用紙縦揃え機構叩きコロ66により、確実に第1ストッパ51に突き当たり、縦揃えが行われる。そして、重ね折り用紙横揃え機構ジョガー67により、幅方向の用紙揃えを行う。重ね折り順狂い防止機構61が1枚目、2枚目用紙後端側を押さえる。【0038】さらに、3枚目の用紙が第1切替爪31によって、第1搬送路1を経由し、第2搬送路2に案内される。このとき重ね折り順狂い防止機構61が1枚目及び2枚目の用紙の後端側を押さえているため、3枚目の用紙は、2枚の用紙に重なるように搬送される。このとき、搬送されてきた順番、すなわち1枚目、2枚目、3枚目の順が狂うことなく、搬送される。3枚目の用紙の先端が第2搬送路2に配置されている折り位置に移動可能な第1ストッパ51に突き当たり、停止する。そして、重ね折り用紙縦揃え機構叩きコロ66により、確実に第1ストッパ51に行き当て、縦揃えが行われる。【0039】さらに、重ね折り用紙横揃え機構ジョガー67により、幅方向の用紙揃えが行われる。そして、重ね折り順狂い防止機構61が1枚目、2枚目、3枚目用紙後端側を押さえ、折りブレード62により、第1折りローラ21と第2折りローラ22のニップに3枚同時に押し込み、1回目の折りを行う。このとき、折りブレード62の先端は第1折りローラ21と第2折りローラ22のニップの位置を越えるまで押し込まれる。これにより、折りローラに接触している最外紙例えば3枚目用紙のみが折られる現象が無くなる。2回目以降の折り、排紙動作は前記折り動作、排紙動作の説明と同じである。【0040】次に実施例1における排紙装置70について説明する。図2は実施例1に係る画像形成装置の排紙装置を示す斜視図、図3は同じく排紙装置を示すものであり(a)は模式的な断面図、(b)は平面図である。この排紙装置70は、排紙トレイ71と、排紙口74に隣接して配置され、排紙口74から用紙を排出する排紙ローラ72、73と、この排紙ローラ72、73に近接して配置され、排紙ローラ72、73を例えば正電荷に帯電させるローラ帯電ユニット80とを備えて構成される。排紙ローラ72、73はローラ帯電ユニット80によって例えば正に帯電された状態になり、排紙ローラ72、73に接触して排出された用紙は例えば逆の負の電荷に帯電する。これにより、折り畳まれた用紙の各葉は互いに吸着する。【0041】一方、排紙装置70の排紙トレイ71には、その全面に用紙を吸着するためのシート状の吸着部材75が着脱自在に配置されている。この吸着部材75には、帯電ユニット76が接続され、吸着部材75には、帯電した用紙が吸着するよう帯電する帯電ユニット76が配置されている。この帯電ユニット76は、ローラ帯電ユニット80と共通のものとすれば、構成をより単純なものとできる。【0042】また、実施例1に係る排紙装置70には、除電手段85を備えている。この除電手段85は、用紙を設定枚数だけ排出した後、あるいは、満杯センサー81、82での満杯検知後であって、ユーザーが用紙を取り出す場合に作動し、用紙を用紙が帯びている電荷と逆極性の電荷で中和する。この除電手段85は、除電ブラシやイオン発生器等公知の手段を使用することができる。なお、図9(a)中符号81、82は光学式の満杯センサーを示し、同(b)中符号77は排紙装置70の上奥側カバー、同78は上右側カバーを示している。【0043】実施例1に係る排紙装置70によれば、吸着部材75はシート状としたので自由に湾曲させることや、変形させることができ、複雑な用紙トレイ形状にも追従させて配置できる。このため、排紙トレイ71の高い自由度でデザインでき、用紙を取り出しやすいものとすることができる。また、吸着部材75は着脱自在に設けられているので、装置のメンテナンス性が向上すると共に、リサイクル性にも優れるものとできる。【0044】次に実施例1に係る排紙装置70における用紙の排出状態について説明する。図4は実施例1に係る排紙装置における用紙の排出状態を示すものであり、(a)は、用紙の排出時の状態を示す模式図、(b)は排紙トレイへの用紙の載置状態を示す模式図である。排紙部の帯電状態にある排紙ローラ72、73によって、帯電された例えば内三つ折りされた用紙10は、各葉が互いに吸着してほぼ折り部が密着して排出される(図5(a)参照)。そして、1枚目の用紙は、排紙トレイ71上に吸着されてスタックされた状態で、2枚目以降の用紙が排出されると、先に排出されている帯電した折り紙に吸着されスタックされていく。これを繰り返すことによって、たくさんの用紙をスタックすることができる。従って満杯センサー81、82で満杯の誤検知がなされることが防止される。【0045】そして、用紙を設定枚数だけ排出した後、あるいは、満杯センサー81、82で満杯検知後であって、ユーザーが用紙を取り出す場合には、除電手段85を作動させ、用紙の電荷を除いて静電気を無力化する。これにより用紙を排紙トレイ71から容易に取り出すことができるようになる。【0046】従って実施例1に係る排紙装置70によれば、排紙ローラ72、73により排紙トレイ71に排出された用紙は帯電し、吸着部材75に吸引されてかさばらずに配置される。このため、用紙の取り出し性に影響を与えず、用紙の状態に無関係に満杯状態の誤検知を防止しつつ安定して用紙を排紙トレイ上にスタックすることができる。また必要に応じて用紙の帯電状態を解除することができるので、用紙を排紙トレイ71から容易に取り出すことができる。【0047】<実施例2>次に実施例2に係る排紙装置90について説明する。図5は実施例2に係る排紙装置を示すものであり、(a)は模式的な断面図、(b)は平面図である。実施例2に係る排紙装置90は、排紙トレイ91の排紙方向に沿って平行に、かつ互いに離間して、吸着部材95a、95b、95c、95dを配置している。この排紙装置90において、他の構成は実施例1の排紙装置70と同一であるので、同一の部分には同一の符号を付してその説明は省略する。【0048】実施例2に係る排紙装置90よれば、吸着部材を排紙トレイの全面に配置するより消費電力を低減することができる。なお、吸着部材95a、95b、95c、95dの数及び幅寸法は適宜変更することが得きるほか、その配置方向も排紙方向と直交するように配置することができる。
A
2012140303
2011000063
20110104
null
null
20120726
2012140303
20120726
null
null
5633070
20141024
null
null
null
レーザー誘起背面式の透明基板微細加工で使用される流動性物質
301021533
独立行政法人産業技術総合研究所
null
null
佐藤 正健,奈良崎 愛子,新納 弘之
null
8
C03C 23/00 (20060101), B23K 26/40 (20060101), B23K 26/18 (20060101)
C03C 23/00 D ,B23K 26/40 ,B23K 26/18
6
null
null
null
0
14
4E068,4G059
4E068 CA02 ,4E068 CA03 ,4E068 CA17 ,4E068 CF03 ,4E068 CJ07 ,4E068 DA14 ,4E068 DB13,4G059 AA08 ,4G059 AB05 ,4G059 AC01 ,4G059 AC30
【課題】レーザー誘起背面式の透明基板微細加工方法において、裏面で加工が起こるのに必要なエネルギー値を低減するとともに、加工効率を上げながら未加工部分による加工精度低下を防止する。【解決手段】透明材料を通過したレーザービームをその裏面で集光させ、該集光点で該透明材料の裏面に接触する流動性物質がレーザービームを吸収し、該透明材料の融点付近まで温度上昇させるとともに高い圧力を発生させることにより、該透明材料の該集光点でのエッチング加工を行うレーザー誘起背面式の透明基板微細加工に使用される流動性物質として、レーザービーム吸収物質、及び該物質を高濃度に溶解して流動性物質とする溶媒に加え、それ自体、レーザービームに対する吸収特性を有していないが、レーザービーム吸収物質の発熱に伴い分解して、加工精度、加工速度を向上させる加工促進物質を添加する。【選択図】なし
【請求項1】透明材料を通過したレーザービームをその裏面で集光させ、該集光点で該透明材料の裏面に接触する流動性物質がレーザービームを吸収し、該透明材料の融点付近まで温度上昇させるとともに高い圧力を発生させることにより、該透明材料の該集光点でのエッチング加工を行うレーザー誘起背面式の透明基板微細加工に使用される流動性物質であって、レーザービーム吸収物質、及び該物質を高濃度に溶解して流動性物質とする溶媒に加え、それ自体、レーザービームに対する吸収特性を有していないが、前記レーザービーム吸収物質の発熱に伴い分解して、加工精度、加工速度を向上させる加工促進物質を添加することを特徴とする流動性物質。【請求項2】前記レーザービーム吸収物質として、食用黄色4号色素、食用黄色5号色素、食用赤色104号色素、赤色213号色素、緑色401号色素などの水溶性色素の少なくとも一つを使用し、前記溶媒として水を使用し、前記加工促進物質として、パラトルエンスルホン酸ナトリウム塩、安息香酸ナトリウム塩などのベンゼン誘導体有機酸塩を添加したことを特徴とする請求項1に記載の流動性物質。【請求項3】前記レーザー吸収物質として食用黄色5号色素を使用した場合、該食用黄色5号色素の飽和水溶液溶液1mLあたり0.01~1.0gのパラトルエンスルホン酸ナトリウム塩を前記加工促進物質として添加したことを特徴とする請求項2に記載の流動性物質。【請求項4】前記レーザー吸収物質として食用黄色5号色素を使用した場合、該食用黄色5号色素の飽和水溶液1mLあたり0.01~1.0gの安息香酸ナトリウム塩を前記加工促進物質として添加したことを特徴とする請求項2記載の流動性物質。【請求項5】前記レーザー吸収物質として食用赤色105号色素、赤色213号色素、ローダミン6G色素などの有機溶媒に溶解可能な色素の少なくとも一つを使用し、前記溶媒としてエタノールを使用し、トルエン、ベンゼンなど、照射するレーザービームの波長に吸収領域を持たない芳香族化合物誘導体を加工促進物質として添加することを特徴とする請求項1に記載の流動性物質。【請求項6】前記レーザー吸収物質としてローダミン6G色素を使用した場合、前記加工促進物質としてトルエンを使用し、エタノールに対してトルエンを体積比で0.1~3の割合で混合し、前記ローダミン6G色素の該混合液の飽和溶液とした請求項5に記載の流動性物質。
【請求項1】透明材料を通過したレーザービームをその裏面で集光させ、該集光点で該透明材料の裏面に接触する流動性物質がレーザービームを吸収し、該透明材料の融点付近まで温度上昇させるとともに高い圧力を発生させることにより、該透明材料の該集光点でのエッチング加工を行うレーザー誘起背面式の透明基板微細加工に使用される流動性物質であって、レーザービーム吸収物質、及び該物質を高濃度に溶解して流動性物質とする溶媒に加え、それ自体、レーザービームに対する吸収特性を有していないが、前記レーザービーム吸収物質の発熱に伴い分解して、加工精度、加工速度を向上させる加工促進物質を添加することを特徴とする流動性物質。
【技術分野】【0001】本発明は、レーザーによる微細加工、特にレーザー誘起背面式の透明基板微細加工で使用される流動性物質に関する。
【背景技術】【0002】従来、例えば、透明基板である石英ガラスの微細表面加工方法としては、次のような方法が知られている。(1)多段階リソグラフィ法適切なレジストを基板表面に製膜した後、リソグラフィによってパターニングし、イオン・ビームやプラズマ、または、フッ酸を用いてエッチングを行ない、その後、更にレジストを剥離する方法(特開平6-280060号公報)。(2)イオンエッチング法イオン注入法により生じたエッチング速度の差を利用して、マスクレスの化学エッチングを行う方法(特開平7-256473号公報)。(3)短波長レーザー法透明材料が吸収できる短波長光を発振するレーザーを利用してドライエッチングを行う方法(特開平7-256473号公報)。(4)極短パルスレーザー法パルス幅がピコ秒以下の極短パルスレーザーを使用したドライエッチング法(Varelら:Appl.Phys.A,vol.65,p.367,(1997))。(5)レーザー誘起プラズマ法金属基板をガラスの後方に置いて、レーザーを照射し、金属から発生したプラズマを利用して行う方法(特許第3401425号公報)。(6)レーザー吸収率の高い成分を生成させる方法レーザー吸収率の高い成分をあらかじめ生成させたガラス表面層からなる被加工物に向けてレーザーを照射する方法(特開2000-61667号公報)。(7)ガラス基板表面に顔料などの光吸収層を形成後、レーザー加工を行う方法ガラス基板表面に顔料等の光吸収層を形成・付着させ、該表面に向けてレーザーを照射する。光吸収層がレーザーエネルギーを吸収して、ガラス基板表面に高温・高圧のプラズマ状態が発生し、表面層のガラスを溶融・除去する方法(特開2000-301372号公報、特許第3001816号公報、特開昭60-257985号公報)。【0003】しかしながら、上記(1)の方法は、フォトリソグラフィ技術に基づいているので、レジストの塗膜、乾燥、露光、現像、エッチング、剥離などの多数の複雑な工程が必要であり、微細加工するための時間効率が低いという問題がある。(2)の方法は集光できるイオン注入装置が必要であり、加工できる範囲が小さく時間効率が低いために量産に向いていないという問題がある。(3)及び(4)の方法では高真空環境が必要であり、エネルギー効率も悪く、量産に向いていないという問題がある。(5)の方法では真空雰囲気を利用するか、金属基板とガラスを密着させなければ加工ができないという問題点がある。(6)の方法ではレーザー吸収率の高い成分を生成させることが必須となるので、加工素材を自由に選ぶことができないなどの問題点がある。そして、(7)の方法では、加工しなかった部分の光吸収層を除去する工程が必要であり、時間効率が低いという問題点がある。【0004】このようなことを背景にして、本発明者は、他の発明者とともに、下記特許文献1に示されるように、セル内に注入されたレーザー吸収性の流動性物質を透明材料の裏面に直接接触させ、透明材料の表面からのレーザービームの照射を行う加工方法、すなわちレーザー誘起背面式の透明基板微細加工方法を提案している。この加工方法では、透明材料の表面から強度範囲が0.01J/cm2/pulseから100J/cm2/pulseまでのレーザービームを照射して、その裏面にレーザービームを集光させることにより、集光部分において流動性物質がレーザービームを吸収して起こるレーザー誘起光熱反応を利用したガラスの表面のエッチングによって透明材料の裏面に所定の微細加工を行うことを提案している。【0005】こうしたレーザー誘起光熱反応では、レーザービームの集光部分において急速な温度、圧力の上昇が引き起こされることが知られており、下記非特許文献1では、レーザービームの吸収により温度が上昇して2000度になること、非特許文献2ではレーザービーム吸収直後の圧力が10-200MPaになることを報告している。【0006】また、関連する発明として下記特許文献2では、レーザーの集光位置を位置計測データに用いて制御することを、特許文献3では、透明材料の裏面位置を直接規制する基準プレートを設け、表面側の第1ホルダープレートとで透明材料を挟持し、透明材料の裏面において流動性物質を収容するセルを第2ホルダープレートにより押圧固定することを、そして、特許文献4では、透明基板表面に有機薄膜を形成した後、レーザー波長に強い吸収をもつ流動性物質を接触させた状態で、透明基板の有機薄膜とは反対側からレーザービームを照射することにより、透明基板上にパターン化された有機薄膜を形成すると同時に透明基板にエッチングを形成することをそれぞれ提案している。
【発明が解決しようとする課題】【0009】このようなレーザー誘起背面式の透明基板微細加工方法は、従来の紫外光を用いる方法などと対比して、一万分の一程度のエネルギーのレーザー強度で十分であり、真空雰囲気が不要で、しかも一段階で連続的に透明材料を精密かつ微細なエッチングを可能とする点では画期的であるが、レーザービームが、例えばガラス等の透明材料を透過して流動性物質に到達する必要があるため、透明材料の光透過率が低い場合には、裏面の加工が起こる前に入射面の損傷が生じるという問題点があり、レーザービームの強度を過度に上げることができず、加工効率に限界がある。【0010】また、透過率が高い場合でも、加工効率を高めるためにレーザービームの強度を上げても、透明材料の裏面の集光部分において、流動性物質の温度・圧力の上昇が安定せず、未加工部分が残存し、加工精度を低下させることがあった。このような、未加工部分の発生は、例えば、ガラス材料にマーキングをする場合には、マーキング内容の読み取りができなくなる等加工品位を低下させる。また、これを避けるためには、未加工部分は同一箇所のビーム走査を多数繰り返すことにより、見かけ上未加工部分を低減することができるが、その場合は加工に時間がかかることとなり、さらに、加工の深さに不均一が生じるため、加工溝をマイクロ流路として用いる場合などに問題になる。そこで、本発明は、こうしたレーザー誘起背面式の透明基板微細加工方法において、裏面で加工が起こるのに必要なエネルギー値を低減するとともに、加工効率を上げながら未加工部分による加工精度低下を防止することを目的としている。
【課題を解決するための手段】【0011】このような目的を達成するため、発明者は、ガラス裏面に存在するセル内の流動性物質に注目し、レーザー集光時の発熱効率を高めることにより、低強度のレーザービームでも効率よく、しかも精度の高い加工を実現した。ここで、上記特許文献1、2には、セル内の流動性物質に関しては、ピレンのアセトン溶液、ベンジルのアセトン溶液、ピレンのテトラヒドロフラン溶液、ローダミン6Gのエタノール溶液、フタロシアニンのエタノール溶液などのような芳香族環を含む有機化合物の溶液、有機色素化合物を含む溶液、ベンゼン、トルエン、四塩化炭素などのような液体状の化合物などが挙げられている。また、有機化合物、有機色素、無機顔料、あるいは炭素などの微粒子などを分散して作った溶液や、有機化合物、有機色素、無機顔料、あるいは炭素粉末などの微粒子や微結晶で作った流動性粉体などが挙げられている。【0012】これらの流動性物質は、用いるレーザービームの波長に対して高い吸収率を有する必要がある。そのために流動性物質は、溶解して特定のレーザービームの波長に高い吸収性をもつレーザービーム吸収性物質(色素、ピレン、ベンジル、ローダミン6Gなど)、その物質を高濃度(例えば、0.1moldm-3以上の濃度)に溶解可能な液体状物質、すなわち溶媒(アセトン、テトラヒドロフラン、エタノールなど)に溶解したものからなるが、本発明では、それ自体レーザービームを吸収する機能、あるいは、レーザー吸収物質を多量に溶解する機能をもたないが、レーザービームの吸収によってつくられる流動性物質の高温・高圧状態の発生に伴って反応し、付加的に熱や圧力を発生させて、あるいは、反応生成物がガラスに付着するなどして付加的な熱や圧力の発生源となることによって加工効率、加工精度を促進する加工促進物質を添加することにより、レーザービーム集光時の加工効率、加工精度を高めるようにした。【0013】具体的には、本発明のレーザー誘起背面式の透明基板微細加工に使用される流動性物質は、次のように構成される。(1)透明材料を通過したレーザービームをその裏面で集光させ、該集光点で該透明材料の裏面に接触する流動性物質がレーザービームを吸収し、該透明材料の融点付近まで温度上昇させるとともに高い圧力を発生させることにより、該透明材料の該集光点でのエッチング加工を行うレーザー誘起背面式の透明基板微細加工に使用される流動性物質であって、レーザービーム吸収物質、及び該物質を高濃度に溶解して流動性物質とする溶媒に加え、それ自体、レーザービームに対する吸収特性を有していないが、前記レーザービーム吸収物質の発熱に伴い分解して加工精度、加工速度の向上させる加工促進物質を添加した。【0014】(2)上記(1)の流動性物質において、前記レーザービーム吸収物質として、食用黄色4号色素、食用黄色5号色素、食用赤色104号色素、赤色213号色素、緑色401号色素などの水溶性色素の少なくとも一つを使用し、前記溶媒として水を使用し、前記加工促進物質として、パラトルエンスルホン酸ナトリウム塩、安息香酸ナトリウム塩などのベンゼン誘導体有機酸塩を添加した。【0015】(3)上記(2)の流動性物質において、前記レーザービーム吸収物質として食用黄色5号色素を使用した場合、食用黄色5号色素の飽和水溶液溶液1mLあたり0.01~1.0gのパラトルエンスルホン酸ナトリウム塩を前記加工促進物質として添加した。【0016】(4)上記(2)の流動性物質において、前記レーザービーム吸収物質が食用黄色5号色素を使用した場合、食用黄色5号色素の飽和水溶液1mLあたり0.01~1.0gの安息香酸ナトリウム塩を前記加工促進物質として添加した。【0017】(5)上記(1)の流動性物質において、前記レーザービーム吸収物質として食用赤色105号色素、赤色213号色素、ローダミン6G色素などの有機溶媒に溶解可能な色素の少なくとも一つを使用し、前記溶媒としてエタノールを使用し、トルエン、ベンゼンなど、照射するレーザービームの波長に吸収領域を持たない芳香族化合物誘導体を加工促進物質として添加した。【0018】(6)上記(5)の流動性物質において、前記レーザービーム吸収物質としてローダミン6G色素を使用した場合、前記加工促進物質としてトルエンを使用し、エタノールに対してトルエンを体積比で0.1~3の割合で混合し、前記ローダミン6G色素の該混合液の飽和溶液とした。
【発明の効果】【0019】本発明によれば、レーザービーム吸収物質、及び該物質を高濃度に溶解して流動性物質とする溶媒に加え、それ自体、レーザービームに対する吸収特性を有していないが、前記レーザービーム吸収物質の発熱に伴い分解して、熱や圧力を付加的に発生させる加工促進物質を添加したので、必要とするレーザービーム強度に対する加工閾値を低下させ、より低強度のレーザビームによる加工を可能にするともに、同一のレーザービームの強度を使用した場合、従来の流動性物質と比較して加工効率を増大させ、未加工部分の発生を抑制した安定した加工が実現できる。
【発明を実施するための形態】【0021】以下、図面を参照しつつ本発明の実施例について説明する。【実施例】【0022】まず、本発明で使用する加工装置の概要を図1に示す。全固体レーザー発振器1から出力されるレーザービームは、ビームエキスパンダー2により拡大された後、ガルバノ走査鏡3、F-θレンズ4、ミラー5を介して、石英ガラス等の透明材料6に照射され、その裏面に集光するようになっている。一方、透明材料6の裏面には、流動性物質を収容するセル7の開口面が押圧され、シールリングなどにより液密に封止され、流動性物質に直接接触している。【0023】全固体レーザー発振器1及びガルバノ走査鏡3は、制御用コンピューター8により制御され、予め入力された加工情報に基づいて、全固体レーザー発振器1から出力されるレーザービームの強度及びガルバノ走査鏡3による照射位置が制御される。透明材料6を通過したレーザービームをその裏面で集光し、その集光点で、透明材料6の裏面に接触する流動性物質がレーザービームを吸収して、レーザー誘起光熱反応により、高温、高圧状態をつくり加工が行われる。したがって、制御用コンピューター8に予め形状や深さ等の加工情報を入力しておけば、レーザービームの強度及びガルバノ走査鏡3による照射位置が連続的に制御され、透明材料6の裏面に加工情報に沿った加工を行うことができる。【0024】以下、各実施例に沿って、本発明の流動性物質を説明する。(実施例1)この実施例では、レーザービーム吸収物質として食用黄色5号色素、これを溶解する溶媒として水)、そして、加工促進物質としてパラトルエンスルホン酸ナトリウム塩を使用し、食用黄色5号色素の飽和水溶液にパラトルエンスルホン酸ナトリウム塩を溶液1mLあたり0.25g添加した溶液を流動性物質として調製し、これをセル7内に収容して加工を実施した。【0025】比較例として、加工促進物質であるパラトルエンスルホン酸ナトリウム塩を添加せず、レーザービーム吸収物質である食用黄色5号色素のみの飽和水溶液をセル7内に収容される流動性物質として、Nd:YVO4レーザーの第3高調波(波長355nm、パルス幅20ns)のレーザーパルス光を、パルス繰り返し周波数10kHz、レーザー強度0.04mJ・pulse-1の条件で出射し、F-θレンズを通して集光し、ガルバノ走査鏡により60mm/sの速度で走査した。厚さ1.3mmのソーダライムガラス基板を通して、その基板の裏面に配置した食用黄色5号色素の飽和水溶液とガラスの界面に対する照射をしたところ、同一線上のビーム走査を2回繰り返しても加工は起こらなかった。そこで、全固体レーザー発振器1の出力を上げ、強度を0.06mJ・pulse-1まで上昇させたところ、同一線上のビーム走査を2回繰り返すことによって、はじめて幅11μm、深さ0.8μmの溝が形成された。【0026】一方、本実施例の流動物質をセル7内に収容して加工を実施したところ、レーザー強度0.04mJ・pulse-1での照射によっても溝構造が形成された。同一線上のビーム走査を2回繰り返すことによって得られた溝構造にはクラックの発生等の損傷はまったく見られず、幅は9μm、深さ0.3μmであった。さらに、強度を0.06mJ・pulse-1まで増加することにより得られた溝は幅は13μm、深さ1.2μmであり、同条件で加工促進物質であるパラトルエンスルホン酸塩を加えずに作成された場合と比較して溝深さが1.5倍に増加し、しかも、得られた溝構造にはクラックの発生等の損傷、あるいは溝深さが所定の値に満たない未加工部分はまったく発生しなかった。【0027】この実施例では、食用黄色5号色素の飽和水溶液に対し、加工促進物質として、パラトルエンスルホン酸ナトリウム塩を溶液1mLあたり0.25g添加した溶液を流動性物質として調製したが、パラトルエンスルホン酸ナトリウム塩の添加量は、加工促進効果や、水に対する飽和濃度、さらには、食用黄色5号色素の溶解濃度への影響等に基づいて、適宜定めればよい。一般的には、溶液1mLあたり0.01~1.0gが好適である。【0028】(実施例2)次に、実施例2では、上記のレーザービーム吸収物質である食用黄色5号色素の飽和水溶液に対し、加工促進物質として安息香酸ナトリウム塩を溶液1mLあたり0.12g添加した溶液を調製した。この溶液を使用して加工を実施したところ、レーザー強度0.04mJ・pulse-1での照射によっても溝構造が形成された。同一線上のビーム走査を2回繰り返すことによって得られた溝構造にはクラックの発生等に起因する損傷はまったく見られず、幅は7μm、深さ0.2μmであった。レーザー強度を0.06mJ・pulse-1まで増加することにより得られた溝は幅は12μm、深さ1.1μmであり、先に示した食用黄色5号色素の飽和水溶液を使用した場合と比較して、溝深さが1.4倍に増加し、しかも、得られた溝構造にはクラックの発生等の損傷、あるいは溝深さが所定の値に満たない未加工部分はまったく発生しなかった。【0029】図2に、実施例1、2及び比較例の加工深さとレーザーエネルギー依存特性図を示す。この図から分かるように、加工促進物質として、パラトルエンスルホン酸ナトリウム塩を使用した実施例1、安息香酸ナトリウム塩を使用した実施例2とも、これらを添加しない食用黄色5号色素の飽和水溶液(比較例)と比較して、加工が開始されるレーザービーム強度、すなわち加工閾値が低下し、しかも、同一のレーザービームの強度に対し、加工深さを増大できることを示している。【0030】この実施例では、食用黄色5号色素の飽和水溶液に、加工促進物質として安息香酸ナトリウム塩を溶液1mLあたり0.12g添加した溶液を流動性物質として調製したが、安息香酸ナトリウム塩の添加量は、上述のように、加工促進効果や、水に対する飽和濃度、さらには、食用黄色5号色素の溶解濃度への影響等に基づいて適宜定めればよい。一般的には、溶液1mLあたり0.01~1.0gが好適である。【0031】図3に、食用黄色5号色素、パラトルエンスルホン酸ナトリウム塩、安息香酸ナトリウム塩のレーザービームに対する吸収スペクトル図を示す。この図から分かるように、パラトルエンスルホン酸ナトリウム塩あるいは安息香酸ナトリウム塩は、照射したレーザービームの波長355nmに対して、はるかに短い波長の範囲に吸収領域を有し、それ自体はこの波長のレーザービームを全く吸収しないことが分かる。【0032】このようなパラトルエンスルホン酸ナトリウム塩、安息香酸ナトリウム塩が、レーザービーム強度の加工閾値を低下させ、加工効率、加工精度を高める理由を解析するため、レーザー誘起背面式の透明基板微細加工の概念図を図4に示す。入射したレーザーは溶液内の色素分子によって吸収されて熱に変換され、前述のようにに2000度まで温度上昇し(上記非特許文献1)また、レーザービーム吸収直後の圧力が10-200MPaになる(上記非特許文献2)。【0033】このような高温、高圧下では、この領域に存在する色素や溶媒の分子は一部分解し、この領域に存在する、パラトルエンスルホン酸ナトリウム塩、安息香酸ナトリウム塩も、高温にさらされることで分解し、結合エネルギーが解放されることで、熱や圧力を放出する、あるいは、分解物がガラスに付着するなどして付加的な熱や圧力の発生源として機能し、この領域のエネルギー密度増加に寄与することでエッチング加工を促進しているものと推測される。したがって、流動性物質として水を溶媒とした水溶液を利用しているにもかかわらず、効率、精度の高い加工を実現することができ、有機溶媒を使用する場合と比較して、環境に優しく、しかも、解放空間での加工が可能になることから、設備投資を大幅に低減させることができる。【0034】なお、実施例1、2では、溶媒として水を使用し、使用する波長355nmのレーザービームに対し高い吸収率を示す水溶性のレーザービーム吸収物質として、食用黄色5号色素を使用したが、水溶性のレーザービーム吸収物質としては、このほかに、食用黄色4号色素、食用赤色104号色素、赤色213号色素、緑色401号色素などが挙げられ、これらの一つ、あるいはこれらを適宜混合して使用してもよい。また、加工促進物質としては、パラトルエンスルホン酸ナトリウム塩、安息香酸ナトリウム塩で代表される、いわゆる、水溶性のベンゼン誘導体有機酸塩が有効である。したがって、透明材料の材質、求められる加工精度あるいは照射するレーザービームの波長によって、レーザービーム強度の加工閾値を低下させるとともに、同一のレーザービームの強度に対し加工効率を増大させ、しかも未加工部分の発生を抑制した安定した加工が行われるよう、これらの水溶性レーザービーム吸収物質及び加工促進物質を種々組み合わせればよい。なお、水溶性のレーザービーム吸収物質については、いずれの場合も、選択した加工促進物質の存在下において、析出が発生しない飽和濃度とすることが好ましい。【0035】(実施例3)実施例3では、レーザービーム吸収物質として、ローダミン6G色素、これを溶解する溶媒としてエタノール、そして、加工促進物質としてトルエンを、エタノールに対し体積比1:1で混合し、ローダミン6G色素の飽和溶液を流動性物質として調製した。この種の加工に用いられている、Nd:YVO4レーザーの第2高調波(波長532nm、パルス幅35ns、パルス周波数5kHz)のレーザーパルス光を使用し、F-θレンズを通して集光し、ガルバノ走査鏡により60mm/sの速度で厚さ1.3mmのソーダライムガラス基板を走査した。なお、エタノールは、ローダミン6Gを1mLあたり最大0.2moldm-3の濃度で溶解できるため、ローダミン6Gを高濃度で溶解する溶媒として機能を有している。一方、トルエンも液体状ではあるが、溶解可能なローダミン6G色素はエタノールの10000分の1以下であり、また、トルエン自体は、波長532nmのレーザービームを吸収する機能はない。【0036】比較例として、流動性物質としてレーザービーム吸収物質であるローダミン6G色素を溶媒であるエタノールに溶解し、加工促進物質を加えない飽和溶液を用いた場合には、レーザー強度0.044mJ・pulse-1での照射によっては、加工は開始されず、レーザー強度0.067mJ・pulse-1で初めて溝構造の形成が確認された(図5)。しかし、その加工形状には、図6と同じ図形を描画しているにもかかわらず未加工部分がみられた。さらに、レーザー強度を0.120mJ・pulse-1以上にするとクラックの発生がみられた。【0037】これに対し、本実施例の流動性物質を使用した場合、強度0.044mJ・pulse-1での照射によっても溝構造が形成され、同一線上のビーム走査を2回繰り返すことによって得られた溝構造にはクラックの発生等の損傷はまったく見られず、幅は21μm、深さ0.7μmであった。さらに、レーザー強度を0.067mJ・pulse-1まで増加することにより得られた溝は幅が24μm、深さ1.8μmであり、この場合でも図6に見られるように、未加工部分の発生は見られなかった。レーザー強度0.086mJ・pulse-1では、深さ2.9μmの溝が得られており、同条件でトルエンを含まない比較例の溶液により作成された溝と比較して溝深さが2.9倍に増加し、得られた溝構造にはクラックの発生等の損傷はまったく見られなかった。ただし、レーザー強度を0.108mJ・pulse-1以上にすると、図7に見られるように、クラックの発生が生じた【0038】図8に、本実施例の流動性物質を使用した場合のレーザー強度に対する加工状態についての実験結果を、エタノールのみの場合と比較して示す。この図から分かるように、本実施例の場合に、0.031mJ・pulse-1から、一部未加工部分が存在するものの、加工が行われ、0.058mJ・pulse-1から0.097mJ・pulse-1に到るまで、精度の高い加工を実現することができる。これに対し、エタノールのみの場合は、0.050mJ・pulse-1で加工が開始されるものの、未加工部分が発生し、しかも、0.120mJ・pulse-1から、クラックの発生がみられ、精度の高い加工が不可能であった。【0039】なお、実施例3では、エタノールに対してトルエンを体積比で0.1~3の溶媒としてエタノールを使用し、使用する波長532nmのレーザービームに対し高い吸収率を示すレーザービーム吸収物質として、ローダミン6G色素を使用したが、レーザービーム吸収物質としては、このほかに、食用赤色105号色素、赤色213号色素などが挙げられ、これらの一つ、あるいはこれらを適宜混合して使用してもよい。また、加工促進物質としては、トルエンのほか、ベンゼンなど、照射するレーザービームの波長に吸収領域を持たない芳香族化合物誘導体が有効である。したがって、透明材料の材質、求められる加工精度あるいは照射するレーザービームの波長によって、レーザーエネルギーの加工閾値を低下させるとともに、同一のレーザーエネルギーに対し加工効率を増大させ、しかも未加工部分の発生を抑制した安定した加工が行われるよう、これらのレーザービーム吸収物質及び加工促進物質を種々組み合わせればよい。なお、有機溶媒溶解性のレーザービーム吸収物質については、いずれの場合も、選択した加工促進物質の存在下において、析出が発生しない飽和濃度とすることが好ましい。
A
2012140304
2011000080
20110104
null
null
20120726
2012140304
20120726
null
null
5674484
20150109
null
null
null
表面改質アルカリ金属珪酸塩及びその製造方法
000230593,000000284
日本化学工業株式会社,大阪瓦斯株式会社
100098682
赤塚 賢次
小瀧 秀樹,大崎 哲也,田中 一昭,上松 克英,野中 英正,井上 修一,平野 誠,清飛羅 一眞,杉山 敏光,森川 茂一
null
8
C01B 33/32 (20060101)
C01B 33/32
7
null
null
null
0
14
4G073
4G073 BA02 ,4G073 BA04 ,4G073 BA62 ,4G073 BA63 ,4G073 BA75 ,4G073 BA76 ,4G073 BD21 ,4G073 CB06 ,4G073 FC13 ,4G073 FD21 ,4G073 FD22 ,4G073 FD24 ,4G073 FF02
【解決課題】耐湿性及び強度が高い表面改質アルカリ金属珪酸塩を提供すること。【解決手段】下記一般式(1):M2O・nSiO2・xH2O(1)(式中、Mは、アルカリ金属を示し、nは0.5≦n≦5.0、xはx≦1.7である。)で表されるアルカリ金属珪酸塩の表面が、硫黄酸化物塩層で覆われており、表面のSO32-含有量が0.1質量ppm以上であることを特徴とする表面改質アルカリ金属珪酸塩。【選択図】なし
【請求項1】下記一般式(1):M2O・nSiO2・xH2O(1)(式中、Mは、アルカリ金属を示し、nは0.5≦n≦5.0、xはx≦1.7である。)で表されるアルカリ金属珪酸塩の表面が、硫黄酸化物塩層で覆われており、表面のSO32-含有量が0.1質量ppm以上であることを特徴とする表面改質アルカリ金属珪酸塩。【請求項2】表面のSO42-含有量が0.1質量ppm以上であることを特徴とする請求項1記載の表面改質アルカリ金属珪酸塩。【請求項3】(A)下記一般式(1):M2O・nSiO2・xH2O(1)(式中、Mは、アルカリ金属を示し、nは0.5≦n≦5.0、xはx≦1.7である。)で表されるアルカリ金属珪酸塩の水溶液を、乾燥させると共に二酸化硫黄を含有するガスと接触させること、又は(B)前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を、乾燥させてから、二酸化硫黄を含有するガスと接触させること、により、前記一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で覆われている表面改質アルカリ金属珪酸塩を得る接触及び乾燥工程を有することを特徴とする表面改質アルカリ金属珪酸塩の製造方法。【請求項4】二酸化珪素とアルカリ金属の炭酸塩とを混合し、加熱溶融し、次いで、得られた溶融物を、水に溶解させることにより、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を得ることを特徴とする請求項3記載の表面改質アルカリ金属珪酸塩の製造方法。【請求項5】前記接触及び乾燥工程において、100~300℃で、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を乾燥させることを特徴とする請求項3又は4いずれか1項記載の表面改質アルカリ金属珪酸塩の製造方法。【請求項6】100~300℃で、二酸化硫黄ガスを含有する雰囲気中に、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を噴霧することにより、前記接触及び乾燥工程を行うことを特徴とする請求項3~5いずれか1項記載の表面改質アルカリ金属珪酸塩の製造方法。【請求項7】前記二酸化硫黄ガスを含有する雰囲気の二酸化硫黄ガスの濃度が、10~100ppmであることを特徴とする請求項6記載の表面改質アルカリ金属珪酸塩の製造方法。
【請求項1】下記一般式(1):M2O・nSiO2・xH2O(1)(式中、Mは、アルカリ金属を示し、nは0.5≦n≦5.0、xはx≦1.7である。)で表されるアルカリ金属珪酸塩の表面が、硫黄酸化物塩層で覆われており、表面のSO32-含有量が0.1質量ppm以上であることを特徴とする表面改質アルカリ金属珪酸塩。【請求項3】(A)下記一般式(1):M2O・nSiO2・xH2O(1)(式中、Mは、アルカリ金属を示し、nは0.5≦n≦5.0、xはx≦1.7である。)で表されるアルカリ金属珪酸塩の水溶液を、乾燥させると共に二酸化硫黄を含有するガスと接触させること、又は(B)前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を、乾燥させてから、二酸化硫黄を含有するガスと接触させること、により、前記一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で覆われている表面改質アルカリ金属珪酸塩を得る接触及び乾燥工程を有することを特徴とする表面改質アルカリ金属珪酸塩の製造方法。
【技術分野】【0001】本発明は、アルカリ金属珪酸塩及びその製造方法に関し、さらに詳しくは、吸湿及び内部水分の飛沫が防止されたアルカリ金属珪酸塩及びその製造方法に関する。
【背景技術】【0002】アルカリ金属珪酸塩は、単独あるいは界面活性剤との併用で、油及び油汚れの分散、懸濁作用、系内のpH緩衝作用を利用して、金属、ガラス、セラミック等の脱脂洗浄剤や各種の洗浄剤組成物、また土木用粘結剤等、その物性を改良することにより幅広い分野での使用が可能である。このとき、アルカリ金属珪酸塩には、例えば、溶媒に速やかに溶解すること等、取扱い易いこと、作業性が良いことが要求される。【0003】このアルカリ金属珪酸塩は、水分との反応により加水分解され水酸化ナトリウム等のアルカリ金属水酸化物を生じる。アルカリ金属水酸化物は潮解性が大きいため、アルカリ金属珪酸塩が、特に粉体である場合にはブロッキングを起してしまう問題がある。【0004】このような問題点を解決するために、様々な研究がなされてきている。例えば、特許文献1には、珪酸塩中空体表面を、塩素含有シラン蒸気と接触せしめることにより、該中空体に耐水性を付与する処理方法が記載されている。特許文献2には、吸湿性の小さい非晶質珪酸ナトリウム粉末を得るために、硫酸ナトリウム等の金属硫酸塩を固溶して含む非晶質珪酸ナトリウム・金属硫酸塩複合粉体の製造方法が開示されている。また、特許文献3には、遊離の残存アルカリ金属イオンの存在しないアルカリ金属珪酸塩を得るために、残存アルカリ金属イオンとCO2ガスを反応させて、アルカリ金属珪酸塩表面に炭酸塩として固定化した改質珪酸塩を得る方法が記載されている。【0005】このようにアルカリ金属珪酸塩の表面又はアルカリ金属珪酸塩自体に、何らかの処理を施して防湿性を高めることにより、ブロッキングを防止する研究がなされている。【0006】また、従来から行われていた方法として、アルカリ金属珪酸塩の製造時に重油等の硫黄成分を含んだ燃料を燃焼させることによる燃焼熱で、原料を加熱すると共に、燃焼ガス中の硫黄酸化物をアルカリ金属と反応させて、アルカリ金属珪酸塩の表面に硫酸塩の膜を生じさせることにより、アルカリ金属珪酸塩に耐湿性を付与する方法が行われていた。
【発明が解決しようとする課題】【0008】しかし、重油を燃焼することにより溶融原料を加熱する場合、大気中に硫黄成分を含む排ガスが多量に放出されることが懸念されており、また、近年の環境問題との絡みもあるため、この方法を改良するための方法が模索されている。また、単に重油を燃焼するのみであると、硫黄成分及びその量を制御することができず、アルカリ金属珪酸塩の表面に生ずる硫酸塩の膜にムラが生じるため、特に粉体である場合、均一な品質のものを得ることが困難であった。【0009】そこで本発明の目的は、耐湿性及び強度が高い表面改質アルカリ金属珪酸塩を提供することにある。また、本発明の別の目的は、硫黄成分を大気中に放出することなく、アルカリ金属珪酸塩の表面を硫黄酸化物塩で改質することのできる方法を提供することにある。
【課題を解決するための手段】【0010】本発明者らは、上記実情に鑑み鋭意研究を重ねた結果、表面にSO32-を特定量含むアルカリ金属珪酸塩は、上記課題を解決できることを見出し、本発明を完成させるに至った。【0011】すなわち、本発明(1)は、下記一般式(1):M2O・nSiO2・xH2O(1)(式中、Mは、アルカリ金属を示し、nは0.5≦n≦5.0、xはx≦1.7である。)で表されるアルカリ金属珪酸塩の表面が、硫黄酸化物塩層で覆われており、表面のSO32-含有量が0.1質量ppm以上であることを特徴とする表面改質アルカリ金属珪酸塩を提供するものである。【0012】また、本発明(2)は、(A)下記一般式(1):M2O・nSiO2・xH2O(1)(式中、Mは、アルカリ金属を示し、nは0.5≦n≦5.0、xはx≦1.7である。)で表されるアルカリ金属珪酸塩の水溶液を、乾燥させると共に二酸化硫黄を含有するガスと接触させること、又は(B)前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を、乾燥させてから、二酸化硫黄を含有するガスと接触させること、により、前記一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で覆われている表面改質アルカリ金属珪酸塩を得る接触及び乾燥工程を有することを特徴とする表面改質アルカリ金属珪酸塩の製造方法を提供するものである。
【発明の効果】【0013】本発明によれば、耐湿性及び強度が高い表面改質アルカリ金属珪酸塩を提供できる。また、本発明によれば、硫黄成分を大気中に放出することなく、アルカリ金属珪酸塩の表面を硫黄酸化物塩で改質することのできる方法を提供することができる。
【発明を実施するための形態】【0015】本発明の表面改質アルカリ金属珪酸塩は、下記一般式(1):M2O・nSiO2・xH2O(1)(式中、Mは、アルカリ金属を示し、nは0.5≦n≦5.0、xはx≦1.7である。)で表されるアルカリ金属珪酸塩の表面が、硫黄酸化物塩層で覆われており、表面のSO32-含有量が0.1質量ppm以上であることを特徴とする表面改質アルカリ金属珪酸塩である。【0016】表面が改質されていない前記一般式(1)で表されるアルカリ金属珪酸塩は、空気中の水分により加水分解され、その表面がアルカリ金属の水酸化物により覆われる。このアルカリ金属の水酸化物、例えば、水酸化ナトリウムや水酸化カリウムは潮解性が大きい。そのため、アルカリ金属珪酸塩の表面が粘性のある状態となるため、強度が低くなったり、ブロッキングの原因となったりする。一方、本発明の表面改質アルカリ金属珪酸塩は、アルカリ金属珪酸塩の表面が、硫黄酸化物塩により改質されているが、その詳細について以下に説明する。【0017】前記一般式(1)中、Mは、アルカリ金属であり、好ましくはナトリウム又はカリウムである。Mは1種でも2種以上の組み合わせでもよい。例えば、メタ珪酸ナトリウム、メタ珪酸カリウム等のアルカリ金属メタ珪酸塩、オルソ珪酸ナトリウム、オルソ珪酸カリウム等のアルカリ金属オルソ珪酸塩などが挙げられ、メタ珪酸ナトリウムが好ましい。【0018】前記一般式(1)中、H2Oは、アルカリ金属珪酸塩に内包される水分である。本発明の表面改質アルカリ金属珪酸塩では、硫黄酸化物塩で表面が改質されていることにより、吸湿が抑えられるが、アルカリ金属珪酸塩が、一般式(1)中のxの範囲の水分を内包することにより、本発明の表面改質アルカリ金属珪酸塩は、表面の粘性が抑えられ且つ水性溶媒に速やかに溶解されるという性質を有する。【0019】前記一般式(1)中、nは、SiO2/M2Oモル比を示し、0.5≦n≦5.0、好ましくは0.7≦n≦4.5、特に好ましくは1.0≦n≦4.0である。また、前記一般式(1)中、xは、H2O/M2Oモル比を示し、x≦1.7、好ましくは0.1≦x≦1.7、特に好ましくは0.2≦x≦1.5である。【0020】本発明の表面改質アルカリ金属珪酸塩中のH2Oの含有量は、30%以下、好ましくは19~25%である。本発明の表面改質アルカリ金属珪酸塩中のH2Oの含有量が上記範囲にあることにより、表面改質アルカリ金属珪酸塩の表面の粘性が抑えられ且つ水性溶媒に速やかに溶解されるという効果が高まる。【0021】本発明の表面改質アルカリ金属珪酸塩は、前記一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で覆われている。前記一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で覆われていることにより、表面改質アルカリ金属珪酸塩の耐湿性及び強度が高くなるという表面改質の効果を奏する。【0022】本発明の表面改質アルカリ金属珪酸塩において、前記一般式(1)で表されるアルカリ金属珪酸塩の表面を覆っている硫黄酸化物塩は、硫黄酸化物イオンのアルカリ金属塩である。硫黄酸化物塩に係る硫黄酸化物イオンとしては、亜硫酸イオン(SO32-)、硫酸イオン(SO42-)、チオ硫酸イオン(S2O32-)等が挙げられる。硫黄酸化物塩に係るアルカリ金属は、ナトリウム又はカリウムが好ましい。そして、硫黄酸化物塩としては、硫酸ナトリウム、亜硫酸ナトリウム、硫酸カリウム、亜硫酸カリウム、チオ硫酸ナトリウム、チオ硫酸カリウム等が挙げられる。【0023】本発明の表面改質アルカリ金属珪酸塩では、硫黄酸化物塩層を構成する硫黄酸化物塩は、1種又は2種以上の組み合わせであってもよい。そして、本発明の表面改質アルカリ金属珪酸塩では、硫黄酸化物塩層を構成する硫黄酸化物塩の一部が、亜硫酸ナトリウム、亜硫酸カリウム等の亜硫酸イオン(SO32-)のアルカリ金属塩である。よって、硫黄酸化物塩層を構成する硫黄酸化物塩は、亜硫酸ナトリウム、亜硫酸カリウム等の亜硫酸イオンのアルカリ金属塩と、硫酸ナトリウム、硫酸カリウム、チオ硫酸ナトリウム、チオ硫酸カリウム等の亜硫酸イオンのアルカリ金属塩以外の硫黄酸化物塩である。【0024】本発明の表面改質アルカリ金属珪酸塩において、前記一般式(1)で表されるアルカリ金属珪酸塩の表面を覆っている硫黄酸化物塩層の厚みは、0.1~3000nm、好ましくは0.1~1000nmである。硫黄酸化物塩層の厚みが上記範囲にあることにより、表面改質アルカリ金属珪酸塩の表面の粘性を抑え且つ強度を保つことができる。なお、本発明において、硫黄酸化物塩層の厚みは、電子線マイクロアナライザ(EPMA)、走査型電子顕微鏡(SEM)等により測定される。【0025】本発明の表面改質アルカリ金属珪酸塩の表面の亜硫酸イオン(SO32-)含有量は、0.1質量ppm以上、好ましくは0.5~6質量ppmである。一般的に亜硫酸イオン(SO32-)の金属塩は、酸化されやすい性質をもつが、本発明の表面改質アルカリ金属珪酸塩では、このような亜硫酸イオン(SO32-)の金属塩の性質を利用している。そして、亜硫酸イオン(SO32-)の金属塩は、アルカリ金属珪酸塩から発生する水酸化ナトリウム等のアルカリ金属の水酸化物を、中和することができるため、継続的に表面改質の効果が得られるものと考えられる。表面の亜硫酸イオン(SO32-)含有量が0.1質量ppm未満だと、継続的に表面改質の効果が得られない。【0026】本発明の表面改質アルカリ金属珪酸塩の表面の硫酸イオン(SO42-)含有量は、0.1質量ppm以上、好ましくは1~100質量ppmである。表面の硫酸イオン(SO42-)含有量が上記範囲にあることにより、耐湿性及び強度が高くなる。【0027】なお、本発明において、本発明の表面改質アルカリ金属珪酸塩の表面の亜硫酸イオン(SO32-)含有量とは、表面改質アルカリ金属珪酸塩の質量に対する表面改質アルカリ金属珪酸塩の表面に存在する亜硫酸イオンの質量の百万分率((表面の亜硫酸イオンの質量/表面改質アルカリ金属珪酸塩の質量)×1000000)である。また、本発明において、本発明の表面改質アルカリ金属珪酸塩の表面の硫酸イオン(SO42-)含有量とは、表面改質アルカリ金属珪酸塩の質量に対する表面改質アルカリ金属珪酸塩の表面に存在する硫酸イオンの質量の百万分率((表面の硫酸イオンの質量/表面改質アルカリ金属珪酸塩の質量)×1000000)である。なお、表面改質アルカリ金属珪酸塩の表面の亜硫酸イオン及び硫酸イオンとは、表面改質アルカリ金属珪酸塩の表面及びその近傍に存在している亜硫酸イオン又は硫酸イオンのことであり、例えば、X線光電子分光(ESCA)、電子線マイクロアナライザ(EPMA)等により測定される。【0028】本発明の表面改質アルカリ金属珪酸塩の平均粒径は、好ましくは40~400μm、特に好ましくは60~150μmである。【0029】本発明の表面改質アルカリ金属珪酸塩は、表面が硫黄酸化物塩で改質されているので、耐湿性が高く且つ強度が高いため、アルカリ金属珪酸塩の内部水分の飛沫を防ぐことができることから、ブロッキングが防止されること、溶媒に速やかに溶解すること等、作業性の良いアルカリ金属珪酸塩である。【0030】次に、本発明の表面改質アルカリ金属珪酸塩の製造方法を説明する。本発明の表面改質アルカリ金属珪酸塩の製造方法は、(A)下記一般式(1):M2O・nSiO2・xH2O(1)(式中、Mは、アルカリ金属を示し、nは0.5≦n≦5.0、xはx≦1.7である。)で表されるアルカリ金属珪酸塩の水溶液を、乾燥させると共に二酸化硫黄を含有するガスと接触させること、又は(B)前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を、乾燥させてから、二酸化硫黄を含有するガスと接触させること、により、前記一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で覆われている表面改質アルカリ金属珪酸塩を得る接触及び乾燥工程を有することを特徴とする表面改質アルカリ金属珪酸塩の製造方法である。【0031】本発明の表面改質アルカリ金属珪酸塩の製造方法は、接触及び乾燥工程を有する。この接触及び乾燥工程は、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液の乾燥及び二酸化硫黄含有するガスとの接触を行い、前記一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で覆われている表面改質アルカリ金属珪酸塩を得る工程である。【0032】接触及び乾燥工程において用いられるアルカリ金属珪酸塩の水溶液は、前記一般式(1)で表されるアルカリ金属珪酸塩を水に溶解させた水溶液である。【0033】接触及び乾燥工程に係る前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液中のアルカリ金属珪酸塩は、前記一般式(1)で表されるアルカリ金属珪酸塩であればよく、例えば、メタ珪酸ナトリウム、メタ珪酸カリウム等のアルカリ金属メタ珪酸塩、オルソ珪酸ナトリウム、オルソ珪酸カリウム等のアルカリ金属オルソ珪酸塩などが挙げられ、メタ珪酸ナトリウムが好ましい。【0034】前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を製造する方法は、特に制限されず、例えば、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液としては、二酸化珪素とアルカリ金属の炭酸塩とを混合し、加熱溶融し、次いで、得られた溶融物を水に溶解させて得られるアルカリ金属珪酸塩の水溶液や、市販されている珪酸ナトリウム水溶液や珪酸カリウム水溶液等のアルカリ金属珪酸塩の水溶液が用いられる。【0035】アルカリ金属珪酸塩の水溶液の製造に用いられる二酸化珪素(SiO2)としては、特に制限されず、例えば、珪石、珪砂、溶融シリカ、無定形シリカ、シリカゾル等のSiO2を主成分とする物質が挙げられる。酸化珪素のうち、安価で取り扱いが容易である点で、珪砂が好ましい。【0036】アルカリ金属珪酸塩の水溶液の製造に用いられるアルカリ金属の炭酸塩としては、炭酸ナトリウム、炭酸カリウム等が挙げられる。また、反応を促進させる目的でアルカリ金属の水酸化物を添加することもできる。このアルカリ金属の水酸化物により、二酸化珪素とアルカリ金属の炭酸塩との親和性が増すことから反応促進に繋がる。アルカリ金属の水酸化物としては、水酸化ナトリウム、水酸化カリウム等が挙げられる。【0037】アルカリ金属珪酸塩の水溶液の製造において、二酸化珪素とアルカリ金属の炭酸塩との混合比率は、加熱溶融により得られるアルカリ金属珪酸塩の溶融物のSi2O/M2O(Mはアルカリ金属を示す。)のモル比が、好ましくは0.5~5.0、特に好ましくは0.5~3.8となるような混合比率である。なお、Mが2種以上の場合は、それらの酸化物(M2O)の合計モル数で、上記モル比を計算する。【0038】アルカリ金属珪酸塩の水溶液の製造において、二酸化珪素とアルカリ金属の炭酸塩とを加熱溶融する際の温度は、均一なアルカリ金属珪酸塩の溶融物が得られれば良く、900~1300℃が好ましく、また、加熱溶融時間は、24~72時間が好ましい。【0039】アルカリ金属珪酸塩の水溶液の製造において、二酸化珪素とアルカリ金属の炭酸塩との加熱溶融における加熱源は、特に制限されないが、例えば、燃焼しても硫黄酸化物や窒素酸化物を発生しない燃料を用い、そのような燃料を燃焼させて、溶融原料混合物を加熱して溶融する方法が挙げられる。燃焼しても硫黄酸化物や窒素酸化物を発生しない燃料としては、液化天然ガス、LPG等の低SOx燃料、水素等のクリーンエネルギー等が挙げられ、液化天然ガスが好ましい。メタンを主成分とする天然ガスは、通常、水、硫黄酸化物、硫化水素、窒素化合物等の不純物を含有しているが、液化の過程で、このような不純物が除去されるため、液化天然ガスは、燃焼しても硫黄酸化物や窒素酸化物を発生しない燃料として、好適である。【0040】アルカリ金属珪酸塩の水溶液の製造において、二酸化珪素とアルカリ金属の炭酸塩とを加熱溶融させる方法としては、他には、電磁溶融、臨界/亜臨界溶融、加圧溶融等の方法が挙げられる。加熱溶融させる方法として、生産効率に見合った方法を適宜選択するのが好ましい。【0041】アルカリ金属珪酸塩の水溶液の製造において、二酸化珪素とアルカリ金属の炭酸塩とを加熱溶融することにより得られる溶融物は、前記一般式(1)で表されるアルカリ金属珪酸塩の溶融物であり、これを水に溶解させることにより、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を得ることができる。また、前記一般式(1)で表されるアルカリ金属塩の溶融物を水に溶解させるときに、SiO2/M2Oモル比を調節することを目的として、前記一般式(1)で表されるアルカリ金属塩の溶融物と共に、アルカリ金属の水酸化物を加えて、水に溶解させてもよい。【0042】アルカリ金属珪酸塩の水溶液の製造において、前記一般式(1)で表されるアルカリ金属珪酸塩の溶融物を水に溶解させる方法としては、特に制限されないが、圧力容器中で、140~170℃、0.4~0.7MPaで、好ましくは140~150℃、0.4~0.5MPaで、前記一般式(1)で表されるアルカリ金属珪酸塩の溶融物を水に溶解させる方法が挙げられる。【0043】そして、本発明の表面改質アルカリ金属珪酸塩の製造方法に係る接触及び乾燥工程では、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液の乾燥と、二酸化硫黄を含有するガスとの接触とを行う。【0044】本発明の表面改質アルカリ金属珪酸塩の製造方法に係る接触及び乾燥工程には、以下の形態がある。(A)前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を、乾燥させると共に二酸化硫黄を含有するガスと接触させることにより、前記一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で覆われている表面改質アルカリ金属珪酸塩を得る形態(以下、接触及び乾燥工程(A)とも記載する。)又は(B)前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を、乾燥させてから、二酸化硫黄を含有するガスと接触させることにより、前記一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で覆われている表面改質アルカリ金属珪酸塩を得る形態(以下、接触及び乾燥工程(B)とも記載する。)【0045】接触及び乾燥工程では、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液又はその乾燥物を、二酸化硫黄を含有するガスと接触させる。二酸化硫黄を含有するガスは、二酸化硫黄が他のガスで希釈されている二酸化硫黄の希釈ガスであっても、他のガスで希釈されていない二酸化硫黄ガスであってもよい。また、二酸化硫黄を含有するガスは、二酸化硫黄以外のガス、例えば、一酸化硫黄、三酸化硫黄等のガス状の硫黄酸化物や、硫化水素等の硫黄を含有するガス状の無機硫黄化合物や、スルホン酸を有する有機化合物等のガス状の硫黄を含有する有機硫黄化合物などのガス状の硫黄化合物を含有していてもよいし、あるいは、一酸化炭素、二酸化炭素、酸素、窒素等のガス状の硫黄化合物以外のガス状の物質を含有していてもよい。また、重油等の硫黄を含有する燃料の燃焼ガスは、燃焼条件によっては、二酸化硫黄ガスを含有しているので、その場合、接触及び乾燥工程に係る二酸化硫黄を含有するガスとして、硫黄を含有する燃料の燃焼ガスを用いることができる。硫黄を含有する燃料の燃焼ガスを燃焼させた場合、燃焼ガス中に、二酸化硫黄とともに、三酸化硫黄も含まれるのが通常であるが、燃焼ガス中の二酸化硫黄ガスの濃度に比べて、三酸化硫黄ガスの濃度が高過ぎると、アルカリ金属珪酸塩の表面が、SO32-で改質され難くなるので、燃焼ガス中の二酸化硫黄の濃度に対する三酸化硫黄の濃度は低ければ低いほど好ましい。【0046】接触及び乾燥工程(A)では、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液の乾燥と硫黄化合物との接触とを、ガス雰囲気中(気相中)で行う。【0047】接触及び乾燥工程(A)は、乾燥温度100~300℃で、二酸化硫黄を含有するガス雰囲気中に、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を噴霧して乾燥させる方法である。接触及び乾燥工程(A)において、乾燥温度は、100~300℃、好ましくは170~255℃である。また、接触及び乾燥工程(A)において、雰囲気は、二酸化硫黄を含有するガス雰囲気であり、二酸化硫黄を含有する空気、酸素ガス等のガス雰囲気である。【0048】接触及び乾燥工程(A)では、噴霧された前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液の液滴が、二酸化硫黄と接触して、液滴の表面が硫黄酸化物で覆われ、次いで、乾燥されることにより、前記一般式(1)で表されるアルカリ金属珪酸塩の固体粒子が生じると共に、二酸化硫黄が酸化され、更に前記一般式(1)で表されるアルカリ金属珪酸塩の粒子の表面で反応して、SO32-塩又はSO42-塩等の硫黄酸化物塩となるか、あるいは、噴霧された前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液の液滴が、乾燥されて、一般式(1)で表されるアルカリ金属珪酸塩の固体粒子が生成し、次いで、その粒子が二酸化硫黄と接触して、粒子表面が硫黄酸化物で覆われ、その二酸化硫黄が酸化されて、更に前記一般式(1)で表されるアルカリ金属珪酸塩の粒子の表面で反応して、SO32-塩又はSO42-塩等の硫黄酸化物塩となることによって、粉末状の固体粒子であり、一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で改質されている表面改質アルカリ珪酸塩が得られる。【0049】接触及び乾燥工程(A)において、噴霧方法としては、例えば、ローター式、噴霧式等が挙げられる。例えば、100~300℃に加熱されている乾燥容器内に、二酸化硫黄を含有するガスを供給しつつ、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を導入して、ローターの遠心力により水溶液を霧状にして乾燥させる方法(ローター式)や、噴霧ノズルにより水溶液を霧状にして乾燥させる方法(噴霧式)が挙げられる。具体的には、スプレードライヤー等を使用することができる。【0050】スプレードライヤーを用いて、接触及び乾燥工程(A)を行う場合、スプレードライヤー内の温度、すなわち、乾燥温度は、100~300℃、好ましくは170~255℃であり、また、スプレードライヤー内の雰囲気は、二酸化硫黄を含有するガス雰囲気であり、また、二酸化硫黄を含有するガス雰囲気中の二酸化硫黄ガスの濃度は、乾燥により生成する前記一般式(1)で表されるアルカリ金属珪酸塩の粒子の表面に残存するアルカリ金属イオン量により、適宜選択されるが、好ましくは10~100ppm、特に好ましくは25~35ppmである。【0051】接触及び乾燥工程(B)では、先ず、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を乾燥させ、次いで、得られる固体の前記一般式(1)で表されるアルカリ金属珪酸塩を、ガス雰囲気中(気相中)で二酸化硫黄を含有するガスと接触させる。【0052】接触及び乾燥工程(B)は、乾燥温度100~300℃で、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を噴霧して乾燥させて、粉末状の前記一般式(1)で表されるアルカリ金属珪酸塩の固体粒子を得、次いで、粉末状の前記一般式(1)で表されるアルカリ金属珪酸塩の固体粒子を、二酸化硫黄を含有するガスと接触させる方法である。接触及び乾燥工程(B)において、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を乾燥させる際の乾燥温度は、100~300℃、好ましくは170~255℃であり、雰囲気は、特に制限されず、空気、酸素ガス、不活性ガス等が挙げられる。また、接触及び乾燥工程(B)では、乾燥により得られる粉末状の前記一般式(1)で表されるアルカリ金属珪酸塩の固体粒子に、二酸化硫黄を含有する空気、酸素ガス等のガスを接触させる。【0053】接触及び乾燥工程(B)では、噴霧された前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液の液滴が、乾燥されて、一般式(1)で表されるアルカリ金属珪酸塩の固体粒子となり、次いで、その粒子が二酸化硫黄を含有するガスと接触して、粒子表面が硫黄酸化物で覆われ、その二酸化硫黄が酸化されて、更に一般式(1)で表されるアルカリ金属珪酸塩の固体粒子の表面で反応して、SO32-塩又はSO42-塩等の硫黄酸化物塩が生じることによって、粉末状の固体粒子であり、一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で改質されている表面改質アルカリ金属珪酸塩が得られる。【0054】接触及び乾燥工程(B)において、噴霧方法としては、例えば、ローター式、噴霧式等が挙げられる。例えば、100~300℃に加熱されている乾燥容器内に、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液を導入して、ローターの遠心力により水溶液を霧状にして乾燥させる方法(ローター式)や、噴霧ノズルにより水溶液を霧状にして乾燥させる方法(噴霧式)で、粉末状の前記一般式(1)で表されるアルカリ金属珪酸塩の固体粒子を得、次いで、粉末状の前記一般式(1)で表されるアルカリ金属珪酸塩の固体粒子を、100~300℃に加熱した反応炉内に置き、反応炉内に二酸化硫黄を含有する空気、酸素ガス等のガスを供給することにより、粉末状の前記一般式(1)で表されるアルカリ金属珪酸塩の固体粒子に、硫黄酸化物ガスを接触させる方法が挙げられる。【0055】接触及び乾燥工程(B)において、スプレードライヤーを用いて、前記一般式(1)で表されるアルカリ金属珪酸塩の水溶液の乾燥を行う場合、スプレードライヤー内の温度、すなわち、乾燥温度は、100~300℃、好ましくは170~255℃である。また、接触及び乾燥工程(B)において、粉末状の前記一般式(1)で表されるアルカリ金属珪酸塩の固体粒子に、二酸化硫黄を含有するガスを接触させる場合、反応容器には、二酸化硫黄を含有する空気、酸素ガス等のガスを供給し、その二酸化硫黄を含有するガス中の二酸化硫黄ガスの濃度は、乾燥により生成する前記一般式(1)で表されるアルカリ金属珪酸塩の固体粒子の表面に残存するアルカリ金属イオン量により、適宜選択されるが、好ましくは10~100ppm、特に好ましくは25~35ppmである。【0056】このようにして、本発明の表面改質アルカリ金属珪酸塩の製造方法では、接触及び乾燥工程を行うことにより、前記一般式(1)で表されるアルカリ金属珪酸塩の表面が硫黄酸化物塩で覆われている表面改質アルカリ金属珪酸塩を得ることができる。【0057】本発明の表面改質アルカリ金属珪酸塩の製造方法を行うことにより得られる表面改質アルカリ金属珪酸塩において、表面を改質している硫黄酸化物塩は、SO32-塩、SO42-塩等の硫黄酸化物塩である。【0058】本発明の表面改質アルカリ金属珪酸塩の製造方法では、接触及び乾燥工程において、噴霧乾燥法により、例えば、スプレードライヤーを用いて乾燥を行うことが、粉末状の表面改質アルカリ金属珪酸塩の固体粒子を得ることができる点で、好ましい。【0059】本発明の表面改質アルカリ金属珪酸塩の製造方法を行うことにより得られる表面改質アルカリ金属珪酸塩は、表面が硫黄酸化物塩で改質されているので、耐湿性が高く且つ強度が高いため、アルカリ金属珪酸塩の内部水分の飛沫を防ぐことができることから、ブロッキングを防止すること、溶媒に速やかに溶解すること等、作業性の良いアルカリ金属珪酸塩を得ることができる。【実施例】【0060】以下、本発明を実施例により詳細に説明するが、本発明はこれらの実施例に限定されるものではない。【0061】(実施例1)<珪酸ナトリウムの水溶液の製造>2100kgの珪砂(SiO2が約98質量%)と、1100kgの炭酸ナトリウムとを混合し、次いで、得られた混合物を、溶解炉にて1100℃で、36時間加熱溶融して、2500kgの溶融物を得た。このとき、液化天然ガスを燃焼させて、加熱溶融を行った。また、使用した液化天然ガスの燃焼ガス中の硫黄酸化物及び窒素酸化物の濃度を、表1に示す。得られた溶融物は、Na2O・3.4SiO2・1.1H2Oであり、SiO2/Na2Oのモル比は、3.4であった。次いで、得られた溶融物を、水8000kg及び48%NaOHの水酸化ナトリウム水溶液225kg(150リットル、比重=1.50)と共に、圧力容器に投入し、密閉後、150℃、0.5MPaで、加熱溶解して、珪酸ナトリウム水溶液を得た。得られた水溶液中のSiO2/Na2Oのモル比は、3.2であった。<接触及び乾燥>次いで、スプレードライヤー(大川原化工機社製、ODT78型スプレードライヤー)に、得られた珪酸ナトリウム水溶液及びSO2ガスを供給して、粉末状の表面改質珪酸ナトリウム粒子500kgを得た。このときの珪酸ナトリウム水溶液の供給速度を、1m3/時間とし、スプレードライヤー内のSO2濃度が、表2に示す濃度となるように、SO2ガスの供給速度を0.3m3/時間とし、スプレードライの諸条件を、表2に示す通りとした。得られた粉末状の表面改質珪酸ナトリウム粒子の特性を、表3に示す。【0062】(比較例1)<珪酸ナトリウムの水溶液の製造>実施例1と同様に行い、珪酸ナトリウム水溶液を得た。<乾燥>次いで、スプレードライヤー(大川原化工機社製、ODT78型スプレードライヤー)に、得られた珪酸ナトリウム水溶液を供給して、粉末状の珪酸ナトリウム粒子500kgを得た。このときの珪酸ナトリウム水溶液の供給速度を、1m3/時間とし、スプレードライの諸条件を、表2に示す通りとした。なお、スプレードライヤー内には、SO2を供給しなかった。得られた粉末状の珪酸ナトリウム粒子の特性を、表3に示す。【0063】(比較例2)<珪酸ナトリウム水溶液の製造>実施例1と同様に行い、珪酸ナトリウム水溶液を得た。<接触>この珪酸ナトリウム水溶液にSO2ガスを0.3m3/時間の速度で供給した。<乾燥>次いで、スプレードライヤー(大川原化工機社製、ODT78型スプレードライヤー)に、SO2ガスと接触させた後の珪酸ナトリウム水溶液を供給して、粉末状の珪酸ナトリウム粒子500kgを得た。このときの珪酸ナトリウム水溶液の供給速度を、1m3/時間とし、スプレードライの諸条件を、比較例1と同様にした。なお、スプレードライヤー内には、SO2を供給しなかった。得られた粉末状の珪酸ナトリウム粒子の特性を、表3に示す。【0064】<分析方法>(1)平均粒径レーザー回折/散乱式粒度分布測定装置(堀場製作所製型番LA920)を用いて測定した。(2)硫黄成分含有量X線光電子分光装置(PHI社製PHI5700ESCASystem)を用いて測定した。(3)流動性円筒回転法安息角測定器(筒井理化学機械(株)社製)を用いて測定した。(4)固結試料を静置し、1月後及び6月後の状態を目視により確認した。【0065】【表1】【0066】【表2】【0067】【表3】【0068】表3の結果からも明らかなように、実施例1で得られた珪酸ナトリウム粒子は、流動性が高いことから粒子形状が保たれており、強度に優れていることがわかる。また、実施例1で得られた珪酸ナトリウムは、生産後6月を経過しても固結していないことから、耐湿性に優れていることがわかる。
A
2012140305
2011000128
20110104
null
null
20120726
2012140305
20120726
null
null
5766442
20150626
null
null
null
サファイア単結晶製造用αアルミナ焼結体
000002093
住友化学株式会社
100104318
深井 敏和
尾崎 裕謙,藤原 進治
null
8
C04B 35/10 (20060101), C01F 7/02 (20060101), C30B 29/20 (20060101)
C04B 35/10 Z ,C01F 7/02 A ,C01F 7/02 G ,C30B 29/20
9
null
null
null
0
16
4G030,4G076,4G077
4G030 AA36 ,4G030 CA01 ,4G030 CA07 ,4G030 GA01 ,4G030 GA10 ,4G030 GA11 ,4G030 GA22 ,4G030 GA23 ,4G030 GA27,4G076 AA02 ,4G076 AB06 ,4G076 AB13 ,4G076 AC02 ,4G076 AC04 ,4G076 BA42 ,4G076 CA02 ,4G076 CA11 ,4G076 CA26 ,4G076 CA27 ,4G076 CA28 ,4G076 CA36 ,4G076 DA30 ,4G076 FA02 ,4G076 FA04,4G077 AA02 ,4G077 BB01 ,4G077 CF10 ,4G077 EC05 ,4G077 EC10
【課題】高い生産効率でサファイア単結晶を製造することができるサファイア単結晶製造用αアルミナ焼結体およびその製造方法を提供する。【解決手段】相対密度が60%以上であり、閉気孔率が10%以下であり、純度が99.99質量%以上であり、Si、Na、Ca、Fe、CuおよびMgの含有量がそれぞれ10ppm以下であり、体積が1cm3以上であるサファイア単結晶製造用αアルミナ焼結体の製造方法であって、αアルミナ100重量部とαアルミナ前駆物質1重量部以上20重量部以下を混合して混合物を得、得られた混合物を成形し、焼成して得られる。【選択図】なし
【請求項1】相対密度が60%以上であり、閉気孔率が10%以下であり、純度が99.99質量%以上であり、Si、Na、Ca、Fe、CuおよびMgの含有量がそれぞれ10ppm以下であり、体積が1cm3以上であることを特徴とするサファイア単結晶製造用αアルミナ焼結体。【請求項2】形状が円盤、円柱、角柱、および多角板のいずれかである請求項1に記載のαアルミナ焼結体。【請求項3】(i)~(iv)のいずれかである請求項2に記載のαアルミナ焼結体。(i)形状が円盤であり、断面の直径が5mm以上500mm以下、厚さが5mm以上500mm未満であり、直径を1としたとき、厚さが0.01以上1未満である。(ii)形状が円柱であり、断面の直径が5mm以上500mm以下、高さが5mm以上2000mm以下であり、直径を1としたとき、高さが1以上100以下である。(iii)形状が角柱であり、断面の円相当直径が5mm以上500mm以下、高さが5mm以上2000mm以下であり、円相当直径を1としたとき、高さが1以上100以下である。(iv)形状が多角板であり、断面の円相当直径が5mm以上500mm以下、厚さが5mm以上500mm未満であり、円相当直径を1としたとき、厚さが0.01以上1未満である。【請求項4】工程(a)、(b)及び(c)を有するサファイア単結晶製造用αアルミナ焼結体の製造方法。工程(a):αアルミナ100重量部とαアルミナ前駆物質1重量部以上20重量部以下を混合して混合物を得る工程。工程(b):前記混合物を成形して成形体を得る工程。工程(c):前記成形体を焼成してαアルミナ焼結体を得る工程。【請求項5】前記αアルミナは、比表面積が1m2/g以上20m2/g以下、水分量が0.5%未満、純度が99.99質量%以上であり、Si、Na、Ca、Fe、CuおよびMgの含有量がそれぞれ10ppm以下である請求項4に記載の方法。【請求項6】前記αアルミナ前駆物質は、比表面積が50m2/g以上、水分量が0.5%以上、純度が99.99質量%以上であり、Si、Na、Ca、Fe、CuおよびMgの含有量がそれぞれ10ppm以下である請求項4または5に記載の方法。【請求項7】前記αアルミナ前駆物質が水酸化アルミニウムおよび遷移アルミナからなる群より選ばれる少なくとも1つである請求項4~6のいずれかに記載の方法。【請求項8】成形は、圧力が20MPa以上400MPa以下、成形方法が、プレス成形、および冷間静水圧成形のいずれかの条件下で行われる請求項4~7のいずれかに記載の方法。【請求項9】焼成は、温度が1200℃以上1700℃以下、保持時間が0.5時間以上24時間以内、昇温速度が30℃/時間以上500℃/時間以下の条件下で行われる請求項4~8のいずれかに記載の方法。
【請求項1】相対密度が60%以上であり、閉気孔率が10%以下であり、純度が99.99質量%以上であり、Si、Na、Ca、Fe、CuおよびMgの含有量がそれぞれ10ppm以下であり、体積が1cm3以上であることを特徴とするサファイア単結晶製造用αアルミナ焼結体。【請求項4】工程(a)、(b)及び(c)を有するサファイア単結晶製造用αアルミナ焼結体の製造方法。工程(a):αアルミナ100重量部とαアルミナ前駆物質1重量部以上20重量部以下を混合して混合物を得る工程。工程(b):前記混合物を成形して成形体を得る工程。工程(c):前記成形体を焼成してαアルミナ焼結体を得る工程。
【技術分野】【0001】本発明は、サファイア単結晶製造用αアルミナ焼結体に関する。
【背景技術】【0002】αアルミナ粉末はサファイア単結晶を製造するための原料として有用である。例えば、αアルミナ粉末を金属モリブデン製のルツボ内に充填し、加熱溶融させたのち、溶融物を引き上げる方法により、サファイア単結晶を製造することができる〔特許文献1〕。
【発明が解決しようとする課題】【0004】しかし、従来のαアルミナ粉末は、サファイア単結晶の生産効率が十分でなかった。したがって、本発明の課題は、高い生産効率でサファイア単結晶を製造することができるサファイア単結晶製造用αアルミナ焼結体およびその製造方法を提供することにある。
【課題を解決するための手段】【0005】本発明者らは、サファイア単結晶の製造に適したαアルミナ原料を開発すべく検討した結果、本発明を完成するに至った。【0006】すなわち本発明は、以下の構成からなる。(1)相対密度が60%以上であり、閉気孔率が10%以下であり、純度が99.99質量%以上であり、Si、Na、Ca、Fe、CuおよびMgの含有量がそれぞれ10ppm以下であり、体積が1cm3以上であることを特徴とするサファイア単結晶製造用αアルミナ焼結体。(2)形状が円盤、円柱、角柱、および多角板のいずれかである前記(1)に記載のαアルミナ焼結体。(3)(i)~(iv)のいずれかである前記(2)に記載のαアルミナ焼結体。(i):形状が円盤であり、断面の直径が5mm以上500mm以下、厚さが5mm以上500mm未満であり、直径を1としたとき、厚さが0.01以上1未満である。(ii):形状が円柱であり、断面の直径が5mm以上500mm以下、高さが5mm以上2000mm以下であり、直径を1としたとき、高さが1以上100以下である。(iii):形状が角柱であり、断面の円相当直径が5mm以上500mm以下、高さが5mm以上2000mm以下であり、円相当直径を1としたとき、高さが1以上100以下である。(iv):形状が多角板であり、断面の円相当直径が5mm以上500mm以下、厚さが5mm以上500mm未満であり、円相当直径を1としたとき、厚さが0.01以上1未満である。(4)工程(a)、(b)及び(c)を有するサファイア単結晶製造用αアルミナ焼結体の製造方法。工程(a)αアルミナ100重量部とαアルミナ前駆物質1重量部以上20重量部以下を混合して混合物を得る工程。工程(b)前記混合物を成形して成形体を得る工程。工程(c)前記成形体を焼成してαアルミナ焼結体を得る工程。(5)前記αアルミナは、比表面積が1m2/g以上20m2/g以下、水分量が0.5%未満、純度が99.99質量%以上であり、Si、Na、Ca、Fe、CuおよびMgの含有量がそれぞれ10ppm以下である前記(4)に記載の方法。(6)前記αアルミナ前駆物質は、比表面積が50m2/g以上、水分量が0.5%以上、純度が99.99質量%以上であり、Si、Na、Ca、Fe、CuおよびMgの含有量がそれぞれ10ppm以下である前記(4)または(5)に記載の方法。(7)前記αアルミナ前駆物質が水酸化アルミニウムおよび遷移アルミナからなる群より選ばれる少なくとも1つである前記(4)~(6)のいずれかに記載の方法。(8)成形は、圧力が20MPa以上400MPa以下、成形方法が、プレス成形、および冷間静水圧成形のいずれかの条件下で行われる前記(4)~(7)のいずれかに記載の方法。(9)焼成は、温度が1200℃以上1700℃以下、保持時間が0.5時間以上24時間以内、昇温速度が30℃/時間以上500℃/時間以下の条件下で行われる前記(4)~(8)のいずれかに記載の方法。
【発明の効果】【0007】本発明のサファイア単結晶製造用αアルミナ焼結体は、ルツボ内で加熱溶融され、引き上げる方法により、着色やクラックなどの少ない良質なサファイア単結晶を容易に得ることができる。さらに、サファイア単結晶製造用αアルミナ焼結体を、例えば円盤状にして複数個を積層したり、柱状にして複数本を束ねたりして、ルツボ内に仕込むことにより、高い容積効率でサファイア単結晶を製造することができる。本発明における成形体は、機械的強度が高く、サファイア単結晶製造用αアルミナ焼結体の製造原料に好適に使用される。
【発明を実施するための形態】【0009】[サファイア単結晶製造用αアルミナ焼結体]本発明のサファイア単結晶製造用αアルミナ焼結体(以下、単にαアルミナ焼結体という場合がある)は、所定の相対密度、閉気孔率、純度、さらにSi、Na、Ca、Fe、CuおよびMgの含有量、並びに体積を有する。【0010】αアルミナ焼結体は、相対密度が60%以上であり、好ましくは65%以上95%以下であるのがよい。相対密度が上記範囲内であると、サファイア単結晶の製造において、高い容積効率を示す。相対密度とは、焼結体の密度をαアルミナ理論焼結密度で除し、100を掛けた値である。【0011】αアルミナ焼結体は、閉気孔率が10%以下であり、好ましくは8%以下、より好ましくは0%以上4%以下である。閉気孔率が上記範囲内であると、サファイア単結晶の製造過程で閉気孔などに取り込まれる水分が少なく、αアルミナ焼結体を加熱溶融させたときに、これらの水分によりルツボが酸化させるおそれがなく、さらにサファイア単結晶に形成されるボイドの数も少なくなる。閉気孔率とは、αアルミナ焼結体自身の体積と閉気孔体積と開気孔体積との合計体積のうち、閉気孔体積の占める割合である。【0012】αアルミナ焼結体は、純度が99.99質量%以上である。純度が上記範囲内であると着色やクラック、泡などの少ない良質なサファイア単結晶が得られる。【0013】αアルミナ焼結体は、Si、Na、Ca、Fe、CuおよびMgの含有量がそれぞれ10ppm以下であり、好ましくは、Siは8ppm以下、Naは5ppm以下、Caは1ppm以下、Feは8ppm以下、Cuは1ppm以下、およびMgは1ppm以下の含有量であるのがよく、理想的にはこれらの元素は含有しないのが望ましい。それぞれの含有量が上記範囲内であると、着色やクラック、泡などの少ない良質なサファイア単結晶が得られる。【0014】αアルミナ焼結体は、体積が1cm3以上であり、好ましくは5cm3以上である。αアルミナ焼結体の体積の上限は、サファイア単結晶製造用のルツボに制限され、通常、体積の上限は200000cm3以下である。【0015】αアルミナ焼結体は、通常、形状が円盤、円柱、角柱、および多角板のいずれかであるのが好ましい。αアルミナ焼結体を上記いずれかの形状とすれば、複数個のαアルミナ焼結体を積層したり、束ねたりして、ルツボ内に仕込むことにより、高い容積効率かつ高い伝熱効率でサファイア単結晶を製造することができる。【0016】図1(a)は、本発明の一実施形態に係る円盤形状のサファイア単結晶製造用αアルミナ焼結体1を示す斜視図であり、図1(b)は、αアルミナ焼結体1を複数分割したサファイア単結晶製造用αアルミナ焼結体2を示す斜視図である。図1(a)に示すように、形状が円盤であるαアルミナ焼結体1の大きさは、断面の直径が5mm以上500mm以下、厚さが5mm以上500mm未満であり、直径を1としたとき、厚さが0.01以上1未満であるのがよい。このような条件を満たすαアルミナ焼結体1としては、例えば、表1に示すαアルミナ焼結体1a~1hなどが挙げられる。【0017】【表1】【0018】また、図1(b)に示すように、αアルミナ焼結体1を複数分割してαアルミナ焼結体2としてもよい。このように分割することで、αアルミナ焼結体をルツボへ仕込む際の取り扱いが容易となる。【0019】図1(c)は、本発明の他の実施形態に係る円柱形状のサファイア単結晶製造用αアルミナ焼結体3を示す斜視図である。図1(c)に示すように、形状が円柱であるαアルミナ焼結体3の大きさは、断面の直径が5mm以上500mm以下、高さが5mm以上2000mm以下であり、直径を1としたとき、高さが1以上100以下であるのがよい。このような条件を満たすαアルミナ焼結体3としては、例えば、表2に示すαアルミナ焼結体3a~3hなどが挙げられる。【0020】【表2】【0021】図1(d)は、本発明のさらに他の実施形態に係る角柱形状のサファイア単結晶製造用αアルミナ焼結体4を示す斜視図である。図1(d)に示すように、形状が角柱であるαアルミナ焼結体4の大きさは、断面の円相当直径が5mm以上500mm以下、高さが5mm以上2000mm以下であり、円相当直径を1としたとき、高さが1以上100以下であるのがよい。このような条件を満たすαアルミナ焼結体4としては、例えば、表3に示すαアルミナ焼結体4a~4hなどが挙げられる。ここで、断面の円相当直径とは、断面積と同一面積を有する円の直径である(以下、同じ)。【0022】【表3】【0023】図1(e)は、本発明のさらに他の実施形態に係る多角板形状のサファイア単結晶製造用αアルミナ焼結体5を示す斜視図である。図1(e)に示すように、形状が多角板であるαアルミナ焼結体5の大きさは、断面の円相当直径が5mm以上500mm以下、厚さが5mm以上500mm未満であり、円相当直径を1としたとき、厚さが0.01以上1未満であるのがよい。このような条件を満たすαアルミナ焼結体5としては、例えば、表4に示すαアルミナ焼結体5a~5hなどが挙げられる。【0024】【表4】【0025】サファイア単結晶製造用αアルミナ焼結体を加熱溶融したのち冷却することにより、容易に単結晶化させたサファイア単結晶を製造することができる。また、サファイア単結晶製造用αアルミナ焼結体をサファイア単結晶製造用アルミナ原料として用いることで、着色やクラックなどの少ない良質なサファイア単結晶が得られる。さらに、サファイア単結晶製造用αアルミナ焼結体は、例えば円盤状にして複数個積層したり、棒状にして複数本束ねたりして、ルツボ内に仕込むことにより、高い容積効率かつ高い伝熱効率でサファイア単結晶を製造することができる。【0026】サファイア単結晶製造用αアルミナ焼結体は、例えばEFG法、チョクラルスキー法、カイロポーラス法などのサファイア単結晶成長方法の原料として使用することができ、好ましくは高い容積効率でルツボ内に充填する必要があるチョクラルスキー法、カイロポーラス法などに用いられる。【0027】[サファイア単結晶製造用αアルミナ焼結体の製造方法]本発明のαアルミナ焼結体は、例えば、工程(a)、(b)及び(c)を有する方法により製造できる。工程(a)αアルミナ(以下、αアルミナ粉末という場合がある)とαアルミナ前駆物質を所定割合で混合して混合物を得る。工程(b)得られた混合物を成形して成形体を得る。工程(c)得られた成形体を焼成してαアルミナ焼結体を得る。αアルミナ粉末とαアルミナ前駆物質を後述する割合で混合することで、焼成炉への導入時および焼成炉内での焼成時に破損することのない充分な機械的強度を付与することができる。【0028】(αアルミナ粉末)αアルミナ粉末としては、例えば純度99.99重量%以上の高純度であり、水分量が0.5%未満であり、BET比表面積が好ましくは1m2/g以上20m2/g以下、さらに好ましくは1m2/g以上10m2/g以下であり、平均粒子径が好ましくは0.1μm以上5.0μm以下、さらに好ましくは0.1μm以上1.0μm以下であり、Si、Na、Ca、Fe、CuおよびMgの含有量が好ましくはそれぞれ10ppm以下、さらに好ましくはSiは8ppm以下、Naは5ppm以下、Caは1ppm以下、Feは8ppm以下、Cuは1ppm以下、およびMgは1ppm以下の含有量であるのがよく、理想的にはこれらの元素は含有しないのが望ましい。純度が上記範囲内であると、本願発明で規定する純度のサファイア単結晶製造用αアルミナ焼結体が得られやすい。水分量が上記範囲外であると、前記した相対密度のαアルミナ焼結体が得られにくくなる。BET比表面積が上記範囲外であると、前記した相対密度のαアルミナ焼結体が得られにくくなる。平均粒子径が0.1μm未満では、得られるサファイア単結晶製造用αアルミナ焼結体の相対密度の更なる向上がなく、αアルミナ粉末の調製(粉砕工程など)にエネルギーを要する。また平均粒子径が5.0μmを越えると、本願発明で規定する相対密度のサファイア単結晶製造用αアルミナ焼結体が得られにくい。Si、Na、Ca、Fe、CuおよびMgの含有量が上記範囲内であると、前記含有量であるαアルミナ焼結体が得られる。なお、純度、水分量、BET比表面積および平均粒子径は、例えば、実施例に記載の評価方法によって測定することができる。【0029】αアルミナ粉末の製造方法としては、例えば、アルミニウムアルコキシド法で製造された水酸化アルミニウムを焼成する方法;有機アルミニウムを使って、合成する方法;その原料に遷移アルミナまたは熱処理により遷移アルミナとなるアルミナ粉末を、塩化水素を含有する雰囲気ガス中にて焼成する方法;特開2010-150090号公報、特開2008-100903号公報、特開2002-047009号公報、特開2001-354413号公報などに記載の方法などが挙げられる。【0030】アルミニウムアルコキシド法としては、例えば、アルミニウムアルコキシドを加水分解してスラリー状、ゾル状、ゲル状の水酸化アルミニウムを得、それを乾燥させることにより乾燥粉末状の水酸化アルミニウムを得る方法などが挙げられる。【0031】アルミニウムアルコキシド法により得られた乾燥粉末状の水酸化アルミニウムを焼成することにより、目的のαアルミナ粉末を得ることができる。水酸化アルミニウムの焼成は通常、焼成容器に充填して行われる。焼成容器としては、例えば鞘などが挙げられる。また焼成容器の材質は、得られるαアルミナ粉末の汚染防止の観点からアルミナであることが好ましく、特に高純度のαアルミナであるのがよい。【0032】水酸化アルミニウムの焼成に用いる焼成炉としては、例えば、トンネルキルン、回分式通気流型箱型焼成炉、回分式並行流型箱型焼成炉などに代表される材料静置型焼成炉;ロータリーキルンなどが挙げられる。【0033】水酸化アルミニウムの焼成温度、焼成温度までの昇温速度及び焼成時間は、上述のように目的とする物性を有するαアルミナとなるように適宜選定する。水酸化アルミニウムの焼成温度は1100℃以上1450℃以下、好ましくは1200℃以上1350℃以下、この焼成温度まで昇温するときの昇温速度は、通常30℃/時間以上500℃/時間以下、水酸化アルミニウムの焼成時間は、通常0.5時間以上24時間以内、好ましくは1時間以上10時間以内である。【0034】水酸化アルミニウムの焼成は、例えば大気雰囲気中の他、窒素ガス、アルゴンガスなどの不活性ガス雰囲気中で焼成してもよく、プロパンガスなどの燃焼によって焼成するガス炉のように、水蒸気分圧が高い雰囲気中で焼成しても良い。【0035】得られたαアルミナ粉末は、場合によっては平均粒子径が10μmを超えた状態で、凝集しているため、その場合は粉砕することが好ましい。αアルミナ粉末の粉砕方法としては、例えば振動ミル、ボールミル、ジェットミルなどの公知の装置を用いて行うことができ、乾式状態で粉砕する方法、及び、湿式状態で粉砕する方法のいずれも採用することが出来るが、純度を維持しながら、粗大な凝集粒子を含まず、前述のαアルミナ粉末の物性を達成するためには、純度を維持しながら粉砕する方法としては、ジェットミルによる粉砕が好ましい方法として挙げられる。なお、αアルミナ粉末の平均粒子径は、例えば、実施例に記載の評価方法によって測定することができる。【0036】粉砕装置は、得られるαアルミナ粉末の汚染が少ない点で、αアルミナと接する面が高純度のαアルミナの材質で構成されているか、あるいは樹脂ライニングされていることが好ましい。媒体撹拌ミルなどを用いて粉砕する場合は、これに用いられる粉砕媒体も、高純度のαアルミナの材質で構成されていることが好ましい。【0037】(αアルミナ前駆物質)αアルミナ前駆物質は、焼成することによりαアルミナに転移し得る化合物であり、例えば水酸化アルミニウム、遷移アルミナなどの粉末が挙げられ、水酸化アルミニウムおよび遷移アルミナからなる群より選ばれる少なくとも1つであるのが好ましい。水酸化アルミニウムとしては、例えばギブサイト型、ベーマイト型、擬ベーマイト型、バイヤライト型、ノルストランダイド型、ダイアスポア型などのような結晶質の水酸化アルミニウムのほか、非晶質の水酸化アルミニウムなどが挙げられる。遷移アルミナとしては、例えば結晶相がγ相、χ相、θ相、ρ相、κ相などであるγアルミナ、χアルミナ、θアルミナ、ρアルミナ、κアルミナなどが挙げられる。【0038】以下、αアルミナ前駆物質として、γアルミナ粉末を使用した場合を例として説明する。γアルミナ粉末としては、例えば純度99.99重量%以上の高純度であり、水分量が0.5%以上、好ましくは1%以上4%以下であり、BET比表面積が50m2/g以上、好ましくは100m2/g以上250m2/g以下であり、平均粒子径が好ましくは0.1μm以上10.0μm以下、さらに好ましくは0.1μm以上5.0μm以下であり、Si、Na、Ca、Fe、CuおよびMgの含有量がそれぞれ10ppm以下、好ましくはSiは8ppm以下、Naは5ppm以下、Caは1ppm以下、Feは8ppm以下、Cuは1ppm以下、およびMgは1ppm以下の含有量であるのがよく、理想的にはこれらの元素は含有しないのが望ましい。純度が上記範囲内であると、本願発明で規定する純度のサファイア単結晶製造用αアルミナ焼結体が得られやすい。水分量が上記範囲外であると、前記した相対密度のαアルミナ焼結体が得られにくくなる。BET比表面積が上記範囲外であると、前記した相対密度のαアルミナ焼結体が得られにくくなる。平均粒子径が0.1μm未満であると、工業的にγアルミナ粉末の製造が困難であり、また平均粒子径が10.0μmを越えると、本願発明で規定する相対密度のサファイア単結晶製造用αアルミナ焼結体が得られにくい。Si、Na、Ca、Fe、CuおよびMgの含有量が上記範囲内であると、前記含有量であるαアルミナ焼結体が得られる。なお、純度、水分量、BET比表面積、平均粒子径は、上述したαアルミナ粉末の物性の測定方法と同様にして測定することができる。【0039】γアルミナ粉末の製造方法は、例えば、アルミニウムアルコキシド法で製造することが出来、上述のαアルミナ粉末の製造過程で得られる乾燥粉末状の水酸化アルミニウムを焼成することにより、目的のγアルミナ粉末を得ることができる。γアルミナ粉末を製造するための水酸化アルミニウム(以下、水酸化アルミニウム(A)という)の焼成は通常、焼成容器に充填して行われる。焼成容器としては、例えば鞘などが挙げられる。また焼成容器の材質は、得られるγアルミナ粉末の汚染防止の観点からアルミナであることが好ましく、特に高純度のαアルミナであるのがよい。【0040】水酸化アルミニウム(A)の焼成に用いる焼成炉としては、例えば、トンネルキルン、回分式通気流型箱型焼成炉、回分式並行流型箱型焼成炉などに代表される材料静置型焼成炉;ロータリーキルンなども挙げられる。【0041】水酸化アルミニウム(A)の焼成温度、焼成温度までの昇温速度及び焼成時間は、上述のように目的とする物性を有するγアルミナとなるように適宜選定する。水酸化アルミニウム(A)の焼成温度は、600℃以上1000℃以下、好ましくは700℃以上900℃以下であり、この焼成温度まで昇温するときの昇温速度は、通常30℃/時間以上500℃/時間以下、水酸化アルミニウム(A)の焼成時間は、通常0.5時間以上24時間以内、好ましくは1時間以上10時間以内である。【0042】水酸化アルミニウム(A)の焼成は、例えば大気雰囲気中の他、窒素ガス、アルゴンガスなどの不活性ガス雰囲気中で焼成してもよく、プロパンガスなどの燃焼によって焼成するガス炉のように、水蒸気分圧が高い雰囲気中で焼成しても良い。【0043】得られたγアルミナ粉末は、場合によっては平均粒子径が10μmを超えた状態で、凝集しているため、その場合は粉砕することが好ましい。γアルミナ粉末の粉砕方法としては、特に限定されず、例えば、振動ミル、ボールミル、ジェットミルなどの公知の装置を用いて行うことができ、乾式状態で粉砕する方法、及び、湿式状態で粉砕する方法のいずれも採用することが出来るが、純度を維持しながら、粗大な凝集粒子を含まず、前述のγアルミナ粉末の物性を達成するためには、純度を維持しながら粉砕する方法としては、ジェットミルによる粉砕が好ましい方法として挙げられる。【0044】粉砕装置は、得られるγアルミナ粉末の汚染が少ない点で、γアルミナと接する面が高純度のαアルミナの材質で構成されているか、あるいは樹脂ライニングされていることが好ましい。媒体撹拌ミルなどを用いて粉砕する場合、これに用いられる粉砕媒体も、高純度のαアルミナの材質で構成されていることが好ましい。【0045】αアルミナ前駆物質として、γアルミナ粉末以外の遷移アルミナ(例えば、δアルミナ、θアルミナ)を用いる場合も、焼成温度、焼成温度までの昇温速度、焼成時間及び焼成雰囲気を適宜調節することにより遷移アルミナを調製できる。【0046】(混合物)αアルミナ粉末100重量部と、γアルミナ粉末1重量部以上20重量部以下、好ましくは1重量部以上10重量部以下、より好ましくは1重量部以上5重量部以下とを混合する。γアルミナ粉末の量が1重量部未満では、混合物を成形した後の成形体の強度が不十分になり、接触や衝撃などで容易に成形体の形状が崩れてしまい、本願発明で規定する体積のαアルミナ焼結体が得られないことがある。また、γアルミナ粉末の量が20重量部を超えると、本願発明で規定する相対密度のαアルミナ焼結体が得られないことがある。【0047】αアルミナ粉末とγアルミナ粉末を混合する方法としては、例えば、水などの溶媒を加えることなく乾燥状態で混合する乾式混合する方法であってもよいし、水などの溶媒を加えて湿潤状態で混合する湿式混合する方法であってもよい。乾式混合により混合するには、例えば、ドラムミキサー、V型混合機、振動撹拌機、遊星ミル、ボールミルなどを用いた方法を採用することができる。湿式混合により混合するには、例えば、ボールミルや混合ミキサー、超音波を照射する方法などを採用できるが、不純物の汚染が少ない点で超音波を照射する方法が好ましい。湿式混合に使用される溶媒としては通常、水が用いられるが、αアルミナ粉末とγアルミナ粉末の分散性をよくするために、分散剤を添加してもよい。添加する分散剤は、高純度のアルミナを維持する目的から、成形体の焼成により揮発して、不純物としてαアルミナ焼結体中に残存しないよう、例えばポリアクリル酸アンモニウム塩などの高分子系分散剤が好ましい。湿式混合する場合は、通常、得られたスラリーを乾燥させるが、乾燥させる方法としては、例えば、定置乾燥、流動層乾燥などで乾燥させてもよいし、噴霧乾燥などにより顆粒状にして乾燥させてもよい。【0048】噴霧乾燥は、例えばαアルミナ粉末とγアルミナ粉末との混合スラリーをノズルから噴霧して液滴とし、気流中で乾燥させることにより行われ、これにより、液滴として噴霧されたスラリー中の水分が蒸発し、αアルミナとγアルミナの混合物顆粒が得られる。混合物顆粒の粒子径は、通常20μm以上200μm以下程度である。粒子径は、例えばノズルから噴霧される際の液滴径、スラリー中の水分量などによりコントロールすることができる。【0049】(成形体)成形体は、上述のようにして得られた混合物をプレス成形、打錠成形、冷間静水圧成形(CIP)、熱間静水圧成形(HIP)などの加圧成形法などで成形して得られる。成形する際の成形圧は、所定の機械的強度の成形体が容易に得られる点で、通常20MPa以上400MPa以下、好ましくは50MPa以上200MPa以下である。成形圧が20MPa未満では、得られる成形体の機械的強度が低く、焼成炉への導入時や焼成炉内での焼成時に成形体が破損しやすくなるため好ましくない。また、400MPaを超える成形圧は、工業的に達成が困難であり、好ましくない。得られた成形体の形状は、通常、円盤、円柱、多角板、および角柱のいずれかであるが、サファイア単結晶を引上げるのに用いる坩堝に近い形状、大きさに、成形体を切削加工してもよい。【0050】成形に用いられる鋳型は、得られる成形体の汚染が少ない点で、混合物と接する面が高純度のαアルミナ製であるか、ゴム製であるか、あるいは樹脂ライニングされていることが好ましい。【0051】(焼結体)焼結体は、上記のようにして得られた成形体を焼成して得られる。成形体の焼成温度は、本願発明で規定する純度、閉気孔率および相対密度のαアルミナ焼結体が容易に得られる点で、通常1200℃以上1700℃以下、好ましくは1300℃以上1600℃以下である。焼成温度が1700℃を越える場合では、成形体の焼結が過度に進行し本願発明で規定する閉気孔率のαアルミナ焼結体が得られないことがあり、焼成炉からの不純物汚染なども起こり易い。また、焼成温度が1200℃未満では、γアルミナ粉末のα化が不十分であったり、得られるαアルミナ焼結体の強度が不十分で、接触や衝撃などでαアルミナ焼結体の形状が崩れてしまい、本願発明で規定する体積のαアルミナ焼結体を得られないことがある。【0052】成形体の焼成温度までの昇温速度は、例えば、30℃/時間以上500℃/時間以下であるのがよい。昇温速度が30℃/時間未満にしても、得られるαアルミナ焼結体の相対密度は変わらず、焼成に多大なエネルギーを要するだけであり、好ましくない。昇温速度が500℃/時間を越えると、αアルミナ焼結体の割れやクラックなどが発生しやすくなり、前記体積のαアルミナ焼結体が得られにくくなるおそれがある。成形体の焼成時間(焼成温度の保持時間)は、γアルミナが十分にα化するに十分な時間であればよく、αアルミナとγアルミナとの量比、焼成炉の形式、焼成温度、焼成雰囲気などにより異なるが、例えば30分以上24時間以内、好ましくは1時間以上10時間以内である。【0053】成形体の焼成は、大気雰囲気中で行ってもよいし、窒素ガス、アルゴンガスなどの不活性ガス雰囲気中で行ってもよい。また、水蒸気分圧が高い湿潤雰囲気中で行ってもよい。【0054】成形体の焼成は、例えば管状電気炉、箱型電気炉、トンネル炉、遠赤外線炉、マイクロ波加熱炉、シャフト炉、反射炉、ロータリーハース炉、ローラーハース炉などの通常の焼成炉を用いて行うことができる。焼成は回分式で行ってもよいし、連続式で行ってもよい。成形体の焼成は通常、成形体を焼成容器に充填して行われる。焼成容器としては、例えば鞘などが挙げられる。また焼成容器は汚染防止の観点からアルミナ製であることが好ましく、特にαアルミナ製であるのがよい。【実施例】【0055】以下、実施例によって本発明をより詳細に説明するが、本発明はこれらの実施例によって限定されるものではない。【0056】評価方法は下記である。(1)相対密度アルキメデス法で焼結密度を測定し、下式で算出した。相対密度(%)=焼結密度〔g/cm3〕/3.98〔g/cm3;αアルミナ理論焼結密度〕×100(2)閉気孔率閉気孔率は粒子密度と細孔容積(開気孔体積)から、下記の式で算出した。細孔容積は試料を120℃で4時間乾燥後、水銀圧入法により細孔半径1μm以下の範囲の細孔容積として求めた。また、粒子密度は、JISR7222(1997)の真比重測定方法に基づき算出した。閉気孔体積(cm3/g)=(1/粒子密度)-(1/3.98)閉気孔率(%)=〔(閉気孔体積)/{(1/3.98)+細孔容積+閉気孔体積}〕×100(3)体積アルキメデス法で測定したサファイア単結晶製造用αアルミナ焼結体の焼結密度とサファイア単結晶製造用αアルミナ焼結体1個あたりの重量から、下式で算出した。体積(cm3/個)=重量〔g/個〕/焼結密度〔g/cm3〕(4)不純物濃度、純度Si、Na、Mg、Cu、Fe、Caの含有量は、固体発光分光法にて測定した。純度は、サファイア単結晶製造用αアルミナ中に含まれるSiO2,Na2O,MgO,CuO,Fe2O3,CaOの重量の総和(%)を算出し、これを100から差し引いた。算出式は以下である。純度(%)=100(%)-不純物の重量の総和(%)(5)平均粒子径αアルミナ粉末およびγアルミナ粉末の平均粒子径は、レーザー粒度分布測定装置〔日機装(株)製「マイクロトラック」〕を用いてレーザー回折法により、質量基準で累積百分率50%相当粒子径を平均粒子径として測定した。(6)比表面積比表面積は、BET比表面積測定装置〔(株)島津製作所製「2300-PC-1A」〕を用いて窒素吸着法により測定した。(7)水分量αアルミナ粉末に吸着している水分量は、JISH1901-1977に基づき、試料を110℃で乾燥した後、その減量として測定した。(8)成形体相対強度成形体相対強度は、JISR1601の室温曲げ強さ試験方法に従い3点曲げ強さを測定し、「AKP-3000」(住友化学(株)製)の成形体曲げ強さを100として、相対曲げ強さとして算出した。(9)軽装かさ密度試料を容積200cm3、深さと内径との比が6:1であるシリンダーに充填した後、その試料重量を測定容器の容積で除して、軽装かさ密度を算出した。【0057】(実施例1)[αアルミナ粉末の作製]アルミニウムイソプロポキシドを水で加水分解してスラリー状の水酸化アルミニウムを得、これを乾燥させることにより、軽装かさ密度が0.1g/cm3の乾燥粉末状の水酸化アルミニウムを得た。さらに、この水酸化アルミニウムを1220℃で4時間保持して焼成し、ジェットミルにて粉砕してαアルミナ粉末を得た。【0058】得られたαアルミナ粉末は、BET比表面積4.5m2/g、水分量0.2%、平均粒子径0.52μm、Si含有量4ppm、Fe含有量4ppm、Cu含有量1ppm、Na含有量2ppm、Mg含有量1ppm、アルミナ純度99.99重量%以上であった。【0059】[γアルミナ粉末の作製]アルミニウムイソプロポキシドを水で加水分解してスラリー状の水酸化アルミニウムを得、これを乾燥させることにより、軽装かさ密度が0.1g/cm3の乾燥粉末状の水酸化アルミニウムを得た。さらに、この水酸化アルミニウムを800℃で3時間保持して焼成し、ジェットミルにて粉砕してγアルミナ粉末を得た。【0060】得られたγアルミナ粉末は、BET比表面積154.2m2/g、水分量2%、平均粒子径2.4μm、Si含有量2ppm、Fe含有量4ppm、Cu含有量1ppm、Na含有量2ppm、Mg含有量1ppm、アルミナ純度99.99重量%以上であった。【0061】[焼結体の作製]αアルミナ粉末とγアルミナ粉末を樹脂製袋内で10分間混合して混合物を得た。γアルミナ粉末の混合量は、得られるαアルミナ焼結体100重量部に対し、2重量部であった。混合物を内径30mmのゴム製円筒金型に入れ、1t/cm2(98MPa)の静水圧プレスで成形して成形体を得た。この成形体は、サファイア単結晶製造用αアルミナ焼結体の製造における焼成炉への導入時、および焼成炉内での焼成時に破損することなく十分な機械的強度を有する。【0062】得られた成形体を昇温速度100℃/時間で1350℃まで昇温し、焼成温度1350℃で4時間保持して焼成し、αアルミナ焼結体を得た。【0063】得られたαアルミナ焼結体は、相対密度69%、閉気孔率0%、形状は円柱で、体積6cm3であり、Si含有量6ppm、Na含有量5ppm以下、Mg含有量1ppm以下、Cu含有量1ppm以下、Fe含有量4ppm、Ca含有量1ppm以下であり、アルミナ純度99.99%であった。このαアルミナ焼結体を多数並べてルツボに充填することで、サファイア単結晶の製造において高い容積効率を示す。【0064】(実施例2)実施例1の方法と同様に操作することで、αアルミナ粉末とγアルミナ粉末の混合物を得た。混合物を、内寸が幅5mm、高さ50mm、長さ50mmである金型に入れた他は実施例1と同様にして、角柱に成形して成形体を得た。成形体は、相対強度が142であり、機械的強度に優れた。この成形体は、サファイア単結晶製造用αアルミナ焼結体の製造における焼成炉への導入時、および焼成炉内での焼成時に破損することなく十分な機械的強度を有する。【0065】得られた成形体を実施例1と同様にして、αアルミナ焼結体が得られる。このαアルミナ焼結体を多数並べてルツボに充填することで、サファイア単結晶の製造において高い容積効率を示す。【0066】(実施例3)γアルミナ粉末の量を、得られるαアルミナ焼結体100重量部に対し5重量部と変更した以外は、実施例2と同様に操作し、角柱の成形体を得た。成形体は、相対強度が215であり、強度に優れた。この成形体は、サファイア単結晶製造用αアルミナ焼結体製造における焼成炉への導入、および焼成炉内での焼成時に破損することなく十分な機械的強度を有する。【0067】得られた成形体を実施例1と同様にして焼成し、αアルミナ焼結体が得られる。このαアルミナ焼結体を多数並べてルツボに充填することで、サファイア単結晶の製造において高い容積効率を示す。
A
2012140306
2011000151
20110104
null
null
20120726
2012140306
20120726
null
null
5891580
20160304
null
null
null
近赤外線吸収粒子、その製造方法、分散液および樹脂組成物
000000044
旭硝子株式会社
100106909
棚井 澄雄
伊藤 和佳子,柏原 智,米田 貴重,大澤 光生,長谷川 誠
null
8
C01B 25/45 (20060101), C09K 3/00 (20060101)
C01B 25/45 Z ,C09K 3/00 105
7
null
null
null
0
23
null
null
【課題】可視光領域の透過率が高く、近赤外線領域の透過率が低く、かつ波長630~700nmの間で急峻に透過率が変化する近赤外線吸収粒子、その製造方法、該近赤外線吸収粒子を含む分散液および樹脂組成物を提供する。【解決手段】A1/nCuPO4の結晶子からなり、結晶子の大きさが5~50nmであり、数平均凝集粒子径が20~200nmであり、拡散反射スペクトルにおける波長450nmの反射率が80%以上である近赤外線吸収粒子。ただし、Aは、アルカリ金属(Li、Na、K、Rb、Cs)、アルカリ土類金属(Mg、Ca、Sr、Ba)およびNH4からなる群から選ばれる1種以上であり、nは、Aがアルカリ金属またはNH4の場合は1であり、Aがアルカリ土類金属の場合は2である。【選択図】なし
【請求項1】下式(1)で表わされる化合物の結晶子からなる近赤外線吸収粒子であって、X線回折から求めた前記結晶子の大きさが、5~50nmであり、前記近赤外線吸収粒子の数平均凝集粒子径が、20~200nmであり、前記近赤外線吸収粒子の拡散反射スペクトルにおける波長450nmの反射率が、80%以上である、近赤外線吸収粒子。A1/nCuPO4・・・(1)。ただし、Aは、アルカリ金属(Li、Na、K、Rb、Cs)、アルカリ土類金属(Mg、Ca、Sr、Ba)およびNH4からなる群から選ばれる1種以上であり、nは、Aがアルカリ金属またはNH4の場合は1であり、Aがアルカリ土類金属の場合は2である。【請求項2】下式(2)で表わされる反射率の変化量Dが、-0.41以下である、請求項1に記載の近赤外線吸収粒子。D(%/nm)=[R700(%)-R600(%)]/[700(nm)-600(nm)]・・・(2)。ただし、R700は、近赤外線吸収粒子の拡散反射スペクトルにおける波長700nmの反射率であり、R600は、近赤外線吸収粒子の拡散反射スペクトルにおける波長600nmの反射率である。【請求項3】前記近赤外線吸収粒子の拡散反射スペクトルにおける波長715nmの反射率が、19%以下であり、かつ波長500nmの反射率が、85%以上である、請求項1または2に記載の近赤外線吸収粒子。【請求項4】顕微IRスペクトルにおいて、リン酸基に帰属される1000cm-1付近のピークの吸収強度を基準(100%)とした際に、水に帰属される1600cm-1付近のピークの吸収強度が8%以下であり、かつ水酸基に帰属される3750cm-1付近のピークの吸収強度が26%以下である、請求項1~3のいずれかに記載の近赤外線吸収粒子。【請求項5】請求項1~4のいずれかに記載の近赤外線吸収粒子を製造する方法であって、下記の工程(a)~工程(c)を有する、近赤外線吸収粒子の製造方法。(a)溶媒中にて、Cu2+を含む塩と、PO43-を含む塩または有機物とを、Cu2+に対するPO43-のモル比(PO43-/Cu2+)が10~20となるような割合で、かつAn+(ただし、Aは、アルカリ金属(Li、Na、K、Rb、Cs)、アルカリ土類金属(Mg、Ca、Sr、Ba)およびNH4からなる群から選ばれる1種以上であり、nは、Aがアルカリ金属またはNH4の場合は1であり、Aがアルカリ土類金属の場合は2である。)の存在下に混合して得られる原料粉末を、分散媒に分散させて原料スラリーを得る工程。(b)前記工程(a)で得られた原料スラリーを熱プラズマまたは火炎中に導入し、得られた生成物を冷却して粒子を得る工程。(c)前記工程(b)で得られた粒子を、300~700℃で熱処理する工程。【請求項6】請求項1~5のいずれかに記載の近赤外線吸収粒子を分散媒に分散させた、分散液。【請求項7】請求項1~5のいずれかに記載の近赤外線吸収粒子を樹脂に分散させた、樹脂組成物。
【請求項1】下式(1)で表わされる化合物の結晶子からなる近赤外線吸収粒子であって、X線回折から求めた前記結晶子の大きさが、5~50nmであり、前記近赤外線吸収粒子の数平均凝集粒子径が、20~200nmであり、前記近赤外線吸収粒子の拡散反射スペクトルにおける波長450nmの反射率が、80%以上である、近赤外線吸収粒子。A1/nCuPO4・・・(1)。ただし、Aは、アルカリ金属(Li、Na、K、Rb、Cs)、アルカリ土類金属(Mg、Ca、Sr、Ba)およびNH4からなる群から選ばれる1種以上であり、nは、Aがアルカリ金属またはNH4の場合は1であり、Aがアルカリ土類金属の場合は2である。
【技術分野】【0001】本発明は、近赤外線領域の光を吸収する近赤外線吸収粒子、その製造方法、近赤外線吸収粒子を含む分散液および樹脂組成物に関する。
【背景技術】【0002】カメラ等の撮像素子(CCD、CMOS等)、自動露出計等の受光素子等の感度は、可視光領域から近赤外線領域にわたっている。一方、人間の視感度は可視光領域のみである。そのため、たとえばカメラにおいては、レンズと撮像素子との間に、可視光領域(420~630nm)の光を透過し、かつ近赤外線領域(700~1100nm)の光を吸収または反射する近赤外線フィルタを設けることで、人間の視感度に近づくように撮像素子の感度を補正している。より人間の視感度に近づけるためには、近赤外線フィルタには波長630~700nmの間で急峻に透過率が変化することが求められる。【0003】近赤外線フィルタとしては、近赤外線吸収粒子を分散媒に分散させた分散液にバインダ樹脂等を加えた塗料を、ガラス基材の表面に塗布して形成された近赤外線吸収塗膜を有するものが知られている。また、近赤外線吸収粒子として、銅およびリン酸を含むものがいくつか提案されている。(1)銅をCuO、リン酸をP2O5に換算してCuO/P2O5のモル比が0.05~4である近赤外線吸収粒子の表面をアルミニウム化合物で処理した、波長700~1100nmの光を吸収する近赤外線吸収粒子(特許文献1)。(2)リン酸銅を分散剤によって分散媒に分散させた分散液(特許文献2)。【0004】(1)の近赤外線吸収粒子および(2)の分散液ともに、これを用いて形成された近赤外線吸収塗膜は、波長800nm以上の近赤外線を吸収することが確認されている。しかし、該近赤外線吸収塗膜は、波長630~700nmの間で急峻に透過率が変化せず、近赤外線フィルタに要求される性能を充分に満足しない。
【発明が解決しようとする課題】【0006】本発明は、可視光領域の透過率が高く、近赤外線領域の透過率が低く、かつ波長630~700nmの間で急峻に透過率が変化する近赤外線吸収粒子、その製造方法、分散液および樹脂組成物を提供する。
【課題を解決するための手段】【0007】本発明の近赤外線吸収粒子は、下式(1)で表わされる化合物の結晶子からなる近赤外線吸収粒子であって、X線回折から求めた前記結晶子の大きさが、5~50nmであり、前記近赤外線吸収粒子の数平均凝集粒子径が、20~200nmであり、前記近赤外線吸収粒子の拡散反射スペクトルにおける波長450nmの反射率が、80%以上であることを特徴とする。A1/nCuPO4・・・(1)。ただし、Aは、アルカリ金属(Li、Na、K、Rb、Cs)、アルカリ土類金属(Mg、Ca、Sr、Ba)およびNH4からなる群から選ばれる1種以上であり、nは、Aがアルカリ金属またはNH4の場合は1であり、Aがアルカリ土類金属の場合は2である。【0008】本発明の近赤外線吸収粒子は、下式(2)で表わされる反射率の変化量Dが、-0.41以下であることが好ましい。D(%/nm)=[R700(%)-R600(%)]/[700(nm)-600(nm)]・・・(2)。ただし、R700は、近赤外線吸収粒子の拡散反射スペクトルにおける波長700nmの反射率であり、R600は、近赤外線吸収粒子の拡散反射スペクトルにおける波長600nmの反射率である。【0009】本発明の近赤外線吸収粒子は、拡散反射スペクトルにおける波長715nmの反射率が、19%以下であり、かつ波長500nmの反射率が、85%以上が好ましい。本発明の近赤外線吸収粒子は、顕微IRスペクトルにおいて、リン酸基に帰属される1000cm-1付近のピークの吸収強度を基準(100%)とした際に、水に帰属される1600cm-1付近のピークの吸収強度が8%以下であり、かつ水酸基に帰属される3750cm-1付近のピークの吸収強度が26%以下が好ましい。【0010】本発明の近赤外線吸収粒子の製造方法は、下記の工程(a)~工程(c)を有することを特徴とする。(a)溶媒中にて、Cu2+を含む塩と、PO43-を含む塩または有機物とを、Cu2+に対するPO43-のモル比(PO43-/Cu2+)が10~20となるような割合で、かつAn+(ただし、Aは、アルカリ金属(Li、Na、K、Rb、Cs)、アルカリ土類金属(Mg、Ca、Sr、Ba)およびNH4からなる群から選ばれる1種以上であり、nは、Aがアルカリ金属またはNH4の場合は1であり、Aがアルカリ土類金属の場合は2である。)の存在下に混合して得られる原料粉末を、分散媒に分散させて原料スラリーを得る工程。(b)前記工程(a)で得られた原料スラリーを熱プラズマまたは火炎中に導入し、得られた生成物を冷却して粒子を得る工程。(c)前記工程(b)で得られた粒子を、300~700℃で熱処理する工程。【0011】本発明の分散液は、本発明の近赤外線吸収粒子を分散媒に分散させたものであることを特徴とする。本発明の樹脂組成物は、本発明の近赤外線吸収粒子を樹脂に分散させたものであることを特徴とする。
【発明の効果】【0012】本発明の近赤外線吸収粒子は、可視光領域の透過率が高く、近赤外線領域の透過率が低く、かつ波長630~700nmの間で急峻に透過率が変化する。本発明の近赤外線吸収粒子の製造方法によれば、可視光領域の透過率が高く、近赤外線領域の透過率が低く、かつ波長630~700nmの間で急峻に透過率が変化する近赤外線吸収粒子を製造できる。本発明の分散液は、可視光領域の透過率が高く、近赤外線領域の透過率が低く、かつ波長630~700nmの間で急峻に透過率が変化する近赤外線吸収塗膜の形成に有用である。本発明の樹脂組成物は、可視光領域の透過率が高く、近赤外線領域の透過率が低く、かつ波長630~700nmの間で急峻に透過率が変化する近赤外線吸収塗膜の形成に有用である。
【発明を実施するための形態】【0014】<近赤外線吸収粒子>本発明の近赤外線吸収粒子は、下式(1)で表わされる化合物の結晶子からなる粒子である。A1/nCuPO4・・・(1)。ただし、Aは、アルカリ金属(Li、Na、K、Rb、Cs)、アルカリ土類金属(Mg、Ca、Sr、Ba)およびNH4からなる群から選ばれる1種以上であり、nは、Aがアルカリ金属またはNH4の場合は1であり、Aがアルカリ土類金属の場合は2である。【0015】「結晶子」とは、単結晶とみなせる単位結晶を意味し、「粒子」は、複数の結晶子によって構成される。「式(1)で表わされる化合物の結晶子からなる」とは、たとえば図1に示すように、X線回折によってA1/nCuPO4の結晶構造を確認でき、実質的にA1/nCuPO4の結晶子からなることがX線回折によって同定されていることを意味し、「実質的にA1/nCuPO4の結晶子からなる」とは、結晶子がA1/nCuPO4の結晶構造を充分に維持できる(X線回折によってA1/nCuPO4の結晶構造を確認できる)範囲内で不純物を含んでいてもよいことを意味する。X線回折は、粉末状態の近赤外線吸収粒子について、X線回折装置を用いて測定される。【0016】本発明においてAとして、アルカリ金属、アルカリ土類金属またはNH4を採用する理由は、下記の(i)~(iii)の通りである。(i)本発明の近赤外線吸収粒子における結晶子の結晶構造は、PO43-とCu2+との交互結合からなる網目状三次元骨格であり、骨格の内部に空間を有する。該空間のサイズが、アルカリ金属イオン(Li+:0.90Å、Na+:1.16Å、K+:1.52Å、Rb+:1.66Å、Cs+:1.81Å)、アルカリ土類金属イオン(Mg2+:0.86Å、Ca2+:1.14Å、Sr2+:1.32Å、Ba2+:1.49Å)およびNH4+(1.66Å)のイオン半径と適合するため、結晶構造を充分に維持できる。【0017】(ii)アルカリ金属イオン、アルカリ土類金属イオンおよびNH4+は、溶液中で1価または2価のカチオンとして安定に存在できるため、近赤外線吸収粒子の製造過程において、前駆体が生成する際、結晶構造中にカチオンが取り込まれやすい。(iii)PO43-と配位結合性の強いカチオン(たとえば遷移金属イオン等)では、充分な近赤外線吸収特性を発現する本発明における結晶構造とは異なる結晶構造を与える可能性がある。Aとしては、PO43-とCu2+とからなる骨格内に取り込まれるイオンとして最もカチオンサイズが適し、熱力学的な安定構造をとる点から、Kが特に好ましい。【0018】本発明の近赤外線吸収粒子における結晶子の大きさは、5~50nmであり、10~30nmが好ましい。結晶子の大きさが5nm以上であれば、結晶子がA1/nCuPO4の結晶構造を充分に維持でき、その結果、充分な近赤外線吸収特性を発現できる。結晶子の大きさが50nm以下であれば、近赤外線吸収粒子の数平均凝集粒子径を小さく抑えることができ、分散液、樹脂組成物およびこれらを用いて形成された近赤外線吸収塗膜のヘーズが低く抑えられる。結晶子の大きさは、粉末状態の近赤外線吸収粒子についてX線回折を行い、シェラーの方法により計算によって求めた値である。【0019】本発明の近赤外線吸収粒子の数平均凝集粒子径は、20~200nmであり、20~150nmが好ましい。数平均凝集粒子径が20nm以上であれば、結晶子がA1/nCuPO4の結晶構造を充分に維持でき、その結果、充分な近赤外線吸収特性を発現できる。数平均凝集粒子径が200nm以下であれば、分散液、樹脂組成物およびこれらを用いて形成された近赤外線吸収塗膜のヘーズが低くなり(すなわち透過率が高くなり)、たとえばカメラの近赤外線吸収フィルタの用途等に好適となる。数平均凝集粒子径は、近赤外線吸収粒子を分散媒に分散させた粒子径測定用分散液について、動的光散乱式粒度分布測定装置を用いて測定した値である。【0020】本発明の近赤外線吸収粒子の、拡散反射スペクトルにおける波長450nmの反射率は、80%以上であり、81%以上が好ましい。近赤外線吸収粒子の反射率が高いということは、近赤外線吸収粒子による光の吸収が少なく、近赤外線吸収粒子の反射率が低いということは、近赤外線吸収粒子による光の吸収が多いことを示している。波長450nmの反射率は、粒子を含む膜の透過スペクトルでの可視光領域の短波長側の波長420nmの透過率に対応するとみなすことができる。つまり、本発明では、拡散反射スペクトルの反射率の波長に対する変化量が大きな波長420nmではなく、該変化量が比較的小さくなる波長450nmの反射率を用いて近赤外吸収粒子の光の吸収が少ないことを判定している。すなわち、前記波長450nmの反射率が80%以上であれば、粒子を含む膜の透過スペクトルでの波長420nmの透過率が充分に高くなり、近赤外線吸収粒子による可視光領域の光吸収が充分に抑えられ、例えばカメラの近赤外線吸収フィルタに好適となる。【0021】近赤外線吸収塗膜のヘーズを低く抑えるために、近赤外線吸収粒子の数平均凝集粒子径を小さくしようと、近赤外線吸収粒子に過度の粉砕処理を施すと、粒子を構成する結晶子がA1/nCuPO4の結晶構造を充分に維持できなくなり、拡散反射スペクトルにおける波長450nmの反射率が小さくなる傾向にある。よって、波長450nmの反射率が80%以上であれば、近赤外線吸収粒子の数平均凝集径が200nm以下であっても、結晶子がA1/nCuPO4の結晶構造を充分に維持していることの目安となる。また、結晶子がA1/nCuPO4の結晶構造を充分に維持するためには、過度の粉砕処理を施すことなく数平均凝集粒子径が充分に小さい近赤外線吸収粒子を得ることができる、後述する近赤外線吸収塗膜の製造方法が好適である。【0022】本発明の近赤外線吸収粒子の、下式(2)で表わされる反射率の変化量Dは、-0.41以下が好ましく、-0.45以下がより好ましい。D(%/nm)=[R700(%)-R600(%)]/[700(nm)-600(nm)]・・・(2)。ただし、R700は、近赤外線吸収粒子の拡散反射スペクトルにおける波長700nmの反射率であり、R600は、近赤外線吸収粒子の拡散反射スペクトルにおける波長600nmの反射率である。【0023】光吸収がある粒子の拡散反射スペクトル測定では、光吸収波長において光路長により光吸収の強度が異なるため、粒子を含む膜の透過スペクトルにおいて弱い吸収帯が、拡散反射スペクトルでは比較的強く観測される。そこで、本明細書中での反射率の変化率算出は、粒子を含む膜の透過スペクトルでの透過率変化と同等に反射率が変化する範囲である600~700nmの反射率の値を用いる。前記反射率の変化量Dが-0.41以下であれば、波長630~700nmの間における透過率の変化が充分に急峻となり、これを用いて形成された近赤外線吸収塗膜は、例えばカメラの近赤外線吸収フィルタに好適となる。【0024】本発明の近赤外線吸収粒子の、拡散反射スペクトルにおける波長715nmの反射率は、19%以下が好ましく、18%以下がより好ましい。波長715nmの反射率が19%以下であれば、近赤外線領域の透過率が充分に低くなる。本発明の近赤外線吸収粒子の、拡散反射スペクトルにおける波長500nmの反射率は、85%以上が好ましく、86%以上がより好ましい。波長500nmの反射率が85%以上であれば、可視光領域の透過率が充分に高くなる。拡散反射スペクトルは、粉末状態の近赤外線吸収粒子について、紫外可視分光光度計を用いて測定される。【0025】本発明の近赤外線吸収粒子は、結晶子がA1/nCuPO4の結晶構造を充分に維持することによって、充分な近赤外線吸収特性を発現できる。よって、結晶子の表面に水または水酸基が付着した場合、A1/nCuPO4の結晶構造を維持できなくなるため、可視光領域と近赤外線領域との透過率の差が減少し、これを用いて形成された近赤外線吸収塗膜は、カメラの近赤外線吸収フィルタに適さない。【0026】よって、本発明の近赤外線吸収粒子は、顕微IRスペクトルにおいて、リン酸基に帰属される1000cm-1付近のピークの吸収強度を基準(100%)とした際に、水に帰属される1600cm-1付近のピークの吸収強度が8%以下であり、かつ水酸基に帰属される3750cm-1付近のピークの吸収強度が26%以下が好ましく、水に帰属される1600cm-1付近のピークの吸収強度が5%以下であり、かつ水酸基に帰属される3750cm-1付近のピークの吸収強度が15%以下がより好ましい。なお、顕微IRスペクトルは、粉末状態の近赤外線吸収粒子について、フーリエ変換赤外分光光度計を用いて測定される。具体的には、たとえば、ThermoFisherScientific社製のフーリエ変換赤外分光光度計Magna760を用い、そのダイヤモンドプレート上に、50~100μgの近赤外線吸収粒子を置き、ローラーで平坦にし、顕微FT-IR法により測定する。【0027】また、本発明の近赤外線吸収粒子においては、A1/nCuPO4以外の結晶構造、たとえば、A1/nCu4(PO4)3が増えると、波長630~700nmの間における透過率の変化が緩慢となり、これを用いて形成された近赤外線吸収塗膜は、カメラの近赤外線吸収フィルタに適さない。よって、X線回折によって実質的にA1/nCuPO4の結晶子からなることが同定されていることが必要である。【0028】(作用効果)以上説明した本発明の近赤外線吸収粒子にあっては、A1/nCuPO4で表わされる化合物の結晶子からなる近赤外線吸収粒子であって、X線回折から求めた結晶子の大きさが5~50nmであり、近赤外線吸収粒子の数平均凝集粒子径が20~200nmであり、近赤外線吸収粒子の拡散反射スペクトルにおける波長450nmの反射率が80%以上であるため、可視光領域の透過率が高く、近赤外線領域の透過率が低く、かつ該近赤外線吸収粒子を含む膜は波長630~700nmの間で急峻に透過率が変化する。【0029】<近赤外線吸収粒子の製造方法>本発明の近赤外線吸収粒子の製造方法は、下記の工程(a)~(c)を有する方法である。(a)溶媒中にて、Cu2+を含む塩と、PO43-を含む塩または有機物とを、Cu2+に対するPO43-のモル比(PO43-/Cu2+)が10~20となるような割合で、かつAn+の存在下に混合して得られる原料粉末を、分散媒に分散させて原料スラリーを得る工程。(b)前記工程(a)で得られた原料スラリーを熱プラズマまたは火炎中に導入し、得られた生成物を冷却して粒子を得る工程。(c)前記工程(b)で得られた粒子を、300~700℃で熱処理する工程。【0030】(工程(a))Cu2+を含む塩としては、硫酸銅(II)五水和物、塩化銅(II)二水和物、酢酸銅(II)一水和物、臭化銅(II)、硝酸銅(II)三水和物等が挙げられる。PO43-を含む塩または有機物としては、アルカリ金属のリン酸塩、リン酸のアンモニウム塩、アルカリ土類金属のリン酸塩、リン酸等が挙げられる。【0031】アルカリ金属のリン酸塩またはアルカリ土類金属のリン酸塩としては、リン酸水素二カリウム、リン酸二水素カリウム、リン酸カリウム、リン酸水素二ナトリウム十二水和物、リン酸二水素ナトリウム二水和物、リン酸三ナトリウム十二水和物、リン酸リチウム、リン酸水素カルシウム、リン酸水素マグネシウム三水和物、リン酸マグネシウム八水和物等が挙げられる。リン酸のアンモニウム塩としては、リン酸水素二アンモニウム、リン酸二水素アンモニウム、リン酸水素アンモニウムナトリウム四水和物、リン酸アンモニウム三水和物等が挙げられる。【0032】An+を存在させる方法としては、PO43-を含む塩としてアルカリ金属のリン酸塩、リン酸のアンモニウム塩、アルカリ土類金属のリン酸塩等を用いる方法;Cu2+を含む塩とPO43-を含む塩または有機物とを混合する際に、An+を含む塩を添加する方法等が挙げられる。An+を含む塩としては、アルカリ金属の水酸化物、アルカリ土類金属の水酸化物、アルカリ金属の塩化物、アルカリ土類金属の塩化物、アルカリ金属の臭化物、アルカリ土類金属の臭化物、アルカリ金属の硝酸塩、アルカリ土類金属の硝酸塩、アルカリ金属の炭酸塩、アルカリ土類金属の炭酸塩、アルカリ金属の硫酸塩、アルカリ土類金属の硫酸塩等が挙げられる。【0033】Cu2+を含む塩とPO43-を含む塩または有機物との混合は、溶媒中で行う。該溶媒としては、Cu2+を含む塩、およびPO43-を含む塩または有機物を溶解し得る溶媒が好ましい。An+を含む塩を用いる場合、該溶媒としては、An+を含む塩も溶解し得る溶媒が好ましい。該溶媒としては、水が特に好ましい。【0034】Cu2+を含む塩とPO43-を含む塩または有機物との割合は、Cu2+に対するPO43-のモル比(PO43-/Cu2+)が10~20、好ましくは12~18となるような割合とする。PO43-/Cu2+が10以上であれば、工程(b)においてA1/nCu4(PO4)3が副生しない、または副生したとしてもその量が、結晶子がA1/nCuPO4の結晶構造を充分に維持できる程度であるため、波長630~700nmの間における透過率の変化が充分に急峻となる近赤外線吸収粒子が得られる。PO43-/Cu2+が20以下であれば、工程(b)においてA1/nCuPO4以外の不純物が副生しない、または副生したとしてもその量が、結晶子がA1/nCuPO4の結晶構造を充分に維持できる程度であるため、波長630~700nmの間における透過率の変化が充分に急峻となる近赤外線吸収粒子が得られる。【0035】Cu2+を含む塩とPO43-を含む塩または有機物とを混合する際の温度は、10~95℃が好ましく、15~40℃がより好ましい。該温度が高すぎると、溶媒の蒸発による溶質の濃縮が生じ、目的とする生成物以外の不純物が混入するおそれがある。該温度が低すぎると、反応速度が遅くなり、反応時間が長くなるため、工程上好ましくない。【0036】溶媒中にて、Cu2+を含む塩と、PO43-を含む塩または有機物とを、Cu2+に対するPO43-のモル比(PO43-/Cu2+)が10~20となるような割合で、かつAn+の存在下に混合して得られる原料粉末は、必要に応じて固液分離し乾燥させ、分散媒に分散させて原料スラリーとする。【0037】原料スラリーの分散媒としては、水、アルコール、ケトン、エーテル、エステル、アルデヒド、アミン、脂肪族炭化水素、脂環族炭化水素、芳香族炭化水素等が挙げられる。分散媒は、1種を単独で用いてもよく、2種類以上を併用してもよい。分散媒としては、取扱いが容易で、かつ熱プラズマ通過時に酸素源となる酸素原子を含むものが好適である点から、メタノール、エタノール、イソプロパノール等のアルコールが好ましい。【0038】原料スラリー中の固形分濃度により、工程(b)で得られる近赤外線吸収粒子の粒子径が変化するため、必要に応じて原料スラリーの固形分濃度を調整する必要がある。すなわち、原料スラリー中の固形分濃度が高いほど、熱プラズマ通過時の結晶核濃度が高く、結晶成長が生じ、粒子径の大きい近赤外線吸収粒子が得られる。原料スラリー中の固形分濃度が低いほど、熱プラズマ通過時の結晶核濃度が低く、結晶成長が抑制され、粒子径が小さい近赤外線吸収粒子が得られる。分散媒の量は、分散液(100質量%)のうち、50~95質量%が好ましい。【0039】原料スラリーは、原料粉末、分散媒、必要に応じてジルコニアビーズ等を混合し、自転・公転式ミキサー、ビーズミル、遊星ミル、超音波ホモジナイザ等によって撹拌することにより調製できる。粒子径が小さく、かつ均一な近赤外線吸収粒子を得るためには、原料スラリー中の原料粉末の粒子径も小さく、かつ均一である必要があるため、充分に撹拌する必要がある。撹拌は、連続的に行ってもよく、断続的に行ってもよい。【0040】(工程(b))原料スラリーを熱プラズマまたは火炎中に導入し、得られた生成物を冷却して粒子を得る方法は、特開2005-170760号公報、特許第4420690号公報等に記載されている。よって、原料スラリーとして工程(a)で得られた原料スラリーを用いる以外は、特開2005-170760号公報、特許第4420690号公報等に記載された装置を用い、特開2005-170760号公報、特許第4420690号公報等に記載された条件を適宜変更して粒子を製造すればよい。【0041】たとえば、プラズマガス(アルゴンガス等)をプラズマトーチ内に供給し、該プラズマトーチ内にて熱プラズマを発生させつつ、プラズマトーチに設けられたノズルから原料スラリーをキャリアガス(酸素ガス等)とともに噴霧することによって、原料スラリーの液滴を熱プラズマに導入する。熱プラズマ内にて原料スラリーの溶媒を蒸発させつつ、原料スラリーに含まれていた原料を反応させ、熱プラズマから発生する生成物をプラズマトーチの下方に隣接するチャンバに移動させる。チャンバ内で熱プラズマからの生成物を急冷することによって結晶化させ、粒子を生成させる。【0042】(工程(c))前記工程(b)で得られた粒子は、結晶構造中に酸素欠陥を有する場合がある。結晶構造中に酸素欠陥を有する粒子は、可視光領域の透過率が低下するため、熱処理を施し、結晶構造中の酸素欠陥を低減することが好ましい。【0043】熱処理温度は、300~700℃であり、300~500℃が好ましい。熱処理温度が300℃以上であれば、結晶構造中の酸素欠陥を充分に低減できる。熱処理温度が700℃以下であれば、熱による分解を抑制できる。熱処理時間は、0.5~300分が好ましく、1~10分がより好ましい。熱処理時間が0.5分以上であれば、結晶構造中の酸素欠陥を充分に低減できる。熱処理時間が300分以下であれば、熱処理による結晶成長を抑制できる。赤外イメージ炉を用いると、急速加熱および急速冷却が可能であるため、結晶成長の抑制に有効である。また、粒子を流動させながら焼成可能なロータリーキルン炉も、結晶成長の抑制に効果がある。【0044】(表面処理)以上のようにして得られた近赤外線吸収粒子は、耐候性、耐酸性、耐水性等の向上や表面改質によるバインダ樹脂との相溶性の向上を目的に、公知の方法にて表面処理されてもよい。表面処理の方法としては、近赤外線吸収粒子を含む分散液中に、表面処理剤または溶媒で希釈した表面処理剤を添加し、撹拌して処理した後、溶媒を除去し乾燥させる方法(湿式法);近赤外線吸収粒子を撹拌しながら、表面処理剤または溶媒で希釈した表面処理剤を、乾燥空気または窒素ガスで噴射させて処理した後、乾燥させる方法(乾式法)が挙げられる。表面処理剤としては、界面活性剤、カップリング剤等が挙げられる。【0045】(作用効果)一般的に、粒子の数平均凝集径が波長の1/10以下であると、レイリー散乱の寄与がなくなり、該粒子を含有する分散液や組成物のヘーズがほぼなくなるため、カメラ等のフィルタとして好適に用いられる。よって、本発明における近赤外線吸収粒子の数平均凝集径は、20~200nmであることが必要である。それと同時に、カメラ等の近赤外線フィルタでは、可視光領域の透過率が高く、近赤外線領域の透過率が低く、かつ波長630~700nmの間で急峻に透過率が変化することが望まれている。本発明の近赤外線吸収粒子の製造方法にあっては、工程(b)において原料スラリーが熱プラズマまたは火炎中を通過することで、原料スラリー中に含まれる原料粒子が急速加熱され原子状態まで気化した後、急速に冷却される。そのため、近接する結晶核同士の結合による粒子成長が抑制され、X線回折から求めた結晶子の大きさが5~50nmの生成物を容易に得ることができる。また、上記生成物に対し、工程(c)に記載の手法で熱処理を施すことで、熱処理による粒子成長が抑制され、かつ、構造欠陥が少なく可視光領域の透過率が高い近赤外線吸収粒子が得られる。すなわち、本発明の近赤外線吸収粒子の製造方法にあっては、過度の粉砕処理を施すことなく粒子の数平均凝集粒子径が20~200nmとなり、かつ、可視光領域の透過率が高い近赤外線吸収粒子が得られる。一方、本発明の近赤外線吸収粒子の製造方法を用いない場合、近赤外線吸収粒子の数平均凝集粒子径を20~200nmとするためには、湿式粉砕等の処理が必要である。しかし、過度の粉砕処理を行うことで粒子の結晶構造が部分的に崩壊し、可視光領域の透過率が減少することがある。すなわち、本発明の近赤外線吸収粒子の製造方法を用いない場合、過度の粉砕処理を必要とするため、数平均凝集粒子径が小さいが、可視光領域の透過率が低い近赤外線吸収粒子となる場合がある。また、長時間の粉砕は生産性の効率を下げるものであるので、できるだけ短時間の粉砕処理であることが好ましい。以上から、本発明の近赤外線吸収粒子の製造方法にあっては、過度の粉砕処理を施すことなく、X線回折から求めた結晶子の大きさが5~50nmであり、近赤外線吸収粒子の数平均凝集粒子径が20~200nmである、式(1)で表わされる化合物の結晶子からなる近赤外線吸収粒子を製造できる。すなわち、可視光領域の透過率が高く、近赤外線領域の透過率が低く、かつ波長630~700nmの間で急峻に透過率が変化する近赤外線吸収粒子を製造できる。【0046】<用途>本発明の近赤外線吸収粒子は、分散媒に分散させて分散液として用いてもよく、樹脂に分散させて樹脂組成物として用いてもよい。【0047】<分散液>本発明の分散液は、分散媒と、該分散媒に分散された本発明の近赤外線吸収粒子とを含み、必要に応じて分散剤、バインダ樹脂、他の光吸収材を含む。近赤外線吸収粒子の量は、分散液(100質量%)のうち、5~50質量%が好ましい。近赤外線吸収粒子の量が5質量%以上であれば、充分な近赤外線吸収特性を発現できる。近赤外線吸収粒子の量が50質量%以下であれば、可視光領域の透過率を高く維持できる。【0048】(分散媒)分散媒としては、水、アルコール、ケトン、エーテル、エステル、アルデヒド、アミン、脂肪族炭化水素、脂環族炭化水素、芳香族炭化水素等が挙げられる。分散媒は、1種を単独で用いてもよく、2種類以上を併用してもよい。分散媒としては、作業環境の点から、水またはアルコールが好ましい。分散媒の量は、近赤外線吸収粒子の分散性を維持する点から、分散液(100質量%)のうち、50~95質量%が好ましい。【0049】(分散剤)分散剤としては、近赤外線吸収粒子の表面に対して改質効果を示すもの、たとえば、界面活性剤、シラン、シリコーンレジン、チタネート系カップリング剤、アルミニウム系カップリング剤、ジルコアルミネート系カップリング剤等が挙げられる。【0050】界面活性剤としては、アニオン系界面活性剤(特殊ポリカルボン酸型高分子界面活性剤、アルキルリン酸エステル等)、ノニオン系界面活性剤(ポリオキシエチレンアルキルエーテル、ポリオキシエチレンアルキルフェノールエーテル、ポリオキシエチレンカルボン酸エステル、ソルビタン高級カルボン酸エステル等)、カチオン系界面活性剤(ポリオキシエチレンアルキルアミンカルボン酸エステル、アルキルアミン、アルキルアンモニウム塩等)、両性界面活性剤(高級アルキルベタイン等)が挙げられる。【0051】シランとしては、シランカップリング剤、クロロシラン、アルコキシシラン、シラザンが挙げられる。シランカップリング剤としては、官能基(グリシドキシ基、ビニル基、アミノ基、アルケニル基、エポキシ基、メルカプト基、クロロ基、アンモニウム基、アクリロキシ基、メタクリロキシ基等)を有するアルコキシシラン等が挙げられる。シリコーンレジンとしては、メチルシリコーンレジン、メチルフェニルシリコーンレジン等が挙げられる。【0052】チタネート系カップリング剤としては、アシロキシ基、ホスホキシ基、ピロホスホキシ基、スルホキシ基、アリーロキシ基等を有するものが挙げられる。アルミニウム系カップリング剤としては、アセトアルコキシアルミニウムジイソプロピレートが挙げられる。ジルコアルミネート系カップリング剤としては、アミノ基、メルカプト基、アルキル基、アルケニル基等を有するものが挙げられる。【0053】分散剤の量は、分散剤の種類にもよるが、分散液(100質量%)のうち、0.5~10質量%が好ましい。分散剤の量が該範囲内であれば、近赤外線吸収粒子の分散性が良好となり、透明性が損なわれず、また、経時的に近赤外線吸収粒子が沈降することが抑えられる。【0054】(バインダ樹脂)バインダ樹脂としては、熱可塑性樹脂(ポリエステル系樹脂、アクリル系樹脂、ポリカーボネート系樹脂、ポリアミド系樹脂、アルキド系樹脂等)、熱硬化性樹脂(エポキシ系樹脂、熱硬化型アクリル系樹脂、シルセスキオキサン系樹脂等)が挙げられる。近赤外線吸収塗膜に透明性が必要となる場合、バインダ樹脂としては、アクリル系樹脂またはポリエステル系樹脂が好ましい。バインダ樹脂の量は、分散液の固形分(100質量%)のうち、10~90質量%が好ましい。【0055】(他の光吸収材)他の光吸収材としては、紫外線吸収材、他の赤外線吸収材等が挙げられる。紫外線吸収材としては、酸化亜鉛、酸化チタン、酸化セリウム、酸化ジルコニウム、マイカ、カオリン、セリサイト等が挙げられる。他の赤外線吸収材料としては、ITO(IndiumTinOxide)、ATO(AntimonydopedTinOxide)等が挙げられる。ITOは、可視光領域の透過率が高く、かつ1100nmを超える電波領域も含めた広範囲の電磁波吸収性を有するため、電波遮蔽性を必要とする場合に特に好ましい。他の光吸収材の数平均凝集粒子径は、透明性の点から、100nm以下が好ましい。【0056】(分散液の調製)本発明の分散液は、本発明の近赤外線吸収粒子、分散媒、必要に応じて分散剤、バインダ樹脂等を混合し、自転・公転式ミキサー、ビーズミル、遊星ミル、超音波ホモジナイザ等によって撹拌することにより調製できる。高い透明性を確保するためには、充分に撹拌する必要がある。撹拌は、連続的に行ってもよく、断続的に行ってもよい。【0057】(作用効果)以上説明した本発明の分散液にあっては、本発明の近赤外線吸収粒子を分散媒に分散させたものであるため、可視光領域の透過率が高く、近赤外線領域の透過率が低く、かつ波長630~700nmの間で急峻に透過率が変化する近赤外線吸収塗膜の形成に有用である。【0058】<樹脂組成物>本発明の樹脂組成物は、樹脂と、該樹脂に分散された本発明の近赤外線吸収粒子とを含み、必要に応じて分散剤、他の光吸収材を含む。近赤外線吸収粒子の量は、樹脂組成物(100質量%)のうち、10~60質量%が好ましい。近赤外線吸収粒子の量が10質量%以上であれば、充分な近赤外線吸収特性を発現できる。近赤外線吸収粒子の量が60質量%以下であれば、可視光領域の透過率を高く維持できる。【0059】(樹脂)樹脂としては、熱可塑性樹脂(ポリエステル系樹脂、アクリル系樹脂、ポリカーボネート系樹脂、ポリアミド系樹脂、アルキド系樹脂等)、熱硬化性樹脂(エポキシ系樹脂、熱硬化型アクリル系樹脂、シルセスキオキサン系樹脂等)が挙げられる。近赤外線吸収塗膜に透明性が必要となる場合、樹脂としては、アクリル系樹脂またはポリエステル系樹脂が好ましい。樹脂の量は、樹脂組成物(100質量%)のうち、40~90質量%が好ましい。【0060】(分散剤)分散剤としては、近赤外線吸収粒子の表面に対して改質効果を示すもの、たとえば、上述した界面活性剤、シラン、シリコーンレジン、チタネート系カップリング剤、アルミニウム系カップリング剤、ジルコアルミネート系カップリング剤等が挙げられる。【0061】(他の光吸収材)他の光吸収材としては、上述した紫外線吸収材、他の赤外線吸収材等が挙げられる。【0062】(樹脂組成物の調製)本発明の樹脂組成物は、本発明の近赤外線吸収粒子、樹脂、必要に応じて溶媒や分散剤等を混合し、自転・公転式ミキサー、ビーズミル、遊星ミル、ミキサー型混練機、3本ロール等によって混練することにより調製できる。高い透明性を確保するためには、充分に混練する必要がある。混練は、連続的に行ってもよく、断続的に行ってもよい。【0063】(作用効果)以上説明した本発明の樹脂組成物にあっては、本発明の近赤外線吸収粒子を樹脂に分散させたものであるため、可視光領域の透過率が高く、近赤外線領域の透過率が低く、かつ波長630~700nmの間で急峻に透過率が変化する近赤外線吸収塗膜、近赤外線吸収物品の形成に有用である。【0064】<近赤外線吸収塗膜を有する物品>本発明の分散液を、基材の表面に塗布し、乾燥させる、または、本発明の樹脂組成物を、基材の表面に塗布する(必要に応じて硬化させる)ことによって、近赤外線吸収塗膜を有する物品が得られる。【0065】近赤外線吸収塗膜を有する物品としては、カメラ用の近赤外線フィルタ、プラズマディスプレイ用の光学フィルタ、車両(自動車等)用のガラス窓、ランプ等が挙げられる。基材の形状は、フィルム状であってもよく、板状であってもよい。基材の材料としては、ガラス、ポリエチレンテレフタレート(PET)、アクリル樹脂、ウレタン樹脂、ポリカーボネート、ポリエチレン、エチレン酢酸ビニル共重合体、塩化ビニル、フッ素樹脂等が挙げられ、透明性および耐熱性の点から、ガラスが好ましい。【0066】近赤外線吸収塗膜の、下式(3)で表わされる透過率の変化量D’は、-0.36以下が好ましく、-0.37以下がより好ましい。D’(%/nm)=[T700(%)-T630(%)]/[700(nm)-630(nm)]・・・(2)。ただし、T700は、近赤外線吸収塗膜の波長700nmの透過率であり、T630は、近赤外線吸収塗膜の波長630nmの透過率である。【0067】透過率の変化量D’が-0.36以下であれば、波長630~700nmの間における透過率の変化が充分に急峻となり、カメラの近赤外線吸収フィルタに好適となる。近赤外線吸収塗膜の波長600nmの透過率は、70%以上が好ましく、75%以上がより好ましい。波長600nmの透過率が70%以上であれば、赤色光の吸収が充分に抑えられ、カメラの近赤外線吸収フィルタに好適となる。近赤外線吸収塗膜の波長450nmの透過率は、75%以上が好ましく、80%以上がより好ましい。波長450nmの透過率が75%以上であれば、可視光領域の光吸収が少なく、カメラの近赤外線吸収フィルタに好適となる。【0068】近赤外線吸収塗膜の波長715nmの透過率は、10%以下が好ましく、5%以下がより好ましい。近赤外線吸収塗膜の波長500nmの透過率は、80%以上が好ましく、85%以上がより好ましい。近赤外線吸収塗膜の透過率は、下記のようにして測定する。樹脂がポリエステル系樹脂の場合、固形分として近赤外線吸収粒子の31質量%および樹脂の69質量%を含む分散液をガラス基材に塗布し、厚さ70μmの近赤外線吸収塗膜を形成する。樹脂がアクリル系樹脂の場合、固形分として近赤外線吸収粒子の50質量%および樹脂の50質量%を含む分散液をガラス基材に塗布し、厚さ20μmの近赤外線吸収塗膜を形成する。該近赤外線吸収塗膜について、紫外可視分光光度計を用いて透過率を測定する。【0069】<近赤外線吸収物品>本発明の樹脂組成物を、公知の成形法で成形することによって、近赤外線吸収物品としてもよい。成形法としては、押出成形法、射出成形法、カレンダー法、キャスティング法等が挙げられる。物品の形状としては、フィルム状、板状、基材に対するコート膜等が挙げられる。【実施例】【0070】以下、本発明の実施例を示すが、本発明はこれらの実施例に限定されるものではない。例1は、実施例であり、例2~6は、比較例である。【0071】(X線回折)粉末状態の近赤外線吸収粒子について、X線回折装置(RIGAKU社製、RINT-TTR-III)を用いてX線回折の測定を行い、結晶構造の同定を行った。また、結晶子の大きさを、2θ=14°の反射についてシェラーの方法により計算によって求めた。【0072】(数平均凝集粒子径)近赤外線吸収粒子を水に分散させた粒子径測定用分散液(固形分濃度:5質量%)について、動的光散乱式粒度分布測定装置(日機装社製、マイクロトラック超微粒子粒度分析計UPA-150)を用いて数平均凝集粒子径を測定した。【0073】(反射率)粉末状態の近赤外線吸収粒子について、紫外可視分光光度計(日立ハイテクノロジーズ社製、U-4100形)を用いて拡散反射スペクトル(反射率)を測定した。ベースラインとして、硫酸バリウムを用いた。【0074】(透過率)近赤外線吸収塗膜について紫外可視分光光度計(日立ハイテクノロジーズ社製、U-4100形)を用いて透過スペクトル(透過率)を測定した。【0075】(ヘーズ)透過率の測定に用いた近赤外線吸収塗膜についてヘーズメーター(BYKGardner社製、haze-gardplus)を用いてヘーズを測定した。【0076】〔例1〕工程(a):52質量%リン酸水素二カリウム(純正化学製)水溶液の500gに、撹拌しながら、5質量%硫酸銅・五水和物(純正化学製)水溶液の500gを加え、5時間以上室温にて撹拌し、水色反応液を得た。反応時のPO43-/Cu2+(モル比)を表1に示す。水色反応液を、卓上遠心分離機を用いて固液分離し、水色沈降物を得た。水色沈降物をアセトン中に分散させ、超音波処理を行った後、卓上遠心分離機を用いて固液分離した。得られた沈降物を150℃で2時間乾燥した後、エタノールに分散させて、原料スラリーを得た。【0077】工程(b):特開2005-170760号公報に記載された装置を用い、工程(a)で得られた原料スラリーをプラズマトーチ内の熱プラズマに導入し、得られた生成物をチャンバ内で冷却して暗緑色の粒子を得た。【0078】工程(c):工程(b)で得られた粒子を、平皿に移し、大気中で、500℃で5分間熱処理し、薄青緑色の近赤外線吸収粒子を得た。熱処理には赤外線イメージ炉を用いた。【0079】近赤外線吸収粒子:得られた近赤外線吸収粒子についてX線回折を測定した。結果を図1に示す。X線回折の結果から、KCuPO4の結晶構造を確認でき、該粒子は、実質的にKCuPO4の結晶子からなる近赤外線吸収粒子であることが同定された。また、結晶子の大きさを表1に示す。また、近赤外線吸収粒子の粒子径測定用分散液を調製し、数平均凝集粒子径を測定した。結果を表1に示す。また、近赤外線吸収粒子の拡散反射スペクトル(反射率)を測定した。結果を表1に示す。また、拡散反射スペクトルを図2に示す。【0080】分散液、塗膜:近赤外線吸収粒子と、ポリエステル系樹脂(大阪ガスケミカル社製、OKP4HT)の25質量%シクロヘキサノン溶液とを、固形分が近赤外線吸収粒子の31質量%およびポリエステル系樹脂の69質量%となるような割合で混合し、自転・公転式ミキサーで撹拌し、分散液を得た。該分散液をガラス基材に塗布し、窒素置換デシケータにて15分以上乾燥させ、150℃で10分間加熱し、厚さ70μmの近赤外線吸収塗膜を形成した。該近赤外線吸収塗膜について、透過率およびヘーズを測定した。結果を表1に示す。また、透過スペクトルを図3に示す。【0081】〔例2〕52質量%リン酸水素二カリウム(純正化学製)水溶液の500gに、撹拌しながら、5質量%硫酸銅・五水和物(純正化学製)水溶液の500gを加え、5時間以上室温にて撹拌した。PO43-/Cu2+(モル比)を表1に示す。得られた水色溶液から生成物を吸引濾過によって分離し、水およびアセトンで洗浄し、水色の生成物を得た。生成物をるつぼに移し、100℃で2時間真空乾燥した。乾燥した生成物について、ワンダーブレンダー(大阪ケミカル社製)を用いて、30秒間の乾式粉砕を2回行った。【0082】粉末状態の生成物をるつぼに移し、大気中で、600℃で8時間焼成し、黄緑色の焼成物を得た。焼成物について、ワンダーブレンダーを用いて、30秒間の乾式粉砕を2回行った。得られた黄緑色の焼成物は15.4gであり、硫酸銅・五水和物のモル数を基準とした場合の収率は78%であった。焼成物についてX線回折を測定した。結果を図4に示す。X線回折の結果から、KCuPO4の結晶構造を確認でき、焼成物は、実質的にKCuPO4の結晶子からなる粒子であることが同定された。【0083】得られた焼成物を水に分散させ、固形分濃度10質量%の分散液とし、超音波ホモジナイザで処理した後、湿式微粒子化装置(スギノマシン社製、スターバーストミニ)を用いて湿式粉砕を行った。分散液がオリフィス径を通過する回数を湿式粉砕処理回数とする。本実施例においては、湿式粉砕処理回数を50回とした。湿式粉砕後の分散液から解砕物を遠心分離し、るつぼに移して150℃で乾燥し、黄緑色の解砕物を得た。解砕物について、ワンダーブレンダーを用いて、30秒間の乾式粉砕を2回行った。【0084】近赤外線吸収粒子:解砕物についてX線回折を測定した。X線回折の結果から、KCuPO4の結晶構造を確認でき、解砕物は、実質的にKCuPO4の結晶子からなる近赤外線吸収粒子であることが同定された。また、結晶子の大きさを表1に示す。また、近赤外線吸収粒子の粒子径測定用分散液を調製し、数平均凝集粒子径を測定した。結果を表1に示す。また、近赤外線吸収粒子の拡散反射スペクトル(反射率)を測定した。結果を表1に示す。また、拡散反射スペクトルを図5に示す。【0085】分散液、塗膜:近赤外線吸収粒子と、ポリエステル系樹脂(東洋紡社製、バイロン103)の25質量%シクロヘキサノン溶液とを、固形分が近赤外線吸収粒子の31質量%およびポリエステル系樹脂の69質量%となるような割合で混合し、自転・公転式ミキサーで撹拌し、分散液を得た。該分散液をガラス基材に塗布し、窒素置換デシケータにて15分以上乾燥させ、150℃で10分間加熱し、厚さ20μmの近赤外線吸収塗膜を形成した。該近赤外線吸収塗膜について、透過率およびヘーズを測定した。結果を表1に示す。また、透過スペクトルを図6に示す。【0086】〔例3〕湿式粉砕処理回数を10回に変更した以外は、例2と同様にして解砕物を得た。【0087】近赤外線吸収粒子:解砕物についてX線回折を測定した。X線回折の結果から、KCuPO4の結晶構造を確認でき、解砕物は、実質的にKCuPO4の結晶子からなる近赤外線吸収粒子であることが同定された。また、結晶子の大きさを表1に示す。また、近赤外線吸収粒子の粒子径測定用分散液を調製し、数平均凝集粒子径を測定した。結果を表1に示す。また、近赤外線吸収粒子の拡散反射スペクトル(反射率)を測定した。結果を表1に示す。また、拡散反射スペクトルを図7に示す。【0088】分散液、塗膜:近赤外線吸収粒子を変更した以外は、例2と同様にして分散液、および近赤外線吸収塗膜を得た。該近赤外線吸収塗膜について、透過率およびヘーズを測定した。結果を表1に示す。また、透過スペクトルを図8に示す。【0089】〔例4〕例2の黄緑色の焼成物をシクロヘキサノンに分散させ、固形分濃度9質量%の分散液とし、ボールミルを用いて233時間湿式粉砕を行った。湿式粉砕後の分散液から解砕物を遠心分離し、るつぼに移して150℃で乾燥し、緑色の解砕物を得た。【0090】例2の黄緑色の焼成物および例4のボールミル後の緑色の解砕物について、顕微IRスペクトルを測定したところ、例2の黄緑色の焼成物において、リン酸基に帰属される1000cm-1付近のピークの吸収強度を基準(100%)とした際に、水に帰属される1600cm-1付近のピークの吸収強度が2.0%であり、かつ水酸基に帰属される3750cm-1付近のピークの吸収強度が9.6%であった。一方、例4のボールミル後の緑色の解砕物において、水および水酸基に由来する吸収が確認され、リン酸基に帰属される1000cm-1付近のピークの吸収強度を基準(100%)とした際に、水に帰属される1600cm-1付近のピークの吸収強度が8.7%であり、かつ水酸基に帰属される3750cm-1付近のピークの吸収強度が26.7%であった。【0091】ボールミル後の緑色の解砕物についてX線回折を測定した。結果を図9に示す。ピーク位置は、例2と類似しているが、全体的にピークがブロードになっていた。また、2θ=14.2°、35.7°等に例2には見られないピークがあった。これらは、水付着により、結晶構造が崩壊し、KCuPO4が主結晶構造ではなくなっているためである。【0092】例2の焼成物の代わりに例4のボールミル後の緑色の解砕物を用い、湿式粉砕処理回数を20回に変更した以外は、例2と同様にして解砕物を得た。【0093】近赤外線吸収粒子:解砕物についてX線回折を測定した。X線回折の結果から、解砕物は、水付着により、結晶構造が崩壊し、KCuPO4が主結晶構造ではなくなっていることが確認された。また、結晶子の大きさを表2に示す。また、近赤外線吸収粒子の粒子径測定用分散液を調製し、数平均凝集粒子径を測定した。結果を表2に示す。また、近赤外線吸収粒子の拡散反射スペクトル(反射率)を測定した。結果を表2に示す。また、拡散反射スペクトルを図10に示す。【0094】分散液、塗膜:近赤外線吸収粒子を変更した以外は、例2と同様にして分散液、および近赤外線吸収塗膜を得た。該近赤外線吸収塗膜について、透過率およびヘーズを測定した。結果を表2に示す。また、透過スペクトルを図11に示す。【0095】〔例5〕例2において、PO43-/Cu2+(モル比)が7となるように、原料の混合割合を変更した以外は、例2と同様にして黄緑色の焼成物を得た。焼成物についてX線回折を測定した。結果を図12に示す。例2にないピークが多く観測された(たとえば、2θ=12.5°、12.8°、15.3°等)。例5のX線回折は、過去に報告例のあるKCu4(PO4)3の回折パターンと類似することから、主成分はKCu4(PO4)3であると同定された。【0096】例2の焼成物の代わりに例5の焼成物を用い、湿式粉砕処理回数を20回に変更した以外は、例2と同様にして解砕物を得た。【0097】近赤外線吸収粒子:解砕物についてX線回折を測定した。X線回折の結果から、解砕物の主成分はKCu4(PO4)3であると同定された。また、結晶子の大きさを表2に示す。また、近赤外線吸収粒子の粒子径測定用分散液を調製し、数平均凝集粒子径を測定した。結果を表2に示す。また、近赤外線吸収粒子の拡散反射スペクトル(反射率)を測定した。結果を表2に示す。また、拡散反射スペクトルを図13に示す。【0098】分散液、塗膜:近赤外線吸収粒子を変更した以外は、例2と同様にして分散液、および近赤外線吸収塗膜を得た。該近赤外線吸収塗膜について、透過率およびヘーズを測定した。結果を表2に示す。また、透過スペクトルを図14に示す。【0099】〔例6〕例2において、PO43-/Cu2+(モル比)が0.5となるように、原料の混合割合を変更した以外は、例2と同様にして黄緑色の焼成物を得た。焼成物についてX線回折を測定した。例6のX線回折も、過去に報告例のあるKCu4(PO4)3の回折パターンと類似することから、主成分はKCu4(PO4)3であると推定された。【0100】例2の焼成物の代わりに例6の焼成物を用い、湿式粉砕処理回数を20回に変更した以外は、例2と同様にして解砕物を得た。【0101】近赤外線吸収粒子:解砕物についてX線回折を測定した。X線回折の結果から、解砕物は、KCu4(PO4)3であると推定された。また、結晶子の大きさを表2に示す。また、近赤外線吸収粒子の粒子径測定用分散液を調製し、数平均凝集粒子径を測定した。結果を表2に示す。また、近赤外線吸収粒子の拡散反射スペクトル(反射率)を測定した。結果を表2に示す。【0102】分散液、塗膜:近赤外線吸収粒子を変更した以外は、例2と同様にして分散液、および近赤外線吸収塗膜を得た。該近赤外線吸収塗膜について、透過率およびヘーズを測定した。結果を表2に示す。また、透過スペクトルを図15に示す。【0103】【表1】【0104】【表2】【0105】例1の近赤外線吸収塗膜は、本発明の近赤外線吸収粒子を含むため、波長500nmの透過率が高く、波長715nmの透過率が低く、透過率の変化量D’が急峻であった。例2の近赤外線吸収塗膜は、近赤外線吸収粒子の数平均凝集粒子径が小さく、ヘーズが低かったが、過度の粉砕処理によって粒子を構成する結晶子がKCuPO4の結晶構造を充分に維持できなくなり、可視光領域の短波長側(500nm以下)の透過率が低くなった。例3の近赤外線吸収塗膜は、近赤外線吸収粒子の数平均凝集粒子径が大きいため、ヘーズが高かった。例4~6の近赤外線吸収塗膜は、近赤外線吸収粒子の結晶構造が本発明とは異なるため、波長500nmの透過率が低く、波長715nmの透過率が高く、透過率の変化量D’が緩慢であった。
A
2012140307
2011000228
20110104
null
null
20120726
2012140307
20120726
null
null
5817118
20151009
null
null
null
フェライト組成物および電子部品
000003067
TDK株式会社
100097180
前田 均
伊藤 守,伊藤 綱,佐々木 弘勝,川口 達哉,▲高▼木 栄光
null
8
C01G 49/00 (20060101), H01F 17/04 (20060101), H01F 27/255 (20060101), H01F 1/34 (20060101), C04B 35/38 (20060101)
C01G 49/00 B ,H01F 17/04 F ,H01F 27/24 D ,H01F 1/34 B ,C04B 35/38 Z
2
null
null
null
0
12
4G002,4G018,5E041,5E070
4G002 AA06 ,4G002 AA12 ,4G002 AB02 ,4G002 AE02,4G018 AA08 ,4G018 AA21 ,4G018 AA25 ,4G018 AA31 ,4G018 AB09,5E041 AB02 ,5E041 BD01 ,5E041 CA01 ,5E041 NN01,5E070 AA11 ,5E070 AB09 ,5E070 BB01
【課題】使用温度あるいは環境温度が外気温付近あるいはそれよりもかなり高くなっても、高周波数かつ低磁場の環境下において、電力損失の低減と高い飽和磁束密度とを両立できる電子部品、および該電子部品に好適なフェライト組成物を提供すること。【解決手段】主成分が、Fe2O3とZnOとMnOとから構成され、主成分100重量%に対して、副成分として、SiO2を50~300ppm、CaOを110~1120ppmを含有するフェライト組成物であって、フェライト組成物の磁気損失の極小温度Tspが45~51℃の範囲にあり、主成分におけるFe2O3の含有量をXモル%、ZnOの含有量をZモル%、残部を酸化マンガンとしたときに、Tsp、XおよびZが下記式(1)および(2)を満足するフェライト組成物。Tsp=21.6(X+0.52Z)-1520…式(1)X≧58.0…式(2)【選択図】なし
【請求項1】主成分が、酸化鉄と、酸化亜鉛と、酸化マンガンと、から構成され、前記主成分100重量%に対して、副成分として、酸化ケイ素をSiO2換算で50~300ppm、酸化カルシウムをCaO換算で110~1120ppmを含有するフェライト組成物であって、前記フェライト組成物の磁気損失の極小温度Tspが45~51℃の範囲にあり、前記主成分における前記酸化鉄の含有量をFe2O3換算でXモル%、前記酸化亜鉛の含有量をZnO換算でZモル%、残部を前記酸化マンガンとしたときに、前記Tsp、前記Xおよび前記Zが下記式(1)および(2)を満足することを特徴とするフェライト組成物。Tsp=21.6(X+0.52Z)-1520…式(1)X≧58.0…式(2)【請求項2】請求項1に記載のフェライト組成物から構成されるフェライトコアを有し、1MHz以上の周波数領域で使用される電子部品。
【請求項1】主成分が、酸化鉄と、酸化亜鉛と、酸化マンガンと、から構成され、前記主成分100重量%に対して、副成分として、酸化ケイ素をSiO2換算で50~300ppm、酸化カルシウムをCaO換算で110~1120ppmを含有するフェライト組成物であって、前記フェライト組成物の磁気損失の極小温度Tspが45~51℃の範囲にあり、前記主成分における前記酸化鉄の含有量をFe2O3換算でXモル%、前記酸化亜鉛の含有量をZnO換算でZモル%、残部を前記酸化マンガンとしたときに、前記Tsp、前記Xおよび前記Zが下記式(1)および(2)を満足することを特徴とするフェライト組成物。Tsp=21.6(X+0.52Z)-1520…式(1)X≧58.0…式(2)
【技術分野】【0001】本発明は、フェライト組成物および電子部品に関し、さらに詳しくは、使用温度あるいは環境温度が外気温付近あるいは外気温よりもかなり高くなる場合であっても、高周波数かつ低磁場の環境下において、電力損失の低減と高い飽和磁束密度とを両立できる電子部品、および該電子部品に好適なフェライト組成物に関する。
【背景技術】【0002】近年、携帯用機器等の各種電子機器の小型・軽量化が急速に進み、それに対応すべく、各種電子機器の電気回路に用いられる電子部品の小型化・高効率化・高周波数化への要求が急速に高まっている。【0003】たとえば、携帯用機器等のDC-DCコンバータ用のコイル磁芯としては、従来Ni-Znフェライトが用いられてきた。しかしながら、Ni-Znフェライトは比較的に電力損失が大きいため、コイル磁芯等の部品の小型化・高効率化・高周波数化への対応が困難であった。【0004】このような問題に対し、Ni-Znフェライトに代えて、Mn-Znフェライトを用いることが考えられる。従来、Mn-Znフェライトは、電源用トランスなどに用いられ、低周波数かつ高磁場の環境下で使用されてきた。【0005】一般にトランス等の磁芯として用いられるフェライトには、実際の使用温度域よりも高い温度域において磁気損失が最小となるような温度特性を持つことが要求されてきた。これは、使用時にトランスが磁気損失により発熱しトランス自体の温度が上昇、その結果、さらに磁気損失が増大してトランスの発熱が大きくなることを繰り返す、いわゆる熱暴走を起こす危険性があったからである。電源用トランスの場合、使用温度域は、通常、動作温度(たとえば80℃)付近の温度とされる。【0006】ところが、近年、たとえば、トランスをフッ素系不活性液体等を用いて冷却した場合、その環境温度あるいは使用温度を任意の温度とすることが可能となっている。この場合、磁気損失が最小となる温度は特に制限されず、磁気損失の絶対値が小さいことのみが要求される。【0007】一方、携帯用機器等のDC-DCコンバータ用のコイル磁芯として用いる場合、使用温度が外気温付近であっても、種々の電子部品が高密度に実装された機器内では、他の部品の発熱により磁芯の環境温度が外気温よりもかなり高くなることがある。しかしながら、このような場合であっても、トランスと比較すると、電圧も低く、熱暴走の危険は少ない。また、このような携帯用機器では、上述したように、駆動周波数の高周波数化(たとえば1MHz以上)が進み、高周波数領域における損失が小さいことが要求される。【0008】また、トランスにおいても、DC-DCコンバータのような携帯用機器に用いられる部品においても、大電流への対応が進んでいる。そのため、このような部品に用いられる磁芯には大電流でもインダクタンスが低下しない優れた直流重畳特性が要求される。優れた直流重畳特性を実現するには、高い飽和磁束密度が必須であり、特にその環境温度あるいは使用温度において高い飽和磁束密度を有することが必要となる。【0009】したがって、環境温度あるいは使用温度が外気温付近あるいは外気温よりもかなり高くなる場合であっても、高周波数領域での磁気損失を低下させ、高い飽和磁束密度を有するフェライト組成物が求められている。【0010】低損失で高飽和磁束密度を有するMn-Znフェライトの例として、たとえば、特許文献1では、主成分として、Fe2O3が52.4~53.7モル%、ZnOが7.0~11.5モル%、残部MnOとし、副成分として、CaOと、V2O5と、Nb2O5と、Al2O3またはBi2O3とを特定量含むMn-Znフェライトが提案されている。【0011】しかしながら、上記のMn-Znフェライトは、特許文献1にも記載されているように、トランスの実駆動温度である60℃以上において、低周波数領域での磁気損失が最小となる温度(Pcvmin)を設定しており、外気温付近かつ高周波領域では使用できないという問題があった。
【発明が解決しようとする課題】【0013】本発明は、このような実状に鑑みてなされ、使用温度あるいは環境温度が外気温付近あるいは外気温よりもかなり高くなる場合であっても、高周波数かつ低磁場の環境下において、電力損失の低減と高い飽和磁束密度とを両立できる電子部品、および該電子部品に好適なフェライト組成物を提供することを目的とする。
【課題を解決するための手段】【0014】本発明者らは、上記目的を達成するために鋭意検討を行った結果、フェライト組成物の酸化鉄の含有量が比較的多い場合には、磁気損失が最小となる温度を求める関係式として従来知られている式が成り立たないことを見出した。そして、従来の式の代わりに、磁気損失が最小となる温度を求める関係式を見出し、この式を用いることで、磁気損失が最小となる特定の温度範囲において、磁気損失の低減と高い飽和磁束密度とを両立できることを見出し、本発明を完成するに到った。【0015】すなわち、本発明に係るフェライト組成物は、主成分が、酸化鉄と、酸化亜鉛と、酸化マンガンと、から構成され、前記主成分100重量%に対して、副成分として、酸化ケイ素をSiO2換算で50~300ppm、酸化カルシウムをCaO換算で110~1120ppmを含有するフェライト組成物であって、前記フェライト組成物の磁気損失の極小温度Tspが45~51℃の範囲にあり、前記主成分における前記酸化鉄の含有量をFe2O3換算でXモル%、前記酸化亜鉛の含有量をZnO換算でZモル%、残部を前記酸化マンガンとしたときに、前記Tsp、前記Xおよび前記Zが下記式(1)および(2)を満足することを特徴とする。Tsp=21.6(X+0.52Z)-1520…式(1)X≧58.0…式(2)【0016】本発明では、外気温付近あるいはそれよりもかなり高い温度での使用を考慮し、磁気損失が極小となる温度(Tsp)が45~51℃の範囲である場合に、上記の式(1)および(2)を用いて主成分の組成を決定し、さらに副成分の含有量を上記の特定の範囲としている。このようにすることで飽和磁束密度Bsを高く保ちつつ、高周波領域(たとえば、1MHz以上)においても電力損失(Pcv)を低減できるフェライト組成物を得ることができる。【0017】本発明に係る電子部品は、上記に記載のフェライト組成物から構成されるフェライトコアを有し、1MHz以上の周波数領域で使用される。【0018】本発明に係る電子部品は、フェライト組成物のTspが45~51℃の温度範囲にあるため、使用温度あるいは環境温度が外気温付近あるいは外気温よりもかなり高い温度になる部品として好適である。しかも、電力損失の低減と高い飽和磁束密度とを両立しているため、省電力を実現することができる。【0019】このような電子部品としては、特に制限されないが、携帯用機器等に用いられるDC-DCコンバータのコイル部品などが挙げられる。コイル部品としては、インダクタやチョークコイル等が挙げられる。また、Tspを示す温度付近までトランスを冷却することで、本発明に係る電子部品をトランスにも好適に用いることができる。トランス部品としては、スイッチング用、インバータ用等の電源トランス等が挙げられる。
null
【発明を実施するための形態】【0021】以下、本発明を図面に示す実施形態に基づき説明する。【0022】本実施形態に係るDC-DCコンバータ用フェライトコアとしては、図1に示したトロイダル型のほか、FT型、ET型、EI型、UU型、EE型、EER型、UI型、ドラム型、ポット型、カップ型等を例示することができる。このDC-DCコンバータ用フェライトコアの周囲に巻き線を所定巻数だけ巻回することにより所望のコイル磁芯を得る。【0023】本実施形態に係るDC-DCコンバータ用フェライトコアは、本実施形態に係るフェライト組成物で構成してある。【0024】本実施形態に係るフェライト組成物は、Mn-Zn系フェライトであり、主成分として、酸化鉄、酸化マンガンおよび酸化亜鉛を含有している。また、本実施形態に係るフェライト組成物の磁気損失が極小となる温度(Tsp)は、45~51℃の範囲にある。【0025】従来、Mn-Zn系フェライトにおいて、Tspを示す温度は、結晶磁気異方性によって説明がなされていた。すなわち、結晶磁気異方性定数K1の符号が温度上昇に伴って、負から正の値に変わるK1=0の温度において、磁気損失が極小値を持つと言われている。【0026】また、この温度は、透磁率が極大となる、いわゆる透磁率のセカンダリーピークと一致することが知られている。上記のK1は、温度上昇に対して単調に増加するが、Fe2+は正のK1を持つため、Fe2+の量が増加すると(すなわち、Fe2O3量が増加すると)、セカンダリーピークの温度は低温側に移動する。【0027】上記の知見および実験結果に基づき、「電子材料シリーズフェライト」(丸善株式会社発行、昭和63年)の79頁には、Fe2O3量をXモル%、ZnO量をZモル%とした場合に、Tspを求める式として、下記の式が記載されている。Tsp=-45.5(X+0.2Z)+2620【0028】また、Fe2O3量を増やすことで、高い飽和磁束密度が得られやすいことが知られている。しかしながら、Fe2O3量が多くなると、飽和磁束密度は、Fe2O3量だけではなく、Fe2O3量とZnO量との比率にも影響されると考えられる。【0029】本実施形態に係るフェライト組成物のTspは45~51℃の範囲にある。このようなフェライト組成物では、Fe2O3量を増加させることで、Tspを上記の範囲内とし、飽和磁束密度を高めることが考えられる。そこで、上記の式を用いてFe2O3量およびZnO量を決定しようとすると、たとえばTspが-200℃以下となってしまい、現実的ではない。【0030】そのため、上記のTspを求める式は、Fe2O3量が多い(たとえば、58モル%以上)場合には、成り立たないと考えられる。ところが、Fe2O3量が多い場合にTspを求める指標となるものが存在しないため、Fe2O3量が多い場合に、1MHz以上の高周波数領域において、高い飽和磁束密度を有するフェライト組成物については、何ら知見がなかった。【0031】そこで、本発明者等は鋭意実験を行い、フェライト組成物中の酸化鉄の含有量が比較的多い場合に、Tspと酸化鉄および酸化亜鉛とが、上記の式とは異なる関係を有することを見出した。すなわち、フェライト組成物中の酸化鉄の含有量をFe2O3換算でXモル%、酸化亜鉛の含有量をZnO換算でZモル%としたときに、Tspと、XおよびZとは、下記の式(1)および式(2)を満足する。Tsp=21.6(X+0.52Z)-1520…式(1)X≧58.0…式(2)【0032】したがって、本実施形態では、主成分100モル%中、酸化鉄の含有量(X)および酸化亜鉛の含有量(Z)は、Fe2O3およびZnO換算で、上記の式(1)を満足するように決定される。なお、Xは、好ましくは58.0~66.0モル%、より好ましくは61.0~66.0モル%である。【0033】酸化鉄の含有量が多い場合には、磁気損失が極小となる温度(Tsp)と、酸化鉄および酸化亜鉛の含有量と、が上記の関係式を満足するため、Tspが45~51℃の範囲内となる最適な酸化鉄および酸化亜鉛の含有量が決定される。なお、主成分の残部は、酸化マンガンから構成される。【0034】本実施形態に係るフェライト組成物は、上記の式で算出される主成分に加え、副成分として、酸化ケイ素および酸化カルシウムを含有している。このような副成分を含有させることで、電力損失の絶対値を小さくし、かつ高い飽和磁束密度を得ることができる。【0035】酸化ケイ素の含有量は、主成分100重量%に対して、SiO2換算で、50~300ppmである。酸化ケイ素の含有量が多くても少なすぎても、高周波数領域での電力損失が劣化する傾向にある。【0036】酸化カルシウムの含有量は、主成分100重量%に対して、CaO換算で、110~1120ppmである。酸化カルシウムの含有量が多くても少なすぎても、高周波数領域での電力損失が劣化する傾向にある。【0037】なお、本実施形態に係るフェライト組成物には、不可避的不純物元素の酸化物が含まれ得る。【0038】具体的には、B、C、P、S、Cl、As、Se、Br、Te、Iや、Li、Na、Mg、Al、K、Ga、Ge、Sr、Cd、In、Sn、Sb、Ba、Pb、Bi等の典型金属元素や、Sc、Ti、V、Cr、Co、Ni、Cu、Y、Zr、Nb、Mo、Pd、Ag、Hf、Ta等の遷移金属元素が挙げられる。【0039】次に、本実施形態に係るフェライト組成物の製造方法の一例を説明する。【0040】まず、出発原料(主成分の原料および副成分の原料)を、所定の組成比となるように秤量して混合し、原料混合物を得る。混合する方法としては、たとえば、ボールミルを用いて行う湿式混合や、乾式ミキサーを用いて行う乾式混合が挙げられる。なお、平均粒径が0.1~3μmの出発原料を用いることが好ましい。【0041】主成分の原料としては、酸化鉄(α-Fe2O3)、酸化亜鉛(ZnO)、酸化マンガン(Mn3O4)、あるいは複合酸化物などを用いることができる。さらに、その他、焼成により上記した酸化物や複合酸化物となる各種化合物等を用いることができる。焼成により上記した酸化物になるものとしては、たとえば、金属単体、炭酸塩、シュウ酸塩、硝酸塩、水酸化物、ハロゲン化物、有機金属化合物等が挙げられる。なお、主成分中の酸化マンガンの含有量はMnO換算で計算されるが、主成分の原料としては、Mn3O4が好ましく用いられる。【0042】副成分の原料としては、主成分の原料の場合と同様に、酸化物だけではなく複合酸化物や焼成後に酸化物となる化合物を用いればよい。酸化ケイ素(SiO2)の場合には、SiO2を用いることが好ましい。また、酸化カルシウム(CaO)の場合には、炭酸カルシウム(CaCO3)を用いることが好ましい。【0043】次に、原料混合物の仮焼きを行い、仮焼き材料を得る。仮焼きは、原料の熱分解、成分の均質化、フェライトの生成、焼結による超微粉の消失と適度の粒子サイズへの粒成長を起こさせ、原料混合物を後工程に適した形態に変換するために行われる。こうした仮焼きは、好ましくは800~1100℃の温度で、通常1~3時間程度行う。仮焼きは、大気(空気)中で行ってもよく、大気中よりも酸素分圧が高い雰囲気や純酸素雰囲気で行っても良い。なお、主成分の原料と副成分の原料との混合は、仮焼きの前に行なってもよく、仮焼き後に行なってもよい。【0044】次に、仮焼き材料の粉砕を行い、粉砕材料を得る。粉砕は、仮焼き材料の凝集をくずして適度の焼結性を有する粉体とするために行われる。仮焼き材料が大きい塊を形成しているときには、粗粉砕を行ってからボールミルやアトライターなどを用いて湿式粉砕を行う。湿式粉砕は、仮焼き材料の平均粒径が、好ましくは1~2μm程度となるまで行う。【0045】次に、粉砕材料の造粒(顆粒)を行い、造粒物を得る。造粒は、粉砕材料を適度な大きさの凝集粒子とし、成形に適した形態に変換するために行われる。こうした造粒法としては、たとえば、加圧造粒法やスプレードライ法などが挙げられる。スプレードライ法は、粉砕材料に、ポリビニルアルコールなどの通常用いられる結合剤を加えた後、スプレードライヤー中で霧化し、低温乾燥する方法である。【0046】次に、造粒物を所定形状に成形し、成形体を得る。造粒物の成形としては、たとえば、乾式成形、湿式成形、押出成形などが挙げられる。乾式成形法は、造粒物を、金型に充填して圧縮加圧(プレス)することにより行う成形法である。成形体の形状は、特に限定されず、用途に応じて適宜決定すればよいが、本実施形態ではトロイダル型形状とされる。【0047】次に、成形体の本焼成を行い、焼結体(本実施形態のフェライト組成物)を得る。本焼成は、多くの空隙を含んでいる成形体の粉体粒子間に、融点以下の温度で粉体が凝着する焼結を起こさせ、緻密な焼結体を得るために行われる。このような本焼成は、好ましくは900~1300℃の温度で、通常2~5時間程度行う。本焼成は、大気(空気)中で行ってもよく、大気中よりも酸素分圧が高い雰囲気で行っても良い。【0048】このような工程を経て、本実施形態に係るフェライト組成物は製造される。【0049】以上、本発明の実施形態について説明してきたが、本発明はこうした実施形態に何等限定されるものではなく、本発明の要旨を逸脱しない範囲内において種々なる態様で実施し得ることは勿論である。【0050】たとえば、上述した実施形態では、トロイダル型形状とするために、本焼成前に該形状に成形しているが、本焼成後に該形状に成形(加工)してもよい。【実施例】【0051】以下、本発明を、さらに詳細な実施例に基づき説明するが、本発明は、これら実施例に限定されない。【0052】まず、主成分の原料として、Fe2O3、ZnOおよびMn3O4を準備した。副成分の原料として、SiO2およびCaCO3を準備した。【0053】次に、準備した主成分の原料の粉末を、上記の式(1)により算出された含有量となるように秤量し、さらに、副成分の原料の粉末を表1に示す量となるように秤量した後、ボールミルで5時間湿式混合して原料混合物を得た。【0054】次に、得られた原料混合物を、空気中において950℃で2時間仮焼して仮焼き材料とした後、ボールミルで20時間湿式粉砕して、平均粒径が1.5μmである粉砕材料を得た。【0055】次に、この粉砕材料を乾燥した後、該粉砕材料100重量%に、バインダーとしてのポリビニルアルコールを1.0重量%添加して造粒し、20メッシュの篩で整粒して顆粒とした。この顆粒を196MPa(2ton/cm2)の圧力で加圧成形して、トロイダル形状(寸法=外径22mm×内径12mm×高さ6mm)の成形体を得た。【0056】次に、これら各成形体を、酸素分圧を適宜制御しながら、1270℃で2.5時間焼成して、焼結体としてのトロイダルコアサンプルを得た。得られたサンプルについて、蛍光X線分析を行い、フェライトコアの組成を測定した。結果を表1および2に示す。【0057】<電力損失(Pcv)>得られたトロイダルコアサンプルに、1次巻線および2次巻線を3回ずつ巻回し、1MHz-50mTの条件において、0~55℃における電力損失を測定し、損失が最小となる温度(Tsp)を求め、Tspおよび48℃での電力損失Pcvを算出した(単位:kW/m3)。測定は、B-Hアナライザー(岩崎通信機株式会社製SY-8217)を用いて行った。結果を表1および2に示す。【0058】<飽和磁束密度(Bs)>得られたトロイダルコアサンプルに、巻線を60回巻回した後、B-Hカーブトレーサー(理研電子株式会社製ModelBHS40)を用いて2kA/mの磁場を印加したときの飽和磁束密度Bsを室温、48℃および100℃において測定した(単位:mT)。結果を表1および2に示す。【0059】<焼結体密度>得られたトロイダルコアサンプルについて、焼成後の焼結体の寸法および重量から、焼結体密度を算出した。焼結体密度は4.80g/cm3以上を良好とした。結果を表1および2に示す。【0060】また、表1および2には、48℃におけるPcvとBsとに基づきフェライトコアの品質係数を示すPcv/Bsを示した。Pcvが小さいほど、あるいは、Bsが大きいほど、このPcv/Bsは小さくなる。したがって、Pcv/Bsの値が小さいほど、電力損失の低減と高い飽和磁束密度とを両立できるため好ましい。本実施例では、48℃におけるPcv/Bsは1.49以下を良好とした。【0061】【表1】【0062】【表2】【0063】表1より、計算で求めたTspと、Pcvの測定により得られたTspと、がほぼ一致していることが確認できた。また、実施例1~6に係る試料では、Tspが45~51℃の範囲内であることが確認できた。さらに、実施例1~6に係る試料では、高周波数領域(1MHz)における電力損失(Pcv)が低く、しかも高い飽和磁束密度(Bs)が得られ、48℃におけるPcv/Bsで表される品質係数が良好となることが確認できた。【0064】また、表2より、副成分(SiO2およびCaO)を含有させても、Tspは変化せず、その含有量を本発明の範囲内とすることで(実施例7~11)、1MHzにおける電力損失の低減および高い飽和磁束密度を両立でき、品質係数が良好となることが確認できた。【0065】したがって、本発明に係る電子部品は、使用温度および環境温度域において電力損失が低減され、しかも高い飽和磁束密度が得られるため、高効率の部品である。その結果、本発明に係る電子部品を携帯用機器等に用いた場合であっても、電池等の消耗を抑制でき、省電力を実現することができる。
A
2012140379
2011000023
20110104
null
null
20120726
2012140379
20120726
null
null
null
null
null
null
null
歯磨き剤組成物
511004209
株式会社韓国衛生センター
100076059
逢坂 宏
岩川 徹,キム・ミンハ
null
8
A61K 8/19 (20060101), A61Q 11/00 (20060101), A61K 8/44 (20060101), A61K 8/25 (20060101), A61K 8/29 (20060101), A61K 8/26 (20060101)
A61K 8/19 ,A61Q 11/00 ,A61K 8/44 ,A61K 8/25 ,A61K 8/29 ,A61K 8/26
6
null
null
null
0
7
4C083
4C083 AA161,4C083 AA162,4C083 AB172,4C083 AB211,4C083 AB212,4C083 AB221,4C083 AB222,4C083 AB231,4C083 AB232,4C083 AB241,4C083 AB242,4C083 AB292,4C083 AB322,4C083 AB501,4C083 AB502,4C083 AC132,4C083 AC641,4C083 AC642,4C083 AC712,4C083 AC782,4C083 AD272,4C083 AD392,4C083 BB23 ,4C083 CC41 ,4C083 DD17 ,4C083 EE06 ,4C083 EE07 ,4C083 EE34 ,4C083 EE36 ,4C083 EE37
【課題】歯磨き剤組成物において、特定の研磨剤を用いて、研磨と口臭除去とを同時に実現すること、また甘味が感じられなくなる原因を取除くこと。【解決手段】研磨剤としての火山灰を0.1~20質量部含有し、望ましくは、1~5質量部のコカミドプロピルベタイン(Cocamidopropylbetain)を気泡剤として更に含有する歯磨き剤組成物。上記の火山灰は、研磨作用のある成分と口臭除去作用(消臭作用)のある成分との双方を含んでいるため、研磨と口臭除去とを同時に実現することができ、また上記のコカミドプロピルベタインは、気泡剤としての作用を有すると共に、舌の甘みを感じる味覚受容体の機能を損ねるものではないため、気泡力を十分に保持でき、歯磨き後に果物などを食したときにもその甘みを味わうことができる。【選択図】なし
【請求項1】火山灰を0.1~20質量部含有する歯磨き剤組成物。【請求項2】1~5質量部のコカミドプロピルベタインを更に含有する、請求項1に記載した歯磨き剤組成物。【請求項3】前記火山灰は研磨及び口臭除去作用をなす、請求項1に記載した歯磨き粉組成物。【請求項4】気泡剤としての前記コカミドプロピルベタインは舌の甘味感覚を損ねない作用もなす、請求項2に記載した歯磨き剤組成物。【請求項5】前記火山灰は粒径10μm以下の微粒シラスからなる、請求項1~4のいずれか1項に記載した歯磨き剤組成物。【請求項6】増粘剤、湿潤剤、甘味剤及び溶剤(又は分散媒)を更に含有する、請求項1~5のいずれか1項に記載した歯磨き剤組成物。
【請求項1】火山灰を0.1~20質量部含有する歯磨き剤組成物。
【技術分野】【0001】本発明は、研磨性及び口臭除去性に優れ、更には歯磨き後も舌の甘味感覚を保持できる歯磨き剤組成物に関するものである。
【背景技術】【0002】一般に、歯磨き粉に用いる研磨剤としては、炭酸カルシウム(CaCO3)、シリカ(SiO2)、燐酸水素カルシウム(CaHPO4・2H2O)等が知られている。こうした原料は、研磨効果を有するが、口臭の除去には不十分なものである。そのために、通常の歯磨き粉には、研磨剤に加えて、口臭除去に効果のある緑茶や過酸化水素又は着香料を別途添加している。【0003】また、一般の歯磨き粉では、気泡剤(又は界面活性剤)としてラウリル硫酸ナトリウム(SodiumLaurylSulfate)を用いている(後記の特許文献1及び2)。この原料は、その界面活性作用による起泡効果で歯磨き性能を高めるためのものである。
【発明が解決しようとする課題】【0004】上記した歯磨き粉においては、研磨剤の他に、口臭除去のための添加剤を別途添加する必要があるので、材料費が増える上に、その調合作業が必要となる。【0005】また、上記したラウリル硫酸ナトリウムは、歯磨き粉に用いると、舌の甘味を感じる味覚受容体を鈍化させるので、歯磨きの後に果物を食べると、酸味が強く感じられ、苦味も感じられる。これでは、歯磨き後に、バナナなどの果物の甘味を感じることができず、不都合である。【0006】こうした問題点を解消するために、ラウリル硫酸ナトリウムに代わる代替原料が検討されているが、代替気泡剤は気泡力が弱く、或いは製造が困難であるため、依然としてラウリル硫酸ナトリウムを用いているのが現状である。【0007】従って、本発明は、歯磨き剤(又は歯磨き粉)において、特定の研磨剤を用いて、研磨と口臭除去とを同時に実現することを第1の目的とし、また甘味が感じられなくなる原因を取除くことを第2の目的とするものである。
【課題を解決するための手段】【0008】即ち、本発明は、研磨剤としての火山灰を0.1~20質量部含有する歯磨き剤組成物に係るものであり、望ましくは、1~5質量部のコカミドプロピルベタイン(Cocamidopropylbetain)を気泡剤として更に含有する歯磨き剤組成物に係るものである。
【発明の効果】【0009】本発明によれば、上記の火山灰は、研磨作用のある成分と口臭除去作用(消臭作用)のある成分との双方を含んでいるため、火山灰を含有させるだけで研磨と口臭除去とを同時に実現することができる。従って、歯磨き剤の材料費を低減し、その調合作業も容易となる。【0010】こうした研磨及び口臭除去の効果を同時実現するためには、上記の火山灰の含有量は、歯磨き剤組成物全体に対して0.1~20質量部とすべきである。この含有量が0.1質量部未満であると、上記した作用を発揮することができず、また20質量部を超えると、研磨作用が強すぎて歯茎部の摩耗などを引起こしてしまう。この含有量は1~15質量部が好ましく、5~15質量部が更に好ましく、10質量部又はその前後が最も好ましい。【0011】また、上記のコカミドプロピルベタインは、歯磨き時に気泡剤としての作用を有する陽イオン界面活性剤であると共に、舌の甘味を感じる味覚受容体の機能を損ねるものではないため、上述したラウリル硫酸ナトリウムに代えて添加することによって、気泡力を十分に保持できる上に、甘みが感じられなくする原因をなくして、歯磨き後に果物などを食したときにもその甘味を味わうことができる。【0012】このコカミドプロピルベタインの含有量は、上記の効果を発揮する上で、歯磨き剤組成物全体に対して1~5質量部とすべきである。この含有量が1質量部未満であると、上記の効果を奏することができず、また5質量部を超えると、起泡作用が強くなりすぎるため、好ましくない。この含有量は1~3質量部が好ましく、2質量部又はその前後が最も好ましい。
【発明を実施するための形態】【0013】本発明の歯磨き剤組成物においては、上記の火山灰は粒径10μm以下の微粒シラス(更には、粒径2μm以下の超微粒シラス)からなるのが望ましい。これは、微粒シラスが研磨作用を十二分に発揮できる粒径を有していると共に、研磨効果に優れたSiO2等の成分を有し、かつ脱臭効果のあるMgOなどのミネラル成分も有しているからである。【0014】こうした微粒シラスは、火山ガラス質堆積物を粉砕機で粉砕して10μm以下の粒径に微粒化することにより得られる。そして、遠心力を利用して粒径を選別する回転式の篩により、粒径を均一化するのがよい。なお、上記の超微粒シラスは、一般的なシラスバルーンの粒径が10μmであるのに対し、上記した粉砕及び篩による粒径の選別により得られる。【0015】この超微粒シラスはその表面がマイナスイオンに帯電されているので、プラスイオンを帯びた歯や歯茎部の表面の付着物を引きつけて除去する作用もある。これが、超微粒による優れた研磨効果と相乗効果を生じることになる。また、超微粒シラスは多孔質であることから、それ自体が対象物の表面の細部にまで行き渡ると同時に、上記した気泡剤や後記の他の添加剤を吸着保持しながらその作用を十二分に発揮させることができる。【0016】本発明の歯磨き剤組成物は、上記の火山灰(更には、望ましくはコカミドプロピルベタイン)からなる必須成分の他に、公知の増粘剤、湿潤剤、甘味剤及び溶剤などの他の添加剤を更に含有してよい。例えば、増粘剤としてカルボキシメチルセルロースナトリウム(Sodiumcarboxymethylcellulose)など、湿潤剤としてソルビトール(Sorbitol)など、甘味剤としてステビオサイド(Stevioside)、サッカリン(Saccharin)など、溶剤又は分散媒として水などを用いることができる。【0017】なお、歯磨き粉を一般的な方法で作れば苦味が出るため、甘みを感じさせるためにステビオサイドやサッカリンを添加するのがよい。即ち、ステビオサイドなどは歯磨き粉の固有の甘みを出すために添加するものであるから、その作用は、気泡剤として添加するコカミドプロピルアミンの甘味感覚保持作用とは異質のものである。【実施例】【0018】次に、本発明を実施例について更に詳細に説明する。【0019】まず、本実施例の歯磨き粉(又は歯磨き剤)組成物に研磨剤として用いる火山灰について説明する。この火山灰は、日本国鹿児島県吉田地区で採取したものであって、日本国では「ヨシダシラス」と呼ばれている。【0020】この火山灰は、成分分析によって次の組成からなっていることが分かっている(%は質量%を表わす)。SiO2:73.3%TiO2:0.37%Al2O3:13.4%Fe2O3:2.29%MgO:0.38%CaO:1.75%Na2O:3.29%K2O:3.56%H2O:1.66%(乾燥時に蒸発する量)【0021】上記の成分を見れば、この火山灰は、研磨効果のあるSiO2、TiO2、Al2O3などを主成分にすると共に、脱臭効果のあるMgO、CaO、Na2Oなどのミネラル成分を相当量含有していることが分かる。【0022】ここで、研磨度について述べると、歯磨き粉の研磨度を公知の歯磨耗度(研磨度)試験法で測定した際、50以下は低研磨度、50~100は中間研磨度、100以上は高研磨度と評価される。【0023】上記のヨシダシラスは、火山灰を2000メッシュ(6.4μm)の粒径となるまで粉砕した後に精製したものであって、70程度の中間研磨度を示すので、100以上の高研磨度のものに比較して、歯茎部摩耗症を誘発する可能性が少なく、安全で滑らかに使用できることが確認された。なお、他の火山灰も、ヨシダシラスと同等の作用、機能を有している。【0024】また、このヨシダシラスについて、一般に採用されているアンモニア(NH3)脱臭実験方法:KICM-FIR-1085(2006)によってアンモニア脱臭実験を行ったところ、60分後の脱臭率が80%以上であった。これは、口臭の原因であるアンモニアを十分に除去できることを証明している。【0025】次に、下記の表1に示す成分からなる歯磨き粉(又は歯磨き剤)組成物を常法に従って製造した(表中の各数値は質量部を表わす)。【0026】即ち、製造釜に水とソルビトールを入れて60℃まで加温し、この加温後に研磨剤を添加して撹拌し、カルボキシメチルセルロースナトリウムと二酸化珪素を入れて撹拌した後、最後に気泡剤を入れて撹拌した。【0027】【表1】【0028】上記成分からなる各歯磨き剤について、公知の歯磨耗度(研磨度)試験法によって研磨テストを行った。その結果を下記の表2に示す。【0029】このテストにおいては、歯研磨度(歯摩耗度)を抜歯した人の歯について生体外的に検証し、歯磨きで摩耗された標本歯の切断表面の面積により歯磨き粉の摩耗度を測定した。ここで採用した歯磨き粉の歯研磨度(歯摩耗度)の試験法は、摩耗歯質表面輪郭測定法(SurfaceprofileMethod)であるが、これ以外にも、摩耗歯質放射線測定法(Radio-tracerMethod)、摩耗歯質重量測定法(Weight-lossTechnique)などの試験法を用いてもよい。この際、表面輪郭測定機としてMitutoyo社のSurftestsv-2000を用いた。【0030】具体的には、歯磨きをする過程において、300gの張力を標本歯片(抜歯した歯の琺瑯質を除去後、象牙質で試験に供されるもの)の表面に作用させて、1分当り往復200回程度で約3000回の歯磨きを行った。この際に用いた機器は、電動歯ブラシに張力300gを維持するスプリング装置を用いた。そして、標本歯片を引き離した後、摩耗された表面を表面輪郭測定機(Surfaceprofiler)で再測定して、記録された記録紙の上で面積機により標本歯片の摩耗された面積を測定し、歯磨き粉によって摩耗された標本歯片の表面積を算出した。【0031】【表2】【0032】この結果から、本発明に基づく実施例1及び2の歯磨き剤では、70程度の中間研磨度を示すので、歯茎部摩耗症を誘発する可能性が少なく、安全で滑らかに使用できることが確認された。【0033】また、上記の各歯磨き剤について、公知の口臭テスター(プラステック社製のmBAー21)を用いて、口臭の原因であるアンモニアを測定して口臭除去テストを行った。この口臭テスターでは、アンモニアの含有量に応じて数値(口臭度)で1~100まで表しているが、数値が高いほどアンモニア濃度(ppm)が高い。一般的に、普通の人であると、歯磨き前の基本値が30程度である。それぞれ男女30人ずつを選んで歯磨き剤を使用させ、使用前と使用後の数値を測定した。測定結果を下記の表3に示す。【0034】【表3】【0035】上記の結果から、本発明に基づく実施例1及び2の方が、各比較例に比べて口臭除去力に優れていることが分かる。【0036】更に、上記の各歯磨き剤をそれぞれ10人ずつに使用させ、歯磨き後にバナナなどの果物を食べさせ、このときに果物の甘味を感じるか否かをテストした。その結果を下記の表4に示す。【0037】【表4】【0038】この結果から、本発明に基づく実施例1の歯磨き剤は、歯磨き後に果物を食べた時にその甘味が十分感じられるのに対し、各比較例では甘味が感じられないことが分った。
A
2012140380
2011000068
20110104
null
null
20120726
2012140380
20120726
null
null
null
null
null
null
null
2-アルコキシ置換ベンゾオキサチイン化合物の製造方法
301021533
独立行政法人産業技術総合研究所
null
null
清水 政男
null
8
C07D 327/06 (20060101), A61P 31/04 (20060101), A61K 31/39 (20060101)
C07D327/06 CSP ,A61P 31/04 ,A61K 31/39
3
null
null
null
0
10
4C086
4C086 AA03 ,4C086 AA04 ,4C086 BB05 ,4C086 MA01 ,4C086 MA04 ,4C086 NA14 ,4C086 ZB35
【課題】安全で簡便な2-アルコキシ置換ベンゾオキサチイン化合物の製造方法の提供。【解決手段】酸の存在下に一般式(B)で表されるチオサリチル酸化合物とオルトギ酸エステル化合物を反応させて一般式(A)で表される2-アルコキシ置換ベンゾオキサチイン化合物を製造する。【選択図】なし
【請求項1】一般式(A)で表される2-アルコキシ置換ベンゾオキサチイン化合物。(式中、置換基R1は、炭素数1~8の鎖状あるいは炭素数3~8の環状のアルキル基、炭素数1~8のアルコキシル基及びハロゲン原子から選ばれる基又は原子を表す。R1が複数ある場合は、各R1は互いに同一であっても異なっていてもよく、nは、0または1~4の整数である。R2は、炭素数1~6のアルキル基を示す。)【請求項2】一般式(B)で表されるチオサリチル酸化合物と一般式(C)で表されるオルト酸エステル化合物を反応させることを特徴とする、一般式(A)で示される2-アルコキシ置換ベンゾオキサチイン化合物の製造方法。(式中、置換基R1は、炭素数1~8の鎖状あるいは炭素数3~8の環状のアルキル基、炭素数1~8のアルコキシル基及びハロゲン原子から選ばれる基又は原子を表す。R1が複数ある場合は、各R1は互いに同一であっても異なっていてもよく、nは、0または1~4の整数である。R2は、炭素数1~6のアルキル基を示す。)(式中、置換基R1は、炭素数1~8の鎖状あるいは炭素数3~8の環状のアルキル基、炭素数1~8のアルコキシル基、炭素数2~12のアルコキシカルボニル基、フェニル基、及びハロゲン原子から選ばれる基又は原子を表す。R1が複数ある場合は、各R1は互いに同一であっても異なっていてもよく、nは、0または1~4の整数である。)(R2は、炭素数1~6のアルキル基を示す。)【請求項3】一般式(B)で表されるチオサリチル酸化合物と一般式(C)で表されるオルト酸エステル化合物との反応を酸の存在下で行うことを特徴とする、請求項2に記載の一般式(A)で示される2-アルコキシ置換ベンゾオキサチイン化合物の製造方法。
【請求項1】一般式(A)で表される2-アルコキシ置換ベンゾオキサチイン化合物。(式中、置換基R1は、炭素数1~8の鎖状あるいは炭素数3~8の環状のアルキル基、炭素数1~8のアルコキシル基及びハロゲン原子から選ばれる基又は原子を表す。R1が複数ある場合は、各R1は互いに同一であっても異なっていてもよく、nは、0または1~4の整数である。R2は、炭素数1~6のアルキル基を示す。)【請求項2】一般式(B)で表されるチオサリチル酸化合物と一般式(C)で表されるオルト酸エステル化合物を反応させることを特徴とする、一般式(A)で示される2-アルコキシ置換ベンゾオキサチイン化合物の製造方法。(式中、置換基R1は、炭素数1~8の鎖状あるいは炭素数3~8の環状のアルキル基、炭素数1~8のアルコキシル基及びハロゲン原子から選ばれる基又は原子を表す。R1が複数ある場合は、各R1は互いに同一であっても異なっていてもよく、nは、0または1~4の整数である。R2は、炭素数1~6のアルキル基を示す。)(式中、置換基R1は、炭素数1~8の鎖状あるいは炭素数3~8の環状のアルキル基、炭素数1~8のアルコキシル基、炭素数2~12のアルコキシカルボニル基、フェニル基、及びハロゲン原子から選ばれる基又は原子を表す。R1が複数ある場合は、各R1は互いに同一であっても異なっていてもよく、nは、0または1~4の整数である。)(R2は、炭素数1~6のアルキル基を示す。)
【技術分野】【0001】本発明は、ベンゾオキサチイン化合物の効率的な製造方法に関するものであり、特に、ベンゾオキサチイン環の2位にアルコキシ基が置換したベンゾオキサチイン化合物(以下、2-アルコキシ置換ベンゾオキサチイン化合物という。)の製造方法、並びに、当該方法により新たに提供される、2-アルコキシ置換ベンゾオキサチイン化合物に関するものである。
【背景技術】【0002】ベンゾオキサチインの誘導体は、殺虫剤の原料として有用である(特許文献1)だけでなく、抗菌・抗バクテリア作用を有するもの(非特許文献1)として知られている重要な化合物である。また、抗菌剤として使われている1,2-ベンゾイソチアゾリン-3-オン化合物の出発原料としても重要な化合物である(特許文献2)。【0003】このようなベンゾオキサチイン化合物を製造する方法として、これまでに、チオサリチル酸を、硫酸、パラトルエンスルホン酸、カンファースルホン酸等の酸の存在下でアルデヒドあるいはアセタールと反応させる方法(非特許文献1、非特許文献2)、チオサリチル酸と酢酸ビニルを酢酸水銀と硫酸の存在下で反応させる方法(特許文献1、非特許文献2)、チオサリチル酸とアリルアルコール誘導体を酸存在下反応させる方法(特許文献3)などが知られている。しかし、これらいずれの方法も、ベンゾオキサチイン環における2位の置換基は水素あるいは炭素に限られ、他の元素が置換された誘導体の合成はなされていなかった。また、これらの方法のうち、特に酢酸水銀を用いる方法においては、有毒な水銀廃液の処理を行わなければならないといった難点があった。【0004】以上のことから、チオサリチル酸を出発原料とし、ベンゾオキサチイン化合物を製造する場合に、簡便な合成操作で有毒な廃棄処理工程も採ることなく安全に、ベンゾオキサチイン環の2位に所望の様々な置換基を有する2-置換ベンゾオキサチイン化合物を製造する方法の確立が切望されている。
【発明が解決しようとする課題】【0007】本発明は、チオサリチル酸を出発原料とし、ベンゾオキサチイン化合物を製造する場合に、簡便な合成操作で有毒な廃棄処理工程も採ることなく安全に、2-アルコキシ置換ベンゾオキサチイン化合物を製造できる方法を提供することを目的とし、また、そのような方法によって、2-アルコキシ置換ベンゾオキサチイン化合物を新たに提供することを目的とする。
【課題を解決するための手段】【0008】本発明者らは、チオサリチル酸化合物を出発原料とするベンゾオキサチイン化合物の製法について鋭意研究を重ねた結果、チオサリチル酸に対してオルトギ酸エステル化合物を、好ましくは酸の存在下で反応させると、2-アルコキシ置換ベンゾオキサチイン化合物が簡便かつ安全に得られることを知見し、本発明を完成するに至った。【0009】すなわち、この出願は、下記の発明を提供するものである。(1)一般式(A)で示される2-アルコキシ置換ベンゾオキサチイン化合物。【化1】(式中、置換基R1は、炭素数1~8の鎖状あるいは炭素数3~8の環状のアルキル基、炭素数1~8のアルコキシル基及びハロゲン原子から選ばれる基又は原子を表す。R1が複数ある場合は、各R1は互いに同一であっても異なっていてもよく、nは、0または1~4の整数である。R2は、炭素数1~6のアルキル基を示す。)【0010】(2)一般式(B)で表されるチオサリチル酸化合物と一般式(C)で表されるオルトギ酸エステル化合物を反応させることを特徴とする、一般式(A)で示される2-アルコキシ置換ベンゾオキサチイン化合物の製造方法。【化2】(式中、置換基R1は、炭素数1~8の鎖状あるいは炭素数3~8の環状のアルキル基、炭素数1~8のアルコキシル基及びハロゲン原子から選ばれる基又は原子を表す。R1が複数ある場合は、各R1は互いに同一であっても異なっていてもよく、nは、0または1~4の整数である。R2は、炭素数1~6のアルキル基を示す。)【化3】(式中、置換基R1は、炭素数1~8の鎖状あるいは炭素数3~8の環状のアルキル基、炭素数1~8のアルコキシル基及びハロゲン原子から選ばれる基又は原子を表す。R1が複数ある場合は、各R1は互いに同一であっても異なっていてもよく、nは、0または1~4の整数である。)【化4】(R2は、炭素数1~6のアルキル基を示す。)【0011】(3)一般式(B)で表されるチオサリチル酸化合物と一般式(C)で表されるオルト酸エステル化合物との反応を酸の存在下で行うことを特徴とする、(2)に記載の一般式(A)で示される2-アルコキシ置換ベンゾオキサチイン化合物の製造方法。
【発明の効果】【0012】本発明の方法により、2-アルコキシ置換ベンゾオキサチイン化合物を簡便に合成することができる。本発明の方法は、従来の酢酸水銀を用いる方法のように、有毒な水銀廃液の処理を行う必要がないので、操作が安全かつ簡便であり、2-アルコキシ置換ベンゾオキサチイン化合物を効率よく製造することができる。また、本発明により得られる2-アルコキシ置換ベンゾオキサチイン化合物は、従来公知のベンゾオキサチイン化合物と同様に殺虫剤や抗菌剤の原料物質として利用することができる。
【発明を実施するための形態】【0013】本発明の目的化合物は、以下の一般式(A)により示される2-アルコキシ置換ベンゾオキサチイン化合物である。【化5】前記式中、置換基R1は、炭素数1~8の鎖状あるいは炭素数3~8の環状のアルキル基、炭素数1~8のアルコキシル基及びハロゲン原子から選ばれる基又は原子を表す。R1が複数ある場合は、各R1は互いに同一であっても異なっていてもよく、nは、0または1~4の整数である。R2は、炭素数1~6のアルキル基を示す。【0014】前記R1のアルキル基の具体例としては、メチル、エチル、プロピル、イソプロピル、ブチル、t-ブチル、イソブチル、ペンチル、イソペンチル、ヘキシル、イソヘキシル、ヘプチル、オクチル、シクロプロピル、シクロブチル、シクロペンチル、シクロヘキシル、シクロヘプチル、シクロオクチル基等が挙げられる。前記R1のアルコキシル基の具体例としては、メトキシ、エトキシ、プロポキシ、イソプロポキシ、シクロプロポキシ、ブトキシ、イソブトキシ、t-ブトキシ、ペンチロキシ、ヘキシロキシ、シクロヘキシロキシル基等が挙げられる。前記R1のハロゲン原子としては、フッ素、塩素、臭素、ヨウ素が挙げられる。前記R2のアルキル基の具体例としては、メチル、エチル、プロピル、イソプロピル、ブチル、t-ブチル、イソブチル、ペンチル、イソペンチル、ヘキシル、イソヘキシル、シクロプロピル、シクロブチル、シクロペンチル、シクロヘキシル基等が挙げられる。【0015】前記一般式(A)で表される2-アルコキシ置換ベンゾオキサチイン化合物を製造する方法は、以下の通りである。下記一般式(B)で表されるチオサリチル酸化合物に対し、下記一般式(C)で表されるオルトギ酸エステル化合物を酸存在下反応させる。【化6】式中、置換基R1は、炭素数1~8の鎖状あるいは炭素数3~8の環状のアルキル基、炭素数1~8のアルコキシル基及びハロゲン原子から選ばれる基又は原子を表す。R1が複数ある場合は、各R1は互いに同一であっても異なっていてもよく、nは、0または1~4の整数である。前記式中、R1は、前記一般式(A)により示される2-アルコキシ置換ベンゾオキサチイン化合物のR1の場合と同じである。【化7】R2は、炭素数1~6のアルキル基を示す。前記R2のアルキル基の具体例としては、メチル、エチル、プロピル、イソプロピル、ブチル、t-ブチル、イソブチル、ペンチル、イソペンチル、ヘキシル、イソヘキシル基等が挙げられる。【0016】本発明の、原料物質としてオルトギ酸エステル化合物を用いる、2-アルコキシ置換ベンゾオキサチイン化合物の新規な合成反応の反応スキームは以下の通りである。【化8】【0017】この新規な合成反応は、酸の存在下で行うことが好ましい。酸としては、無機酸、有機酸のいずれもが使用できる。無機酸としては、塩酸、硫酸、リン酸、などが挙げられる。また、有機酸としては、カンファースルホン酸、トルエンスルホン酸、ベンゼンスルホン酸のようなスルホン酸が挙げられる。酸の使用量は、基質のチオサリチル酸に対して、5~100モル%であることが好ましい。【0018】本発明のこの新規な合成反応は、オルト蟻酸エステル化合物を反応試薬兼反応溶媒として用いるが、クロロホルム、ベンゼン、トルエン、キシレン、クロロベンゼン、ジクロロベンゼン、アニソール、アセトニトリル、テトラヒドロフラン、1,4-ジオキサン等の有機溶媒との混合溶媒の形で使用してもかまわない。【0019】反応温度は、50℃~150℃の範囲の温度で行うことができる。この温度範囲以下の低温の場合には反応時間が遅くなり、この範囲を超えて高すぎる場合には、異常な分解反応や副反応が多い結果となる。このようなことから、前記温度範囲は、70℃~120℃の範囲であることが好ましい。反応時間は、反応温度、オルトギ酸エステル化合物の種類により左右され、一概に定めることはできないが、通常は1~10時間である。【0020】前記反応の原料物質である(B)、(C)は公知物質である。(B)の製法の一例を挙げれば、塩化2-カルボキシベンゼンジアゾニウム化合物と硫化ナトリウムから得られるジスルフィド化合物を還元する製造方法を挙げることができる。(C)は市販されている化合物を用いることができる。【0021】本発明で得られる2-アルコキシ置換ベンゾオキサチイン化合物の代表例について例示すると以下の化学式(1)~(6)で示される化合物である。しかしながら、これらの化合物に限定されるものではない。【化9】【0022】本発明の方法で得られる2-アルコキシ置換ベンゾオキサチイン化合物は、従来公知のベンゾオキサチイン化合物と同様に、殺虫剤、抗菌剤の原料として用いられる。たとえば、2-アルコキシ置換ベンゾオキサチイン化合物は、従来公知のベンゾオキサチイン化合物と同様な反応が進行して、抗菌剤として使われている1,2-ベンゾイソチアゾリン-3-オン化合物への出発原料となる。【化10】【実施例】【0023】次に、本発明を実施例により詳細に説明する。以下に述べる実施例は本発明の理解を容易にするために代表的な化合物の一例をあげたものであり、本発明はこれに限定されるものではない。下記実施例に記載されている2-アルコキシ置換ベンゾオキサチイン化合物は、構造決定に際しては、各種スペクトルと元素分析の結果により同定した。また、製造された化合物(1)~(6)は、前記で示した化合物(1)~(6)に対応するもので、その物性値としては、沸点、核磁気共鳴スペクトル(1H-NMR,13C-NMR)、赤外吸収スペクトル(IR)、元素分析値の順にそれぞれ記した。【0024】実施例1内容積25mLのガラス製容器にチオサリチル酸(500mg,3.24mmol)、カンファースルホン酸(37mg,0.16mmol)、オルトギ酸メチル(2mL)を混合し、100℃で4時間加熱した。反応終了後、反応試薬兼溶媒のオルトギ酸メチルを減圧下留去させ、粗生成物を得た。これをシリカゲルカラムクロマトグラフィー(溶媒、塩化メチレン)で分離精製し、目的化合物である化合物(1)の2-メトキシ-1,3-ベンゾオキサチイン-4-オンを得た(収率:42%)。沸点:bp182℃(2.3×102Pa).1HNMR(CDCl3,400MHz)δ3.60(3H,s),6.35(1H,s),7.30-7.34(2H,m),7.51(1H,td,J=8.2,1.8Hz),8.19(1H,dd,J=8.2,1.4Hz).13CNMR(CDCl3,100MHz)δ56.7,103.9,123.4,126.6,128.0,132.0,134.1,134.3,161.7.IR(neat)νmax2939,1731,1442,1104,1073,741cm-1.元素分析:CalcdforC9H8O3S:C,55.09;H,4.11.Found:C,55.25;H,4.53.【0025】実施例2内容積25mLのガラス製容器にチオサリチル酸(500mg,3.24mmol)、カンファースルホン酸(37mg,0.16mmol)、オルトギ酸エチル(2mL)を混合し、100℃で2時間加熱した。反応終了後、常温まで冷却して水、炭酸ナトリウム溶液を加えて塩化メチレンで生成物を抽出した。有機相を水で洗浄して硫酸マグネシウムで乾燥させた。溶媒を減圧下留去させ、粗生成物を得た。これをシリカゲルカラムクロマトグラフィー(溶媒、塩化メチレン)で分離精製し、目的化合物である化合物(2)の2-エトキシ-1,3-ベンゾオキサチイン-4-オンを得た(収率:36%)。沸点:bp185℃(1.9×102Pa).1HNMR(CDCl3,400MHz)δ1.26(3H,t,J=7.3Hz),3.84(1H,dq,J=9.6,7.3Hz),3.95(dq,J=9.6,7.3Hz),6.43(1H,s),7.30-7.33(2H,m),7.51(1H,td,J=7.7,1.8Hz),8.19(1H,dd,J=8.2,1.8Hz).13CNMR(CDCl3,100MHz)δ14.7,65.8,102.9,123.4,126.5,128.0,132.1,134.1,134.7,162.1.IR(neat)νmax2981,1730,1442,1103,1070,742cm-1.元素分析:CalcdforC10H10O3S:C,57.13;H,4.79.Found:C,57.16;H,5.16.【0026】実施例3実施例2においてオルトギ酸エチルの代わりにオルトギ酸プロピルを用いて同様な反応を行い、目的化合物である化合物(3)の2-プロポキシ-1,3-ベンゾオキサチイン-4-オンを得た(収率:38%)。1HNMR(CDCl3,400MHz)δ0.89(3H,t,J=7.3Hz),1.63(2H,sext,J=7.3Hz),3.73(1H,dt,J=9.1,7.3Hz),3.84(1H,dt,J=9.1,7.3Hz),6.42(1H,s),7.29-7.33(2H,m),7.50(1H,td,J=7.8,1.4Hz),8.18(1H,dd,J=8.2,1.4Hz).13CNMR(CDCl3,100MHz)δ10.3,22.4,71.6,103.1,123.5,126.5,128.0,132.0,134.0,134.7,162.2.IR(neat)νmax2967,1731,1442,1104,1072,743cm-1.【0027】実施例4実施例2においてオルトギ酸エチルの代わりにオルトギ酸イソプロピルを用いて同様な反応を行い、目的化合物である化合物(4)の2-イソプロポキシ-1,3-ベンゾオキサチイン-4-オンを得た(収率:31%)。沸点:bp185℃(1.3×102Pa).1HNMR(CDCl3,400MHz)δ1.24(3H,d,J=6.4Hz),1.26(3H,d,J=6.4Hz),4.22(1H,sept,J=6.4Hz),6.48(1H,s),7.28-7.36(2H,m),7.50(1H,td,J=7.5,1.4Hz),8.18(1H,dd,J=8.2,1.4Hz).13CNMR(CDCl3,100MHz)δ21.8,22.7,73.5,101.8,123.5,126.5,128.0,132.0,134.0,135.0,162.4.IR(neat)νmax2978,1729,1442,1298,1097,1066,1017,742cm-1.【0028】実施例5実施例1においてチオサリチル酸の代わりに5-メチルチオサリチル酸を用いて同様な反応を行い、目的化合物である化合物(5)の2-メトキシ-6-メチル-1,3-ベンゾオキサチイン-4-オンを得た(収率:40%)。融点:mp66.7-67.6℃(再結晶溶媒:ヘキサン).1HNMR(CDCl3,400MHz)δ2.37(3H,s),3.59(3H,s),6.32(1H,s),7.20(1H,d,J=7.8Hz),7.33(1H,dd,J=7.8,1.6Hz),8.00(1H,d,J=1.6Hz).13CNMR(CDCl3,100MHz)δ20.9,56.7,104.0,123.2,127.9,130.7,132.3,135.2,136.8,162.0.IR(KBr)νmax2944,1730,1478,1307,1118,967,771cm-1.【0029】実施例6実施例1においてチオサリチル酸の代わりに5-フルオロサリチル酸を用いて同様な反応を行い、目的化合物である化合物(6)の2-メトキシ-6-フルオロ-1,3-ベンゾオキサチイン-4-オンを得た(収率:35%)。融点:mp78.0-79.5℃(再結晶溶媒:ヘキサン).1HNMR(CDCl3,400MHz)δ3.59(3H,s),6.34(1H,s),7.24-7.32(2H,m),7.89(1H,dd,J=8.7,2.7Hz).13CNMR(CDCl3,100MHz)δ56.9,104.1,118.6(d,J=22Hz),122.0(d,J=2Hz),125.0(d,J=7.7Hz),129.4(d,J=2.9Hz),129.7(d,J=7.7Hz),160.3(d,J=110Hz),162.2.IR(KBr)νmax2953,1735,1469,1308,1225,1138,948,825,768cm-1.
A
2012140546
2011000074
20110104
null
null
20120726
2012140546
20120726
null
null
5608568
20140905
null
null
null
硬化剤及び/又は硬化促進剤複合粒子
000002174
積水化学工業株式会社
110000914
特許業務法人 安富国際特許事務所
山田 恭幸,岩本 匡志
null
8
C08J 3/11 (20060101)
C08J 3/11
2
null
null
null
0
14
4F070
4F070 AA18 ,4F070 AA25 ,4F070 AC45 ,4F070 AD05 ,4F070 CA01
【課題】硬化剤及び/又は硬化促進剤の放出性に優れ、硬化性樹脂組成物に配合された場合に優れた硬化性を発揮することができ、貯蔵安定性にも優れた硬化剤及び/又は硬化促進剤複合粒子を提供する。【解決手段】ポリマーにより形成されるシェルに硬化剤及び/又は硬化促進剤を内包する硬化剤及び/又は硬化促進剤複合粒子であって、前記シェルは、内側の一部分に凹部を有しており、前記凹部のシェル厚みは、前記凹部以外のシェル厚みの1/5~4/5であり、前記凹部の長さは、内径から前記凹部を除いた長さの1/30~1/8である硬化剤及び/又は硬化促進剤複合粒子。【選択図】なし
【請求項1】ポリマーにより形成されるシェルに硬化剤及び/又は硬化促進剤を内包する硬化剤及び/又は硬化促進剤複合粒子であって、前記シェルは、内側の一部分に凹部を有しており、前記凹部のシェル厚みは、前記凹部以外のシェル厚みの1/5~4/5であり、前記凹部の長さは、内径から前記凹部を除いた長さの1/30~1/8であることを特徴とする硬化剤及び/又は硬化促進剤複合粒子。【請求項2】ポリマーは、親水性基と疎水性基とを有する熱可塑性ポリマー、水酸基を有するポリビニルアセタール樹脂、又は、アクリロニトリルに由来するセグメントを有する共重合体を含有し、かつ、硬化剤及び/又は硬化促進剤は、疎水性イミダゾール化合物であることを特徴とする請求項1記載の硬化剤及び/又は硬化促進剤複合粒子。
【請求項1】ポリマーにより形成されるシェルに硬化剤及び/又は硬化促進剤を内包する硬化剤及び/又は硬化促進剤複合粒子であって、前記シェルは、内側の一部分に凹部を有しており、前記凹部のシェル厚みは、前記凹部以外のシェル厚みの1/5~4/5であり、前記凹部の長さは、内径から前記凹部を除いた長さの1/30~1/8であることを特徴とする硬化剤及び/又は硬化促進剤複合粒子。
【技術分野】【0001】本発明は、硬化剤及び/又は硬化促進剤の放出性に優れ、硬化性樹脂組成物に配合された場合に優れた硬化性を発揮することができ、貯蔵安定性にも優れた硬化剤及び/又は硬化促進剤複合粒子に関する。
【背景技術】【0002】エポキシ樹脂は、接着剤、シール剤、コーティング剤等の様々な用途に用いられている。一般に、エポキシ樹脂には、硬化反応を進行させるための成分として硬化剤が、また、硬化性を向上させるための成分として硬化促進剤が添加される。特に、硬化剤又は硬化促進剤とエポキシ樹脂とを安定な一液にするために、潜在性をもたせた硬化剤又は硬化促進剤が多用されている。【0003】例えば、特許文献1に記載の異方導電性接着剤においては、平均粒径が0.1~3μmであり、マイクロカプセル壁材膜の厚さが0.01~0.3μmであるマイクロカプセル化イミダゾール誘導体エポキシ化合物が用いられている。しかしながら、このようなマイクロカプセル化イミダゾール誘導体エポキシ化合物は、イミダゾール誘導体とエポキシ化合物とを途中段階まで反応させ、反応生成物を微粉砕して得られた粉体であり、イミダゾール誘導体とエポキシ化合物との接触界面が硬化しているにすぎない。そのため、このようなマイクロカプセル化イミダゾール誘導体エポキシ化合物をエポキシ樹脂用硬化剤又は硬化促進剤として用いる場合には、時間の経過とともに硬化反応が進行しやすく、充分な貯蔵安定性が得られない。【0004】この問題を解決するために、硬化反応を進行させることなく硬化剤又は硬化促進剤をマイクロカプセル化する種々の方法が検討されている。例えば、特許文献2には、アミン化合物と、有機溶媒中に所定のポリマーからなる膜物質が溶解された疎水性溶液とを、混合して溶解し、これを乳化剤を溶解した水性媒体中に乳化分散させた後、加熱して上記有機溶媒を除去することにより、上記アミン化合物と膜物質とを相分離させて膜物質によってアミン化合物を被覆保護するマイクロカプセルの製法が記載されている。【0005】しかしながら、特許文献2に記載の方法により得られるマイクロカプセルは、熱に対する応答性、即ち、加熱時の硬化剤又は硬化促進剤の放出性の点では充分ではない。従って、貯蔵時には充分に安定でありながら、硬化時には熱に対してより鋭敏に応答して硬化剤又は硬化促進剤を放出し、速やかに硬化反応を開始することのできるマイクロカプセルが求められている。
【発明が解決しようとする課題】【0007】本発明は、硬化剤及び/又は硬化促進剤の放出性に優れ、硬化性樹脂組成物に配合された場合に優れた硬化性を発揮することができ、貯蔵安定性にも優れた硬化剤及び/又は硬化促進剤複合粒子を提供することを目的とする。
【課題を解決するための手段】【0008】本発明は、ポリマーにより形成されるシェルに硬化剤及び/又は硬化促進剤を内包する硬化剤及び/又は硬化促進剤複合粒子であって、前記シェルは、内側の一部分に凹部を有しており、前記凹部のシェル厚みは、前記凹部以外のシェル厚みの1/5~4/5であり、前記凹部の長さは、内径から前記凹部を除いた長さの1/30~1/8である硬化剤及び/又は硬化促進剤複合粒子である。以下、本発明を詳述する。【0009】本発明者は、ポリマーにより形成されるシェルに硬化剤及び/又は硬化促進剤を内包する硬化剤及び/又は硬化促進剤複合粒子において、シェルを、内側の一部分に特定の凹部を有するシェルとすることにより、貯蔵安定性を損なうことなく、硬化剤及び/又は硬化促進剤の放出性を改善することができることを見出し、本発明を完成させるに至った。【0010】本発明の硬化剤及び/又は硬化促進剤複合粒子は、ポリマーにより形成されるシェルに硬化剤及び/又は硬化促進剤を内包する硬化剤及び/又は硬化促進剤複合粒子である。上記ポリマーは特に限定されないが、例えば、親水性基と疎水性基とを有する熱可塑性ポリマー、水酸基を有するポリビニルアセタール樹脂、アクリロニトリルに由来するセグメントを有する共重合体等を含有することが好ましい。【0011】上記親水性基と疎水性基とを有する熱可塑性ポリマーにおける親水性基として、例えば、グリシジル基、水酸基、カルボキシル基、スルホン基等が挙げられる。なかでも、グリシジル基が好ましい。また、上記親水性基と疎水性基とを有する熱可塑性ポリマーにおける疎水性基として、例えば、フェニル基、メチル基、エチル基、プロピル基、メタクリル基等が挙げられる。なかでも、フェニル基が好ましい。【0012】上記親水性基と疎水性基とを有する熱可塑性ポリマーとして、具体的には、例えば、ポリスチレン誘導体、ポリメタクリル酸誘導体等が挙げられる。なかでも、ポリスチレン誘導体が好ましい。上記ポリスチレン誘導体は、上記親水性基と上記疎水性基とを有するポリスチレン誘導体であれば特に限定されないが、例えば、上記親水性基としてグリシジル基を有し、上記疎水性基としてポリスチレン骨格に由来するフェニル基を有するポリスチレン誘導体が好ましい。【0013】上記親水性基と疎水性基とを有する熱可塑性ポリマーの重量平均分子量は特に限定されないが、好ましい下限が5000、好ましい上限が10万である。上記重量平均分子量が5000未満であると、得られる硬化剤及び/又は硬化促進剤複合粒子の耐熱性又は耐溶剤性が低下することがある。上記重量平均分子量が10万を超えると、製造時に上記ポリマーの析出速度が速くなりすぎて、得られる硬化剤及び/又は硬化促進剤複合粒子がモノコア構造とならなかったりアスペクト比が大きくなったりすることがある。【0014】上記水酸基を有するポリビニルアセタール樹脂は特に限定されないが、通常、ポリ酢酸ビニルのけん化反応により得られたポリビニルアルコールを、アルデヒドでアセタール化することにより得られる。上記アセタール化に使用するアルデヒドとして、例えば、ホルムアルデヒド、アセトアルデヒド、パラアセトアルデヒド、ブチルアルデヒド等が挙げられる。なかでも、ブチルアルデヒドが好ましい。上記ポリマーとして上記水酸基を有するポリビニルアセタール樹脂を用いる場合には、上記水酸基を有するポリビニルアセタール樹脂の水酸基の含有量、アセタール化度、原料であるポリ酢酸ビニルのアセチル基に由来するアセチル基の含有量、重量平均分子量等を調整することにより、目的に合わせてシェルの物性を調整することができる。【0015】上記水酸基を有するポリビニルアセタール樹脂の重量平均分子量は特に限定されないが、好ましい下限が5000、好ましい上限が50万である。上記重量平均分子量が5000未満であると、得られる硬化剤及び/又は硬化促進剤複合粒子の耐熱性又は耐溶剤性が低下することがある。上記重量平均分子量が50万を超えると、製造時に上記ポリマーの析出速度が速くなりすぎて、得られる硬化剤及び/又は硬化促進剤複合粒子がモノコア構造とならなかったりアスペクト比が大きくなったりすることがある。上記水酸基を有するポリビニルアセタール樹脂の重量平均分子量は、より好ましい下限が3万、より好ましい上限が30万である。【0016】上記水酸基を有するポリビニルアセタール樹脂の市販品として、例えば、BL-10(積水化学工業社製)、BL-2H(積水化学工業社製)、BM-S(積水化学工業社製)、BH-3(積水化学工業社製)、♯-3000K(電気化学工業社製)、MOWITALB60T(クラレ社製)等が挙げられる。【0017】上記ポリマーとして上記アクリロニトリルに由来するセグメントを有する共重合体を用いる場合には、シェルのガスバリア性及び耐薬品性を向上させることができる。【0018】上記アクリロニトリルに由来するセグメントを有する共重合体において、上記アクリロニトリルに由来するセグメント以外の他のモノマーに由来するセグメントは、特に限定されない。上記他のモノマーとして、例えば、ビニル基を有する化合物等のラジカル重合性モノマーが挙げられる。上記ビニル基を有する化合物は特に限定されず、例えば、グリシジルメタクリレート(GMA)、メチルメタクリレート(MMA)等のメタクリル酸エステル、アクリル酸エステル、スチレン、ジビニルベンゼン、塩化ビニリデン、ビニルアルコール、ビニルピロリドン、エチレングリコールジメタクリレート、ブタジエン等が挙げられる。なかでも、スチレン、グリシジルメタクリレート(GMA)、メチルメタクリレート(MMA)が好ましい。【0019】上記アクリロニトリルに由来するセグメントを有する共重合体の重量平均分子量は、好ましい下限が5000、好ましい上限が10万である。上記重量平均分子量が5000未満であると、得られる硬化剤及び/又は硬化促進剤複合粒子の耐熱性又は耐溶剤性が低下することがある。上記重量平均分子量が10万を超えると、得られる硬化剤及び/又は硬化促進剤複合粒子は、硬化性樹脂組成物に配合された場合、加熱してもシェルが溶融又は分解せず硬化剤及び/又は硬化促進剤が放出されないために硬化が充分に進行しないことがある。上記アクリロニトリルに由来するセグメントを有する共重合体の重量平均分子量は、より好ましい下限が8000、より好ましい上限が5万であり、更に好ましい下限が1万、更に好ましい上限が3万である。【0020】上記ポリマーは、更に、無機ポリマーを含有してもよい。上記ポリマーが上記無機ポリマーを含有することで、硬化剤及び/又は硬化促進剤複合粒子の耐溶剤性が向上し、溶剤と混合する場合であっても硬化剤及び/又は硬化促進剤として好適に用いられる。【0021】上記無機ポリマーは特に限定されないが、分子中に2個以上の炭素数1~6のアルコキシ基を有し、かつ、Si、Al、Zr及びTiからなる群より選択される少なくとも1種の金属元素を含有する有機金属化合物の重合体が好ましい。このような有機金属化合物の重合体として、例えば、シリコーン樹脂、ポリボロシロキサン樹脂、ポリカルボシラン樹脂、ポリシラスチレン樹脂、ポリシラザン樹脂、ポリチタノカルボシラン樹脂等が挙げられる。なかでも、シリコーン樹脂が好ましく、グリシジル基を有するシリコーン樹脂がより好ましい。【0022】上記硬化剤は特に限定されず、例えば、エポキシ樹脂の硬化剤として通常用いられる硬化剤が挙げられ、具体的には、例えば、アミン、ポリアミド、酸無水物、ポリスルフィド、三フッ化ホウ素、及び、ビスフェノールA、ビスフェノールF、ビスフェノールS等のフェノール性水酸基を1分子中に2個以上有する化合物等が挙げられる。【0023】上記硬化促進剤は特に限定されず、例えば、三級アミン化合物、リン系触媒、イミダゾール化合物等が挙げられる。なかでも、他の硬化促進剤に比べて硬化性に優れることから、イミダゾール化合物が好ましい。上記イミダゾール化合物は特に限定されず、例えば、1,2-ジメチルイミダゾール、2-エチル-4-メチルイミダゾール、1-ベンジル-2-メチルイミダゾール、1-ベンジル-2-フェニルイミダゾール、1-シアノエチル-2-メチルイミダゾール、1-シアノエチル-2-ウンデシルイミダゾール、1-シアノエチル-2-エチル-4-メチルイミダゾール、1-ドデシル-2-メチル-3-ベンジルイミダゾリウムクロライド、及び、これらの付加体等が挙げられる。【0024】また、上記イミダゾール化合物として、疎水性イミダゾール化合物を用いることが好ましい。なお、本明細書中、疎水性イミダゾール化合物とは、水に最大限溶解させたときの濃度が5重量%未満であるイミダゾール化合物を意味する。上記疎水性イミダゾール化合物は特に限定されないが、炭素数11以上の炭化水素基を有するイミダゾール化合物が好ましい。上記炭素数11以上の炭化水素基を有するイミダゾール化合物として、例えば、2-ウンデシルイミダゾール、2-ヘプタデシルイミダゾール、1-シアノエチルイミダゾール、1-シアノエチル-2-フェニルイミダゾリウムトリメリテイト、2,4-ジアミノ-6-[2’-ウンデシルイミダゾリル-(1’)-エチル-s-トリアジン]等が挙げられる。なかでも、2-ウンデシルイミダゾールが好ましい。【0025】本発明の硬化剤及び/又は硬化促進剤複合粒子において、上記シェルは、内側の一部分に凹部を有している。このようなシェルを有する硬化剤及び/又は硬化促進剤複合粒子は、貯蔵安定性を損なうことなく、加熱時には上記凹部から速やかに崩壊して硬化剤及び/又は硬化促進剤を放出するため、硬化剤及び/又は硬化促進剤の放出性に優れ、硬化性樹脂組成物に配合された場合に優れた硬化性を発揮することができる。本発明の硬化剤及び/又は硬化促進剤複合粒子において、上記シェルは、貯蔵安定性と、硬化剤及び/又は硬化促進剤の放出性とを両立できる範囲内であれば、上記凹部を1つだけ有していてもよいし、2つ以上有していてもよい。ただし、加熱時の硬化剤及び/又は硬化促進剤の放出性がより優れることから、上記シェルは上記凹部を1つだけ有することが好ましい。【0026】図1及び2に、本発明の硬化剤及び/又は硬化促進剤複合粒子の断面図の一例を模式的に示す。図1及び2に示す硬化剤及び/又は硬化促進剤複合粒子1は、ポリマーにより形成されたシェル2に硬化剤及び/又は硬化促進剤3を内包しており、シェル2は、内側の一部分に凹部4を有している。凹部4においては、シェル厚みが、凹部以外のシェル厚みより薄くなっている。なお、図1及び2に示す硬化剤及び/又は硬化促進剤複合粒子は、シェルが凹部を1つだけ有する場合の硬化剤及び/又は硬化促進剤複合粒子である。【0027】上記凹部のシェル厚みは、上記凹部以外のシェル厚みの1/5~4/5である。上記凹部のシェル厚みが、上記凹部以外のシェル厚みの1/5未満であると、上記凹部のシェル厚みが薄すぎて、上記凹部から上記硬化剤及び/又は硬化促進剤が滲み出し、硬化剤及び/又は硬化促進剤複合粒子の貯蔵安定性が低下する。上記凹部のシェル厚みが、上記凹部以外のシェル厚みの4/5を超えると、上記凹部のシェル厚みが厚すぎて、上記硬化剤及び/又は硬化促進剤の放出性が低下する。上記凹部のシェル厚みは、上記凹部以外のシェル厚みの2/5以上であることが好ましく、また、上記凹部以外のシェル厚みの3/5以下であることが好ましい。【0028】なお、本明細書中、凹部のシェル厚みとは、凹部の最長径を通るように硬化剤及び/又は硬化促進剤複合粒子を直径で切断した断面を観察した場合の、凹部の最も薄い部分のシェル厚みを意味する。また、本明細書中、シェルが凹部を2つ以上有する場合には、凹部のシェル厚みとは、該2つ以上の凹部のシェル厚みの数平均値を意味する。また、本明細書中、凹部以外のシェル厚みとは、凹部以外のシェルの平均厚みを意味する。上記凹部のシェル厚み、及び、上記凹部以外のシェル厚みを、図2を参照して説明する。図2は、凹部の最長径を通るように本発明の硬化剤及び/又は硬化促進剤複合粒子を直径で切断した断面図である。図2に示す硬化剤及び/又は硬化促進剤複合粒子1において、dが凹部のシェル厚みであり、Dが凹部以外のシェル厚みである。【0029】上記凹部の長さは、内径から上記凹部を除いた長さの1/30~1/8である。上記凹部の長さが、内径から上記凹部を除いた長さの1/30未満であると、上記凹部が小さすぎて、上記硬化剤及び/又は硬化促進剤の放出性が低下する。上記凹部の長さが、内径から上記凹部を除いた長さの1/8を超えると、上記凹部が大きすぎて、硬化剤及び/又は硬化促進剤複合粒子の貯蔵安定性が低下したり、機械的強度が低下したりする。上記凹部の長さは、内径から上記凹部を除いた長さの1/20以上であることが好ましく、また、内径から上記凹部を除いた長さの1/10以下であることが好ましい。【0030】なお、本明細書中、凹部の長さとは、凹部の最長径を通るように硬化剤及び/又は硬化促進剤複合粒子を直径で切断した断面を観察した場合の、硬化剤及び/又は硬化促進剤複合粒子の内径のうちの凹部に相当する部分の長さを意味する。また、本明細書中、シェルが凹部を2つ以上有する場合には、凹部の長さとは、該2つ以上の凹部の長さの合計を意味する。上記凹部の長さ、及び、内径から上記凹部を除いた長さを、図2を参照して説明する。図2は、凹部の最長径を通るように本発明の硬化剤及び/又は硬化促進剤複合粒子を直径で切断した断面図である。図2に示す硬化剤及び/又は硬化促進剤複合粒子1において、wが凹部の長さであり、Wが内径から凹部を除いた長さである。【0031】本発明の硬化剤及び/又は硬化促進剤複合粒子において、上記硬化剤及び/又は硬化促進剤の内包率は特に限定されないが、好ましい下限が20重量%、好ましい上限が50重量%である。上記内包率が20重量%未満であると、硬化剤及び/又は硬化促進剤複合粒子の凹部及び凹部以外のシェル厚みが増大し、上記硬化剤及び/又は硬化促進剤の放出性が低下することがある。上記内包率が50重量%を超えると、硬化剤及び/又は硬化促進剤複合粒子の凹部及び凹部以外のシェル厚みが低下し、貯蔵安定性が低下することがある。上記硬化剤及び/又は硬化促進剤の内包率のより好ましい下限は30重量%、より好ましい上限は40重量%である。なお、本発明の硬化剤及び/又は硬化促進剤複合粒子において、凹部以外のシェル厚みは特に限定されないが、好ましい下限が0.05μm、好ましい上限が1.0μmであり、より好ましい下限が0.1μm、より好ましい上限が0.5μmである。【0032】更に、上記硬化剤及び/又は硬化促進剤の内包率が上記範囲を外れると、製造時の上記ポリマーと上記硬化剤及び/又は硬化促進剤との配合比が大きく変化することから、得られる硬化剤及び/又は硬化促進剤複合粒子がモノコア構造とならなかったりアスペクト比が大きくなったりすることがある。【0033】なお、本明細書中、硬化剤及び/又は硬化促進剤の内包率とは、硬化剤及び/又は硬化促進剤複合粒子全体の重量に対する硬化剤及び/又は硬化促進剤の重量の占める割合を意味し、所定量の硬化剤及び/又は硬化促進剤複合粒子の乾燥粉末を秤量し、アルミカップに乗せ、真空乾燥機を用いて110℃で5時間乾燥した後、乾燥後の硬化剤及び/又は硬化促進剤複合粒子を計量したとき、下記式(1)により算出される値を意味する。内包率(重量%)=[{(乾燥前の重量)-(乾燥後の重量)}/(乾燥前の重量)]×100(1)【0034】本発明の硬化剤及び/又は硬化促進剤複合粒子において、平均粒子径は特に限定されないが、好ましい下限が0.5μm、好ましい上限が5.0μmである。上記平均粒子径が0.5μm未満であると、上記範囲の内包率を維持しようとすると、硬化剤及び/又は硬化促進剤複合粒子の凹部及び凹部以外のシェル厚みが低下し、貯蔵安定性が低下することがある。上記平均粒子径が5.0μmを超えると、硬化剤及び/又は硬化促進剤複合粒子を硬化性樹脂組成物に配合した場合に、加熱により上記硬化剤及び/又は硬化促進剤が放出された後、大きなボイドが生じて硬化物の信頼性が低下することがある。上記平均粒子径のより好ましい上限は3.0μmである。【0035】本発明の硬化剤及び/又は硬化促進剤複合粒子において、アスペクト比は特に限定されないが、好ましい上限が1.1である。上記アスペクト比が1.1を超えると、硬化剤及び/又は硬化促進剤複合粒子の貯蔵安定性が低下したり、硬化性樹脂組成物に配合された場合に硬化が不均一となって硬化物の信頼性が低下したりすることがある。上記アスペクト比のより好ましい上限は1.05である。【0036】本発明の硬化剤及び/又は硬化促進剤複合粒子において、粒子径のCV値は特に限定されないが、好ましい上限が50%である。上記粒子径のCV値が50%を超えると、硬化剤及び/又は硬化促進剤複合粒子の貯蔵安定性が低下したり、硬化性樹脂組成物に配合された場合に硬化が不均一となって硬化物の信頼性が低下したりすることがある。上記粒子径のCV値のより好ましい上限は30%である。【0037】なお、本明細書中、硬化剤及び/又は硬化促進剤複合粒子の平均粒子径、アスペクト比及び粒子径のCV値は、以下のようにして求めた値を意味する。硬化剤及び/又は硬化促進剤複合粒子を、走査型電子顕微鏡を用いて1視野に約100個の粒子が観察できる倍率で観察し、任意に選択した50個の粒子の外径の最長径及び最短径を、ノギスを用いて測定する。最長径を粒子径とし、粒子径の数平均値を求め、これを平均粒子径とし、最短径に対する最長径の比(最長径/最短径)の数平均値を求め、これをアスペクト比とする。なお、アスペクト比は、1に近くなるほど真球状に近いことを意味する。また、粒子径のCV値は、下記式(2)で表される。CV値(%)=(粒子径の標準偏差σ/平均粒子径Dn)×100(2)【0038】本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法として、例えば、上記ポリマーと、上記ポリマーを溶解する溶媒1と、上記硬化剤及び/又は硬化促進剤と、上記硬化剤及び/又は硬化促進剤を溶解する溶媒2とを混合して混合溶液を調製する工程と、上記混合溶液と水性媒体とを乳化させる工程と、加熱を行い上記水性媒体中で上記溶媒1及び上記溶媒2を除去する工程とを有し、上記溶媒1の沸点は上記溶媒2の沸点より低く、かつ、上記溶媒1及び上記溶媒2の沸点は水の沸点より低いという条件を満たす方法(本明細書中、方法1ともいう)が挙げられる。【0039】上記方法1によれば、上記ポリマーを含有する相と上記硬化剤及び/又は硬化促進剤を含有する相とを相分離させながら溶媒を除去し、上記ポリマーにより形成されたシェルに上記硬化剤及び/又は硬化促進剤を内包する硬化剤及び/又は硬化促進剤複合粒子を製造することができる。上記方法1においては、上記ポリマーとして特に上述したような親水性基と疎水性基とを有する熱可塑性ポリマー、水酸基を有するポリビニルアセタール樹脂、アクリロニトリルに由来するセグメントを有する共重合体等を含有するポリマーを用いることにより、内側の一部分に凹部を有するシェルをより形成しやすくなる。これは、これらのポリマーは親水性基と疎水性基とを同一分子上に有するため、上記ポリマーを含有する相と上記硬化剤及び/又は硬化促進剤を含有する相との相分離が生じた際に選択的に粒子の外側へ移行しやすく、また、内包される硬化剤及び/又は硬化促進剤とも水性媒体とも親和性が良好であるためである。【0040】上記方法1によって内側の一部分に凹部を有するシェルが形成される理由としては、加熱を行い水性媒体中で溶媒を除去するときに、まず、上記溶媒1の大半が除去されて、上記硬化剤及び/又は硬化促進剤を含有する相を内包するように上記ポリマーの大半が析出し、次いで、上記硬化剤及び/又は硬化促進剤を残してコアから上記溶媒2の大半が除去され、最終的にコアから全ての溶媒が除去されるときには、析出した上記ポリマーの一部分、即ち、凹部となる一部分から除去されていくためであると推測される。【0041】上記方法1においては、上記溶媒1と上記溶媒2との組み合わせとして、上記溶媒1と上記溶媒2と水とが共沸する組み合せが好ましい。上記溶媒1と上記溶媒2と水とが共沸することにより、加熱を行い水性媒体中で溶媒を除去するときには、まず、上記溶媒1の大半が除去され、次いで、上記溶媒2の大半が除去された後、上記溶媒1と上記溶媒2と水性媒体を構成する水との共沸混合物が除去されることとなる。溶媒除去の最終段階で上記溶媒1と上記溶媒2と水性媒体を構成する水との共沸混合物がコアから除去されることにより、内側の一部分に凹部を有するシェルをより形成しやすくなる。【0042】上記加熱の条件は特に限定されないが、30~70℃に加熱することが好ましい。また、上記溶媒1及び上記溶媒2を除去する工程では、より低温かつ短時間で溶媒を除去でき、内側の一部分に凹部を有するシェルをより形成しやすくなることから、加熱に加えて減圧を行うことが好ましい。上記減圧の条件は特に限定されないが、0.095~0.080MPaの圧力となるよう設定することが好ましい。【0043】上記加熱の条件及び上記減圧の条件を調整することにより、硬化剤及び/又は硬化促進剤複合粒子における凹部のシェル厚み及び凹部の長さを、上述した範囲に調整することができる。
【発明の効果】【0044】本発明によれば、硬化剤及び/又は硬化促進剤の放出性に優れ、硬化性樹脂組成物に配合された場合に優れた硬化性を発揮することができ、貯蔵安定性にも優れた硬化剤及び/又は硬化促進剤複合粒子を提供することができる。
【発明を実施するための形態】【0046】以下に実施例を掲げて本発明の態様を更に詳しく説明するが、本発明はこれら実施例のみに限定されない。【0047】(実施例1)(1.硬化促進剤複合粒子の製造)溶媒1として酢酸エチル(沸点77.1℃)を、溶媒2として2-プロパノール(IPA、沸点82.5℃)を用いた。なお、水の沸点は100℃である。シェルを形成するポリマーとしてエポキシ基含有スチレン系ポリマー(商品名マープルーフG-0130S-P、日油社製)6.0重量部と、疎水性イミダゾール化合物として2-ウンデシルイミダゾール3.2重量部とを、酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部に溶解させて、混合溶液を得た。この混合溶液に、乳化剤としてポリオキシエチレンラウリルエーテル2重量%を含有する水1000重量部を滴下して、ホモジナイザーを用いて3000rpmで攪拌して乳化分散させた。その後、得られた分散液を減圧装置付反応器で50℃加熱しながら0.095~0.090MPaとなるように減圧して、溶媒を除去することにより、硬化促進剤複合粒子分散液を得た。得られた硬化促進剤複合粒子分散液中の硬化促進剤複合粒子を、純水を用いて繰り返して洗浄した後、真空乾燥した。【0048】(2.凹部形状の測定)硬化促進剤複合粒子を、走査型電子顕微鏡を用いて1視野に約20個の粒子が観察できる倍率で数視野観察し、任意に選択した50個の硬化促進剤複合粒子について、凹部の最長径を通るように硬化促進剤複合粒子を直径で切断した断面を観察した。硬化促進剤複合粒子の凹部のシェル厚みd、凹部以外のシェル厚みD、凹部の長さw、及び、内径から凹部を除いた長さWを、撮影した電子顕微鏡写真から画像解析により測定した。凹部以外のシェル厚みDに対する凹部のシェル厚みd(d/D)、内径から凹部を除いた長さWに対する凹部の長さw(w/W)を表1に示した。なお、それぞれの値は、50個の硬化促進剤複合粒子の数平均値とした。【0049】(実施例2)エポキシ基含有スチレン系ポリマー6.0重量部の代わりに、エポキシ基含有スチレン系ポリマー(商品名マープルーフG-0130S-P、日油社製)4.5重量部、及び、エポキシ基含有シリコーンアルコキシオリゴマー(X-41-1053、信越化学工業社製)1.5重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0050】(実施例3)エポキシ基含有スチレン系ポリマー6.0重量部の代わりに、エポキシ基含有スチレン系ポリマー(商品名マープルーフG-1005SA、日油社製)6.0重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0051】(実施例4)エポキシ基含有スチレン系ポリマー6.0重量部の代わりに、PVB(商品名BL-10、ポリビニルブチラール、積水化学工業社製)5.0重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0052】(実施例5)エポキシ基含有スチレン系ポリマー6.0重量部の代わりに、ポリスチレン(和光純薬工業社製)6.0重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0053】(実施例6)エポキシ基含有スチレン系ポリマー6.0重量部の代わりに、ポリスチレン(和光純薬工業社製)4.5重量部、及び、エポキシ基含有シリコーンアルコキシオリゴマー(X-41-1053、信越化学工業社製)1.5重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0054】(実施例7)溶媒1としてシクロヘキサン(沸点80.7℃)を、溶媒2として2-プロパノール(IPA、沸点82.5℃)を用いた。酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部の代わりにシクロヘキサンと2-プロパノールとの混合溶媒(シクロヘキサン:2-プロパノール=4:1)170重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0055】(実施例8)溶媒1として酢酸エチル(沸点77.1℃)を、溶媒2としてエタノール(沸点78.5℃)を用いた。酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部の代わりに酢酸エチルとエタノールとの混合溶媒(酢酸エチル:エタノール=3:2)170重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0056】(実施例9)減圧条件を0.090~0.085MPaに変更したこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0057】(実施例10)減圧条件を0.085~0.080MPaに変更したこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0058】(実施例11)加熱条件を60℃に変更したこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0059】(実施例12)加熱条件を40℃に変更したこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0060】(比較例1)溶媒1としてトルエン(沸点110.6℃)を、溶媒2として2-プロパノール(IPA、沸点82.5℃)を用いた。酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部の代わりにトルエンと2-プロパノールとの混合溶媒(トルエン:2-プロパノール=3:2)170重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0061】(比較例2)溶媒1として酢酸エチル(沸点77.1℃)を、溶媒2としてメタノール(沸点64.7℃)を用いた。酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部の代わりに酢酸エチルとメタノールとの混合溶媒(酢酸エチル:メタノール=3:2)170重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。なお、凹部の形成が不充分であったため、w/Wの値は測定できなかった。【0062】(比較例3)加熱条件を70℃に変更したこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0063】(比較例4)加熱条件を35℃に変更したこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0064】(比較例5)減圧を行わなかったこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。なお、凹部の形成が不充分であったため、w/Wの値は測定できなかった。【0065】(比較例6)減圧条件を0.080~0.075MPaに変更したこと以外は実施例1と同様にして、硬化促進剤複合粒子を作製し、d/D及びw/Wの値を求めた。【0066】<評価>実施例及び比較例で得られた硬化剤及び/又は硬化促進剤複合粒子について以下の評価を行った。結果を表1に示した。【0067】(1)貯蔵安定性(ゲル分率の測定)エポキシ樹脂(YL980、jER社製)0.58重量部及び酸無水物硬化剤(YH309、jER社製)0.29重量部中に、硬化促進剤複合粒子を0.13重量部添加して、公転自転撹拌機で撹拌した後、得られたエポキシ樹脂組成物を50μmの厚さに塗布して樹脂フィルムを得た。得られた樹脂フィルムを40℃で3日間放置した後、酢酸エチル中で24時間以上浸漬、振とうさせた。浸漬後の樹脂フィルムを取り出し、酢酸エチル浸漬前後の樹脂フィルムの重量を測定することで、ゲル分率測定を行った。なお、本明細書中、ゲル分率とは、酢酸エチル浸漬後に乾燥させた樹脂フィルム重量を酢酸エチル浸漬前の樹脂フィルム重量で割ることにより得られる値を意味する。【0068】(2)速硬化性(硬化速度の測定)エポキシ樹脂(YL980、jER社製)0.58重量部及び酸無水物硬化剤(YH309、jER社製)0.29重量部中に、硬化促進剤複合粒子を0.13重量部添加して、公転自転撹拌機で撹拌した後、得られたエポキシ樹脂組成物を180℃に熱したホットプレート上に置いたスライドガラスの上に滴下して、エポキシ樹脂組成物が硬化するまでの時間を測定した。【0069】【表1】
A
2012140547
2011000075
20110104
null
null
20120726
2012140547
20120726
null
null
null
null
null
null
null
硬化剤及び/又は硬化促進剤複合粒子の製造方法
000002174
積水化学工業株式会社
110000914
特許業務法人 安富国際特許事務所
山田 恭幸,岩本 匡志
null
8
C08J 3/02 (20060101)
C08J 3/02 CEYB
2
null
null
null
0
13
4F070
4F070 AA30 ,4F070 AE14 ,4F070 CA01 ,4F070 CB01 ,4F070 CB04
【課題】硬化剤及び/又は硬化促進剤の放出性に優れ、硬化性樹脂組成物に配合された場合に優れた硬化性を発揮することができ、貯蔵安定性にも優れた硬化剤及び/又は硬化促進剤複合粒子を製造することのできる硬化剤及び/又は硬化促進剤複合粒子の製造方法を提供する。【解決手段】シェルを形成するポリマーと、該ポリマーを溶解する溶媒1と、硬化剤及び/又は硬化促進剤と、該硬化剤及び/又は硬化促進剤を溶解する溶媒2とを混合して混合溶液を調製する工程と、前記混合溶液と水性媒体とを乳化させる工程と、加熱を行い前記水性媒体中で前記溶媒1及び前記溶媒2を除去する工程とを有し、前記溶媒1の沸点は前記溶媒2の沸点より低く、かつ、前記溶媒1及び前記溶媒2の沸点は水の沸点より低い硬化剤及び/又は硬化促進剤複合粒子の製造方法。【選択図】なし
【請求項1】シェルを形成するポリマーと、該ポリマーを溶解する溶媒1と、硬化剤及び/又は硬化促進剤と、該硬化剤及び/又は硬化促進剤を溶解する溶媒2とを混合して混合溶液を調製する工程と、前記混合溶液と水性媒体とを乳化させる工程と、加熱を行い前記水性媒体中で前記溶媒1及び前記溶媒2を除去する工程とを有し、前記溶媒1の沸点は前記溶媒2の沸点より低く、かつ、前記溶媒1及び前記溶媒2の沸点は水の沸点より低いことを特徴とする硬化剤及び/又は硬化促進剤複合粒子の製造方法。【請求項2】溶媒1と溶媒2と水とが共沸することを特徴とする請求項1記載の硬化剤及び/又は硬化促進剤複合粒子の製造方法。
【請求項1】シェルを形成するポリマーと、該ポリマーを溶解する溶媒1と、硬化剤及び/又は硬化促進剤と、該硬化剤及び/又は硬化促進剤を溶解する溶媒2とを混合して混合溶液を調製する工程と、前記混合溶液と水性媒体とを乳化させる工程と、加熱を行い前記水性媒体中で前記溶媒1及び前記溶媒2を除去する工程とを有し、前記溶媒1の沸点は前記溶媒2の沸点より低く、かつ、前記溶媒1及び前記溶媒2の沸点は水の沸点より低いことを特徴とする硬化剤及び/又は硬化促進剤複合粒子の製造方法。
【技術分野】【0001】本発明は、硬化剤及び/又は硬化促進剤の放出性に優れ、硬化性樹脂組成物に配合された場合に優れた硬化性を発揮することができ、貯蔵安定性にも優れた硬化剤及び/又は硬化促進剤複合粒子を製造することのできる硬化剤及び/又は硬化促進剤複合粒子の製造方法に関する。
【背景技術】【0002】エポキシ樹脂は、接着剤、シール剤、コーティング剤等の様々な用途に用いられている。一般に、エポキシ樹脂には、硬化反応を進行させるための成分として硬化剤が、また、硬化性を向上させるための成分として硬化促進剤が添加される。特に、硬化剤又は硬化促進剤とエポキシ樹脂とを安定な一液にするために、潜在性をもたせた硬化剤又は硬化促進剤が多用されている。【0003】例えば、特許文献1に記載の異方導電性接着剤においては、平均粒径が0.1~3μmであり、マイクロカプセル壁材膜の厚さが0.01~0.3μmであるマイクロカプセル化イミダゾール誘導体エポキシ化合物が用いられている。しかしながら、このようなマイクロカプセル化イミダゾール誘導体エポキシ化合物は、イミダゾール誘導体とエポキシ化合物とを途中段階まで反応させ、反応生成物を微粉砕して得られた粉体であり、イミダゾール誘導体とエポキシ化合物との接触界面が硬化しているにすぎない。そのため、このようなマイクロカプセル化イミダゾール誘導体エポキシ化合物をエポキシ樹脂用硬化剤又は硬化促進剤として用いる場合には、時間の経過とともに硬化反応が進行しやすく、充分な貯蔵安定性が得られない。【0004】この問題を解決するために、硬化反応を進行させることなく硬化剤又は硬化促進剤をマイクロカプセル化する種々の方法が検討されている。例えば、特許文献2には、アミン化合物と、有機溶媒中に所定のポリマーからなる膜物質が溶解された疎水性溶液とを、混合して溶解し、これを乳化剤を溶解した水性媒体中に乳化分散させた後、加熱して上記有機溶媒を除去することにより、上記アミン化合物と膜物質とを相分離させて膜物質によってアミン化合物を被覆保護するマイクロカプセルの製法が記載されている。【0005】しかしながら、特許文献2に記載の方法により得られるマイクロカプセルは、熱に対する応答性、即ち、加熱時の硬化剤又は硬化促進剤の放出性の点では充分ではない。従って、貯蔵時には充分に安定でありながら、硬化時には熱に対してより鋭敏に応答して硬化剤又は硬化促進剤を放出し、速やかに硬化反応を開始することのできるマイクロカプセルが求められている。
【発明が解決しようとする課題】【0007】本発明は、硬化剤及び/又は硬化促進剤の放出性に優れ、硬化性樹脂組成物に配合された場合に優れた硬化性を発揮することができ、貯蔵安定性にも優れた硬化剤及び/又は硬化促進剤複合粒子を製造することのできる硬化剤及び/又は硬化促進剤複合粒子の製造方法を提供することを目的とする。
【課題を解決するための手段】【0008】本発明は、シェルを形成するポリマーと、該ポリマーを溶解する溶媒1と、硬化剤及び/又は硬化促進剤と、該硬化剤及び/又は硬化促進剤を溶解する溶媒2とを混合して混合溶液を調製する工程と、前記混合溶液と水性媒体とを乳化させる工程と、加熱を行い前記水性媒体中で前記溶媒1及び前記溶媒2を除去する工程とを有し、前記溶媒1の沸点は前記溶媒2の沸点より低く、かつ、前記溶媒1及び前記溶媒2の沸点は水の沸点より低い硬化剤及び/又は硬化促進剤複合粒子の製造方法である。以下、本発明を詳述する。【0009】シェルを形成するポリマーと硬化剤及び/又は硬化促進剤とを溶媒に溶解して混合溶液を調製する工程と、混合溶液と水性媒体とを乳化させる工程と、加熱を行い水性媒体中で溶媒を除去する工程とを有する方法によれば、ポリマーを含有する相と硬化剤及び/又は硬化促進剤を含有する相とを相分離させながら溶媒を除去し、ポリマーにより形成されたシェルに硬化剤及び/又は硬化促進剤を内包する硬化剤及び/又は硬化促進剤複合粒子を製造することができる。このような方法において、本発明者は、特定の沸点の条件を満たす溶媒を用いることにより、貯蔵安定性を損なうことなく、硬化剤及び/又は硬化促進剤の放出性が改善され、硬化性樹脂組成物に配合された場合に優れた硬化性を発揮することのできる硬化剤及び/又は硬化促進剤複合粒子を製造できることを見出し、本発明を完成させるに至った。【0010】本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法では、まず、シェルを形成するポリマーと、該ポリマーを溶解する溶媒1と、硬化剤及び/又は硬化促進剤と、該硬化剤及び/又は硬化促進剤を溶解する溶媒2とを混合して混合溶液を調製する工程を行う。【0011】上記溶媒1の沸点は上記溶媒2の沸点より低く、かつ、上記溶媒1及び上記溶媒2の沸点は水の沸点より低い。なお、水の沸点は、1気圧で100℃である。上記溶媒1及び上記溶媒2を用いることにより、本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法により製造される硬化剤及び/又は硬化促進剤複合粒子は、シェルが、内側の一部分に凹部を有するシェルとなる。このようなシェルを有する硬化剤及び/又は硬化促進剤複合粒子は、貯蔵安定性を損なうことなく、加熱時には上記凹部から速やかに崩壊して硬化剤及び/又は硬化促進剤を放出するため、硬化剤及び/又は硬化促進剤の放出性に優れ、硬化性樹脂組成物に配合された場合に優れた硬化性を発揮することができる。【0012】図1に、シェルが、内側の一部分に凹部を有する硬化剤及び/又は硬化促進剤複合粒子の断面図の一例を模式的に示す。図1に示す硬化剤及び/又は硬化促進剤複合粒子1は、ポリマーにより形成されたシェル2に硬化剤及び/又は硬化促進剤3を内包しており、シェル2は、内側の一部分に凹部4を有している。凹部4においては、シェル厚みが、凹部以外のシェル厚みより薄くなっている。なお、図1に示す硬化剤及び/又は硬化促進剤複合粒子は、シェルが凹部を1つだけ有する場合の硬化剤及び/又は硬化促進剤複合粒子であるが、シェルは、貯蔵安定性と、硬化剤及び/又は硬化促進剤の放出性とを両立できる範囲内であれば、凹部を1つだけ有していてもよいし、2つ以上有していてもよい。ただし、加熱時の硬化剤及び/又は硬化促進剤の放出性がより優れることから、シェルは凹部を1つだけ有することが好ましい。【0013】本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法において、内側の一部分に凹部を有するシェルが形成される理由としては、後述する工程において加熱を行い水性媒体中で溶媒を除去するときに、まず、上記溶媒1の大半が除去されて、上記硬化剤及び/又は硬化促進剤を含有する相を内包するように上記ポリマーの大半が析出し、次いで、上記硬化剤及び/又は硬化促進剤を残してコアから上記溶媒2の大半が除去され、最終的にコアから全ての溶媒が除去されるときには、析出した上記ポリマーの一部分、即ち、凹部となる一部分から除去されていくためであると推測される。【0014】上記溶媒1は、上記ポリマーを溶解することができて、沸点が上記溶媒2及び水の沸点より低ければ特に限定されず、例えば、ベンゼン、イソプレン、ヘキサン、ヘプタン、シクロヘキサン、ギ酸イソブチル、酢酸メチル、酢酸エチル、ジプロピルエーテル、ジブチルエーテル等が挙げられる。上記溶媒2は、上記硬化剤及び/又は硬化促進剤を溶解することができて、沸点が上記溶媒1の沸点より高く水の沸点より低ければ特に限定されず、例えば、エタノール、アリルアルコール、1-プロパノール、2-プロパノール、t-ブチルアルコール、アセトン、エチルメチルケトン、N,N-ジメチルホルムアミド、アセトニトリル等が挙げられる。【0015】上記溶媒1と上記溶媒2との組み合わせとして、上記溶媒1と上記溶媒2と水とが共沸する組み合せが好ましい。上記溶媒1と上記溶媒2と水とが共沸することにより、後述する工程において加熱を行い水性媒体中で溶媒を除去するときには、まず、上記溶媒1の大半が除去され、次いで、上記溶媒2の大半が除去された後、上記溶媒1と上記溶媒2と水性媒体を構成する水との共沸混合物が除去されることとなる。溶媒除去の最終段階で上記溶媒1と上記溶媒2と水性媒体を構成する水との共沸混合物がコアから除去されることにより、内側の一部分に凹部を有するシェルをより形成しやすくなる。【0016】上記溶媒1と上記溶媒2と水とが共沸する組み合せとして、例えば、溶媒1としての酢酸エチル(沸点77.1℃)と溶媒2としての2-プロパノール(沸点82.5℃)、溶媒1としての酢酸エチル(沸点77.1℃)と溶媒2としてのt-ブチルアルコール(沸点83.0℃)、溶媒1としての酢酸エチル(沸点77.1℃)と溶媒2としてのエタノール(沸点78.5℃)、溶媒1としての酢酸エチル(沸点77.1℃)と溶媒2としての1-プロパノール(沸点97.0℃)、溶媒1としてのシクロヘキサン(沸点80.7℃)と溶媒2としてのt-ブチルアルコール(沸点83.0℃)等が挙げられる。【0017】上記ポリマーは特に限定されないが、例えば、親水性基と疎水性基とを有する熱可塑性ポリマー、水酸基を有するポリビニルアセタール樹脂、アクリロニトリルに由来するセグメントを有する共重合体等を含有することが好ましい。上記親水性基と疎水性基とを有する熱可塑性ポリマーにおける親水性基として、例えば、グリシジル基、水酸基、カルボキシル基、スルホン基等が挙げられる。なかでも、グリシジル基が好ましい。また、上記親水性基と疎水性基とを有する熱可塑性ポリマーにおける疎水性基として、例えば、フェニル基、メチル基、エチル基、プロピル基、メタクリル基等が挙げられる。なかでも、フェニル基が好ましい。【0018】上記親水性基と疎水性基とを有する熱可塑性ポリマーとして、具体的には、例えば、ポリスチレン誘導体、ポリメタクリル酸誘導体等が挙げられる。なかでも、ポリスチレン誘導体が好ましい。上記ポリスチレン誘導体は、上記親水性基と上記疎水性基とを有するポリスチレン誘導体であれば特に限定されないが、例えば、上記親水性基としてグリシジル基を有し、上記疎水性基としてポリスチレン骨格に由来するフェニル基を有するポリスチレン誘導体が好ましい。【0019】上記親水性基と疎水性基とを有する熱可塑性ポリマーの重量平均分子量は特に限定されないが、好ましい下限が5000、好ましい上限が10万である。上記重量平均分子量が5000未満であると、得られる硬化剤及び/又は硬化促進剤複合粒子の耐熱性又は耐溶剤性が低下することがある。上記重量平均分子量が10万を超えると、上記ポリマーの析出速度が速くなりすぎて、得られる硬化剤及び/又は硬化促進剤複合粒子がモノコア構造とならなかったりアスペクト比が大きくなったりすることがある。【0020】上記水酸基を有するポリビニルアセタール樹脂は特に限定されないが、通常、ポリ酢酸ビニルのけん化反応により得られたポリビニルアルコールを、アルデヒドでアセタール化することにより得られる。上記アセタール化に使用するアルデヒドとして、例えば、ホルムアルデヒド、アセトアルデヒド、パラアセトアルデヒド、ブチルアルデヒド等が挙げられる。なかでも、ブチルアルデヒドが好ましい。上記ポリマーとして上記水酸基を有するポリビニルアセタール樹脂を用いる場合には、上記水酸基を有するポリビニルアセタール樹脂の水酸基の含有量、アセタール化度、原料であるポリ酢酸ビニルのアセチル基に由来するアセチル基の含有量、重量平均分子量等を調整することにより、目的に合わせてシェルの物性を調整することができる。【0021】上記水酸基を有するポリビニルアセタール樹脂の重量平均分子量は特に限定されないが、好ましい下限が5000、好ましい上限が50万である。上記重量平均分子量が5000未満であると、得られる硬化剤及び/又は硬化促進剤複合粒子の耐熱性又は耐溶剤性が低下することがある。上記重量平均分子量が50万を超えると、上記ポリマーの析出速度が速くなりすぎて、得られる硬化剤及び/又は硬化促進剤複合粒子がモノコア構造とならなかったりアスペクト比が大きくなったりすることがある。上記水酸基を有するポリビニルアセタール樹脂の重量平均分子量は、より好ましい下限が3万、より好ましい上限が30万である。【0022】上記水酸基を有するポリビニルアセタール樹脂の市販品として、例えば、BL-10(積水化学工業社製)、BL-2H(積水化学工業社製)、BM-S(積水化学工業社製)、BH-3(積水化学工業社製)、♯-3000K(電気化学工業社製)、MOWITALB60T(クラレ社製)等が挙げられる。【0023】上記ポリマーとして上記アクリロニトリルに由来するセグメントを有する共重合体を用いる場合には、シェルのガスバリア性及び耐薬品性を向上させることができる。【0024】上記アクリロニトリルに由来するセグメントを有する共重合体において、上記アクリロニトリルに由来するセグメント以外の他のモノマーに由来するセグメントは、特に限定されない。上記他のモノマーとして、例えば、ビニル基を有する化合物等のラジカル重合性モノマーが挙げられる。上記ビニル基を有する化合物は特に限定されず、例えば、グリシジルメタクリレート(GMA)、メチルメタクリレート(MMA)等のメタクリル酸エステル、アクリル酸エステル、スチレン、ジビニルベンゼン、塩化ビニリデン、ビニルアルコール、ビニルピロリドン、エチレングリコールジメタクリレート、ブタジエン等が挙げられる。なかでも、スチレン、グリシジルメタクリレート(GMA)、メチルメタクリレート(MMA)が好ましい。【0025】上記アクリロニトリルに由来するセグメントを有する共重合体の重量平均分子量は、好ましい下限が5000、好ましい上限が10万である。上記重量平均分子量が5000未満であると、得られる硬化剤及び/又は硬化促進剤複合粒子の耐熱性又は耐溶剤性が低下することがある。上記重量平均分子量が10万を超えると、得られる硬化剤及び/又は硬化促進剤複合粒子は、硬化性樹脂組成物に配合された場合、加熱してもシェルが溶融又は分解せず硬化剤及び/又は硬化促進剤が放出されないために硬化が充分に進行しないことがある。上記アクリロニトリルに由来するセグメントを有する共重合体の重量平均分子量は、より好ましい下限が8000、より好ましい上限が5万であり、更に好ましい下限が1万、更に好ましい上限が3万である。【0026】上記ポリマーは、更に、無機ポリマーを含有してもよい。上記ポリマーが上記無機ポリマーを含有することで、硬化剤及び/又は硬化促進剤複合粒子の耐溶剤性が向上し、溶剤と混合する場合であっても硬化剤及び/又は硬化促進剤として好適に用いられる。【0027】上記無機ポリマーは特に限定されないが、分子中に2個以上の炭素数1~6のアルコキシ基を有し、かつ、Si、Al、Zr及びTiからなる群より選択される少なくとも1種の金属元素を含有する有機金属化合物の重合体が好ましい。このような有機金属化合物の重合体として、例えば、シリコーン樹脂、ポリボロシロキサン樹脂、ポリカルボシラン樹脂、ポリシラスチレン樹脂、ポリシラザン樹脂、ポリチタノカルボシラン樹脂等が挙げられる。なかでも、シリコーン樹脂が好ましく、グリシジル基を有するシリコーン樹脂がより好ましい。【0028】上記硬化剤は特に限定されず、例えば、エポキシ樹脂の硬化剤として通常用いられる硬化剤が挙げられ、具体的には、例えば、アミン、ポリアミド、酸無水物、ポリスルフィド、三フッ化ホウ素、及び、ビスフェノールA、ビスフェノールF、ビスフェノールS等のフェノール性水酸基を1分子中に2個以上有する化合物等が挙げられる。【0029】上記硬化促進剤は特に限定されず、例えば、三級アミン化合物、リン系触媒、イミダゾール化合物等が挙げられる。なかでも、他の硬化促進剤に比べて硬化性に優れることから、イミダゾール化合物が好ましい。上記イミダゾール化合物は特に限定されず、例えば、1,2-ジメチルイミダゾール、2-エチル-4-メチルイミダゾール、1-ベンジル-2-メチルイミダゾール、1-ベンジル-2-フェニルイミダゾール、1-シアノエチル-2-メチルイミダゾール、1-シアノエチル-2-ウンデシルイミダゾール、1-シアノエチル-2-エチル-4-メチルイミダゾール、1-ドデシル-2-メチル-3-ベンジルイミダゾリウムクロライド、及び、これらの付加体等が挙げられる。【0030】また、上記イミダゾール化合物として、疎水性イミダゾール化合物を用いることが好ましい。なお、本明細書中、疎水性イミダゾール化合物とは、水に最大限溶解させたときの濃度が5重量%未満であるイミダゾール化合物を意味する。上記疎水性イミダゾール化合物は特に限定されないが、炭素数11以上の炭化水素基を有するイミダゾール化合物が好ましい。上記炭素数11以上の炭化水素基を有するイミダゾール化合物として、例えば、2-ウンデシルイミダゾール、2-ヘプタデシルイミダゾール、1-シアノエチルイミダゾール、1-シアノエチル-2-フェニルイミダゾリウムトリメリテイト、2,4-ジアミノ-6-[2’-ウンデシルイミダゾリル-(1’)-エチル-s-トリアジン]等が挙げられる。なかでも、2-ウンデシルイミダゾールが好ましい。【0031】上記ポリマーと上記硬化剤及び/又は硬化促進剤との配合比は特に限定されないが、上記ポリマー6重量部に対する上記硬化剤及び/又は硬化促進剤の配合量の好ましい下限は1重量部、好ましい上限は8重量部である。上記硬化剤及び/又は硬化促進剤の配合量が1重量部未満であると、得られる硬化剤及び/又は硬化促進剤複合粒子に内包される硬化剤及び/又は硬化促進剤の量が少なくなり、硬化反応が充分に進行しないことがある。上記硬化剤及び/又は硬化促進剤の配合量が8重量部を超えると、内包されない硬化剤及び/又は硬化促進剤が生じて凝集を招いたり、得られる硬化剤及び/又は硬化促進剤複合粒子の貯蔵安定性が低下したりすることがある。上記ポリマー6重量部に対する上記硬化剤及び/又は硬化促進剤の配合量のより好ましい下限は3重量部、より好ましい上限は5重量部である。【0032】上記混合溶液を調製する工程では、予め上記溶媒1と上記溶媒2との混合溶媒を調製した後、得られた混合溶媒に上記ポリマーと上記硬化剤及び/又は硬化促進剤とを溶解して上記混合溶液を調製してもよい。また、上記混合溶液を調製する工程では、上記ポリマーを上記溶媒1に溶解して溶液1を調製する工程と、上記硬化剤及び/又は硬化促進剤を上記溶媒2に溶解して溶液2を調製する工程と、上記溶液1と上記溶液2とを混合する工程とを行うことにより、上記混合溶液を調製してもよい。【0033】上記溶液1及び上記溶液2を調製する場合、上記溶液1における上記ポリマーの濃度は特に限定されないが、5.0g/mL以下が好ましい。上記ポリマーの濃度が5.0g/mLを超えると、得られる混合溶液において上記ポリマーが充分に溶解しないことがある。上記溶液1における上記ポリマーの濃度は、2.5g/mL以下がより好ましい。【0034】上記溶液1及び上記溶液2を調製する場合、上記溶液2における上記硬化剤及び/又は硬化促進剤の濃度は特に限定されないが、好ましい下限が3.0g/mL、好ましい上限が8.0g/mLである。上記硬化剤及び/又は硬化促進剤の濃度が3.0g/mL未満であると、得られる硬化剤及び/又は硬化促進剤複合粒子に内包される硬化剤及び/又は硬化促進剤の量が少なくなり、硬化反応が充分に進行しないことがある。上記硬化剤及び/又は硬化促進剤の濃度が8.0g/mLを超えると、内包されない硬化剤及び/又は硬化促進剤が生じて凝集を招いたり、得られる硬化剤及び/又は硬化促進剤複合粒子の貯蔵安定性が低下したりすることがある。上記溶液2における上記硬化剤及び/又は硬化促進剤の濃度は、より好ましい下限が4.0g/mL、より好ましい上限が6.0g/mLである。【0035】本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法では、次いで、前記混合溶液と水性媒体とを乳化させる工程を行う。上記水性媒体は特に限定されず、例えば、水、又は、水とメタノール、エタノール、n-プロピルアルコール、イソプロピルアルコール等の水溶性有機溶剤との混合物等が挙げられる。上記水性媒体の添加量は特に限定されないが、上記混合溶液100重量部に対する好ましい下限が300重量部、好ましい上限が1000重量部である。【0036】上記水性媒体は、必要に応じて、乳化剤を含有してもよい。上記乳化剤は特に限定されず、例えば、アルキル硫酸スルホン酸塩、アルキルベンゼンスルホン酸塩、アルキル硫酸トリエタノールアミン、ポリオキシエチレンアルキルエーテル等が挙げられる。【0037】上記混合溶液と上記水性媒体とを乳化させる方法は特に限定されず、上記混合溶液に上記水性媒体を添加してもよく、上記水性媒体に上記混合溶液を添加してもよい。上記混合溶液と上記水性媒体とを乳化させる方法として、例えば、上記混合溶液に上記水性媒体を滴下し、ホモジナイザーを用いて攪拌する方法、超音波照射により乳化する方法、マイクロチャネル又はSPG膜を通過させて乳化する方法、スプレーで噴霧する方法、転相乳化法等が挙げられる。【0038】本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法では、次いで、加熱を行い上記水性媒体中で上記溶媒1及び上記溶媒2を除去する工程を行う。【0039】上記溶媒1及び上記溶媒2を除去する工程を行うことにより、上記ポリマーを含有する相と上記硬化剤及び/又は硬化促進剤を含有する相とを相分離させながら溶媒を除去し、上記ポリマーにより形成されたシェルに上記硬化剤及び/又は硬化促進剤を内包する硬化剤及び/又は硬化促進剤複合粒子の分散液を得ることができる。また、上述したように、得られた硬化剤及び/又は硬化促進剤複合粒子は、シェルが、内側の一部分に凹部を有するシェルとなる。【0040】上記加熱の条件は特に限定されないが、30~70℃に加熱することが好ましい。また、上記溶媒1及び上記溶媒2を除去する工程では、より低温かつ短時間で溶媒を除去でき、内側の一部分に凹部を有するシェルをより形成しやすくなることから、加熱に加えて減圧を行うことが好ましい。上記減圧の条件は特に限定されないが、0.095~0.080MPaの圧力となるよう設定することが好ましい。【0041】上記加熱の条件及び上記減圧の条件を調整することにより、硬化剤及び/又は硬化促進剤複合粒子における凹部のシェル厚み及び凹部の長さを調整することができ、これにより、貯蔵安定性と、硬化剤及び/又は硬化促進剤の放出性とを両立した硬化剤及び/又は硬化促進剤複合粒子を得ることができる。【0042】本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法では、得られた硬化剤及び/又は硬化促進剤複合粒子の分散液中の硬化剤及び/又は硬化促進剤複合粒子を、純水を用いて繰り返して洗浄した後、真空乾燥等により乾燥してもよい。【0043】本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法により製造される硬化剤及び/又は硬化促進剤複合粒子において、上記硬化剤及び/又は硬化促進剤の内包率は特に限定されないが、好ましい下限が20重量%、好ましい上限が50重量%である。上記内包率が20重量%未満であると、硬化剤及び/又は硬化促進剤複合粒子の凹部及び凹部以外のシェル厚みが増大し、上記硬化剤及び/又は硬化促進剤の放出性が低下することがある。上記内包率が50重量%を超えると、硬化剤及び/又は硬化促進剤複合粒子の凹部及び凹部以外のシェル厚みが低下し、貯蔵安定性が低下することがある。上記硬化剤及び/又は硬化促進剤の内包率のより好ましい下限は30重量%、より好ましい上限は40重量%である。なお、本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法により製造される硬化剤及び/又は硬化促進剤複合粒子において、凹部以外のシェル厚みは特に限定されないが、好ましい下限が0.05μm、好ましい上限が1.0μmであり、より好ましい下限が0.1μm、より好ましい上限が0.5μmである。【0044】更に、上記硬化剤及び/又は硬化促進剤の内包率が上記範囲を外れると、上記ポリマーと上記硬化剤及び/又は硬化促進剤との配合比が大きく変化することから、得られる硬化剤及び/又は硬化促進剤複合粒子がモノコア構造とならなかったりアスペクト比が大きくなったりすることがある。【0045】なお、本明細書中、硬化剤及び/又は硬化促進剤の内包率とは、硬化剤及び/又は硬化促進剤複合粒子全体の重量に対する硬化剤及び/又は硬化促進剤の重量の占める割合を意味し、所定量の硬化剤及び/又は硬化促進剤複合粒子の乾燥粉末を秤量し、アルミカップに乗せ、真空乾燥機を用いて110℃で5時間乾燥した後、乾燥後の硬化剤及び/又は硬化促進剤複合粒子を計量したとき、下記式(1)により算出される値を意味する。内包率(重量%)=[{(乾燥前の重量)-(乾燥後の重量)}/(乾燥前の重量)]×100(1)【0046】本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法により製造される硬化剤及び/又は硬化促進剤複合粒子において、平均粒子径は特に限定されないが、好ましい下限が0.5μm、好ましい上限が5.0μmである。上記平均粒子径が0.5μm未満であると、上記範囲の内包率を維持しようとすると、硬化剤及び/又は硬化促進剤複合粒子の凹部及び凹部以外のシェル厚みが低下し、貯蔵安定性が低下することがある。上記平均粒子径が5.0μmを超えると、硬化剤及び/又は硬化促進剤複合粒子を硬化性樹脂組成物に配合した場合に、加熱により上記硬化剤及び/又は硬化促進剤が放出された後、大きなボイドが生じて硬化物の信頼性が低下することがある。上記平均粒子径のより好ましい上限は3.0μmである。【0047】本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法により製造される硬化剤及び/又は硬化促進剤複合粒子において、アスペクト比は特に限定されないが、好ましい上限が1.1である。上記アスペクト比が1.1を超えると、硬化剤及び/又は硬化促進剤複合粒子の貯蔵安定性が低下したり、硬化性樹脂組成物に配合された場合に硬化が不均一となって硬化物の信頼性が低下したりすることがある。上記アスペクト比のより好ましい上限は1.05である。【0048】本発明の硬化剤及び/又は硬化促進剤複合粒子の製造方法により製造される硬化剤及び/又は硬化促進剤複合粒子において、粒子径のCV値は特に限定されないが、好ましい上限が50%である。上記粒子径のCV値が50%を超えると、硬化剤及び/又は硬化促進剤複合粒子の貯蔵安定性が低下したり、硬化性樹脂組成物に配合された場合に硬化が不均一となって硬化物の信頼性が低下したりすることがある。上記粒子径のCV値のより好ましい上限は30%である。【0049】なお、本明細書中、硬化剤及び/又は硬化促進剤複合粒子の平均粒子径、アスペクト比及び粒子径のCV値は、以下のようにして求めた値を意味する。硬化剤及び/又は硬化促進剤複合粒子を、走査型電子顕微鏡を用いて1視野に約100個の粒子が観察できる倍率で観察し、任意に選択した50個の粒子の外径の最長径及び最短径を、ノギスを用いて測定する。最長径を粒子径とし、粒子径の数平均値を求め、これを平均粒子径とし、最短径に対する最長径の比(最長径/最短径)の数平均値を求め、これをアスペクト比とする。なお、アスペクト比は、1に近くなるほど真球状に近いことを意味する。また、粒子径のCV値は、下記式(2)で表される。CV値(%)=(粒子径の標準偏差σ/平均粒子径Dn)×100(2)
【発明の効果】【0050】本発明によれば、硬化剤及び/又は硬化促進剤の放出性に優れ、硬化性樹脂組成物に配合された場合に優れた硬化性を発揮することができ、貯蔵安定性にも優れた硬化剤及び/又は硬化促進剤複合粒子を製造することのできる硬化剤及び/又は硬化促進剤複合粒子の製造方法を提供することができる。
【発明を実施するための形態】【0052】以下に実施例を掲げて本発明の態様を更に詳しく説明するが、本発明はこれら実施例のみに限定されない。【0053】(実施例1)溶媒1として酢酸エチル(沸点77.1℃)を、溶媒2として2-プロパノール(IPA、沸点82.5℃)を用いた。なお、水の沸点は100℃である。シェルを形成するポリマーとしてマープルーフ(G-0130S、ポリスチレン一部エポキシ置換、日油社製)4.5重量部と、疎水性イミダゾール化合物として2-ウンデシルイミダゾール3.2重量部と、無機ポリマーとしてシリコーン樹脂(X-41-1053、アルコキシオリゴマー一部エポキシ置換、信越化学工業社製)1.5重量部とを、酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部に溶解させて、混合溶液を得た。この混合溶液に、乳化剤としてポリオキシエチレンラウリルエーテル2重量%を含有する水1000重量部を滴下して、ホモジナイザーを用いて3000rpmで攪拌して乳化分散させた。その後、得られた分散液を減圧装置付反応器で50℃加熱しながら0.095~0.090MPaとなるように減圧して、溶媒を除去することにより、硬化促進剤複合粒子分散液を得た。得られた硬化促進剤複合粒子分散液中の硬化促進剤複合粒子を、純水を用いて繰り返して洗浄した後、真空乾燥した。【0054】(実施例2)溶媒1として酢酸エチル(沸点77.1℃)を、溶媒2としてt-ブチルアルコール(沸点83.0℃)を用いた。酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部の代わりに酢酸エチルとt-ブチルアルコールとの混合溶媒(酢酸エチル:t-ブチルアルコール=3:2)170重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を得た。【0055】(実施例3)溶媒1として酢酸エチル(沸点77.1℃)を、溶媒2としてエタノール(沸点78.5℃)を用いた。酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部の代わりに酢酸エチルとエタノールとの混合溶媒(酢酸エチル:エタノール=3:2)170重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を得た。【0056】(実施例4)溶媒1としてシクロヘキサン(沸点80.7℃)を、溶媒2として2-プロパノール(IPA、沸点82.5℃)を用いた。酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部の代わりにシクロヘキサンと2-プロパノールとの混合溶媒(シクロヘキサン:2-プロパノール=4:1)170重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を得た。【0057】(実施例5)マープルーフ(G-0130S、ポリスチレン一部エポキシ置換、日油社製)4.5重量部の代わりにポリメタクリル酸メチル4.5重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を得た。【0058】(比較例1)溶媒1として酢酸エチル(沸点77.1℃)を、溶媒2としてメタノール(沸点64.7℃)を用いた。酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部の代わりに酢酸エチルとメタノールとの混合溶媒(酢酸エチル:メタノール=3:2)170重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を得た。【0059】(比較例2)溶媒1として酢酸エチル(沸点77.1℃)を、溶媒2として1-ブタノール(沸点117.0℃)を用いた。酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部の代わりに酢酸エチルと1-ブタノールとの混合溶媒(酢酸エチル:1-ブタノール=3:2)170重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を得た。【0060】(比較例3)溶媒1としてトルエン(沸点110.6℃)を、溶媒2として1-ブタノール(沸点117.0℃)を用いた。酢酸エチルと2-プロパノールとの混合溶媒(酢酸エチル:2-プロパノール=3:2)170重量部の代わりにトルエンと1-ブタノールとの混合溶媒(トルエン:1-ブタノール=3:2)170重量部を用いたこと以外は実施例1と同様にして、硬化促進剤複合粒子を得た。【0061】<評価>実施例及び比較例で得られた硬化促進剤複合粒子について以下の評価を行った。結果を表1に示した。【0062】(1)貯蔵安定性(ゲル分率の測定)エポキシ樹脂(YL980、三菱化学社製)0.58重量部及び酸無水物硬化剤(YH309、三菱化学社製)0.29重量部中に、硬化促進剤複合粒子を0.13重量部添加して、公転自転撹拌機で撹拌した後、得られたエポキシ樹脂組成物を50μmの厚さに塗布して樹脂フィルムを得た。得られた樹脂フィルムを40℃で3日間放置した後、酢酸エチル中で24時間以上浸漬、振とうさせた。浸漬後の樹脂フィルムを取り出し、酢酸エチル浸漬前後の樹脂フィルムの重量を測定することで、ゲル分率測定を行った。なお、本明細書中、ゲル分率とは、酢酸エチル浸漬後に乾燥させた樹脂フィルム重量を酢酸エチル浸漬前の樹脂フィルム重量で割ることにより得られる値を意味する。【0063】(2)速硬化性(硬化速度の測定)エポキシ樹脂(YL980、三菱化学社製)0.58重量部及び酸無水物硬化剤(YH309、三菱化学社製)0.29重量部中に、硬化促進剤複合粒子を0.13重量部添加して、公転自転撹拌機で撹拌した後、得られたエポキシ樹脂組成物を180℃に熱したホットプレート上に置いたスライドガラスの上に滴下して、エポキシ樹脂組成物が硬化するまでの時間を測定した。【0064】【表1】
A
2012140548
2011000189
20110104
null
null
20120726
2012140548
20120726
null
null
null
null
null
null
null
付加硬化型熱伝導性シリコーン組成物
000002060
信越化学工業株式会社
100102532
好宮 幹夫
木村 真司
null
8
C08L 83/07 (20060101), C08L 83/05 (20060101), C08K 3/00 (20060101)
C08L 83/07 ,C08L 83/05 ,C08K 3/00
4
null
null
null
0
13
4J002
4J002 CP04X,4J002 CP13W,4J002 CP14W,4J002 CP15W,4J002 CP16W,4J002 DA076,4J002 DA086,4J002 DA096,4J002 DB016,4J002 DE076,4J002 DE096,4J002 DE136,4J002 DE146,4J002 DF016,4J002 DJ006,4J002 DJ016,4J002 DK006,4J002 FA016,4J002 FA076,4J002 FA086,4J002 FD016,4J002 FD206,4J002 GQ05
【課題】高熱伝導性を有し、かつ、取り扱い性が良好であり、高硬度の硬化物を与える熱伝導性シリコーン組成物を提供することを目的とする。【解決手段】少なくとも、(A)下記式(1)で表される構造を有する化合物:100質量部、【化1】(B)1分子中に少なくとも2個のケイ素原子結合水素原子を有し、下記平均組成式(2)で表される有機ケイ素化合物:(A)成分の脂肪族不飽和基に対する(B)成分のSiH基のモル比が0.2~5となる量、R3aHbSiO(4-a-b)/2(2)(C)白金族金属を含むヒドロシリル化触媒:触媒量、及び(D)熱伝導性充填剤:300超~2,500質量部を含むものであることを特徴とする付加硬化型熱伝導性シリコーン組成物。【選択図】なし
【請求項1】少なくとも、(A)下記式(1)で表される構造を有する化合物:100質量部、(式中、R1は脂肪族不飽和基である。R2は同種又は異種の、非置換又は置換の一価炭化水素基である。Arは同種又は異種の、ヘテロ原子を有してもよい、非置換又はハロゲン原子置換のアリール基である。nは1~100の整数である。)(B)1分子中に少なくとも2個のケイ素原子結合水素原子を有し、下記平均組成式(2)で表される有機ケイ素化合物:(A)成分の脂肪族不飽和基に対する(B)成分のSiH基のモル比が0.2~5となる量、R3aHbSiO(4-a-b)/2(2)(式中、R3はケイ素原子に結合した、同種又は異種の、脂肪族不飽和基以外の非置換又は置換の一価炭化水素基であり、全R3の10モル%以上はアリール基である。a及びbは、0.7≦a≦2.1、0.001≦b≦1.0、かつ0.8≦a+b≦3.0を満足する正数である。)(C)白金族金属を含むヒドロシリル化触媒:触媒量、及び(D)熱伝導性充填剤:300超~2,500質量部を含むものであることを特徴とする付加硬化型熱伝導性シリコーン組成物。【請求項2】前記(D)成分の熱伝導性充填剤が、金属粉末、金属酸化物、金属窒化物、及び金属炭化物からなる群から選ばれるいずれか一種、あるいはこのうち二種以上の混合物であることを特徴とする請求項1に記載の付加硬化型熱伝導性シリコーン組成物。【請求項3】前記付加硬化型熱伝導性シリコーン組成物の25℃における粘度が、0.1~1,000Pa・sであることを特徴とする請求項1又は2に記載の付加硬化型熱伝導性シリコーン組成物。【請求項4】前記付加硬化型熱伝導性シリコーン組成物を硬化させた硬化物のタイプDデュロメータ硬度が30~100であることを特徴とする請求項1乃至3のいずれか1項に記載の組成物。
【請求項1】少なくとも、(A)下記式(1)で表される構造を有する化合物:100質量部、(式中、R1は脂肪族不飽和基である。R2は同種又は異種の、非置換又は置換の一価炭化水素基である。Arは同種又は異種の、ヘテロ原子を有してもよい、非置換又はハロゲン原子置換のアリール基である。nは1~100の整数である。)(B)1分子中に少なくとも2個のケイ素原子結合水素原子を有し、下記平均組成式(2)で表される有機ケイ素化合物:(A)成分の脂肪族不飽和基に対する(B)成分のSiH基のモル比が0.2~5となる量、R3aHbSiO(4-a-b)/2(2)(式中、R3はケイ素原子に結合した、同種又は異種の、脂肪族不飽和基以外の非置換又は置換の一価炭化水素基であり、全R3の10モル%以上はアリール基である。a及びbは、0.7≦a≦2.1、0.001≦b≦1.0、かつ0.8≦a+b≦3.0を満足する正数である。)(C)白金族金属を含むヒドロシリル化触媒:触媒量、及び(D)熱伝導性充填剤:300超~2,500質量部を含むものであることを特徴とする付加硬化型熱伝導性シリコーン組成物。
【技術分野】【0001】本発明は、付加硬化型のシリコーン組成物に関し、特に、付加硬化型熱伝導性シリコーン組成物に関する。
【背景技術】【0002】トランジスター、IC、メモリー素子等の電子部品を搭載したプリント回路基板やハイブリッドICの高密度・高集積化にともなって、これら電子部品等が発する熱を効率よく放熱するために、電子部品と基板等との間に熱伝導性シリコーングリース、熱伝導性シリコーンゲル組成物、熱伝導性シリコーンゴム組成物等の熱伝導性シリコーン組成物が使用されている。【0003】しかし、このような熱伝導性シリコーン組成物をある一定以上の硬さの硬化物とするためには、充填剤を高充填したり、ベースにあらかじめ三次元架橋させたシリコーンを使用したりする必要があった。その場合、組成物の粘度が上がり、取り扱い性が低下してしまうという問題点があった(特許文献1)。【0004】これに対し、熱伝導性シリコーン組成物中に熱伝導性充填剤の表面処理剤を添加して粘度を下げる方法(特許文献2、3)があるが、硬化後の硬度までもが著しく低下してしまうという問題点があった。【0005】また、特許文献4には、高透明性の付加硬化型シリコーン組成物が記載されているが、熱伝導性については全く考慮されていなかった。
【発明が解決しようとする課題】【0007】本発明は上記問題点に鑑みなされたものであり、本発明の目的は、高熱伝導性を有し、かつ、取り扱い性が良好であり、高硬度の硬化物を与える熱伝導性シリコーン組成物を提供することである。
【課題を解決するための手段】【0008】上記課題を解決するため、本発明は、少なくとも、(A)下記式(1)で表される構造を有する化合物:100質量部、【化1】(式中、R1は脂肪族不飽和基である。R2は同種又は異種の、非置換又は置換の一価炭化水素基である。Arは同種又は異種の、ヘテロ原子を有してもよい、非置換又はハロゲン原子置換のアリール基である。nは1~100の整数である。)(B)1分子中に少なくとも2個のケイ素原子結合水素原子を有し、下記平均組成式(2)で表される有機ケイ素化合物:(A)成分の脂肪族不飽和基に対する(B)成分のSiH基のモル比が0.2~5となる量、R3aHbSiO(4-a-b)/2(2)(式中、R3はケイ素原子に結合した、同種又は異種の、脂肪族不飽和基以外の非置換又は置換の一価炭化水素基であり、全R3の10モル%以上はアリール基である。a及びbは、0.7≦a≦2.1、0.001≦b≦1.0、かつ0.8≦a+b≦3.0を満足する正数である。)(C)白金族金属を含むヒドロシリル化触媒:触媒量、及び(D)熱伝導性充填剤:300超~2,500質量部を含むものであることを特徴とする付加硬化型熱伝導性シリコーン組成物を提供する。【0009】このような本発明の組成物は、高熱伝導性を有し、取り扱い性も良好で、高硬度の硬化物を与えることができるものである。【0010】この場合、前記(D)成分の熱伝導性充填剤が、金属粉末、金属酸化物、金属窒化物、及び金属炭化物からなる群から選ばれるいずれか一種、あるいはこのうち二種以上の混合物であることが好ましい。【0011】このような前記(D)成分の熱伝導性充填剤であれば、より高い熱伝導性を与える組成物とすることができる。【0012】また、前記付加硬化型熱伝導性シリコーン組成物の25℃における粘度が、0.1~1,000Pa・sであることが好ましい。このように、25℃における粘度が上記範囲の組成物であれば、より適度な粘度を有する組成物となり、その取り扱い性、作業性が優れたものとなる。【0013】また、前記付加硬化型熱伝導性シリコーン組成物を硬化させた硬化物のタイプDデュロメータ硬度が30~100であることが好ましい。このように、前記組成物を硬化させた硬化物のタイプDデュロメータ硬度が30~100であれば、より衝撃等にも耐え得る十分な硬度となり好ましい。
【発明の効果】【0014】以上説明したように、本発明によれば、高熱伝導性を有し、かつ、取り扱い性が良好であり、その硬化物が高硬度である、付加硬化型熱伝導性シリコーン組成物を与えることができる。
【発明を実施するための形態】【0015】以下、本発明につき詳しく説明する。上述のように、従来の熱伝導性シリコーン組成物には、取り扱い性が低下してしまうという問題点や、硬化後の硬度までもが著しく低下してしまうという問題点があった。【0016】そこで、本発明者は、鋭意検討を行った結果、シリコーン成分の主骨格をポリジアリールシロキサンとし、熱伝導性充填剤を所定量加えることにより、硬化前は低粘度で取り扱い性も良好であり、かつ硬化後は高硬度の硬化物を与え、さらには高熱伝導性を与える熱伝導性シリコーン組成物が得られることを見出し、本発明に到達した。【0017】即ち、本発明の付加硬化型熱伝導性シリコーン組成物は、少なくとも、(A)下記式(1)で表される構造を有する化合物:100質量部、【化2】(式中、R1は脂肪族不飽和基である。R2は同種又は異種の、非置換又は置換の一価炭化水素基である。Arは同種又は異種の、ヘテロ原子を有してもよい、非置換又はハロゲン原子置換のアリール基である。nは1~100の整数である。)(B)1分子中に少なくとも2個のケイ素原子結合水素原子を有し、下記平均組成式(2)で表される有機ケイ素化合物:(A)成分の脂肪族不飽和基に対する(B)成分のSiH基のモル比が0.2~5となる量、R3aHbSiO(4-a-b)/2(2)(式中、R3はケイ素原子に結合した、同種又は異種の、脂肪族不飽和基以外の非置換又は置換の一価炭化水素基であり、全R3の10モル%以上はアリール基である。a及びbは、0.7≦a≦2.1、0.001≦b≦1.0、かつ0.8≦a+b≦3.0を満足する正数である。)(C)白金族金属を含むヒドロシリル化触媒:触媒量、及び(D)熱伝導性充填剤:300超~2,500質量部を含むものであることを特徴とする。【0018】以下、本発明の組成物の各成分について、詳しく説明する。[(A)成分](A)成分は、下記式(1)で表される構造を有する、主鎖がジアリールシロキサン単位の繰り返しからなり、分子鎖両末端が脂肪族不飽和基を含有するトリオルガノシロキシ基で封鎖された、直鎖状のジオルガノポリシロキサンである。【化3】(式中、R1は脂肪族不飽和基である。R2は同種又は異種の、非置換又は置換の一価炭化水素基である。Arは同種又は異種の、ヘテロ原子を有してもよい、非置換又はハロゲン原子置換のアリール基である。nは1~100の整数である。)【0019】(A)成分のジオルガノポリシロキサンは、一種単独で用いてもよく、分子量、ケイ素原子に結合した有機基(前記R1とR2)の種類等が相違する二種以上を併用してもよい。【0020】(A)成分は、後述の(B)及び(C)成分とともに使用することにより、粘度が低く、取り扱いが容易な樹脂ベースとなり、さらに(D)成分を混合することにより、硬化後の硬さが非常に高くなる。【0021】(A)成分において、上記式(1)中のArは同種又は異種の、ヘテロ原子を有してもよい、非置換又はハロゲン原子置換のアリール基である。前記アリール基は、フェニル基、ナフチル基等の芳香族炭化水素基、又はフラニル基等のヘテロ原子(O,S,N)を含む芳香族基であり、前記アリール基は炭素原子に結合した水素原子の一部又は全部が塩素原子、臭素原子、フッ素原子等ハロゲン原子で置換されていてもよい。Arは好ましくは非置換の芳香族炭化水素基であり、特に好ましくはフェニル基である。【0022】上記式(1)中のR1は脂肪族不飽和基である。前記R1は、付加反応開始前(硬化開始前)には本発明の組成物を未硬化の状態に安定に維持することができ、かつ、付加反応開始後(硬化開始後)には該組成物を容易に硬化させることができるものである限り特に限定されず、例えば、エチレン性不飽和基及びアセチレン性不飽和基が挙げられる。前記脂肪族不飽和基は、一種単独で用いても二種以上を組み合わせて用いてもよい。【0023】ここで、「エチレン性不飽和基」とは、炭素-炭素二重結合を含み、更に酸素原子、窒素原子等のヘテロ原子を含む又は含まない有機基をいい、その具体例としては、ビニル基、アリル基、5-ヘキセニル基、プロペニル基、ブテニル基等の炭素原子数2~20、好ましくは2~10のアルケニル基;1,3-ブタジエニル基等の炭素原子数4~10のアルカジエニル基;アクリロイルオキシ基(-O(O)CCH=CH2)、メタクリロイルオキシ基(-O(O)CC(CH3)=CH2)等の、前記アルケニル基とカルボニルオキシ基との組み合わせ;アクリルアミド基(-NH(O)CCH=CH2)等の、前記アルケニル基とカルボニルアミノ基との組み合わせが挙げられる。【0024】また、「アセチレン性不飽和基」とは、炭素-炭素三重結合を含み、更に酸素、窒素等のヘテロ原子を含む又は含まない有機基をいい、その具体例としては、エチニル基、プロパルギル基等の炭素原子数2~20、好ましくは2~10のアルキニル基;エチニルカルボニルオキシ基(-O(O)CC≡CH)等の、前記アルキニル基とカルボニルオキシ基との組み合わせが挙げられる。【0025】中でも、(A)成分の原料を得るときの生産性及びコスト並びに(A)成分の反応性等の観点から、前記脂肪族不飽和基としては、前記アルケニル基が好ましく、ビニル基、アリル基及び5-ヘキセニル基がより好ましく、特にビニル基が好ましい。【0026】上記式(1)中のR2は同種又は異種の、非置換又は置換の一価炭化水素基である。前記R2は、前記R1の脂肪族不飽和基として例示したもの、及び前記脂肪族不飽和基以外の一価炭化水素基、例えば、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基、イソブチル基、sec-ブチル基、tert-ブチル基等の炭素原子数1~6のアルキル基;クロロメチル基、3,3,3-トリフルオロプロピル基等の炭素原子数1~4のハロアルキル基;フェニル基、トリル基等の炭素原子数6~10のアリール基等が挙げられる。中でも、炭素原子数1~6のアルキル基、フェニル基、ビニル基が好ましく、特にメチル基が好ましい。【0027】(A)成分において、前記ジアリールシロキサン単位の重合度nは1~100の整数であり、1~20であることが好ましく、2~10であることが特に好ましい。nが100より大きいと取り扱い性が悪くなる。【0028】このような(A)成分は、例えばジクロロジフェニルシランやジアルコキシジフェニルシラン等の二官能性シランを加水分解・縮合させた後、又は加水分解・縮合と同時に、脂肪族不飽和基含有の末端封止剤で、加水分解・縮合化合物の末端を封止することにより得ることができる。【0029】[(B)成分](B)成分は、1分子中に少なくとも2個のケイ素原子結合水素原子(即ち、SiH基)を有し、下記平均組成式(2)R3aHbSiO(4-a-b)/2(2)(式中、R3はケイ素原子に結合した、同種又は異種の、脂肪族不飽和基以外の非置換又は置換の一価炭化水素基であり、全R3の10モル%以上はアリール基である。a及びbは、0.7≦a≦2.1、0.001≦b≦1.0、かつ0.8≦a+b≦3.0を満足する正数である。)で表される有機ケイ素化合物であり、(A)成分の脂肪族不飽和基中の二重結合とヒドロシリル化付加反応し、架橋剤として作用する。(B)成分は、一種単独で用いても二種以上を併用してもよい。【0030】上記平均組成式(2)中のR3としては、例えば、上記式(1)中のR2としての、脂肪族不飽和基以外の非置換又は置換の一価炭化水素基として具体的に例示した、炭素原子数1~6のアルキル基若しくはハロアルキル基、及び炭素原子数6~10のアリール基等が挙げられる。R3は、好ましくは炭素原子数1~6のアルキル基、又は炭素原子数6~10のアリール基であり、より好ましくはメチル基又はフェニル基である。【0031】上記平均組成式(2)で表されるオルガノハイドロジェンシロキサンとしては、例えば、式:R3HSiOで示されるオルガノハイドロジェンシロキサン単位を少なくとも4個含む環状化合物、式:R33SiO1/2(HR3SiO)cSiR33で示される化合物、式:HR32SiO1/2(HR3SiO)dSiR32Hで示される化合物、式:HR32SiO1/2(HR3SiO)d(R32SiO)eSiR32Hで示される化合物等が挙げられる。上記式中、R3は前記のとおりであり、cは少なくとも2、d及びeは少なくとも1である。【0032】あるいは、上記平均組成式(2)で表されるオルガノハイドロジェンシロキサンは、式:HSiO3/2で示されるシロキサン単位、式:R3HSiOで示されるシロキサン単位及び/又は式:R32HSiO1/2で示されるシロキサン単位を含むものであってもよい。また、SiH基を含まないモノオルガノシロキサン単位、ジオルガノシロキサン単位、トリオルガノシロキサン単位及び/又はSiO4/2単位を含んでいてもよい。上記式中のR3は前記のとおりである。【0033】(B)成分が上記平均組成式(2)を満たすオルガノハイドロジェンポリシロキサンである限り、該オルガノハイドロジェンポリシロキサンの分子構造に特に制限はなく、例えば、直鎖状、環状、分岐鎖状、三次元網状構造(樹脂状)等の、従来製造されている各種のオルガノハイドロジェンポリシロキサンを使用することができる。【0034】前記オルガノハイドロジェンポリシロキサンは、1分子中に少なくとも2個(通常、2~300個程度)、好ましくは3個以上(通常、3~200個、好ましくは4~100個程度)のSiH基を有する。前記オルガノハイドロジェンポリシロキサンが直鎖状構造又は分岐鎖状構造を有する場合、これらのSiH基は、分子鎖末端及び分子鎖非末端部分のどちらか一方にのみ位置していても、その両方に位置していてもよい。【0035】上記平均組成式(2)で表されるオルガノハイドロジェンシロキサンに含まれる全オルガノシロキサン単位のうち、R3がフェニル基である割合が10モル%以上であり、より好ましくは10~80モル%であり、更に好ましくは20~50モル%である。【0036】前記オルガノハイドロジェンポリシロキサンの1分子中のケイ素原子の数(重合度)は、好ましくは2~1,000個、より好ましくは3~200個、更により好ましくは4~100個程度である。更に、前記オルガノハイドロジェンポリシロキサンは25℃で液状であることが好ましく、回転粘度計により測定された25℃における粘度は、好ましくは1~1,000mPa・s、より好ましくは5~100mPa・s程度である。【0037】(B)成分の具体例としては、分子鎖両末端トリメチルシロキシ基封鎖ジフェニルシロキサン・メチルハイドロジェンシロキサン共重合体、分子鎖両末端トリメチルシロキシ基封鎖メチルフェニルシロキサン・メチルハイドロジェンシロキサン共重合体、分子鎖両末端トリメチルシロキシ基封鎖ジメチルシロキサン・メチルハイドロジェンシロキサン・メチルフェニルシロキサン共重合体、分子鎖両末端トリメチルシロキシ基封鎖ジメチルシロキサン・メチルハイドロジェンシロキサン・ジフェニルシロキサン共重合体、分子鎖両末端ジメチルハイドロジェンシロキシ基封鎖ジメチルシロキサン・メチルフェニルシロキサン共重合体、分子鎖両末端ジメチルハイドロジェンシロキシ基封鎖ジメチルシロキサン・ジフェニルシロキサン共重合体、分子鎖両末端ジメチルハイドロジェンシロキシ基封鎖メチルフェニルポリシロキサン、分子鎖両末端ジメチルハイドロジェンシロキシ基封鎖ジフェニルポリシロキサン、分子鎖両末端ジメチルハイドロジェンシロキシ基封鎖ジフェニルシロキサン・メチルハイドロジェンシロキサン共重合体、これらの各例示化合物において、メチル基の一部又は全部がエチル基、プロピル基等の他のアルキル基で置換されたオルガノハイドロジェンポリシロキサン、式:R33SiO1/2で示されるシロキサン単位と式:R32HSiO1/2で示されるシロキサン単位と式:SiO2で示されるシロキサン単位とからなるオルガノシロキサン共重合体、式:R32HSiO1/2で示されるシロキサン単位と式:SiO2で示されるシロキサン単位とからなるオルガノシロキサン共重合体、式:R3HSiOで示されるシロキサン単位と式:R3SiO3/2で示されるシロキサン単位及び式:HSiO3/2で示されるシロキサン単位のどちらか一方又は両方とからなるオルガノシロキサン共重合体、及び、これらのオルガノポリシロキサンの二種以上からなる混合物が挙げられる。上記式中のR3は、前記のとおりである。【0038】(B)成分の配合量は、(C)成分のヒドロシリル化触媒の存在下に本組成物を硬化させるのに十分な量、即ち、(A)成分中の脂肪族不飽和基に対する(B)成分中のSiH基のモル比が0.2~5、好ましくは0.5~2となる量である。【0039】[(C)成分](C)成分の白金族金属系ヒドロシリル化触媒としては、(A)成分中のケイ素原子結合脂肪族不飽和基と(B)成分中のSiH基とのヒドロシリル化付加反応を促進するものであればいかなる触媒を使用してもよい。(C)成分は、一種単独で用いても二種以上を併用してもよい。【0040】(C)成分としては、例えば、白金、パラジウム、ロジウム等の白金族金属や、塩化白金酸、アルコール変性塩化白金酸、塩化白金酸とオレフィン類、ビニルシロキサン及びアセチレン化合物いずれかとの配位化合物、テトラキス(トリフェニルホスフィン)パラジウム、クロロトリス(トリフェニルホスフィン)ロジウム等の白金族金属化合物が挙げられるが、特に好ましくは白金化合物である。【0041】(C)成分の配合量は、ヒドロシリル化触媒としての触媒量でよく、好ましくは(A)及び(B)成分の合計質量に対して白金族金属元素の質量換算で0.1~1,000ppmの範囲であり、より好ましくは1~500ppmの範囲である。【0042】[(D)成分](D)成分は本発明の組成物に熱伝導性を付与するための熱伝導性充填剤であり、熱伝導性充填剤として公知のものを使用することができ、一種単独で使用しても、二種以上を併用してもよい。組成物により高い熱伝導性を付与することができるため、本発明においては、このような(D)成分として、金属粉末、金属酸化物、金属窒化物、及び金属炭化物からなる群から選ばれるいずれか一種、あるいはこのうち二種以上の混合物を用いることが好ましい。【0043】その具体例としては、アルミニウム粉末、銅粉末、ニッケル粉末等の金属系粉末;アルミナ粉末、酸化ケイ素粉末、酸化マグネシウム粉末、酸化ベリリウム粉末、酸化クロム粉末、酸化チタン粉末等の金属酸化物系粉末;窒化ホウ素粉末、窒化アルミニウム粉末等の金属窒化物系粉末;炭化ホウ素粉末、炭化チタン粉末、炭化珪素粉末等の金属炭化物系粉末;及びこれらの二種以上の混合物が挙げられる。【0044】本発明の組成物又は本発明の組成物を硬化して得られるシリコーン硬化物に電気絶縁性が要求される場合には、(D)成分は、金属酸化物系粉末、金属窒化物系粉末、又は金属炭化物系粉末であることが好ましく、アルミナ粉末であることが特に好ましい。【0045】また、(D)成分の形状としては、例えば、球状、棒状、針状、円盤状、不定形状が挙げられる。ここで、「球状」とは、全表面が凸表面で構成されている形状であることを意味する。よって、(D)成分の形状が球状である場合、(D)成分においては、面と面との交差で生じる稜線や辺は存在せず、最長軸の長さ/最短軸の長さ(アスペクト比)が、通常、1~2、好ましくは1~1.6、より好ましくは1~1.4である。「棒状」とは、一つの軸方向に伸長しており、太さが最長軸方向に沿ってほぼ一定している形状であることを意味する。「針状」とは、「棒状」と同様に一つの軸方向に伸長した形状ではあるが、最長軸方向に沿って先端に接近するにつれて太さが小さくなる部分を有し、それ以外の部分では太さが最長軸方向に沿ってほぼ一定しており、該先端においてとがっている形状を意味する。「円盤状」とは、最長軸の長さ、最短軸の長さに加えて厚みを有する扁平な形状を意味する。「不定形状」とは、特定の形状に分類されない形状を意味する。【0046】(D)成分の平均粒径は特に限定されないが、0.1~100μmの範囲内であることが好ましく、0.1~50μmの範囲内であることがより好ましい。平均粒径が0.1~100μmの範囲内であれば、本発明の組成物は流動性と熱伝導性とのバランスが良好となりやすい。ここで、「平均粒径」とは、レーザー光回折法を用いた粒度分布測定装置により求めた累積重量平均値D50(又はメジアン径)である。【0047】本発明の組成物における(D)成分の含有量は、(A)成分100質量部に対して300超~2,500質量部であり、310~1,500質量部の範囲内であることがより好ましく、320~800質量部の範囲内であることが特に好ましい。(D)成分の含有量が300質量部以下であると、本発明の組成物の熱伝導性が不十分となり、2,500質量部を超えると組成物の粘度が高くなりすぎ、取り扱い性ひいては作業効率が悪くなる。尚、上記範囲内であっても(D)成分の含有量が比較的多い場合(例えば800質量部を超える場合)は、ウエッター(分散剤)を用いることにより配合をより容易とすることができる。ウエッターとしては、シリコーンゴム組成物や熱伝導性シリコーンゴム組成物に使用される公知のものでよく、例えば水酸基やアルコキシ基を含有するシランや低分子量のシロキサンが例示される。【0048】[その他の成分]本発明の組成物には、前記(A)~(D)成分以外にも、本発明の目的を損なわない範囲で、その他の任意の成分を配合することができる。その具体例としては、以下のものが挙げられる。これらのその他の成分は、各々、一種単独で用いても二種以上を併用してもよい。【0049】・(A)成分以外の脂肪族不飽和基含有化合物本発明の組成物には、(A)成分以外にも、(B)成分と付加反応する脂肪族不飽和基含有化合物を配合してもよい。(A)成分以外のこのような脂肪族不飽和基含有化合物としては、硬化物の形成に関与するものが好ましく、1分子あたり少なくとも2個の脂肪族不飽和基を有する(A)成分以外のポリオルガノシロキサンが挙げられる。その分子構造は、例えば、直鎖状、環状、分岐鎖状、三次元網状等いずれでもよい。【0050】また、(A)成分以外の脂肪族不飽和基含有化合物として、脂肪族不飽和基含有有機化合物を配合することも可能である。該脂肪族不飽和基含有有機化合物の具体例としては、ブタジエン、多官能性アルコールから誘導されたジアクリレート等のモノマー;ポリエチレン、ポリプロピレン又はスチレンと他のエチレン性不飽和化合物(例えば、アクリロニトリル又はブタジエン)とのコポリマー等のポリオレフィン;アクリル酸、メタクリル酸、又はマレイン酸のエステル等の官能性置換有機化合物から誘導されたオリゴマー又はポリマーが挙げられる。【0051】(A)成分以外の脂肪族不飽和基含有化合物は室温で液体であっても固体であってもよく、このような(A)成分以外の脂肪族不飽和基含有化合物を配合する場合の配合量は、(A)成分100質量部に対して1~100質量部であることが好ましく、特に2~50質量部であることが好ましい。【0052】・付加反応制御剤ポットライフを確保するために、付加反応制御剤を本発明の組成物に配合することができる。付加反応制御は、前記(C)成分のヒドロシリル化触媒に対して硬化抑制効果を有する化合物であれば特に限定されず、従来から公知のものを用いることもできる。その具体例としては、トリフェニルホスフィン等のリン含有化合物;トリブチルアミン、テトラメチルエチレンジアミン、ベンゾトリアゾール等の窒素含有化合物;硫黄含有化合物;アセチレンアルコール類(例えば、1-エチニルシクロヘキサノール、3,5-ジメチル-1-ヘキシン-3-オール)等のアセチレン系化合物;アルケニル基を2個以上含む化合物;ハイドロパーオキシ化合物;マレイン酸誘導体等が挙げられる。【0053】付加反応制御剤による硬化抑制効果の度合は、その付加反応制御剤の化学構造によって異なる。よって、使用する付加反応制御剤の各々について、その添加量を最適な量に調整することが好ましい。最適な量の付加反応制御剤を添加することにより、組成物は室温での長期貯蔵安定性及び加熱硬化性に優れたものとなる。【0054】・接着性付与剤本発明の組成物には、接着性が必要な場合は、被着体となるプラスチックや金属との接着性を向上させるために用いられる成分(接着性付与剤)を加えても良い。該接着性付与剤としては、接着性を付与する官能基を含有するケイ素化合物等が挙げられる。【0055】・充填剤表面処理剤本発明の組成物中の熱伝導性充填剤に対し、シリコーン成分の濡れを改善する必要がある場合、シランカップリング剤等の表面処理剤を硬化物の物性を著しく低下させない範囲で加えても良い。【0056】・その他の任意成分硬化物の着色、酸化劣化等の発生を抑えるために、2,6-ジ-t-ブチル-4-メチルフェノール等の従来公知の酸化防止剤を本発明の組成物に配合することができる。更に、必要に応じて、染料、顔料、難燃剤等を本発明の組成物に配合してもよい。【0057】[組成物の粘度]本発明の組成物は、粘度が低く、取り扱いが容易である。具体的には0.1~1,000Pa・sであり、好ましくは0.1~100Pa・sであり、最も好ましくは0.5~50Pa・sである。【0058】[硬化物]本発明の組成物は、公知の硬化条件下で公知の硬化方法により硬化させて硬化物とすることができる。具体的には、通常、80~200℃、好ましくは100~160℃で加熱することにより、本発明の組成物を硬化させることができる。加熱時間は、0.5分~5時間程度、特に1分~3時間程度が好ましい。得られる硬化物はタイプDデュロメータ硬度30以上であるものが好ましく、30~100であるものがより好ましい。【実施例】【0059】以下、調製例、実施例及び比較例を示し、本発明を具体的に説明するが、本発明は下記の実施例に制限されるものではない。尚、下記の例で、粘度(硬化前)は回転粘度計を用いて25℃で測定した値である。硬度(硬化後)は硬化物を作製し、JIS-K6253に準じて測定した。熱伝導率(硬化後)は、各々のサンプルの厚み10mmの硬化物を作製し、京都電子工業株式会社製、迅速熱伝導率系QTM-500(熱線法)を用いて測定した。【0060】また、下記の例において、シリコーンオイル又はシリコーンレジンの平均組成を示す記号は以下の通りの単位を示す。又、各シリコーンオイル又は各シリコーンレジンのモル数は、各成分中に含有されるビニル基又はSiH基のモル数を示すものである。MH:(CH3)2HSiO1/2MVi:(CH2=CH)(CH3)2SiO1/2MΦVi:(CH2=CH)(C6H5)(CH3)SiO1/2DH:(CH3)HSiO2/2D:(CH3)2SiO2/2DΦ:(C6H5)2SiO2/2【0061】[調製例1]白金触媒の調製六塩化白金酸とsym-テトラメチルジビニルジシロキサンとの反応生成物を、白金含量が0.5質量%となるようにトルエンで希釈して、本実施例及び比較例で使用する白金触媒(触媒X)を調製した。【0062】[調製例2]平均組成式:MΦvi2DΦ2.8のシリコーンオイルの合成500mLのフラスコに水200g、及びトルエン117gを入れ、75℃に加温し、そこへジクロロジフェニルシラン100gを滴下し、80℃で3時間撹拌を続けた。室温に冷却した後、水相を分離した。有機相を無水硫酸ナトリウム10gで乾燥、ろ別し、ジクロロジフェニルシラン加水分解オリゴマーのトルエン溶液を得た。減圧濃縮によりトルエンを除去し、ジメチルジフェニルジビニルジシロキサン40.9gを加え混合した。更に濃硫酸5.0gを添加し、50℃/15mmHgの条件下、5時間縮合反応を行った。トルエン100g、10質量%ぼう硝水100gを加えて混合した後、水相を分離した。有機相を重曹水洗浄及び水洗浄し、その後減圧濃縮によりトルエンを除去した。得られた白濁液体をろ過し、無色透明の平均組成式:MΦvi2DΦ2.8のシリコーンオイルを得た。【0063】[実施例1]粘度1.6Pa・s、前記平均組成式:MΦvi2DΦ2.8のシリコーンオイル100g(231ミリモル)、及び粘度0.02Pa・s、平均組成式:MH2DH2DΦ2のシリコーンオイル38g(233ミリモル)、平均粒径が5μmである不定形状のアルミナ粉末320gの混合物を、制御剤としてのエチニルシクロヘキサノール0.28g及び触媒X0.56gと混合してシリコーン組成物を得た。この組成物を150℃で2時間加熱して硬化させ、得られたエラストマーの物性を測定した。各測定結果を表1に示す。【0064】[実施例2]粘度1.6Pa・s、前記平均組成式:MΦvi2DΦ2.8のシリコーンオイル100g(231ミリモル)、及び粘度0.02Pa・s、平均組成式:MH2DH2DΦ2のシリコーンオイル38g(233ミリモル)、平均粒径が5μmである不定形状のアルミナ粉末400gの混合物を、制御剤としてのエチニルシクロヘキサノール0.28g及び触媒X0.56gと混合してシリコーン組成物を得た。この組成物を150℃で2時間加熱して硬化させ、得られたエラストマーの物性を測定した。各測定結果を表1に示す。【0065】[比較例1]粘度0.6Pa・s、平均組成式:Mvi2D170のシリコーンオイル100g(15.6ミリモル)、及び粘度0.01Pa・s、平均組成式:MH2DH5.7D12.3のシリコーンオイル2.8g(15.5ミリモル)、平均粒径が5μmである不定形状のアルミナ粉末320gの混合物を、制御剤としてのエチニルシクロヘキサノール0.28g及び触媒X0.56gと混合してシリコーン組成物を得た。この組成物を150℃で2時間加熱して硬化させ、得られたエラストマーの物性を測定した。各測定結果を表1に示す。【0066】[比較例2]粘度1.6Pa・s、平均組成式:Mvi2D300のシリコーンオイル100g(8.92ミリモル)、及び粘度0.01Pa・s、平均組成式:MH2DH5.7D12.3のシリコーンオイル1.6g(8.87ミリモル)、平均粒径が5μmである不定形状のアルミナ粉末400gの混合物を、制御剤としてのエチニルシクロヘキサノール0.28g及び触媒X0.56gと混合してシリコーン組成物を得た。この組成物を150℃で2時間加熱して硬化させ、得られたエラストマーの物性を測定した。各測定結果を表1に示す。【0067】【表1】【0068】表1に示されるように、実施例1~2の組成物は、高熱伝導率で、取り扱い性に優れた適度な粘度を有し、硬化物の硬度も高硬度であった。一方、比較例1~2の組成物は、熱伝導率と粘度は実施例のものと同程度であったが、硬化物の硬度が著しく低いものであった。【0069】以上のことから、本発明の組成物が、高熱伝導性のシリコーン組成物を得るために熱伝導性充填剤が高充填されていても、取り扱い性が良好であり、その硬化物は高硬度であることが実証され、これにより効率よく放熱することができ、作業効率も良く、衝撃等にも耐えられるものであることがわかったといえる。【0070】尚、本発明は、上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。
A
2012140549
2011000227
20110104
null
null
20120726
2012140549
20120726
null
null
5717451
20150327
null
null
null
耐熱樹脂組成物および発泡成形体
399051593
東洋スチレン株式会社
null
null
大岡 進,好岡 和広,塚田 雅史
null
8
C08L 25/08 (20060101), C08L 71/12 (20060101), C08L 25/06 (20060101), C08J 9/12 (20060101)
C08L 25/08 ,C08L 71/12 ,C08L 25/06 ,C08J 9/12 CET
7
null
null
null
0
14
4F074,4J002
4F074 AA32 ,4F074 AA33 ,4F074 AA77 ,4F074 AB01 ,4F074 AC32 ,4F074 BA32 ,4F074 CA22 ,4F074 DA34,4J002 BC033,4J002 BC041,4J002 CH072,4J002 GG00
【課題】耐熱性および低温強度が共に優れたスチレン系樹脂の発泡成形体を提供することを課題とし、その課題を解決するとともに成形性(溶融コンパンド性、熱成形性)にも優れた耐熱樹脂組成物および発泡成形体を提供する。【解決手段】ポリフェニルエーテル3~30質量部、芳香族ビニルとアクリル酸系モノマーからなる共重合樹脂3~95質量部およびポリスチレン0~70質量部からなる耐熱樹脂組成物およびその発泡成形体。ただし、この樹脂組成物中の各樹脂成分の混合量は、合計100質量部とする。【選択図】なし
【請求項1】ポリフェニレンエーテル3~30質量部、芳香族ビニルとアクリル酸系モノマーからなる共重合樹脂3~95質量部およびポリスチレン0~70質量部からなる耐熱樹脂組成物。ただし、この樹脂組成物中の各樹脂成分の混合量は、合計100質量部とする。【請求項2】芳香族ビニルとアクリル酸系モノマーとの共重合樹脂が、スチレンとメタクリル酸との共重合樹脂でメタクリル酸の含有量が1~13質量%である請求項1の耐熱樹脂組成物。【請求項3】ポリスチレンがスチレンのホモポリマーからなる請求項1~請求項2のいずれか1項に記載の耐熱樹脂組成物。【請求項4】ポリスチレンがスチレンのホモポリマーで、Mz/Mwが1.8~3.4である請求項1~3のいずれか1項に記載の耐熱樹脂組成物。【請求項5】請求項1~請求項4のいずれか1項に記載の耐熱樹脂組成物を用いて得られる発泡成形体。【請求項6】請請求項1~請求項4のいずれか1項に記載の耐熱樹脂組成物を用いて押出し発泡して得られる発泡シート。【請求項7】請求項6に記載の発泡シートを熱成形することにより得られる食品包装容器。
【請求項1】ポリフェニレンエーテル3~30質量部、芳香族ビニルとアクリル酸系モノマーからなる共重合樹脂3~95質量部およびポリスチレン0~70質量部からなる耐熱樹脂組成物。ただし、この樹脂組成物中の各樹脂成分の混合量は、合計100質量部とする。
【技術分野】【0001】本発明は、耐熱性でかつ低温強度にも優れたスチレン系樹脂組成物およびそれを用いた発泡成形体に関わる。
【背景技術】【0002】スチレン系樹脂の発泡成形体は、軽量性、断熱性および衝撃吸収性を有している。これらの特性を活かして魚箱、電気製品等の梱包用途、壁・畳等の建材ボード、食料品トレイ・弁当箱・即席めんカップ等の食品包装容器など広範囲に使用されている。【0003】これらのなかで天井断熱ボードおよび電子レンジ対応を求められる弁当箱・即席めん容器等の食品包装容器分野では、高い耐熱性が要求されている。スチレン系樹脂の耐熱性を改善する方法としてスチレンとメタクリル酸モノマーとの共重合体があり、これを用いた発泡成形体(特開平17-247888号参照)が提案されている。【0004】しかしながら、弁当や調理済み食品業界では、売れ残りによる食品廃棄によるムダが“もったいない”として問題となっている。この対応策として流通工程をこれまでの常温からチルドへと変更することで賞味期限の延長を図ってきている。チルド温度はJAS法(食品保存基準)では5℃以下であるが、多くの食品メーカーでは0~10℃としている。この温度低下が容器にとっては脆化破壊を起こし易くなり、より低温強度の強い樹脂素材が求められている。【0005】スチレン系樹脂の低温脆性の改良策としては、スチレンーブタジエン共重合体やメチルメタクリレートーブタジエン-スチレン共重合体のようなエラストマーを添加する方法があるが、耐熱性と剛性が低下する。従って、これらの方法で得られたスチレン系樹脂を用いた発泡成形体は電子レンジ処理後の変形や容器としての腰強度が不足する。耐熱性と低温脆性に優れたものとしてポリスチレンとポリフェニレンエーテルとのブレンド樹脂が提案されているが(特開昭52-101267号参照、特開平3-157432号参照、特開平2-217225号参照)、耐熱性を向上させるためにポリフェニレンエーテルの配合量を増加させるとポリフェニレンエーテルに起因する臭気が激しくなり建築ボードや電子レンジ用食品容器等では致命的になる。この臭気を改善する方法としてゼオライト等無機物を大量に含有する方法も提案されているが(特開平20-94919号参照)、低温脆性の低下や外観(色相、表面性)不良をきたす。
【発明が解決しようとする課題】【0007】本発明は、上記に記載したスチレン系樹脂を用いた発泡成形体の耐熱性および低温強度が共に優れるという課題を達成するため鋭意研究を進めたものである。芳香族ビニルとアクリル酸系モノマーとの共重合樹脂とポリフェニレンエーテル、更にポリスチレンを組み合わせることで耐熱性と低温脆性を改良し、更にはコンパウンド性と熱成形性に優れた樹脂組成物とそれを用いた発泡成形体が得られることを見出し、本発明を完成した。
【課題を解決するための手段】【0008】本発明を以下に詳述する。1.ポリフェニレンエーテル3~30質量部、芳香族ビニルとアクリル酸系モノマーからなる共重合樹脂3~95質量部およびポリスチレン0~70質量部を含有する耐熱樹脂組成物である。ただし、この樹脂組成物中の各樹脂成分の混合量は、合計100質量部とする。2.芳香族ビニルとアクリル酸系モノマーとの共重合樹脂が、スチレンとメタクリル酸との共重合樹脂でメタクリル酸の含有量が1~13質量%である前記1に記載の耐熱樹脂組成物。3.ポリスチレンがスチレンのホモポリマーからなる前記1および2のいずれかに記載の耐熱樹脂組成物。4.ポリスチレンがスチレンのホモポリマーで、Mz/Mwが1.8~3.4である前記1~3のいずれかに記載の耐熱樹脂組成物。5.前記1~4のいずれかに記載の耐熱樹脂組成物を用いて得られる発泡成形体。6.前記1~4のいずれかに記載の耐熱樹脂組成物を用いて押出し発泡して得られる発泡シート。7.前記6に記載の発泡シートを熱成形することにより得られる食品包装容器。
【発明の効果】【0009】本発明の樹脂組成物は、耐熱性と低温脆性に優れ、更に容易に溶融コンパウンドすることもできる。また、更には発泡押出しシート化ができ、これに続く熱成形においても深絞り性が優れるため多様な形状の容器を得ることができる。したがって、本発明の耐熱樹脂組成物を用いて得られる発泡成形体は、耐熱性と低温脆性に優れ、またこの耐熱樹脂組成物を発泡押出しシート化、更に熱成形することで食品包装容器等の多用な発泡成形体が得られる。
【発明を実施するための形態】【0010】ポリフェニレンエーテルは、フェノール化合物の酸化カップリングにより製造される。ポリフェニレンエーテルの酸化カップリング反応触媒としては、特に制限はないが、銅、マンガン、コバルト等の重金属化合物の少なくとも1種を用いる(米国特許第4,042,056号、同第3,306,874号、同第3,306,875号公報等参照)。フェノールの具体例としては、フェノール、o-,m-,p-クレゾール、2,6-、2,5-、2,4-または3,5-ジメチルフェノール、2-メチルー6-フェニルフェノール、2,6-ジフェニルフェノール、2,6-ジエチルフェノール、2-メチルー6-t-ブチルフェノールなどが挙げられる。上記フェノール化合物は二種以上を共重合してもよく、さらに得られるホモポリマーもしくはコポリマーを二種以上混合使用してよい。上記フェノール化合物の中でも特に2,6-ジメチルフェノールが好適であり、従って本発明においてはこれを重合して得られるポリ(2,6-ジメチルー1,4-フェニレン)エーテルが良好な結果を与える。本発明における上記ポリフェニレンエーテルの分子量は、特に限定はしないが好適なのは極限粘度が0.3dl/g以上(温度25℃、溶媒クロロホルム中)のものである。0.3dl/g未満では機械的強度が劣る。また、好ましくは極限粘度0.3~0.6dl/gである。ポリフェニレンエーテルの含有量は、当該樹脂組成物100質量部中3~30質量部好ましくは5~20質量部であり、3質量部未満では脆性改良効果が小さく、30質量部を超えると発泡体への熱成形性が劣る。【0011】芳香族ビニルとアクリル酸系モノマーとの共重合樹脂は、熱或いは過酸化触媒によるラジカル重合法により製造でき、芳香族ビニルとしては、スチレン、α-メチルスチレン、パラメチルスチレン、オルトメチルスチレン等が用いられ、アクリル酸系モノマーとしては、アクリル酸、メタクリル酸、マレイン酸、無水マレイン酸等で、共に1種以上のモノマーを用いることができる。また、共重合樹脂の特性を損なわない範囲で、芳香族ビニルとアクリル酸系モノマー以外の重合可能なモノマー、例えば、アクリル酸エスエル類、シアン化ビニル類、マレイミド系モノマーが少量含有されていても良い。芳香族ビニルとアクリル酸系モノマーとの共重合樹脂の重合方式としては、塊状重合、溶液重合、懸濁重合等公知のスチレン重合方式が挙げられる。芳香族ビニルとアクリル酸系モノマーとの共重合樹脂のモノマーとしては、スチレンとメタクリル酸の組み合わせが好ましく、更に、該共重合樹脂に占めるメタクリル酸量として1~13質量%が好ましい。1質量%未満では耐熱性の改良効果が小さく、13質量%を超えるとポリフェニレンエーテルとの溶融コンパウンド性と発泡シートからの熱成形性が悪い。更に好ましくは3~13質量%である。芳香族ビニルとアクリル酸系モノマーとの共重合樹脂の含有量は、当該樹脂組成物100質量部中3~95質量部であり、3質量部未満では耐熱性改良効果がなく、95質量部を超えると成形性が低下し脆化する。【0012】ポリフェニレンエーテルと芳香族ビニルとアクリル酸系モノマーとの共重合樹脂の混合は、通常のポリマーブレンドの手法で行うことが可能であり、事前に混合して或いは事前混合せず片方の樹脂を途中フィードする方法で単軸或いは多軸押出機にて溶融混練することができる。しかしながら両樹脂の相溶性が必ずしも良くなく、溶融粘度差も生じるため分散性が低下し溶融コンパウンド性および発泡シートからの熱成形性が悪い。改善するために溶融混練条件を高せん断条件とすることで可能となるが、ゲル化及び熱変色等の外観不良の恐れがある。【0013】これについて、ポリフェニルエーテルと芳香族ビニルとアクリル酸系モノマーとの共重合樹脂に当該樹脂組成物100質量部中ポリスチレンを70質量部以下の量で含有させることによりコンパウンド時の樹脂の分散性が改善し、溶融コンパウンド性および発泡シートからの熱成形性も改良できる。70質量部を超えると耐熱性の改良効果がなくなる。【0014】用いるポリスチレンとしては、スチレンのホモポリマーおよびゴム強化したハイインパクトポリスチレンが挙げられる。重合法として、ラジカル重合、アニオン重合等が活用でき各手法によるもののブレンドも可能である。ハイインパクトポリスチレンおよびゴム質ポリマーは耐熱性の低下をきたすため、ポリスチレンのホモポリマーが好ましくMz/Mwが1.8~3.4のものは更に熱成形性も改良できる。ポリスチレンの分子量としては、重量平均分子量18万以上で、好ましくは20万~50万である。【0015】当該樹脂組成物には、リン系、フェロール系、アミン系等の安定剤、脂肪酸金属塩、アマイド系の滑剤、ナフテン、パラフィン系等の可塑剤、ゼオライト、活性炭等の脱臭剤、顔料、染料等着色剤およびタルク、炭酸カルシウム等の発泡核剤等を添加することができる。また、当該樹脂組成物の性能を損なわない範囲で、スチレンーブタジエン、スチレン-ブタジエン-スチレン、スチレン-エチレン-ブチレン-スチレン、メチルメタクリレートーブタジエン-スチレン等のゴム質ポリマーを含有することができる。【0016】溶融コンパウンドした当該樹脂は、次いで発泡剤とともに溶融押出しすることで発泡シートを製造する。発泡剤としては、プロパン、ブタン、ペンタン等炭素数3~5の飽和炭化水素、シクロブタン、シクロペンタン等の環式炭化水素、ジフルオロエタン、エチルクロライド等ハロゲン化炭化水素、アゾビスイソブチロニトリル、アゾジカルボンアミド等分解型発泡剤、二酸化炭素、窒素等無機ガスおよび水等が単独あるいは併用して用いられ、その量は当該樹脂組成物100質量部に対して1から10質量部である。【0017】発泡剤の添加方法は、当該樹脂組成物を押出機にて加熱下で溶融させ、押出機の途中に圧入させ混合する。その後、サーキュラーダイスあるいは平板ダイスより低圧領域へ押出し、所望のシート厚さになるように発泡させる。【0018】得られた発泡シートは、建築用ボードや断熱材ではこのまま用いられることもあるが、トレイ、麺類容器等食品包装容器等では、更に熱成形により所望の形状および厚みを形成する。熱成形は、熱板による予備加熱を設けた真空(圧空)成形機が用いられ、それぞれ用いられる用途に応じた食品容器等に賦型される。発泡シートをその軟化温度以上の温度に設定された熱板にて加熱し、その後真空(圧空)成形機の型内にバキュームおよび/あるいは加圧することで賦型される。【実施例】【0019】以下、実施例を挙げて本発明を具体的に説明するが、これら実施例に限定されるものではない。【0020】実施例1と比較例1<芳香族ビニルとアクリル酸系モノマーとの共重合樹脂の製造>スチレン92質量%、メタクリル酸8質量%のモノマー構成100質量部に対して溶剤としてエチルベンゼン10質量部、および重合開始剤として2,2-ビス(4,4-t-ブチルパーオキシシクロヘキシル)プロパン0.02質量部を混合し原料溶液とした。この原料溶液を毎時13.5kgの割合で、120℃に設定した完全混合槽の容量39リットルの第一反応器、次いで125℃に設定した完全混合槽の容量39リットルの第二反応器、更に静的混合器付きの容量16リットルのプラグフロー型反応器(設定温度は、125℃から140℃の勾配)に連続供給し重合した。この重合液を直列に2段より構成した予熱器付き真空脱揮槽に導入し、未反応モノマーおよびエチルベンゼンを分離除去した。その後、ストランド状に押出し冷却した後、切断してペレットとした。なお、1段目の予熱器の温度は200℃、真空脱揮槽の圧力は6.7×104Paとし、2段目の予熱器の温度は250℃に設定し、真空脱揮槽の圧力は6.6×100Paとした。得られ共重合樹脂中のメタクリル酸量を測定した結果、メタクリル酸量は8.3質量%であった。【0021】<樹脂組成物の溶融コンパウンド>上記の方法で製造したスチレン/メタクリル酸=92/8の共重合体を当該樹脂組成物100質量部中50質量部とし、ポリフェニレンエーテル粉末(三菱エンジニアリングプラスチックス社製、IUPIACEPX100L:極限粘度0.41g/dl)およびポリスチレンペレット(東洋スチレン社製、トーヨースチロールHRM12:Mz/Mw=2.04、Mw=25万)を表-1に示す質量部比率にてヘンシェルミキサーにて混合し、230から260℃に温度設定した二軸押出機(神戸製鋼所製、KTX30α)にて溶融コンパウンド化した。得られた樹脂組成物のソリッド物性と成形性(溶融コンパウンド性)を評価した。【0022】<樹脂組成物の発泡シート化>発泡剤として二酸化炭素を使用し、タンデム式の単軸押出機にて発泡シートを製造した。上記樹脂組成物100質量部と微粉末タルク1質量部をタンブラーブレンドしたものを220から230℃に温度設定した40mmφ押出機に供給し、樹脂組成物が溶融した後、押出機の先端付近から発泡剤の二酸化炭素を樹脂組成物100質量部に対して2.5質量部の割合で圧入した。この混合物を50mmφ押出機に供給し、樹脂温度185℃に調節した後、リップ開度0.8mm、環径30mmの円形ダイスより10kg/時の量で押出し、直径90mmの冷却された円筒に添わせて引き取り厚さ2mmで密度0.12g/cm3~0.15g/cm3の発泡シートを得た。この発泡シートからの切り出し片を用いて低温ノッチなしダインシュタット強度と成形性(熱成形性)を測定した。表-1に実施例の性能評価結果を示すが、耐熱性、低温強度に優れ、成形性(溶融コンパウンド性、熱成形性)も良好であった。なお、比較例で、ポリフェニレンエーテル量が、3質量部未満では低温強度、30質量部を超えると成形性が劣っている。【0023】【表1】【0024】実施例2と比較例2実施例1で使用したポリフェニレンエーテルを当該樹脂組成物100質量部中10質量部用い、これに対してスチレン/メタクリル酸=92/8の共重合体とポリスチレンを表-2に示す質量部の割合にて溶融コンパウンド、発泡シート化および発泡成形を行い、それぞれを同様に評価した。表-2に実施例の性能評価結果を示すが、耐熱性、低温強度に優れ、成形性(溶融コンパウンド性、熱成形性)も良好であった。なお、比較例で、スチレン/メタクリル酸共重合樹脂の量が、95質量部を超えると成形性と低温強度が劣っていた。【0025】【表2】【0026】実施例3と比較例3実施例1で使用したポリフェニレンエーテルを当該樹脂組成物100質量部中30質量部用い、これに対してスチレン/メタクリル酸=92/8の共重合体とポリスチレンを表-3に示す質量部の割合にて溶融コンパウンド、発泡シート化および発泡成形を行い、それぞれを同様に評価した。表-3に実施例の性能評価結果を示すが、耐熱性、低温強度に優れ、成形性(溶融コンパウンド性、熱成形性)も良好であった。なお、比較例で、スチレン/メタクリル酸共重合樹脂の量が、3質量部未満では低温強度が劣っていた。【0027】【表3】【0028】実施例4と比較例4実施例1で使用したポリフェニレンエーテルを当該樹脂組成物100質量部中10質量部用い、これに対してスチレン/メタクリル酸=92/8の共重合体とポリスチレンを表-4に示す質量部の割合にて溶融コンパウンド、発泡シート化および発泡成形を行い、それぞれを同様に評価した。表-4に実施例の性能評価結果を示すが、耐熱性、低温強度に優れ、成形性(溶融コンパウンド性、熱成形性)も良好であった。なお、比較例で、ポリスチレンの量が70質量部を超えると耐熱性と低温強度が劣っていた。【0029】【表4】【0030】実施例5実施例1で使用したスチレン/メタクリル酸=92/8の共重合体とポリスチレンを使用し、ポリフェニレンエーテルを極限粘度が異なるタイプ(三菱エンジニアリングプラスチックス社製、IUPIACEPX100F:極限粘度0.36g/dl)を用い、表-4に示す質量部の割合にて溶融コンパウンド、発泡シート化および発泡成形を行い、それぞれを同様に評価した。表-5に実施例の性能評価結果を示すが、耐熱性、低温強度に優れ、成形性(溶融コンパウンド性、熱成形性)も良好であった。【0031】【表5】【0032】実施例6と比較例6実施例1で使用したポリフェニレンエーテル20質量部およびポリスチレン30質量部に対して表-5に示す各種芳香族ビニルとアクリル酸系モノマー共重合樹脂50質量部をそれぞれ当該樹脂組成物100質量部となるように混合し、溶融コンパウンド、発泡シート化および発泡成形を行い、同様に評価した。【0033】<芳香族ビニルとアクリル酸系モノマーとの共重合樹脂の製造>スチレン/メタクリル酸系モノマーの共重合体は、実施例1と同様な重合方式、触媒、重合条件および脱揮条件にて、投入するモノマー比率を変えることで製造した。得られたそれぞれの共重合体中のポリメタクリル酸量を測定した。仕込みスチレン/メタクリル酸=97/3のモノマー比率の共重合体は、ポリメタクリル酸量が3.8質量%、仕込みスチレン/メタクリル酸=86/14のモノマー比率の共重合体は、ポリメタクリル酸量が14.2質量%であった。【0034】一方、スチレン/無水マレイン酸モノマーの共重合体は、市販されていた樹脂ペレット(MTC-ARCO社製、ダイラーク#232)を使用した。表-6に実施例の性能評価結果を示すが、耐熱性、低温強度に優れ、成形性(溶融コンパウンド性、熱成形性)も良好であった。なお、比較例で、スチレン/メタクリル酸モノマーの共重合体でメタクリル酸モノマー仕込み量が14質量部と多く含むものを使用すると成形性(溶融コンパウンド性、熱成形性)が悪化し使用できない。【0035】【表6】【0036】実施例7実施例1で使用したポリフェニレンエーテル20質量部、スチレン/メタクリル酸=92/8の共重合体50質量部に対して、表-7に示す各種ポリスチレンを当該樹脂組成物100質量部となるように混合し、それぞれ溶融コンパウンド、発泡シート化および発泡成形を行い、同様に評価した。用いたポリスチレンのそれぞれのMz/Mwおよび重量平均分子量を示す。GPHRM48:Mz/Mw=2.25、Mw=38万GPHRM10:Mz/Mw=1.74、Mw=21万HIE640:ゴム分6質量%のゴム変性ポリスチレン表-7に実施例の性能評価結果を示すが、耐熱性、低温強度に優れ、成形性(溶融コンパウンド性、熱成形性)も良好であった。【0037】【表7】【0038】これまで述べた各種物性および性能評価の評価方法を以下詳述する。a)スチレン/メタクリル酸共重合体中のメタクリル酸含有量室温にて、共重合体0.5gを秤量し、トルエン/エタノール=8/2(体積比)の混合溶液に溶解後、水酸化カリウム1mol/エタノール溶液にて中和滴定を行い終点を検出し、水酸化カリウムエタノール溶液の使用量により、メタクリル酸の質量基準の含有量を算出する。なお、電位差自動検出装置(京都電子工業社製、AT-510)により測定した。b)分子量重量平均分子量(Mw)およびZ平均分子量(Mz)を、ゲルパーミッションクロマトグラフィーを用いて、次の条件で測定した。なお、各分子量は、単分散ポリスチレンの溶出曲線により各溶出時間における分子量を算出し、ポリスチレン換算の分子量として算出した。機種:昭和電工社製ShodexGPC-101カラム:ポリマーラボラトリーズ社製PLgel10μmMIXED-B,300×7.5mm移動相:テトラヒドロフラン1.0ml/min.試料濃度:0.2質量%温度:オーブン40℃、注入口35℃、検出器35℃検出器:示差屈折計【0039】c)溶融コンパウンド性溶融コンパウンドした樹脂組成物ペレットを用いて240℃に設定したプレス機にて予熱3分、圧力10MPa下で成形3分の条件で厚さ0.2~0.4mmのフイルムを成形する。このフイルムの分散性を100cm2の面積内にブツが0個の場合を○、1個以上5個未満の場合を△、5個以上の場合を×として評価した。d)熱成形性発泡シートを真空成形機により、ヒーター温度260℃で所定の時間加熱し、軟化、二次発泡させた後、直ちに真空成形を行い、内径100mm、深さ50mmの円形丼状容器を得た。容器内面の亀裂の発生状態を観察し、成形容器100個のうち、亀裂が観察される容器の数が0個の場合を◎、5個未満の場合を○、5個以上10個未満の場合を△、10個以上の場合を×として深絞り性を評価した。【0040】e)ビカット軟化点樹脂組成物を射出成形法にて得られた厚さ4mmのプレートにて、JISK7206に準じて測定した。f)樹脂ソリッドの脆性評価(射出成形体のノッチなしシャルピー強度)樹脂組成物を射出成形法にて得られたバーを用いて、5℃の環境温度下にてノッチ無しのシャルピー強度をJISK7111に準じて測定した。g)発泡シートの脆性評価(シート切り出し片のダインシュタット強度)発泡シートのMD方向にて長さ30mm、幅10mmの試験片を切り出し、DIN53453に準じて、10℃の環境温度下でハンマー質量1kgでダインシュタット強度を測定した。(n数10の平均値)
A
2012140550
2011000277
20110104
null
null
20120726
2012140550
20120726
null
null
null
null
null
null
null
紫外線硬化型インク及びインクジェット記録方法
000006747
株式会社リコー
100070150
伊東 忠彦
前川 勉,木村 興利,野田 英治,有賀 保,妹尾 晋哉,竹内 則康,小谷野 正行,平岡 孝朗,唐澤 智子,野口 宗
null
8
C09D 11/00 (20060101), B41M 5/00 (20060101), B41J 2/01 (20060101)
C09D 11/00 ,B41M 5/00 A ,B41M 5/00 E ,B41J 3/04 101Y ,B41J 3/04 101Z
7
null
null
null
0
14
2C056,2H186,4J039
2C056 EA04 ,2C056 FC02,2H186 AB02 ,2H186 AB04 ,2H186 AB06 ,2H186 AB11 ,2H186 AB39 ,2H186 AB51 ,2H186 AB63 ,2H186 BA08 ,2H186 DA09 ,2H186 FB04 ,2H186 FB15 ,2H186 FB36 ,2H186 FB44 ,2H186 FB46 ,2H186 FB48 ,2H186 FB56,4J039 AD21 ,4J039 BE01 ,4J039 BE27 ,4J039 EA06 ,4J039 EA29 ,4J039 GA24
【課題】発光ダイオードを用いて硬化させても黄味を帯びることを抑制することが可能な紫外線硬化型インク及び該紫外線硬化型インクを用いるインクジェット記録方法を提供する。【解決手段】ラジカル重合性であると共にアニオン重合性であるモノマーと、光ラジカル発生剤と、光塩基発生剤を含むことを特徴とする紫外線硬化型インク。着色剤をさらに含み、前記着色剤が顔料である。前記顔料がC.I.ピグメントブルー15:3又はC.I.ピグメントブルー15:4である。【選択図】なし
【請求項1】ラジカル重合性であると共にアニオン重合性であるモノマーと、光ラジカル発生剤と、光塩基発生剤を含むことを特徴とする紫外線硬化型インク。【請求項2】着色剤をさらに含むことを特徴とする請求項1に記載の紫外線硬化型インク。【請求項3】前記着色剤が顔料であることを特徴とする請求項2に記載の紫外線硬化型インク。【請求項4】前記顔料がC.I.ピグメントブルー15:3又はC.I.ピグメントブルー15:4であることを特徴とする請求項3に記載の紫外線硬化型インク。【請求項5】着色剤を含まないことを特徴とする請求項1に記載の紫外線硬化型インク。【請求項6】請求項2乃至4のいずれか一項に記載の紫外線硬化型インクを記録媒体に吐出してインク画像を形成する工程と、該インク画像が形成された記録媒体に、発光ダイオードを用いて紫外線を照射する工程を有することを特徴とするインクジェット記録方法。【請求項7】請求項5に記載の紫外線硬化型インクを前記インク画像が形成される前の記録媒体に塗布する工程をさらに有することを特徴とする請求項6に記載のインクジェット記録方法。
【請求項1】ラジカル重合性であると共にアニオン重合性であるモノマーと、光ラジカル発生剤と、光塩基発生剤を含むことを特徴とする紫外線硬化型インク。
【技術分野】【0001】本発明は、紫外線硬化型インク及びインクジェット記録方法に関する。
【背景技術】【0002】近年、画像形成する手段として、銀塩写真、電子写真方式、熱転写方式、インクジェット記録方式等が知られている。特に、インクジェット記録方式は、簡便で安価に画像を作成できるため、普通紙印刷、写真画質印刷等の各種印刷、マーキング、カラーフィルター等の特殊印刷等の様々な印刷分野に応用されている。また、インクジェット記録方式としては、水を主成分とする水性インクを用いる水性インクジェット方式、速乾性の有機溶媒を主体とするインクを用いるソルベント系インクジェット方式、紫外線を照射することにより硬化させる光硬化型インクジェット方式、室温で固形の熱溶融型インクを用いる熱溶融型インクジェット方式等が知られている。【0003】光硬化型インクジェット方式は、ソルベント系インクジェット方式と比較して、臭気が少なく、速乾性であり、インクを吸収しない記録媒体に記録することができる。【0004】特許文献1には、オキセタン化合物又は脂環式エポキシ化合物と、(メタ)アクリレート化合物を含有し、光酸発生剤を1~10質量%、光ラジカル発生剤を0~1質量%含有する紫外線硬化型インクジェットインクが開示されている。【0005】しかしながら、発光ダイオードを用いて紫外線硬化型インクジェットインクを硬化させることが可能な光ラジカル発生剤を用いる、即ち、発光ダイオードを用いて硬化させると、黄味を帯びるという問題がある。
【発明が解決しようとする課題】【0006】本発明は、上記従来技術が有する問題に鑑み、発光ダイオードを用いて硬化させても黄味を帯びることを抑制することが可能な紫外線硬化型インク及び該紫外線硬化型インクを用いるインクジェット記録方法を提供することを目的とする。
【課題を解決するための手段】【0007】請求項1に記載の発明は、紫外線硬化型インクにおいて、ラジカル重合性であると共にアニオン重合性であるモノマーと、光ラジカル発生剤と、光塩基発生剤を含むことを特徴とする。【0008】請求項2に記載の発明は、請求項1に記載の紫外線硬化型インクにおいて、着色剤をさらに含むことを特徴とする。【0009】請求項3に記載の発明は、請求項2に記載の紫外線硬化型インクにおいて、前記着色剤が顔料であることを特徴とする。【0010】請求項4に記載の発明は、請求項3に記載の紫外線硬化型インクにおいて、前記顔料がC.I.ピグメントブルー15:3又はC.I.ピグメントブルー15:4であることを特徴とする。【0011】請求項5に記載の発明は、請求項1に記載の紫外線硬化型インクにおいて、着色剤を含まないことを特徴とする。【0012】請求項6に記載の発明は、インクジェット記録方法において、請求項2乃至4のいずれか一項に記載の紫外線硬化型インクを記録媒体に吐出してインク画像を形成する工程と、該インク画像が形成された記録媒体に、発光ダイオードを用いて紫外線を照射する工程を有することを特徴とする。【0013】請求項7に記載の発明は、請求項6に記載のインクジェット記録方法において、請求項5に記載の紫外線硬化型インクを前記インク画像が形成される前の記録媒体に塗布する工程をさらに有することを特徴とする。
【発明の効果】【0014】本発明によれば、発光ダイオードを用いて硬化させても黄味を帯びることを抑制することが可能な紫外線硬化型インク及び該紫外線硬化型インクを用いるインクジェット記録方法を提供することができる。
【発明を実施するための形態】【0016】次に、本発明を実施するための形態を図面と共に説明する。【0017】本発明の紫外線硬化型インクは、ラジカル重合性であると共にアニオン重合性であるモノマーと、光ラジカル発生剤と、光塩基発生剤を含む。このため、発光ダイオードを用いて硬化させても黄味を帯びることを抑制できる。【0018】ラジカル重合性であると共にアニオン重合性であるモノマーとしては、特に限定されないが、(メタ)アクリル酸類、(メタ)アクリルアミド類、芳香族ビニル類、酢酸ビニル、マレイミド類、マレイン酸類、ラクトン類等が挙げられ、二種以上併用してもよい。【0019】単官能の(メタ)アクリル酸類としては、(メタ)アクリル酸ヘキシル、(メタ)アクリル酸2-エチルヘキシル、(メタ)アクリル酸tert-オクチル、(メタ)アクリル酸イソアミル、(メタ)アクリル酸デシル、(メタ)アクリル酸イソデシル、(メタ)アクリル酸ステアリル、(メタ)アクリル酸イソステアリル、(メタ)アクリル酸シクロヘキシル、(メタ)アクリル酸4-n-ブチルシクロヘキシル、(メタ)アクリル酸ボルニル、(メタ)アクリル酸イソボルニル、(メタ)アクリル酸ベンジル、2-エチルヘキシルジグリコール(メタ)アクリレート、(メタ)アクリル酸ブトキシエチル、(メタ)アクリル酸2-クロロエチル、(メタ)アクリル酸4-ブロモブチル、(メタ)アクリル酸シアノエチル、(メタ)アクリル酸ブトシキメチル、(メタ)アクリル酸3-メトキシブチル、(メタ)アクリル酸アルコキシメチル、(メタ)アクリル酸アルコキシエチル、(メタ)アクリル酸2-(2-メトキシエトキシ)エチル、(メタ)アクリル酸2-(2-ブトキシエトキシ)エチル、(メタ)アクリル酸2,2,2-トリフルオロエチル、(メタ)アクリル酸1H,1H,2H,2H-パーフルオロデシル、(メタ)アクリル酸4-ブチルフェニル、(メタ)アクリル酸フェニル、(メタ)アクリル酸2,4,5-テトラメチルフェニル、(メタ)アクリル酸4-クロロフェニル、(メタ)アクリル酸フェノキシメチル、(メタ)アクリル酸フェノキシエチル、(メタ)アクリル酸グリシジル、(メタ)アクリル酸グリシジロキシブチル、(メタ)アクリル酸グリシジロキシエチル、(メタ)アクリル酸グリシジロキシプロピル、(メタ)アクリル酸テトラヒドロフルフリル、(メタ)アクリル酸ヒドロキシアルキル、(メタ)アクリル酸2-ヒドロキシエチル、(メタ)アクリル酸3-ヒドロキシプロピル、(メタ)アクリル酸2-ヒドロキシプロピル、(メタ)アクリル酸2-ヒドロキシブチル、(メタ)アクリル酸4-ヒドロキシブチル、(メタ)アクリル酸ジメチルアミノエチル、(メタ)アクリル酸ジエチルアミノエチル、(メタ)アクリル酸ジメチルアミノプロピル、(メタ)アクリル酸ジエチルアミノプロピル、(メタ)アクリル酸トリメトキシシリルプロピル、(メタ)アクリル酸トリメチルシリルプロピル、ポリエチレンオキシドモノメチルエーテル(メタ)アクリレート、オリゴエチレンオキシドモノメチルエーテル(メタ)アクリレート、ポリエチレンオキシド(メタ)アクリレート、オリゴエチレンオキシド(メタ)アクリレート、オリゴエチレンオキシドモノアルキルエーテル(メタ)アクリレート、ポリエチレンオキシドモノアルキルエーテル(メタ)アクリレート、ジプロピレングリコール(メタ)アクリレート、ポリプロピレンオキシドモノアルキルエーテル(メタ)アクリレート、オリゴプロピレンオキシドモノアルキルエーテル(メタ)アクリレート、2-メタクリロイロキシエチルコハク酸、2-メタクリロイロキシヘキサヒドロフタル酸、2-メタクリロイロキシエチル-2-ヒドロキシプロピルフタレート、ブトキシジエチレングリコール(メタ)アクリレート、(メタ)アクリル酸トリフルオロエチル、(メタ)アクリル酸パーフルオロオクチルエチル、(メタ)アクリル酸2-ヒドロキシ-3-フェノキシプロピル、EO変性フェノール(メタ)アクリレート、EO変性クレゾール(メタ)アクリレート、EO変性ノニルフェノール(メタ)アクリレート、PO変性ノニルフェノール(メタ)アクリレート、EO変性-2-エチルヘキシル(メタ)アクリレート等が挙げられる。【0020】二官能の(メタ)アクリル酸類としては、1,6-ヘキサンジオールジ(メタ)アクリレート、1,10-デカンジオールジ(メタ)アクリレート、ネオペンチルグリコールジ(メタ)アクリレート、2,4-ジメチル-1,5-ペンタンジオールジ(メタ)アクリレート、ブチルエチルプロパンジオールジ(メタ)アクリレート、シクロヘキサンジメタノールジ(メタ)アクリレート、ポリエチレングルコールジ(メタ)アクリレート、オリゴエチレングリコールジ(メタ)アクリレート、エチレングリコールジ(メタ)アクリレート、2-エチル-2-ブチル-ブタンジオールジ(メタ)アクリレート、ヒドロキシピバリン酸ネオペンチルグリコールジ(メタ)アクリレート、EO変性ビスフェノールAジ(メタ)アクリレート、ビスフェノールFポリエトキシジ(メタ)アクリレート、ポリプロピレングリコールジ(メタ)アクリレート、オリゴプロピレングリコールジ(メタ)アクリレート、1,4-ブタンジオールジ(メタ)アクリレート、2-エチル-2-ブチルプロパンジオールジ(メタ)アクリレート、1,9-ノナンジオールジ(メタ)アクリレート、プロポキシ化エトキシ化ビスフェノールAジ(メタ)アクリレート、トリシクロデカンジ(メタ)アクリレート等が挙げられる。【0021】三官能の(メタ)アクリル酸類としては、トリメチロールプロパントリ(メタ)アクリレート、トリメチロールエタントリ(メタ)アクリレート、アルキレンオキサイド変性トリメチロールプロパントリ(メタ)アクリレート、ペンタエリスリトールトリ(メタ)アクリレート、ジペンタエリスリトールトリ(メタ)アクリレート、トリメチロールプロパントリス((メタ)アクリロイルオキシプロピル)エーテル、アルキレンオキサイド変性イソシアヌル酸トリ(メタ)アクリレート、プロピオン酸ジペンタエリスリトールトリ(メタ)アクリレート、トリス((メタ)アクリロイルオキシエチル)イソシアヌレート、ヒドロキシピバルアルデヒド変性ジメチロールプロパントリ(メタ)アクリレート、ソルビトールトリ(メタ)アクリレート、トリメチロールプロパントリ(メタ)アクリレート、グリセリントリ(メタ)アクリレート等が挙げられる。【0022】単官能の(メタ)アクリルアミド類としては、(メタ)アクリルアミド、N-メチル(メタ)アクリルアミド、N-エチル(メタ)アクリルアミド、N-プロピル(メタ)アクリルアミド、N-n-ブチル(メタ)アクリルアミド、N-t-ブチル(メタ)アクリルアミド、N-ブトキシメチル(メタ)アクリルアミド、N-イソプロピル(メタ)アクリルアミド、N-メチロール(メタ)アクリルアミド、N,N-ジメチル(メタ)アクリルアミド、N,N-ジエチル(メタ)アクリルアミド、(メタ)アクリロイルモルホリン等が挙げられる。【0023】単官能の芳香族ビニル類としては、スチレン、メチルスチレン、ジメチルスチレン、トリメチルスチレン、エチルスチレン、イソプロピルスチレン、クロロメチルスチレン、メトキシスチレン、アセトキシスチレン、クロロスチレン、ジクロロスチレン、ブロモスチレン、ビニル安息香酸メチル、3-メチルスチレン、4-メチルスチレン、3-エチルスチレン、4-エチルスチレン、3-プロピルスチレン、4-プロピルスチレン、3-ブチルスチレン、4-ブチルスチレン、3-ヘキシルスチレン、4-ヘキシルスチレン、3-オクチルスチレン、4-オクチルスチレン、3-(2-エチルヘキシル)スチレン、4-(2-エチルヘキシル)スチレン、アリルスチレン、イソプロペニルスチレン、ブテニルスチレン、オクテニルスチレン、4-t-ブトキシカルボニルスチレン、4-メトキシスチレン、4-t-ブトキシスチレン等が挙げられる。【0024】マレイミド類としては、4,4'-ジフェニルメタンビスマレイミド、フェニレンビスマレイミド、ビスフェノールAジフェニルエーテルビスマレイミド、3,3'-ジメチル-5,5'-ジエチル-4,4'-ジフェニルメタンビスマレイミド、4-メチル-1,3-フェニレンビスマレイミド、1,6'-ビスマレイミド-(2,2,4-トリメチル)ヘキサン等が挙げられる。【0025】マレイン酸類としては、マレイン酸ビス(2-エチルヘキシル)等が挙げられる。【0026】ラクトン類としては、γ-ブチロラクトンアクリレート、γ-ブチロラクトンメタクリレート等が挙げられる。【0027】光ラジカル発生剤としては、紫外線照射によりラジカルを発生することが可能であれば、特に限定されないが、ベンゾインアルキルエーテル等のベンゾインエーテル系;1,1-ジクロロアセトフェノン、ベンジルジメチルケタール、1-ヒドロキシシクロヘキシルフェニルケトン、2-ヒドロキシ-2-メチル-1-フェニル-プロパン-1-オン、p-イソプロピル-α-ヒドロキシイソブチルフェノン等のアセトフェノン系;ベンゾフェノン等のベンゾフェノン系;2-クロロチオキサントン等のチオキサントン系;アシルホスフィンオキサイド;メチルフェニルグリオキシレート等が挙げられ、二種以上併用してもよい。【0028】光ラジカル発生剤の市販品としては、バイキュア10、30、55(ストウファー社製)、KAYACUREBP-100、KAYACUREBMS、KAYACUREDETX-S、KAYACURECTX、KAYACURE2-EAQ、KAYACUREDMBI、KAYACUREEPA(以上、日本化薬社製)、イルガキュア127、184、819、907、369、379、500、2959、ダロキュア1173、MBF、TPO(以上、BASF社製)、カウンタキュアCTX、カウンタキュアBMS、カウンタキュアITX、カウンタキュアPDO、カウンタキュアBEA、DMB(以上、ワードブレンキンソップ社製)、サンキュアーIP、BTTP(以上、日本油脂社製)等が挙げられる。【0029】紫外線硬化型インク中の光ラジカル発生剤の含有量は、モノマーに対して、通常、1~30質量%である。紫外線硬化型インク中の光ラジカル発生剤の含有量が、モノマーに対して、1質量%未満であると、ラジカルの発生量が少なくなって、十分に硬化しないことがある。一方、紫外線硬化型インク中の光ラジカル発生剤の含有量が、モノマーに対して、30質量%を超えると、保存安定性が低下することがある。【0030】光塩基発生剤としては、紫外線照射により塩基を発生することが可能であれば、特に限定されないが、カルバミン酸o-ニトロベンジル、カルバミン酸α,α-ジメチルベンジル、α-ケトカルバミン酸誘導体、N-ヒドロキシイミドカルバメート等の1級アミン又は2級アミン;フェニルグリオキシル酸アンモニウム、ベンズヒドリルアンモニウム塩、ベンゾフェノンメチルトリアルキルアンモニウムのホウ酸塩、ジアルキルフェナシルアンモニウムのホウ酸塩、ジチオカルバミン酸塩、チオシアン酸塩、アミンイミド誘導体等が挙げられ、二種以上併用してもよい。【0031】光塩基発生剤の市販品としては、ANC-101(N-シクロヘキシルカルバミン酸アニソイン)、NBC-101(N-シクロヘキシルカルバミン酸2-ニトロベンジル)(以上、みどり化学社製)等が挙げられる。【0032】紫外線硬化型インク中の光塩基発生剤の含有量は、通常、0.1~20質量%である。紫外線硬化型インク中の光塩基発生剤の含有量が0.1質量%未満であると、塩基の発生量が少なくなって、十分に硬化しないことがある。一方、紫外線硬化型インク中の光塩基発生剤の含有量が20質量%を超えると、保存安定性が低下することがある。【0033】本発明の紫外線硬化型インクは、着色剤をさらに含んでもよい。【0034】着色剤としては、特に限定されないが、PigmentRed3、5、19、22、31、38、43、48:1、48:2、48:3、48:4、48:5、49:1、53:1、57:1、57:2、58:4、63:1、81、81:1、81:2、81:3、81:4、88、104、108、112、122、123、144、146、149、166、168、169、170、177、178、179、184、185、208、216、226、257、PigmentViolet3、19、23、29、30、37、50、88、PigmentOrange13、16、20、36等の赤又はマゼンタ顔料;pigmentBlue1、15、15:1、15:2、15:3、15:4、15:6、16、17-1、22、27、28、29、36、60等の青又はシアン顔料;PigmentGreen7、26、36、50等の緑顔料;PigmentYellow1、3、12、13、14、17、34、35、37、55、74、81、83、93、94,95、97、108、109、110、128、137、138、139、150、151、153、154、155、157、166、167、168、180、185、193等の黄顔料;PigmentBlack7、28、26等の黒顔料が挙げられ、二種以上併用してもよい。【0035】着色剤の市販品としては、クロモファインイエロー2080、5900、5930、AF-1300、2700L、クロモファインオレンジ3700L、6730、クロモファインスカーレット6750、クロモファインマゼンタ6880、6886、6891N、6790、6887、クロモファインバイオレットRE、クロモファインレッド6820、6830、クロモファインブルーHS-3、5187、5108、5197、5085N、SR-5020、5026、5050、4920、4927、4937、4824、4933GN-EP、4940、4973、5205、5208、5214、5221、5000P、クロモファイングリーン2GN、2GO、2G-550D、5310、5370、6830、クロモファインブラックA-1103、セイカファストエロー10GH、A-3、2035、2054、2200、2270、2300、2400(B)、2500、2600、ZAY-260、2700(B)、2770、セイカファストレッド8040、C405(F)、CA120、LR-116、1531B、8060R、1547、ZAW-262、1537B、GY、4R-4016、3820、3891、ZA-215、セイカファストカーミン6B1476T-7、1483LT、3840、3870、セイカファストボルドー10B-430、セイカライトローズR40、セイカライトバイオレットB800、7805、セイカファストマルーン460N、セイカファストオレンジ900、2900、セイカライトブルーC718、A612、シアニンブルー4933M、4933GN-EP、4940、4973(以上、大日精化工業社製)、KETYellow401、402、403、404、405、406、416、424、KETOrange501、KETRed301、302、303、304、305、306、307、308、309、310、336、337、338、346、KETBlue101、102、103、104、105、106、111、118、124、KETGreen201(以上、DIC社製)、ColortexYellow301、314、315、316、P-624、314、U10GN、U3GN、UNN、UA-414、U263、FinecolYellowT-13、T-05、PigmentYellow1705、ColortexOrange202、ColortexRed101、103、115、116、D3B、P-625、102、H-1024、105C、UFN、UCN、UBN、U3BN、URN、UGN、UG276、U456、U457、105C、USN、ColortexMaroon601、ColortexBrownB610N、ColortexViolet600、PigmentRed122、ColortexBlue516、517、518、519、A818、P-908、510、ColortexGreen402、403、ColortexBlack702、U905(以上、山陽色素社製)、LionolYellow1405G、LionolBlueFG7330、FG7350、FG7400G、FG7405G、ES、ESP-S(以上、東洋インキ製造社製)、TonerMagentaE02、PermanentRubinF6B、TonerYellowHG、PermanentYellowGG-02、HostapeamBlueB2G(以上、ヘキストインダストリ社製)、カーボンブラック#2600、#2400、#2350、#2200、#1000、#990、#980、#970、#960、#950、#850、MCF88、#750、#650、MA600、MA7、MA8、MA11、MA100、MA100R、MA77、MA220、#52、#50、#47、#45、#45L、#40、#33、#32、#30、#25、#20、#10、#5、#44、CF9(以上、三菱化学社製)等が挙げられる。【0036】紫外線硬化型インク中の着色剤の含有量は、通常、0.1~20質量%である。紫外線硬化型インク中の着色剤の含有量が0.1質量%未満であると、画像濃度が低下することがあり、20質量%を超えると、紫外線硬化型インクの粘度特性に悪影響を及ぼすことがある。【0037】なお、本発明の紫外線硬化型インクは、着色剤を含まないクリアインクとして、用いてもよい。【0038】クリアインク用の光ラジカル発生剤としては、クリアインクを硬化させても黄味を帯びることを抑制することが可能であれば、特に限定されないが、α-ヒドロキシケトン、α-ヒドロキシケトンブレンド等が挙げられる。【0039】クリアインク用の光ラジカル発生剤の市販品としては、Irgacure184、Irgacure500、Darocure1173(以上、BASF社製)、NK1200、NK1300(以上、ダイセルUCB社製)等が挙げられる。【0040】本発明の紫外線硬化型インクは、オリゴマー、ラジカル重合性であると共にアニオン重合性であるプレポリマーをさらに含んでいてもよい。これにより、紫外線硬化型インクの記録媒体に対する密着性を向上させることができる。【0041】本発明の紫外線硬化型インクは、溶媒をさらに含んでいてもよい。これにより、紫外線硬化型インクの粘度を調製することができる。【0042】溶媒としては、紫外線硬化型インクを構成する成分を溶解又は分散させると共に、インク画像を形成する際に蒸発することが可能であれば、特に限定されないが、アセトン、メチルエチルケトン、メチルイソブチルケトン等のケトン;メタノール、エタノール、イソプロパノール等のアルコール;水等が挙げられ、二種以上併用してもよい。【0043】本発明の紫外線硬化型インクは、公知の増感剤、光安定化剤、表面処理剤、界面活性剤、粘度低下剤、酸化防止剤、老化防止剤、架橋促進剤、重合禁止剤、可塑剤、防腐剤、pH調整剤、消泡剤、保湿剤、分散剤、染料等をさらに含んでもよい。【0044】本発明の紫外線硬化型インクは、ラジカル重合性であると共にアニオン重合性であるモノマーと、光ラジカル発生剤と、光塩基発生剤を含むインク材料を混合することにより得られる。【0045】インク材料を混合する装置としては、特に限定されないが、ハイスピードデイスパーサ、インペラデイスパーザ、ゲートミキサ、ビーズミル、サンドミル、パールミル、コブラミル、ピンミル、モリネックスミル、撹拌ミル、ユニバーサルミル、センチュリーミル、プレッシャミル、アジテータミル、2本ロールエクストルーダ、2本ロールミル、3本ロールミル、ニッチェミル、ニーダ、ミキサ、コロイドミル、ストーンミル、ケーデイミル、遊星ミル、ボールミル、パドルミキサ、アトライター、フロージェットミキサ、スラッシャーミル、ペグミル、マイクロフルダイザ、クレアミックス、ライノミル、ピン付きビーズミル、横型ビーズミル等が挙げられる。【0046】本発明の紫外線硬化型インクは、紫外線硬化型インクジェット記録装置に適用することができる。【0047】図1に、本発明で用いられる紫外線硬化型インクジェット記録装置の一例を示す。【0048】紫外線硬化型インクジェット記録装置100は、用紙Pが搬送される方向(図中、矢印方向)に沿って、用紙Pに紫外線硬化型クリアインクCを塗布するローラーコーター110、紫外線硬化型クリアインクCが塗布された用紙Pに紫外線硬化型インクIを吐出してインク画像を形成するインクジェットヘッド120及びインク画像が形成された用紙Pに紫外線Lを照射する発光ダイオード130が設置されている。このとき、ローラーコーター110の周囲には、紫外線硬化型クリアインクCをローラーコーター110に供給するスリットコーター111と、塗布ローラー110に供給された余剰の紫外線硬化型クリアインクCを除去するドクターロール112が設置されている。また、インクジェットヘッド120は、液路内に設けられた圧電素子の変形による圧力の変化を利用して、イエロー(Y)、マゼンタ(M)、シアン(C)、ブラック(K)の紫外線硬化型インクIを吐出する。さらに、用紙Pは、コンベア140により搬送される。【0049】このとき、インクジェットヘッド120の紫外線硬化型インクIを吐出するための駆動、コンベア140による用紙Pの搬送等の紫外線硬化型インクジェット記録装置100の動作及びそのためのデータ処理は、制御回路(不図示)により制御される。【0050】なお、紫外線硬化型クリアインクCを塗布する塗布装置としては、ローラーコーター110に限定されず、スリットコーター等の公知のコーター、インクジェットヘッド等を用いてもよい。【0051】また、紫外線Lを照射する光源としては、発光ダイオード130に限定されず、低圧水銀ランプ、中圧水銀ランプ、高圧水銀ランプ、キセノンエキシマランプ等を用いてもよい。【0052】さらに、インク画像が形成される記録媒体としては、用紙Pに限定されず、金属、缶、プラスチック、木質材、無機質材、塗装板、ラミネート板、PETのフィルム等を用いてもよい。このとき、記録媒体は、エンボス加工、点字、三次元形状等の凹凸が形成されていてもよい。【実施例】【0053】次に、本発明を実施例により具体的に説明するが、本発明は実施例に限定されない。また、部は質量部を意味する。【0054】[実施例1]アクリロイルモルホリンACMO(興人社製)100部、光ラジカル発生剤イルガキュア184(BASF社製)10部及び光塩基発生剤ANC-101(みどり化学社製)10部を、ホモジナイザHG30(日立工機社製)を用いて混合した後、ろ過して、紫外線硬化型クリアインクを得た。【0055】線径が0.1mmのワイヤーバー#4を用いて、紫外線硬化型クリアインクを白PETフィルム上に塗布して塗膜を形成した後、LED方式SPOT型紫外線硬化装置AicureUJ20(パナソニック電工制御社製)を用いて、紫外線を2秒間照射し、塗膜を硬化させた。塗膜は、透明であると共に、光沢があった。【0056】測色計X-Rite939(エックスライト社製)を用いて、硬化後の塗膜と白PETフィルムとの色差ΔEを測定した結果、ΔEが2.2であった。【0057】なお、ΔEが5以下である場合、黄味が小さい。【0058】[実施例2]アクリロイルモルホリンACMO(興人社製)100部、光ラジカル発生剤イルガキュア127(BASF社製)10部及び光塩基発生剤ANC-101(みどり化学製)10部を、ホモジナイザHG30(日立工機社製)を用いて混合した後、ろ過して、紫外線硬化型クリアインクを得た。【0059】線径が0.1mmのワイヤーバー#4を用いて、紫外線硬化型クリアインクを白PETフィルム上に塗布して塗膜を形成した後、LED方式SPOT型紫外線硬化装置AicureUJ20(パナソニック電工制御社製)を用いて、紫外線を2秒間照射し、塗膜を硬化させた。塗膜は、透明であると共に、光沢があった。【0060】測色計X-Rite939(エックスライト社製)を用いて、硬化後の塗膜と白PETフィルムとの色差ΔEを測定した結果、ΔEが2.7であった。【0061】[実施例3]アクリロイルモルホリンACMO(興人社製)100部、光ラジカル発生剤UV636(川崎化成工業社製)10部及び光塩基発生剤ANC-101(みどり化学製)10部を、ホモジナイザHG30(日立工機社製)を用いて混合した後、ろ過して、紫外線硬化型クリアインクを得た。【0062】線径が0.1mmのワイヤーバー#4を用いて、紫外線硬化型クリアインクを白PETフィルム上に塗布して塗膜を形成した後、LED方式SPOT型紫外線硬化装置AicureUJ20(パナソニック電工制御社製)を用いて、紫外線を2秒間照射し、塗膜を硬化させた。塗膜は、透明であると共に、光沢があった。【0063】測色計X-Rite939(エックスライト社製)を用いて、硬化後の塗膜と白PETフィルムとの色差ΔEを測定した結果、ΔEが2.6であった。【0064】[実施例4]アクリロイルモルホリンACMO(興人社製)100部、光ラジカル発生剤ルシリンTPO(BASF社製)10部及び光塩基発生剤ANC-101(みどり化学社製)10部を、ホモジナイザHG30(日立工機社製)を用いて混合した後、ろ過して、紫外線硬化型クリアインクを得た。【0065】線径が0.1mmのワイヤーバー#4を用いて、紫外線硬化型クリアインクを白PETフィルム上に塗布して塗膜を形成した後、LED方式SPOT型紫外線硬化装置AicureUJ20(パナソニック電工制御社製)を用いて、紫外線を2秒間照射し、塗膜を硬化させた。塗膜は、透明であると共に、光沢があった。【0066】測色計X-Rite939(エックスライト社製)を用いて、硬化後の塗膜と白PETフィルムとの色差ΔEを測定した結果、ΔEが3.9であった。【0067】[実施例5]アクリロイルモルホリンACMO(興人社製)100部、光ラジカル発生剤ルシリンTPO(BASF社製)10部、光塩基発生剤ANC-101(みどり化学社製)10部、シアン加工顔料MICROLITH-Blue4G-K(BASF社製)6部及び分散剤ソルスパース32000(日本ルーブリゾール社製)を、ダイノーミルMULTI-LAB型(シンマルエンタープライゼス社製)及びホモジナイザHG30(日立工機社製)を用いて混合した後、ろ過して、紫外線硬化型インクを得た。【0068】線径が0.1mmのワイヤーバー#4を用いて、紫外線硬化型インクを白PETフィルム上に塗布して塗膜を形成した後、LED方式SPOT型紫外線硬化装置AicureUJ20(パナソニック電工制御社製)を用いて、紫外線を3秒間照射し、塗膜を硬化させた。塗膜は、鮮やかなシアン色であった。【0069】[実施例6]アクリロイルモルホリンACMO(興人社製)100部、光ラジカル発生剤ルシリンTPO-L(BASF社製)15部及び光塩基発生剤ANC-101(みどり化学社製)10部を、ホモジナイザHG30(日立工機社製)を用いて混合した後、ろ過して、紫外線硬化型クリアインクを得た。【0070】線径が0.1mmのワイヤーバー#4を用いて、紫外線硬化型クリアインクを白PETフィルム上に塗布して塗膜を形成した後、LED方式SPOT型紫外線硬化装置AicureUJ20(パナソニック電工制御社製)を用いて、紫外線を2秒間照射し、塗膜を硬化させた。塗膜は、透明であると共に、光沢があった。【0071】測色計X-Rite939(エックスライト社製)を用いて、硬化後の塗膜と白PETフィルムとの色差ΔEを測定した結果、ΔEが4.8であった。【0072】[比較例1]アクリロイルモルホリンACMO(興人社製)100部及び光ラジカル発生剤イルガキュア379(BASF社製)10部を、ホモジナイザHG30(日立工機社製)を用いて混合した後、ろ過して、紫外線硬化型クリアインクを得た。【0073】線径が0.1mmのワイヤーバー#4を用いて、紫外線硬化型クリアインクを白PETフィルム上に塗布して塗膜を形成した後、LED方式SPOT型紫外線硬化装置AicureUJ20(パナソニック電工制御社製)を用いて、紫外線を2秒間照射し、塗膜を硬化させた。塗膜は、黄味を帯びていた。【0074】測色計X-Rite939(エックスライト社製)を用いて、硬化後の塗膜と白PETフィルムとの色差ΔEを測定した結果、ΔEが12.2であった。【0075】[比較例2]アクリロイルモルホリンACMO(興人社製)100部及び光ラジカル発生剤KAYACUREBMS(日本化薬社製)10部を、ホモジナイザHG30(日立工機社製)を用いて混合した後、ろ過して、紫外線硬化型クリアインクを得た。【0076】線径が0.1mmのワイヤーバー#4を用いて、紫外線硬化型クリアインクを白PETフィルム上に塗布して塗膜を形成した後、LED方式SPOT型紫外線硬化装置AicureUJ20(パナソニック電工制御社製)を用いて、紫外線を2秒間照射し、塗膜を硬化させた。塗膜は、黄味を帯びていた。【0077】測色計X-Rite939(エックスライト社製)を用いて、硬化後の塗膜と白PETフィルムとの色差ΔEを測定した結果、ΔEが6.0であった。【0078】[比較例3]アクリロイルモルホリンACMO(興人社製)100部及び光ラジカル発生剤イルガキュア184(BASF社製)10部を、ホモジナイザHG30(日立工機社製)を用いて混合した後、ろ過して、紫外線硬化型クリアインクを得た。【0079】線径が0.1mmのワイヤーバー#4を用いて、紫外線硬化型クリアインクを白PETフィルム上に塗布して塗膜を形成した後、LED方式SPOT型紫外線硬化装置AicureUJ20(パナソニック電工制御社製)を用いて、紫外線を3秒間照射し、塗膜を硬化させた。塗膜は、表面にタックが残り、硬化が不十分であった。【0080】[比較例4]アクリロイルモルホリンACMO(興人社製)100部及び光塩基発生剤ANC-101(みどり化学社製)10部を、ホモジナイザHG30(日立工機社製)を用いて混合した後、ろ過して、紫外線硬化型クリアインクを得た。【0081】線径が0.1mmのワイヤーバー#4を用いて、紫外線硬化型クリアインクを白PETフィルム上に塗布して塗膜を形成した後、LED方式SPOT型紫外線硬化装置AicureUJ20(パナソニック電工制御社製)を用いて、紫外線を3秒間照射し、塗膜を硬化させた。塗膜は、表面にタックが残り、硬化が不十分であった。
A
2012140728
2011000090
20110104
null
null
20120726
2012140728
20120726
null
null
5702155
20150227
null
null
null
ポリエステルおよびポリエチレンからなる芯鞘型複合繊維
302011711
帝人ファイバー株式会社
100169085
為山 太郎
嶋田 和将,合田 裕憲
null
8
D01F 8/06 (20060101), D01F 8/14 (20060101), D02G 1/12 (20060101), D02G 1/00 (20060101), D04H 1/541 (20120101), D21H 13/24 (20060101), D21H 15/10 (20060101)
D01F 8/06 ZAB ,D01F 8/14 Z ,D02G 1/12 ,D02G 1/00 Z ,D04H 1/54 A ,D21H 13/24 ,D21H 15/10
8
null
null
null
0
17
4L036,4L041,4L047,4L055
4L036 MA04 ,4L036 MA05 ,4L036 MA15 ,4L036 MA35 ,4L036 MA39 ,4L036 PA36 ,4L036 RA04 ,4L036 UA25,4L041 BA02 ,4L041 BA05 ,4L041 BA21 ,4L041 BA22 ,4L041 BA49 ,4L041 BA59 ,4L041 BD11 ,4L041 CA06 ,4L041 CA36 ,4L041 CA41 ,4L041 CA42 ,4L041 CA44 ,4L041 DD14 ,4L041 DD19,4L047 AA14 ,4L047 AA21 ,4L047 AA27 ,4L047 AB02 ,4L047 AB09 ,4L047 BA09 ,4L047 BA21 ,4L047 BB09 ,4L047 CB01 ,4L047 CB10,4L055 AF15 ,4L055 AF16 ,4L055 AF17 ,4L055 AF33 ,4L055 AF39 ,4L055 AF40 ,4L055 AF41 ,4L055 AF42 ,4L055 AF47 ,4L055 EA16 ,4L055 FA16 ,4L055 GA39
【課題】バイオマス由来物質を原料としてなるPET、PE複合繊維を開発することで、石油資源の消費を抑え、かつPEに各種ポリマーを重合させることで柔軟な風合いと均一な地合を有する不織布を提供する。又石油由来品との置き換えを目的とする。【解決手段】繊維形成成分を芯部に熱接着性成分を鞘部に有する芯鞘型複合繊維であって、芯鞘型複合繊維中に加速機質量分光計を用いた測定による放射性炭素を10%以上含み、繊維形成成分が加速機質量分光計を用いた測定による放射性炭素を含むポリエチレンテレフタレートからなり、熱接着性成分が高密度ポリエチレン、エチレン・プロピレンランダム共重合体、無水マレイン酸をブロック共重合またはグラフト共重合させたポリエチレンおよび無水マレイン酸をブロック共重合されたポリプロピレンよりなる群から選択され、加速機質量分光計を用いた測定による放射性炭素を含むポリオレフィンからなる芯鞘型複合繊維。【選択図】なし
【請求項1】繊維形成成分を芯部に熱接着性成分を鞘部に有する芯鞘型複合繊維であって、芯鞘型複合繊維中に加速機質量分光計(AMS)を用いた測定による放射性炭素(炭素14)を10%以上含み、繊維形成成分が加速機質量分光計(AMS)を用いた測定による放射性炭素(炭素14)を含むポリエチレンテレフタレートからなり、熱接着性成分が高密度ポリエチレン、エチレン・プロピレンランダム共重合体、無水マレイン酸をブロック共重合またはグラフト共重合させたポリエチレンおよび無水マレイン酸をブロック共重合されたポリプロピレンよりなる群から選択され、加速機質量分光計(AMS)を用いた測定による放射性炭素(炭素14)を含むポリオレフィンからなる芯鞘型複合繊維。【請求項2】加速機質量分光計(AMS)を用いた測定によるポリオレフィン中の放射性炭素(炭素14)の含有率が70%以上であり、加速機質量分光計(AMS)を用いた測定によるポリエチレンテレフタレート中の放射性炭素(炭素14)の含有率が10%以上である請求項1記載の芯鞘型複合繊維。【請求項3】芯鞘型複合繊維が同芯型複合繊維または偏芯芯鞘型複合繊維である請求項1~2のいずれか記載の芯鞘型複合繊維。【請求項4】繊維長が2~30mm未満であり、捲縮数が0~13山/25mm、捲縮率が0~15%の無捲縮または平面ジグザグ型あるいはオメガ型の捲縮を有する請求項1~3のいずれか記載の芯鞘型複合繊維。【請求項5】繊維長が30~200mmであり、捲縮数が5~30山/25mm、捲縮率が3~30%の捲縮を有する請求項1~3のいずれか記載の芯鞘型複合繊維。【請求項6】請求項1~3のいずれかに記載の芯鞘型複合繊維からなりエアレイド法によりウェブが成型されていることを特徴とする不織布。【請求項7】請求項1~4のいずれかに記載の芯鞘型複合繊維からなり湿式抄造法によりウェブが成型されていることを特徴とする不織布。【請求項8】請求項1~3,5のいずれかに記載の芯鞘型複合繊維からなりカード法によりウェブが成型されていることを特徴とする不織布。
【請求項1】繊維形成成分を芯部に熱接着性成分を鞘部に有する芯鞘型複合繊維であって、芯鞘型複合繊維中に加速機質量分光計(AMS)を用いた測定による放射性炭素(炭素14)を10%以上含み、繊維形成成分が加速機質量分光計(AMS)を用いた測定による放射性炭素(炭素14)を含むポリエチレンテレフタレートからなり、熱接着性成分が高密度ポリエチレン、エチレン・プロピレンランダム共重合体、無水マレイン酸をブロック共重合またはグラフト共重合させたポリエチレンおよび無水マレイン酸をブロック共重合されたポリプロピレンよりなる群から選択され、加速機質量分光計(AMS)を用いた測定による放射性炭素(炭素14)を含むポリオレフィンからなる芯鞘型複合繊維。
【技術分野】【0001】本発明は、バイオマス由来の複合短繊維に関する。
【背景技術】【0002】ポリエチレンテレフタレート(PET)は、機械的強度、化学的安定性、透明性等に優れ、各種の繊維、不織布、シート、フィルム、容器等として世界中で最も多く使用されている合成樹脂素材のひとつである。ポリエチレン(PE)は、ポリエステルと同様な特徴をもつと共に、優れた熱接着性があるため、各種の繊維、不織布、シート、フィルム、容器等として世界中で最も多く使用されている合成樹脂素材のひとつである。【0003】ところで、PET、PEは従来、石油などの限りある貴重な化石資源を原材料としている。さらに、焼却廃棄された場合、本来化石資源中に封じ込められていた炭素が二酸化炭素となって空気中に放出されるため、新たに発生する二酸化炭素により温室効果が増長されることとなり、地球温暖化の一因となっている。【0004】これに対し、バイオマスの起源である植物は、太陽エネルギーと二酸化炭素および水から光合成により澱粉やセルロースなどのバイオマスを作ることができる(例えば、特許文献1、2参照)。こういったバイオマスを出発原料として活用すれば石油資源の使用量を抑制することができ、例えば、ポリ乳酸などのバイオマスを原料として利用すれば、これが使用後焼却処理して炭酸ガスと水に分解されたとしても、それらは再び光合成によって植物に取り込まれることになり、リサイクルシステム、あるいはカーボンニュートラルの構築が可能になる。【0005】しかしながら、トウモロコシなどの植物を原料とするポリ乳酸などは、強度や磨耗性、石油由来PETに比べて劣っている。樹脂、繊維の質量や厚みを増大させ、これらの問題点に対応しているが、耐熱性や加水分解性に劣るなど、ポリマー自体の問題点が残る。各種、耐熱性、耐加水分解性用の添加剤を混練することや、バイオマス成分を一部分に使用した複合繊維(例えば、特許文献3参照)により、品質は改善されつつある。【0006】さらに、バイオマス由来物質を原料としてなるPET、PEは、化学構造的には従来の石油材料起源のものと変わらないため、従来の石油由来PET、PE複合繊維と品質に差はないが、そのままでは、柔軟な風合と均一な地合いを有する不織布を提供することが難しい。
【発明が解決しようとする課題】【0008】本発明は上記従来技術を背景になされたものであり、その目的は、バイオマス由来物質を原料としてなるPET、PE複合繊維を開発することで、石油資源の消費を抑え、かつPEに各種ポリマーを重合させることで柔軟な風合いと均一な地合を有する不織布を提供することができ、石油由来品との置き換えが可能となる。
【課題を解決するための手段】【0009】本発明者らは、上記の課題を解決するために検討を行った結果、バイオマス由来原料からなるPET、PEあるいは、PEに各種ポリマーを重合させたバイオPEを使用することで、上記課題が達成される。即ち上記課題は、本発明である繊維形成成分を芯部に熱接着性成分を鞘部に有する芯鞘型複合繊維であって、芯鞘型複合繊維中に加速機質量分光計(AMS)を用いた測定による放射性炭素(炭素14)測定によるところのバイオマス由来炭素を10%以上含み、繊維形成成分が加速機質量分光計(AMS)を用いた測定による炭素14測定によるところのバイオマス由来炭素を含むポリエチレンテレフタレートからなり、熱接着性成分が高密度ポリエチレン、エチレン・プロピレンランダム共重合体、無水マレイン酸をブロック共重合またはグラフト共重合させたポリエチレンおよび無水マレイン酸をブロック共重合されたポリプロピレンよりなる群から選択され、加速機質量分光計(AMS)を用いた測定による炭素14測定によるところのバイオマス由来炭素を含むポリオレフィンからなる芯鞘型複合繊維によって解決することができる。
【発明の効果】【0010】本発明の複合繊維は、ポリエチレンテレフタレートとポリオレフィンからなる複合繊維であり、複合繊維全体として加速機質量分光計(AMS)を用いた測定による放射性炭素(炭素14)を10%以上含む。好ましくは該ポリオレフィンが加速機質量分光計(AMS)を用いた測定による放射性炭素(炭素14)測定によるところのバイオマス由来炭素の存在割合が70%以上であり、該ポリエチレンテレフタレートが加速機質量分光計(AMS)を用いた測定による放射性炭素(炭素14)測定によるところのバイオマス由来炭素の存在割合が10%以上を構成成分として使用している。故に、汎用資材として使用するにあたって、石油資源の枯渇の抑制に寄与するところが大きく、また、焼却廃棄に際しても、地球温暖化の原因物質である二酸化炭素の大気中での増加を大幅に抑制することができる。【0011】本発明の複合繊維は、バイオマス由来の成分を原料としたポリエステル、ポリオレフィンを使用するにもかかわらず、石油系原料を用いた場合と同等の繊維物性を安定して保持することができ、かつ、PEに各種ポリマーを重合させることで、不織布における柔軟な風合いと均一な地合を提供することができる。
【発明を実施するための形態】【0012】以下、本願発明について詳細に説明する。本発明は繊維形成成分を芯部に熱接着性成分を鞘部に有する芯鞘型複合繊維であって、芯鞘型複合繊維中に加速機質量分光計(AMS)を用いた測定による放射性炭素(炭素14)測定によるところのバイオマス由来炭素を10%以上含み、加速機質量分光計(AMS)を用いた測定による炭素14測定によるところのバイオマス由来炭素を含むポリエチレンテレフタレートからなり、熱接着性成分が高密度ポリエチレン、エチレン・プロピレンランダム共重合体、無水マレイン酸をブロック共重合またはグラフト共重合させたポリエチレンおよび無水マレイン酸をブロック共重合されたポリプロピレンよりなる群から選択され、加速機質量分光計(AMS)を用いた測定による炭素14測定によるところのバイオマス由来炭素を含むポリオレフィンからなる芯鞘型複合繊維である。その芯鞘型複合繊維は同芯型複合繊維または偏芯芯鞘型複合繊維のいずれであっても良い。偏心芯鞘型複合繊維である場合には、芯成分と鞘成分を構成するポリマーの熱に対する収縮率の差を利用して、加熱する事によりラセン型(スパイラル型)の捲縮を付与することも可能となる点に特徴がある。なお、その芯鞘型複合繊維中の放射性炭素(炭素14)測定によるところのバイオマス由来炭素の存在割合10.0%以上であることが必要である。10.0%未満であると、従来の石油系資源からなる素材をバイオマス由来の素材に置き換えることで、合成繊維全体としてのカーボンニュートラルを達成するという本発明の主旨にそぐわないものとなり好ましくない。放射性炭素(炭素14)測定によるところのバイオマス由来炭素や、その具体的な評価方法については後述する。【0013】本発明において繊維形成成分として使用されるPETとしては、エチレングリコールとテレフタル酸を主たる構成成分としてなるものであり、得られたポリエステルの物性が損なわれない範囲において、他のモノマー成分を共重合させることができるが、エチレンテレフタレートの繰り返し単位が80モル%以上であることが好ましく、90モル%以上であることがより好ましい。共重合可能な酸成分としては、芳香族ジカルボン酸、脂肪族ジカルボン酸、脂環族ジカルボン酸、ヒドロキシカルボン酸などがあり、具体的には、芳香族ジカルボン酸としては、フタル酸、イソフタル酸、5-スルホイソフタル酸ナトリウム、5-スルホイソフタル酸テトラブチルホスホニウムなど:脂肪族ジカルボン酸としては、シュウ酸、アジピン酸、コハク酸、スベリン酸、セバシン酸、ドデカン二酸など:脂環族ジカルボン酸としては、シクロヘキサンジカルボン酸:ヒドロキシカルボン酸としては、グリコール酸、ヒドロキシ酪酸、ヒドロキシ吉草酸、ヒドロキシカプロン酸、ヒドロキシペンタン酸、ヒドロキシヘプタン酸、ヒドロキシオクタン酸などが挙げられる。また、共重合可能なアルコール成分としては、ジエチレングリコール、1,3-プロパンジオール、1,4-ブタンジオール、1,6-ヘキサンジオール、1,4-シクロヘキサンジメタノール、ビスフェノールAのエチレンオキシド付加体などの二価アルコール:ペンタエリスリトールなどの多価アルコールが挙げられる。【0014】本発明において繊維形成成分として使用されるPETとしては、放射性炭素(炭素14)測定によるところのバイオマス由来炭素が、PETを構成する全炭素に対して10.0%以上含有していることが好ましい。また、上限としては、100.0%以下であることが好ましく、25.0%以下であることがさらに好ましく、より好ましくは24.0%以下であり、さらに好ましくは23.4%以下である。【0015】ここで、本発明におけるバイオマス由来成分の含有割合を特定するにあたって、放射性炭素(炭素14)の測定を行うことの意味について、以下に説明する。バイオマス由来成分とはその発生形態から廃棄物系、未利用系、資源作物系の3種に分類される。バイオマス資源は具体的には、セルロース系作物(パルプ、ケナフ、麦わら、稲わら、古紙、製紙残渣など)、リグニン、木炭、堆肥、天然ゴム、綿花、サトウキビ、油脂(菜種油、綿実油、大豆油、ココナッツ油など)、グリセロール、炭水化物系作物(トウモロコシ、イモ類、小麦、米、キャッサバなど)、バガス、テルペン系化合物、パルプ黒液、生ごみ、排水汚泥などが挙げられる。また、バイオマス資源からグリコール化合物を製造する方法は、特に限定はされないが、菌類や細菌などの微生物などの働きを利用した生物学的処理方法、酸、アルカリ、触媒、熱エネルギー若しくは光エネルギーなどを利用した化学的処理方法、または微細化、圧縮、マイクロ波処理若しくは電磁波処理など物理的処理方法など既知の方法が挙げられる。【0016】本発明において、複合繊維中、ポリエチレンテレフタレート中またはポリオレフィン中の放射性炭素(C14)の含有率とは、それぞれの有機化合物を構成する全炭素原子中、放射性炭素である14C濃度を基準(この値を100%と設定する)とした場合の14C濃度の比率を表す。その放射性炭素である14Cとは1950年時点の循環炭素中の14C濃度を基準とすることが好ましい。その放射性炭素である14Cの濃度は以下の測定方法(放射性炭素濃度測定)により測定する事ができる。すなわち14Cの濃度測定は、タンデム加速器と質量分析計を組み合わせた加速器質量分析法(AMS:AcceleratorMassSpectrometry)によって、分析する試料に含まれる炭素の同位体(具体的には12C、13C、14Cが挙げられる。)を加速器により原子の重量差を利用して物理的に分離し、同位体の原子一つ一つの存在量を計測する方法である。【0017】炭素原子1モル(6.02×1023個)中には、通常の炭素原子の約一兆分の一である約6.02×1011個の14Cが存在する。14Cは放射性同位体と呼ばれ、その半減期は5730年で規則的に減少している。これらが全て崩壊するには22.6万年を要する。従って大気中の二酸化炭素等が植物等に取り込まれて固定化された後、22.6万年以上が経過したと考えられる石炭、石油、天然ガスなどの化石燃料においては、固定化当初はこれらの中にも含まれていた14C元素は全てが崩壊している。故に21世紀である現在は石炭、石油、天然ガスなどの化石燃料においては14C元素は全く含まれていない。故にこれらの化石燃料を原料として生産された化学物質にも14C元素は全く含まれていない。一方、14Cは宇宙線が大気中で原子核反応を行い、絶え間なく生成され、放射壊変による減少とがバランスし、地球の大気環境中では、14Cの量は一定量となっている。【0018】一方、大気中の二酸化炭素が植物やそれを食する動物などに取り込まれて固定化された場合には、その取り込まれた状態では14Cは新たに補充されることなく、14Cの半減期に従って時間の経過とともに14C濃度は一定の割合で低下する。このため、グリコール化合物中の14C濃度を分析することにより、化石燃料などの化石資源を原料としたものか、或いはバイオマス資源を原料にしたグリコール化合物か簡易に判別することが可能となる。またこの14C濃度は1950年時点の自然界における循環炭素中の14C濃度をmodernstandardreferenceとし、この14C濃度を100%とする基準を用いる事が通常行われる。現在のこのようにして測定される14C濃度は約107pMC(percentModernCarbon)前後の値であり、仮に試料として用いられているプラスチック等が100%天然系(生物系)由来の物質で製造されたものであれば、107pMC程度の値を示すことが知られている。この値が上述で言うバイオ化率100%に相当する。一方石油等の化石燃料由来の化学物質を用いてこの14C濃度を測定した場合、ほぼ0pMCを示すことも知られている。この値が上述で言うバイオ化率0%に相当する。これらの値を利用して天然資源由来の化合物(バイオマス資源由来の化合物)-化石資源由来の化合物の混合比を算出する事が出来る様になる。更にこの14C濃度の基準となるmodernstandardreferenceとしてはNIST(NationalInstituteofStandardsandTechnology:米国国立標準・技術研究所)が発行した蓚酸標準体を用いる事が好ましく採用する事が出来る。この蓚酸中の炭素の比放射能(炭素1g当たりの14Cの放射能強度)を炭素同位体毎に分別し、13Cについて一定値に補正して、西暦1950年から測定日までの減衰補正を施した値を標準の14C濃度濃度の値として用いている。【0019】更に14C原子について追加する。大気中の高層部においては、窒素原子に宇宙線(中性子)が衝突して炭素14原子が生成される反応が継続して起こっており、これが大気中全体へと循環しているため、大気中のニ酸化炭素には、炭素14が一定割合[平均値として107pMC(percentmoderncarbon)]で含まれていることが測定されている。一方、地中に閉じ込まれた炭素14原子は、上記の循環からは乖離されているため、放射線を出しながら半減期5,370年で窒素原子に戻っていく反応のみが起こり、現在の石油などの化石原料中には炭素14原子が殆ど残っていない。したがって、対象となる試料中における炭素14の濃度を測定し、気中の炭素14の含有割合[107pMC]を指標として逆算することで、試料中に含まれる炭素のうちのバイオマス由来炭素の割合を求めることができる。また、放射性炭素(炭素14)の測定では、リサイクルポリエステル、ポリオレフィンに対してもバイオマス由来の成分の含有割合を分析することができるため、バイオマス由来成分のリサイクル用途への循環利用の促進を図る上でも効果的な手法である。したがって、本発明のPET、PEとしては、バイオマス由来成分を共重合して新たに得られたPETのみならず、バイオマス由来のPETが含有されてなるリサイクルPET、PEも包含するものである。【0020】それぞれの有機化合物中の14C濃度の詳細な分析方法は、まずその有機化合物の前処理が必要となる。具体的には有機化合物に含まれる炭素を酸化処理し、すべて二酸化炭素へと変換する。更に、得られた二酸化炭素を水や窒素と分離し、二酸化炭素を還元処理し、固形炭素であるグラファイトへと変換する。この得られたグラファイトにCs+などの陽イオンを照射して炭素の負イオンを生成させる。引き続いて、タンデム加速器を用いてその炭素イオンを加速し、負イオンから陽イオンへ荷電変換させ、質量分析電磁石により12C3+、13C3+、14C3+の進行する軌道を分離し、分離した14C3+を静電分析器により測定を行う。【0021】したがって、本発明のPETとしては、該バイオマス由来炭素の含有割合が10%未満である場合、得られるポリエステルとしては、石油資源の使用量削減や温室効果ガスの排出抑制の面では効果が乏しいものとなる。また、該含有割合が25.0%を超える場合については、共重合成分が過多となるため、本来のPETの物性が損なわれる虞があり、好ましくない。【0022】一方、本発明において熱接着性成分として使用されるポリオレフィンとしては、エチレン、プロピレンの単独重合体、およびブロックまたはランダム共重合体およびその他のブテン-1、4-メチルペンテン-1、等のα-オレフィンとの共重合体等が例示される。また、目的に応じて、酢酸ビニル等、塩化ビニル、スチレン等とのビニルエステル共重合体、メチルアクリレートやエチルアクリレート、イソプロピルアクリレート、メチルメタアクリレート等との不飽和カルボン酸エステル共重合体、アクリル酸、メタクリル酸、無水マレイン酸等との不飽和カルボン酸共重合体、エチレン-ビニルアルコール共重合体、等の共重合体としてもよいが、主鎖成分の50モル%以上がエチレンおよび/またはプロピレンであるポリオレフィンポリマーを使用することが、繊維表面の平滑性、エアレイド法不織布成型における開繊性を良好とする面で好ましく使用される。【0023】中でも、本発明に使用されるポリオレフィン系ポリマーとしては高密度ポリエチレン、アイソタクチックポリプロピレン、シンジオタクチックポリプロピレン、エチレン・プロピレンランダム共重合ポリオレフィン、または第三成分をブロックもしくはグラフト共重合させたポリエチレンもしくはポリプロピレンであることが好ましい。この場合における第三成分とは酢酸ビニル、塩化ビニル、スチレン、メチルアクリレート、エチルアクリレート、イソプロピルアクリレート、メチルメタアクリレート、エチルメタアクリレート、イソプロピルメタアクリレート、アクリル酸、メタクリル酸、無水マレイン酸を挙げることができる。すなわち本発明において熱接着成分を構成するポリオレフィンとしては、高密度ポリエチレン、エチレン・プロピレンランダム共重合体、無水マレイン酸をブロック共重合またはグラフト共重合させたポリエチレンおよび無水マレイン酸をブロック共重合されたポリプロピレンよりなる群から選択されたポリオレフィンであることがより好ましい。また、上述のポリオレフィン系ポリマーから複数を選択して、混合して用いても差し支えない。これらのポリオレフィン成分は繊維形成成分であるバイオマス由来炭素を含むポリエチレンテレフタレートよりも一般的に融点、軟化点が低いことにより、芯鞘型複合繊維が加熱された場合に熱接着成分として機能することとなる。【0024】本発明におけるバイオマス由来のポリオレフィンとしては、バイオマス由来炭素の存在割合が、ポリオレフィンを構成する全炭素に対し70%以上を占めるものであることが好ましく、より好ましくは80%以上、さらに好ましくは90%以上であり、特に好ましくは100%をバイオマス由来炭素が占める場合である。当該バイオマス由来炭素の存在割合が、70%未満である場合、従来の石油系資源からなる素材をバイオマス由来の素材に置き換えることで、ポリオレフィンについてのカーボンニュートラルを達成するという本発明の主旨にそぐわないものとなる。【0025】本発明におけるバイオマス由来のポリオレフィンの製造方法としては、例えばポリエチレンの場合、トウモロコシ、サトウキビ、サツマイモなどから得られる澱粉や糖分を微生物で発酵させてバイオエタノールを製造し、これを脱水反応させることでエチレンを製造し、さらに重合させることでバイオマス由来100%のポリエチレンを得ることができる。【0026】また、バイオマス由来炭素の存在割合が、70%以上100%未満のポリオレフィンの製造方法としては、バイオマス由来のオレフィンと石油系素材のみからなるオレフィンを重合させることで製造してもよいし、また、バイオマス由来のポリオレフィンチップと石油系素材のみからなるポリオレフィンチップをブレンドすることで製造してもよい。上記のポリエステルおよび/またはポリオレフィン系ポリマーには、本発明の効果を損なわない範囲で、添加剤、蛍光増白剤、安定剤、難燃剤、難燃助剤、紫外線吸収剤、抗酸化剤、着色のための各種顔料などが含有されていてもよい。【0027】本発明においては、繊維形成成分としてのバイオPETの芯部の重量比率が、単繊維の重量を基準として20~80重量%の範囲とすることが好ましい。バイオPETの芯部の重量比率が20重量%未満では、本発明の目的とする柔軟な風合と均一な地合いを有する不織布が得られない。一方、80重量%を超えても上記効果が得られないだけでなく、溶融紡糸時のポリマーの曳糸性が悪化し、本発明の芯鞘型複合繊維を製造することができなくなる。好ましいバイオPETの芯部の重量比率は20~80重量%の範囲、より好ましくは40~60重量%の範囲である。【0028】本発明の芯鞘型複合繊維は、芯鞘型、同芯芯鞘型、偏心芯鞘型等が例示され、特に、同芯芯鞘型、偏心芯鞘型が不織布の柔軟な風合い、均一な地合を出すために好ましい。また、繊維断面形状も丸断面に限定されることはなく、楕円断面、3~8葉断面等の多葉断面、3~8角形等の多角形断面など異形断面でもよい。特に+字断面やY字断面では、不織布の目付けダウンや嵩アップの点で好ましい。単糸繊度は目的に応じて選択すればよく、特に限定されないが、一般的に0.01~500dtex程度の範囲で用いられる。【0029】以上に述べた本発明の芯鞘型複合繊維は、例えば次の方法により製造することができる。バイオPETポリマーとバイオPEポリマーを公知の紡糸設備を用いて口金より吐出して、冷却風で空冷しながら速度100~2000m/分で引き取り、未延伸糸を得る。この際、該ポリマーを別々に複合紡糸口金に供給し、バイオPETポリマーが繊維表面積の50%以上を占めるように該口金を用いて複合化し吐出させる以外は上記と同様にして未延伸糸を得る。引き続いて得られた未延伸糸の延伸を70~100℃の温水中あるいは100~125℃のスチーム中で行い、必要に応じて捲縮を付与し、用途、目的に応じた油剤を付与し、乾燥および弛緩熱処理を行った後、所定の繊維長にカットして、本発明の短繊維を得る。この際、油剤には本発明の目的を達成する障害とならない量の、または種類のシリコーン系化合物が含まれていてもかまわない。【0030】本発明の短繊維を不織布とするには、ウェブの製造に応じて次のような繊維長とし捲縮を付与することが好ましい。例えば、エアレイド法でウェブを成型する場合、繊維長は2~30mmが好ましい。繊維長を2mm以上とすることにより工業的に安定して短繊維を得ることができる。また、繊維長を30mm以下(若しくは30mm未満)とすることにより、繊維の開繊性がさらに良くなり、ウェブ塊が発生し難くなる。より好ましい繊維長は3~20mmである。また、捲縮は不織布の目的に応じて、付与しても付与しなくてもよい。つまり、不織布に嵩高性を与えたい場合は捲縮を付与すればよいし、その必要がなく空気開繊性および吐出能力をより向上させたい場合は捲縮を付与しなくてもよい(無捲縮)。捲縮の形態としては平面ジグザグ型、オメガ型、スパイラル型を挙げることができる。すなわち捲縮数は0~13山/25mm、捲縮率は0~15%が好ましい。更に詳細には捲縮を付与する場合は、捲縮数を3~13山/25mm、捲縮率を3~15%とすることが好ましい。捲縮数を13山/25mm以下、捲縮率を15%以下とすることで空気開繊性がより良好なものとなる。本発明の短繊維は従来のものに比べて捲縮数および捲縮率が小さくなる傾向にあり、より上記範囲にコントロールしやすい。また、嵩高性を得るためには、捲縮数を3山/25mm以上、捲縮率を3%以上とするのが好ましい。また、捲縮の形態は、平面内に包含される平面ジグザグ型あるいはオメガ型の捲縮が、スパイラル状の3次元捲縮よりも開繊性の点でより好ましい。これらの構成を満たすことによって、エアレイド法で成型されたウェブ中の未開繊成分を5重量%以下とすることができる。【0031】また、湿式抄造法でウェブを形成する場合も、上記と同様の理由により繊維長は2~30mmが好ましく、より好ましくは3~20mmである。捲縮は不織布の目的に応じて、付与しても付与しなくてもよい。湿式不織布に嵩高性を与えたい場合は捲縮を付与してもよいが、湿式抄造時の水中分散性の点からは捲縮を付与しない方が好ましい。【0032】さらに、カード法でウェブを形成する場合、繊維長を30~200mmとすることが好ましい。繊維長を30mm以上とすることにより、繊維間の絡合不良によるウェブ切れが発生し難くなる。また、繊維長を200mm以下とすることにより、カード上での開繊性がよくなり、ウェブの地合い斑がより生じ難くなる。繊維長は35~150mmがより好ましく、さらには40~100mmの範囲がより好適である。カードを通過させるためには短繊維に捲縮の付与されていることが好ましいが、その際、捲縮数は5~30山/25mm、捲縮率は3~30%であることが好ましい。捲縮数を30山/25mm以下、捲縮率を30%以下とすることにより、カード上での開繊性が良好となり、ウェブの地合い斑がより生じ難くなる。また、捲縮数を5山/25mm以上、捲縮率を3%以上とすることにより、繊維間の絡合不良によるウェブ切れが発生し難くなる。捲縮の形態は、平面ジグザグ型あるいはオメガ型、スパイラル状などの3次元捲縮といった従来知られている捲縮形態をとることができる。【0033】本発明の短繊維より製造される不織布は、風合いが柔軟であり、柔軟さを示す指標であるカンチレバー法による剛軟度が70mm以下を達成することができる。本発明の不織布は、本発明の短繊維に他の短繊維を混合した不織布であっても良いし、他の短繊維からなる不織布を積層したのもであってもよいが、特に本発明の短繊維のみで成型される不織布は従来のポリエステル短繊維を用いた不織布と異なる独特の柔軟な風合いを呈し、かつ石油資源の枯渇を抑制する点で、特に好ましい。【実施例】【0034】以下、実施例により、本発明をさらに具体的に説明する。なお、実施例、比較例における工程調子、力学的特性(一般物性)は下記の方法に測定した。(a)繊度JISL10157.5.1A法に記載の方法により測定した。(b)乾強度・乾伸度JISL1015:20058.7.1法に記載の方法により測定した。(c)120℃乾熱収縮率JISL1015:20058.15b)法に記載の方法により、120℃で測定した。(d)繊維長JISL10157.4.1C法に記載の方法により測定した。(e)捲縮数、捲縮率JISL10157.12に記載の方法により測定した。(f)未開繊率エアレイド法により成型したウェブ10g中から未開繊塊を取り出して、その重量xを測定し、下式により未開繊率uを算出した。u=x/10×100(%)(g)剛軟度(45°カンチレバー法)JISL10855.7A法に記述の方法に従い実施した。数値が小さいほど、柔軟であることを示す。(h)不織布地合いエアレイド法により成型したウェブの外観を観察し、以下の基準で評価する。レベル1:未開繊塊や目付斑(濃淡)が見られず、均一な地合いである。レベル2:未開繊塊は目立たないが、目付斑(濃淡)が目視で確認できる。レベル3:未開繊塊と目付斑(濃淡)が目立ち、不均一な地合いである。(i)放射性炭素(炭素14)の測定によるバイオマス由来炭素の混合割合試料を加速機質量分光計(AMS)(タンデム加速器と質量分析計を組合わせたもの)にかけて炭素14の含有量を測定した。なお、大気中のニ酸化炭素には炭素14が一定割合含有される(これは高層大気中で窒素に中性子が衝突して炭素14生成されるため。)が、石油などの化石原料には炭素14が殆ど含まれない(炭素14は地中では放射線を出しながら半減期5,370年で窒素に変わっていくため。)。一方、現在の大気中における炭素14の存在比率は、特定値[平均値として107pMC(percentmoderncarbon)]であることが測定されており、光合成を行う現存の植物にはこの比率で炭素14が取り込まれていることが知られている。従って、試料中の全炭素と炭素14の含有量を測定することにより、試料中に含まれる炭素のうちのバイオマス由来炭素の割合を求めることができる。(下記式参照)バイオマス由来炭素の含有割合(%)=(試料中のバイオマス由来の炭素量/試料中の全炭素量)×100以下、この炭素14を含むPET、エチレン、ポリエチレンをバイオPET、バイオエチレン、バイオポリエチレン[バイオPE]と称し、従来の石油由来の原料から製造され、炭素14を含まないPET、エチレン、ポリエチレンを石油由来PET、石油由来エチレン、石油由来ポリエチレン[石油由来PE]と称する。【0035】[実施例1]バイオPETポリマー(溶融ポリマー:280℃)とバイオエチレンとプロピレンランダム共重合体(共重合モル比、エチレン:プロピレン=70:30)ポリマー(溶融ポリマー:260℃)を、別々に公知の同芯芯鞘型の複合紡糸口金に供給し、0.3mmの丸穴キャピラリーを1032H孔を有する口金から700g/分の吐出量で押し出した。これを30℃の冷却風で空冷し、1150m/分で巻き取って未延伸を得た。この未延伸糸を、1段また延伸または2段以上の多段延伸を行い、押し込み型クリンパーで捲縮数が14山/25mm、捲縮率が10%の平面型ジグザグ型捲縮を付与し、アルキルホスフェートカリウム塩/ポリオキシエチレン変性シリコーン=80/20からなる油剤を0.25重量%付与し、さらに105℃の温風で乾燥した後、5mmの繊維長にカットした。得られた短繊維の繊度は、2.52デシテックスであった。この繊維を用い、エアレイド法により目付が50g/m2のウェブを成型した。さらに、このウェブを表面温度が200℃の1対のフラット(カレンダー)ローラーにより線圧80kPa・mで熱接着し、エアレイド法不織布を得た。この不織布の剛軟度は50mm、未開繊率は0.5%、不織布地合いはレベル1であり、バイオマス規定含有量が規定範囲である。結果を表1に示した。【0036】[実施例2]鞘成分に、無水マレイン酸4重量%グラフト共重合したバイオポリエチレンを使用する以外は、実施例1と同様にして短繊維および不織布を得た。評価結果は表1に示す。柔軟な風合い、均一な地合、規定範囲内のバイオマス含有量となる。結果を表1に示した。【0037】[実施例3]鞘成分に、無水マレイン酸20重量%グラフト共重合したバイオポリエチレンを使用する以外は、実施例1と同様にして短繊維および不織布を得た。評価結果は表1に示す。柔軟な風合い、均一な地合、規定範囲内のバイオマス含有量となる。結果を表1に示した。【0038】[比較例1]鞘成分に、石油由来PEを使用する以外は、実施例1と同様にして短繊維および不織布を得た。評価結果は表1に示す。柔軟な風合い、均一な地合は実施例に劣り、かつ、規定範囲内のバイオマス含有量ではない。結果を表2に示した。【0039】[比較例2]芯成分に、バイオマス由来のポリ乳酸、鞘成分に、石油由来PEを使用する以外は、実施例1と同様にして短繊維および不織布を得た。評価結果は表1に示す。120℃乾熱収縮率がやや高く、柔軟な風合い、均一な地合は実施例に劣り、かつ、規定範囲内のバイオマス含有量ではない。結果を表2に示した。【0040】[比較例3]芯成分に、石油由来PET、鞘成分に、バイオPEを使用する以外は、実施例1と同様にして短繊維および不織布を得た。評価結果は表1に示す。柔軟な風合い、均一な地合は実施例に劣り、かつ、規定範囲内のバイオマス含有量ではない。結果を表2に示した。【0041】[比較例4]芯成分に、石油由来PET、鞘成分に、バイオPEを使用する以外は、実施例1と同様にして短繊維および不織布を得た。評価結果は表1に示す。120℃乾熱収縮率がやや高く、柔軟な風合い、均一な地合は実施例に劣り、かつ、規定範囲内のバイオマス含有量となる。結果を表2に示した。【0042】[比較例5]芯成分に、バイオマス由来のポリ乳酸、鞘成分に、バイオマス由来のポリ乳酸を使用する以外は、実施例1と同様にして短繊維および不織布を得た。評価結果は表1に示す。120℃乾熱収縮率が高く、柔軟な風合い、均一な地合は実施例に劣る。結果を表2に示した。【0043】[実施例4]延伸糸に捲縮の付与を行わなかった以外は、実施例1と同様にして、短繊維および不織布を得た。この不織布の剛軟度は53mm、未開繊率は0.2%、不織布地合いはレベル1であった。結果を表1に示した。【0044】[実施例5]熊谷理機工業株式会社製の角型シートマシンを用い、実施例4で得られた短繊維と、木材パルプとを80:20の重量割合で水中に投入し、よく撹拌・混合して分散させ、大きさが約25cm×約25cmで、目付が50g/m2のシートを作成した。次に、該シートを室温中で一昼夜以上乾燥させた後、孔を開けたテフロン(登録商標)シートの上に載せ、120℃の熱風循環式乾燥機の中で5分間の収縮処理を行い、湿式不織布を得た。この不織布の剛軟度は38mm、不織布地合いはレベル1であった。結果を表3に示した。【0045】[比較例6]延伸糸に捲縮の付与を行わなかった以外は、比較例1と同様にして短繊維を得た。この短繊維を用い、実施例5と同様にして湿式不織布の得た。この不織布の剛軟度は38mm、不織布地合いはレベル2であった。結果を表2に示した。【0046】【表1】【0047】【表2】【0048】[実施例6]カット長を5mmから51mmに変更した以外は、実施例1と同様にして短繊維を得た。この短繊維をローラー・カードに通しカード・ウェブを得た。この際、カード通過性は良好であった。このウェブを積層して目付50g/m2とし、実施例3と同様にして熱風で熱接着させて、カード法熱接着不織布を得た。この不織布の剛軟度は58mm、不織布地合いはレベル1であった。結果を表3に示した。【0049】[実施例7]カット長を5mmから51mmに変更した以外は、実施例3と同様にして短繊維を得た。この短繊維を用い、実施例1と同様にして、カード法熱接着不織布を得た。この際、カード通過性は良好であった。この不織布の剛軟度は51mm、不織布地合いはレベル1であった。結果を表3に示した。【0050】【表3】
A
2012140729
2011000130
20110104
null
null
20120726
2012140729
20120726
null
null
5713685
20150320
null
null
null
繊維強化ストランド及び繊維強化ストランドの製造方法
000001199
株式会社神戸製鋼所
100061745
安田 敏雄
三浦 穂高,多代 直行
null
8
D02G 3/26 (20060101), D06M 15/227 (20060101)
D02G 3/26 ,D06M 15/227
2
null
null
null
0
11
4L033,4L036
4L033 AA09 ,4L033 AB01 ,4L033 AC15 ,4L033 CA12,4L036 MA04 ,4L036 MA33 ,4L036 PA21 ,4L036 PA26 ,4L036 PA45 ,4L036 RA24 ,4L036 UA07 ,4L036 UA30
【課題】繊維強化ストランドの製造方法において、繊維強化ストランドをその断面が可及的に円形に近似した状態となるように製造する。【解決手段】本発明の繊維強化ストランド1の製造方法は、溶融した熱可塑性熱可塑性樹脂5を貯留する樹脂浴手段7に強化繊維束3を通過させて樹脂含浸後の強化繊維束3とし、次に下流側で樹脂含浸後の強化繊維束3に撚りを付与させる方法であって、樹脂浴手段7が、強化繊維束3に熱可塑性樹脂5を含浸させる含浸領域21と樹脂含浸後の強化繊維束3に撚りを付与可能とさせる撚り付与領域22とを有しているとき、撚り付与領域22内の距離Lで樹脂含浸後の強化繊維束3に付与される撚りピッチPが、L/3≧P≧3.15/90×(dtex)1/2を満たすようにして撚りを付与させる。【選択図】図3
【請求項1】溶融した熱可塑性樹脂を貯留する樹脂浴手段に強化繊維束を通過させ、この樹脂浴手段の下流側に設けられた撚り手段により前記樹脂含浸後の強化繊維束に束中心まわりの撚りを付与することで生産される繊維強化ストランドであって、前記樹脂浴手段が、強化繊維束に熱可塑性樹脂を含浸させる含浸領域と樹脂含浸後の強化繊維束に前記撚り手段による撚りを付与可能となっている撚り付与領域とを有しているに際し、前記撚り付与領域内で強化繊維束に加えられる撚りが式(1)を満たすように、前記撚り手段で強化繊維束に撚りを付与して生産されたことを特徴とする繊維強化ストランド。【請求項2】溶融した熱可塑性樹脂を貯留する樹脂浴手段に強化繊維束を通過させ、この樹脂浴手段の下流側に設けられた撚り手段により前記樹脂含浸後の強化繊維束に束中心まわりの撚りを付与させる繊維強化ストランドの製造方法であって、前記樹脂浴手段が、強化繊維束に熱可塑性樹脂を含浸させる含浸領域と樹脂含浸後の強化繊維束に前記撚り手段による撚りを付与可能となっている撚り付与領域とを有しているに際し、前記撚り付与領域内で強化繊維束に加えられる撚りが式(1)を満たすように、前記撚り手段で強化繊維束に撚りを付与して生産することを特徴とする繊維強化ストランドの製造方法。
【請求項1】溶融した熱可塑性樹脂を貯留する樹脂浴手段に強化繊維束を通過させ、この樹脂浴手段の下流側に設けられた撚り手段により前記樹脂含浸後の強化繊維束に束中心まわりの撚りを付与することで生産される繊維強化ストランドであって、前記樹脂浴手段が、強化繊維束に熱可塑性樹脂を含浸させる含浸領域と樹脂含浸後の強化繊維束に前記撚り手段による撚りを付与可能となっている撚り付与領域とを有しているに際し、前記撚り付与領域内で強化繊維束に加えられる撚りが式(1)を満たすように、前記撚り手段で強化繊維束に撚りを付与して生産されたことを特徴とする繊維強化ストランド。【請求項2】溶融した熱可塑性樹脂を貯留する樹脂浴手段に強化繊維束を通過させ、この樹脂浴手段の下流側に設けられた撚り手段により前記樹脂含浸後の強化繊維束に束中心まわりの撚りを付与させる繊維強化ストランドの製造方法であって、前記樹脂浴手段が、強化繊維束に熱可塑性樹脂を含浸させる含浸領域と樹脂含浸後の強化繊維束に前記撚り手段による撚りを付与可能となっている撚り付与領域とを有しているに際し、前記撚り付与領域内で強化繊維束に加えられる撚りが式(1)を満たすように、前記撚り手段で強化繊維束に撚りを付与して生産することを特徴とする繊維強化ストランドの製造方法。
【技術分野】【0001】本発明は、繊維強化ストランド、及び繊維強化ストランドの製造方法に関するものである。
【背景技術】【0002】繊維強化熱可塑性樹脂(FRTP)は軽量であり且つ強度に優れており、その中でも繊維長の長い強化繊維を含有した長繊維強化熱可塑性樹脂(LFRTP)は、耐衝撃性や剛性の面で特に優れており、例えばテニスラケットのガットなど、紐状の形体で用いられることもある。このような長繊維強化熱可塑性樹脂の紐状成形品(以下、「繊維強化ストランド」と言う)を製造する方法として、例えば特許文献1や特許文献2には、溶融した熱可塑性樹脂を貯留した樹脂浴手段に複数のガラスロービングのような強化繊維束を通過させ、これにより強化繊維束に溶融樹脂を含浸させ、この樹脂含浸後の強化繊維束に束中心まわりの撚りを付与させつつ樹脂浴手段から引き抜く方法が開示されている。
【発明が解決しようとする課題】【0004】従来の繊維強化ストランドの製造方法では、樹脂含浸後の強化繊維束に撚りを付与させる場合の撚りピッチが不足し、その結果、繊維強化ストランドの断面形状が円形とならない場合があった。例えば、特許文献1では、ストランドを0.3m巻き取る間に1回転の撚りを付与するもの(撚りピッチ300mm)とされていた。このようなことが原因となり、繊維強化ストランドの品質が安定しない問題(外観や断面形状の不良、機械的性質のバラツキ等)に繋がるおそれがあった。【0005】本発明は、上述の問題に鑑みてなされたものであって、繊維強化ストランドをその断面が可及的に円形となるように製造して、その結果、外観不良や機械的性質のバラツキ等を伴わない高い品質を備え且つ品質的に安定した繊維強化ストランド、及びそのような繊維強化ストランドを得ることができる繊維強化ストランドの製造方法を提供することを目的とする。
【課題を解決するための手段】【0006】前記目的を達成するため、本発明は次の技術的手段を講じている。即ち、本発明の繊維強化ストランドは、溶融した熱可塑性樹脂を貯留する樹脂浴手段に強化繊維束を通過させ、この樹脂浴手段の下流側に設けられた撚り手段により前記樹脂含浸後の強化繊維束に束中心まわりの撚りを付与することで生産される繊維強化ストランドであって、前記樹脂浴手段が、強化繊維束に熱可塑性樹脂を含浸させる含浸領域と樹脂含浸後の強化繊維束に前記撚り手段による撚りを付与可能となっている撚り付与領域とを有しているに際し、前記撚り付与領域内で強化繊維束に加えられる撚りが式(1)を満たすように、前記撚り手段で強化繊維束に撚りを付与して生産されることを特徴とする。【0007】【数式1】【0008】こうすることで、繊維強化ストランドの真円度のバラツキを5%以下とすることができる。また、本発明の繊維強化ストランドの製造方法は、溶融した熱可塑性樹脂を貯留する樹脂浴手段に強化繊維束を通過させ、この樹脂浴手段の下流側に設けられた撚り手段により前記樹脂含浸後の強化繊維束に束中心まわりの撚りを付与させる繊維強化ストランドの製造方法であって、前記樹脂浴手段が、強化繊維束に熱可塑性樹脂を含浸させる含浸領域と樹脂含浸後の強化繊維束に前記撚り手段による撚りを付与可能となっている撚り付与領域とを有しているに際し、前記撚り付与領域内で強化繊維束に加えられる撚りが式(1)を満たすように、前記撚り手段で強化繊維束に撚りを付与して生産することを特徴とする。【0009】【数式2】【0010】このようにすることで、製造した繊維強化ストランドはその断面が円形又は円形に近いものとなり、その結果、外観不良や機械的性質のバラツキ等が生じない高い品質を備え、しかも品質的に安定したものとなる。すなわち、真円度のバラツキが5%以下の繊維強化ストランドを得ることができる。
【発明の効果】【0011】本発明の繊維強化ストランドの製造方法によれば、繊維強化ストランドをその断面が可及的に円形に近似した状態となるように製造できるものであり、その結果、外観不良や機械的性質のバラツキ等を伴わない高い品質を備え且つ品質的に安定した繊維強化ストランドを得ることができる。
【発明を実施するための形態】【0013】以下、本発明に係る繊維強化ストランド1の製造方法を、図面に基づいて説明する。図1及び図2は、繊維強化ストランド1の製造方法に用いられるストランド製造装置2の一例を示している。このストランド製造装置2は、コイル状に巻かれた強化繊維束3を所定速度で送り出す複数(図例では3つ)の繊維供給部4と、原料である熱可塑性樹脂5を混練溶融させる混練押出機6と、繊維供給部4から送り出された強化繊維束3に混練押出機6で可塑化された熱可塑性樹脂5を含浸させる樹脂浴手段7と、を備えている。【0014】また、このストランド製造装置2は、樹脂浴手段7の下流側に配備されて樹脂浴手段7から送り出された樹脂含浸後の強化繊維束3を冷却する冷却手段9と、この冷却手段9の下流側に配備されて、主として冷却前の強化繊維束3に束中心まわりの撚りを付与させる撚り手段10とを備えている。強化繊維束3には、ガラス繊維、炭素繊維、アラミドなどの有機合成樹脂、鋼線などの金属繊維を用いることができる。また、強化繊維束3に含浸させる熱可塑性樹脂5には、ポリプロピレンやポリエチレン等のポリオレフィン系樹脂、ポリエチレンテレフタレートやポリブチレンテレフタレート等のポリエステル系樹脂、ナイロン等のポリアミド系樹脂、ポリカーボネート系樹脂、ポリアセタール、またはポリフェニレンサルファイド等を用いることができる。【0015】混練押出機6は、内部が空洞とされたチャンバ13内に混練翼を備えたスクリュシャフト(図示略)を回転自在に備えており、ホッパ14から投入された熱可塑性樹脂5の原料を溶融して可塑化する。樹脂浴手段7は、筒軸方向を上下に向けた円筒状に形成されており、その筒内部には混練押出機6で可塑化された熱可塑性樹脂5が供給され貯留される。樹脂浴手段7の上端部は開口しており、この上端開口から当該樹脂浴手段7内に貯留された熱可塑性樹脂5に対して強化繊維束3を引き入れることができるようになっている。【0016】図3に示すように、この樹脂浴手段7の内部には、軸心を水平方向へ向けて回転自在に保持された複数本(図例では4本)の含浸ロール15が、互いに平行で、且つ上下方向に所定距離を離して設けられている。樹脂浴手段7の上端開口から導入された強化繊維束3は、これらの含浸ロール15を上から下へ向けて蛇行するように順番に架け渡される。樹脂浴手段7の下端部には、樹脂含浸後の強化繊維束3を外部に引き出すための出口部16が設けられている。またこの出口部16には、強化繊維束3を被覆状態にしている熱可塑性樹脂5を整形して、断面形状を形作るためのダイス17が設けられている。【0017】このような樹脂浴手段7において、最も下位側に配置された含浸ロール15に樹脂含浸後の強化繊維束3が巻き掛けられて、ダイス17へと送り出される位置(最下位の含浸ロール15における外周面の下端部)を「区画点20」として、この区画点20の上流側(上方向)であって熱可塑性樹脂5の貯留水面までの領域は、強化繊維束3に対して熱可塑性樹脂5を含浸させるための「含浸領域21」とされる。【0018】これに対し、区画点20からダイス17へ向かう方向(区画点20の下流側)では、前記含浸領域21にて熱可塑性樹脂5を含浸された状態の強化繊維束3が、その束中心まわりで撚りを付与可能な状態(束中心まわりで自由に捻ることができる状態)になっている。すなわち、樹脂浴手段7において、区画点20より下流方向であってダイス17までの領域は、撚り手段10による撚りを付与可能な「撚り付与領域22」とされる。【0019】このように、樹脂浴手段7は、その内部に含浸領域21と撚り付与領域22とを有している。冷却手段9は、樹脂浴手段7から樹脂含浸後の強化繊維束3が引き出される方向に沿って長い水槽とされており、槽内に冷却水18を貯留するようになっている。樹脂浴手段7の出口部16(ダイス17)に最も近接して対向する槽壁に樹脂含浸後の強化繊維束3を導入する入口部が設けられ、この入口部から最も離れた槽壁に樹脂含浸後の強化繊維束3を排出する出口部が設けられている。従って、この冷却手段9では、強化繊維束3に含浸及び被覆状態となっている熱可塑性樹脂5を冷却水18中で冷却し、硬化させることができる。【0020】冷却手段9の下流側に配備される撚り手段10としては、様々な機構が採用可能であり、図1に示すように、繊維強化ストランド1を巻き取るボビンを繊維強化ストランド1の軸芯回りに回転させる機構でもよい。一方、図2に示す如く、撚り手段10として、互いの外周面を接触させた上下一対の引取ロール19U、19Dを有する構成としてもよい。これら上下一対の引取ロール19U、19Dは、冷却手段9から送り出された樹脂含浸後の強化繊維束3を対向状に挟んで、更に下流側に送り出せるように、互いに異なる回転方向に回転可能となっている。【0021】すなわち、この撚り手段10が備える上下一対の引取ロール19U、19Dは、繊維供給部4から樹脂浴手段7へと強化繊維束3を引き込み、更に樹脂浴手段7から冷却手段9及び撚り手段10へと樹脂含浸後の強化繊維束3を引き出す作用を兼ねており、ストランド製造装置2のなかでは、強化繊維束3及び繊維強化ストランド1に対する引取手段を構成するものとなっている。なお、撚り手段10の下流側に別途、巻取手段(図示略)を設けて、製造された繊維強化ストランド1をボビンなどに巻き取るようにすればよい。【0022】前記した上下一対の引取ロール19U、19Dは、いずれも、樹脂含浸後の強化繊維束3の引き取り方向に対して傾斜した方向を向くように配備されており、両引取ロール19U、19D同士が互いに等しい角度で且つ異なる方向を向くようになっている。すなわち、上側の引取ロール19Uの回転軸心と下側の引取ロール19Dの回転軸心とが、樹脂含浸後の強化繊維束3の引き取り軸線を中心とする平面視対称形のX形に交差している。【0023】それゆえ、両引取ロール19U、19D間に樹脂含浸後の強化繊維束3が挟み込まれると、この強化繊維束3には束中心回りに捻り力(回転力)が加わり、樹脂含浸後の強化繊維束3には、図4に示すように、両引取ロール19U、19Dの傾斜角に相当する撚りが付与されることになる。このように、撚り手段10(両引取ロール19U、19D)によって加えられる撚りは、当該撚り手段10を起点として上流側へと伝搬し、樹脂浴手段7の内部に配備された一番下側の含浸ロール15(前記区画点20)に至る領域で樹脂含浸後の強化繊維束3に付与される。【0024】但し、前記したように樹脂含浸後の強化繊維束3は、樹脂浴手段7で含浸された熱可塑性樹脂5が冷却手段9で冷却され硬化するものである。そのため、この冷却手段9を含んでそれより下流側の領域で樹脂含浸後の強化繊維束3に付与された撚りは、撚りの付与力を解除すれば弾性復元によって殆ど解消されることになる。すなわち、撚り手段10によって樹脂含浸後の強化繊維束3に付与される「撚り」は、実質的には樹脂浴手段7の前記撚り付与領域22で樹脂含浸後の強化繊維束3に加えられることになる。【0025】本発明の製造方法では、樹脂浴手段7の撚り付与領域22内で樹脂含浸後の強化繊維束3に付与される撚りが、式(1)を満たすように、撚り手段10において樹脂含浸後の強化繊維束3に撚りを付与される。【0026】【数式3】【0027】このように撚りピッチPの上限値と下限値、言い換えれば、強化繊維束に付与する撚り回数の下限値と上限値を規定することで、製造された繊維強化ストランド1は、その断面が円形に可及的に近似することになり、その結果、外観不良や断面形状不良、機械的性質のバラツキ等が生じないもの(高品質)となり、しかも品質が安定するようになる。撚りの回数(撚りピッチPの逆数)が式(1)を満たさないと、繊維強化ストランド1の断面径にバラツキが大きくなり、断面形状が歪な円形になることが後述の試験(図5の結果)により確かめられている。【0028】ところで、樹脂含浸後の強化繊維束3に付与する撚りピッチPの下限値は、式(2)に示す撚り係数TFを用いた関係式により決定している。【0029】【数式4】【0030】ただし、dtex(テ゛シテックス):強化繊維束3における10,000mあたりの重量(g)TF:強化繊維束3の撚り係数(TF=90)【実施例】【0031】樹脂浴手段7における撚り付与領域22の距離Lと、ダイス17の出口側内径(ノズル径)とを種々に変えて、繊維強化ストランドを製造し、断面径のバラツキを測定することで、本発明に係る製造方法により製造した繊維強化ストランド1の良質性及び品質安定性を確認する試験を行った。試験に用いた強化繊維束3はガラス繊維(ガラスロービング)とし、熱可塑性樹脂5はポリプロピレン(PP)とした。【0032】なお、撚り付与領域22内の距離Lは、40mm~400mmとするのが好適である。より好ましくは、距離Lを100mm~200mmとするのがよい。40mmより短くなると、樹脂浴手段7における撚り付与領域22の装置構成が困難となり、実現性に問題が生じる。また距離Lを400mmより長くすると、熱可塑性樹脂5の抵抗によって強化繊維束3に生じるテンションが上昇することに伴い、破断が多発する問題が生じるためである。【0033】具体的には、距離Lは45mmの場合と195mmの場合とを設定し、ダイス17の出口側内径(ノズル径)は1.1mm、2.1mm、3.0mmの場合を設定した。製造後の繊維強化ストランド1の断面径は、図4(b)に示したように、一断面につき周方向に45°間隔で合計4箇所をマイクロメータにより測定することで、当該断面でのバラツキ度合(径の標準偏差/径の平均値)を求めるものとした。【0034】また、撚りピッチPは、撚り手段10と冷却手段9との間(撚り手段10の近傍)で、固定した油性ペンのペン先を樹脂含浸後の強化繊維束3に当接させ、この強化繊維束3の表面に対して撚りに応じた螺旋状のラインを描くようにし、撚り手段10を出た後の繊維強化ストランドから撚り付与領域22内の距離Lに相当する寸法内で、ラインの螺旋回数を計数することで求めるものとした。【0035】図5に、撚りピッチPの上限値ならびに下限値に関して採取した試験結果を示す。この図から明らかなように、撚りの回数(撚りピッチPの逆数)を3回以上且つ撚り係数90以下とすることで、断面径のバラツキ度合が確実に5%以下となっている(5%を越えるものは、図5中でNG品として表記)。つまり、ダイス17までに3回ねじられると広がった強化繊維束3が回転中心を中心として円形に収縮するようになり、繊維強化ストランド1の真円度が向上する。その一方で、ねじりが多すぎるとコブのようなものが発生して真円度が悪くなる。すなわち、撚りピッチの条件は式(1)を満たすようにすることが好ましい。【0036】ところで、本発明は前記実施形態に限定されるものではなく、発明の本質を変更しない範囲で各部材の形状、構造、材質、組み合わせなどを適宜変更可能である。強化繊維束3の本数(繊維供給部4として準備するコイルの巻数)は1本でも良いし、4本以上でもよい。撚り手段10は、繊維強化ストランド1をボビンに巻き取るためのボビン保持枠を、繊維強化ストランド1の巻取軸心まわりで回転させるような構成(特許文献2で例示された構成)を採用することも可能である。【0037】冷却手段9は、冷却水による噴霧方式、空気中での放冷方式、冷却ガスによる噴射冷却方式などを採用することも可能である。
A
2012140730
2011000261
20110104
null
null
20120726
2012140730
20120726
null
null
null
null
null
null
null
シャツ
591160811
山喜株式会社
100100480
藤田 隆
林 道久
null
8
A41B 1/08 (20060101), A41D 27/02 (20060101), A41D 27/26 (20060101)
A41B 1/08 D ,A41D 27/02 ,A41D 27/26 Z
4
null
null
null
0
6
3B035
3B035 AA05 ,3B035 AA23 ,3B035 AB01 ,3B035 AB15 ,3B035 AC02 ,3B035 AC03 ,3B035 AC15 ,3B035 AD01
【課題】厚すぎることのない自然なシルエットを有し、且つ、シャツの用途において適切な発熱機能を有するシャツを提供することである。【解決手段】前身頃2と、後身頃3と、肩ヨーク4と、衿5と、袖6とを少なくとも有するドレスシャツ等のシャツ1において、前記肩ヨーク4の幅方向の長さを8cm以上とする。そして、肩ヨーク4の内側部分に吸湿発熱素材を含有する生地を配する。このとき、吸湿発熱素材を含有する生地で肩ヨーク4自身を形成してもよく、肩ヨーク4を2層構造とし、吸湿発熱素材を含有する生地でその裏地を形成してもよい。また、肩ヨーク4を3層以上の構造とし、通常の布地で吸湿発熱素材を含有する生地を挟み込んでもよい。【選択図】図1
【請求項1】前身頃と、後身頃と、肩ヨークと、衿と、袖とを少なくとも有するドレスシャツ等のシャツであって、前記肩ヨークの幅方向の長さが8cm以上であり、前記肩ヨークの内側部分に吸湿発熱素材を含有する生地を備えたことを特徴とするシャツ。【請求項2】前記肩ヨークの部分が2層構造となっており、裏地部分が吸湿発熱素材を含有する生地で形成されることを特徴とする請求項1に記載のシャツ。【請求項3】前記肩ヨークの部分が複数層構造となっており、中地部分が吸湿発熱素材を含有する生地で形成されることを特徴とする請求項1に記載のシャツ。【請求項4】前記肩ヨークは、背面側において衿部分の下端から下方へ4cm以上の部分に亘って両袖間に配されており、前面側において肩部分の上端から下方へ3.5cm以上の部分に亘って衿と袖の間に配されていることを特徴とする請求項1乃至3のいずれかに記載のシャツ。
【請求項1】前身頃と、後身頃と、肩ヨークと、衿と、袖とを少なくとも有するドレスシャツ等のシャツであって、前記肩ヨークの幅方向の長さが8cm以上であり、前記肩ヨークの内側部分に吸湿発熱素材を含有する生地を備えたことを特徴とするシャツ。
【技術分野】【0001】本発明は保温機能を有する衣服に関するものであり、さらに詳細には、保温機能を有したシャツ(所謂ワイシャツ、ドレスシャツと称されるシャツや所謂ネルシャツと称されるシャツ等)に関する。
【背景技術】【0002】従来、発熱機能を有する衣服として、水分子を吸着して発熱する吸湿発熱素材を利用したものが多数提供されている。【0003】具体的には、例えば、マリンスポーツで着用するウェットスーツや運動終了後に着用する衣服を2層構造とし、中地又は裏地に吸放湿吸水発熱性繊維を使用する技術が特許文献1に開示されている。
【発明が解決しようとする課題】【0005】ところで本発明者らは、ワイシャツやカッターシャツ、ドレスシャツ等と呼ばれるシャツに発熱機能を付加することを企画した。しかしながら、従来知られていた方策のように、シャツの略全ての部分に一般的なシャツ生地と比較して非常に高価な吸湿発熱素材を使用したのでは、シャツ製品そのものが高価になってしまうという問題があった。また、従来知られていた方策のように、シャツの略全ての部分を2層構造として裏地に吸放湿吸水発熱性繊維を配したのでは、シャツが非常に厚くなってしまうため、デザイン上好ましくないという問題があった。さらにまた、従来知られていた方策で発熱機能を付加すると、シャツの用途で使用するには発熱温度が高くなりすぎてしまうという問題があった。即ち、シャツはジャケット、ブレザー、学生服、背広等の下に着用する場合が多く、その場合に従来知られていた方策で発熱機能を付加すると、シャツの内側の温度が高くなりすぎてしまい、着用者が蒸し暑く感じてしまうという問題である。【0006】そこで本発明は、従来技術の上記した問題点に注目し、デザインの自由度が高く、且つ、シャツの用途に適した発熱機能を付加したシャツを提供することを目的とする。
【課題を解決するための手段】【0007】上記した課題を解決するために、鋭意研究したところ、シャツに発熱機能を付加する場合、真に発熱機能が必要な部位は限定されることが判った。即ち、肩回りを温めることにより、体感温度が効率よく上昇することが判明した。つまり、本発明者らの研究によると、着用時において脇や腕回り等はあまり肌(又は下着)に接触しておらず、肩回りは比較的長い時間に亘って肌(又は下着)に接触していた。そして、この肩回りを温めることで最も効率よく着用者の体感温度を上昇させることができた。【0008】上記研究を鑑みてなされた請求項1に記載の発明は、前身頃と、後身頃と、肩ヨークと、衿と、袖とを少なくとも有するドレスシャツ等のシャツであって、前記肩ヨークの幅方向の長さが8cm以上であり、前記肩ヨークの内側部分に吸湿発熱素材を含有する生地を備えたことを特徴とするシャツである。【0009】請求項1に記載の発明では、従来のシャツに比べて肩ヨークの幅が大きくなっている。つまり、従来のシャツと比べて、シャツの前面側と背面側のいずれか一方又は両方における肩ヨークが占める面積が大きくなっている。さらに、肩ヨークの内側部分には吸湿発熱素材を含有する生地を備えている。このことにより、着用者の肩回りを確実に温めることができ、着用者の体感温度を効率よく上昇させることができる。【0010】請求項2に記載の発明は、前記肩ヨークの部分が2層構造となっており、裏地部分が吸湿発熱素材を含有する生地で形成されることを特徴とする請求項1に記載のシャツである。【0011】かかる構成によると、シャツの肩部分において表面で露出する部分と、裏面で肌(又は下着)と接触する部分で異なる生地を使用することができる。そのため、表面側に意匠性の高い生地を使用することにより、デザイン性が高く暖かいシャツを提供することができる。【0012】請求項3に記載の発明は、前記肩ヨークの部分が複数層構造となっており、中地部分が吸湿発熱素材を含有する生地で形成されることを特徴とする請求項1に記載のシャツである。【0013】かかる構成によると、通常の布地によって吸湿発熱素材を含有する生地を挟んで配置することができる。このことにより、肌(又は下着)と直接接触する部分に吸湿発熱素材を含有する生地が位置しないので、着用者の体感温度が上昇しすぎることがない。【0014】請求項4に記載の発明は、前記肩ヨークは、背面側において衿部分の下端から下方へ6.5cm以上の部分に亘って両袖間に配されており、前面側において肩部分の上端から下方へ3.5cm以上の部分に亘って衿と袖の間に配されていることを特徴とする請求項1乃至3のいずれかに記載のシャツである。【0015】かかる構成によると、シャツの前面側及び背面側において、肩ヨークの占める面積を従来のシャツより大きくすることができる。このことにより、肩ヨークの内側部分に位置する吸湿発熱素材がシャツの前面側と背面側において十分に広い面積に配される。加えて、吸湿発熱素材がシャツの前面側と背面側から着用者の肩部分を挟むように配される。このことにより、着用者の体感温度を効率よく上昇させることができる。
【発明の効果】【0016】本発明は、厚すぎることのない自然なシルエットを有し、且つ、シャツの用途において十分な発熱機能を有するシャツを提供することができる。
【発明を実施するための形態】【0018】以下は、本発明のシャツの実施形態について、図面を参照しながら詳細に説明する。なお、以下の説明は、実施形態の理解を容易にするためのものであり、これによって、本発明が制限して理解されるべきではない。【0019】シャツ1は長袖シャツであって、図1(a),(b)に示すように、前身頃2と、後身頃3と、肩ヨーク4と、衿5と、袖6と、袖口7と、前立て8とを少なくとも有している。そしてこれらの各部分に裁断された布地を縫製して形成される。なお、このシャツ1の構成している布地の形状は立体裁断により決められた形状であるが、通常の裁断による形状でもよい。また、プリーツ、ダーツ等は図示しないが、形成されていてもよい。【0020】肩ヨーク4は、図2,3で示されるように、2層構造となっており、表地20と裏地21(吸湿発熱素材)によって形成されている。そして、表地20と裏地21は略同じ面積を有している。裏地21は、繊維自身が水分を吸着し発熱する生地で形成されている。この繊維は特に限定されるものでないが、天然繊維の綿、絹、麻、再生セルロース繊維のレーヨン、キュプラ、テンセル、リヨセル等を好適に用いることができる。また、発熱繊維であるeks(東洋紡社製)を好適に用いることができる。なお、裏地21はこれらの繊維を単独で使用した生地であってもよく、複数組み合わせて使用した生地であってもよい。【0021】また本実施形態では、図4で示されるように、肩ヨーク4の幅方向の長さWが10cmとなっている。なお幅方向の長さWとは、図1で示されるように、肩ヨーク4の最も袖6よりの部分において、シャツ1の前面側における肩の頂点部分(図4の破線で示す部分)から下端までの長さw1と、シャツ1の背面側における肩の頂点部分から下端までの長さw2との合計の長さとなっている。そして、この肩ヨーク4の幅方向の長さWは8cm以上であることが望ましい。またこのとき、シャツ1の前面側において肩ヨーク4の肩の頂点部分から下端までの長さh(w1)は3.5cm以上が望ましく、本実施形態では3.5cmとなっている。加えて、シャツ1の背面側において、肩ヨーク4の衿5と接触している部分から下端までの長さの内、最も短い部分の長さHは4cm以上が望ましく、本実施形態では4cmとなっている。【0022】上記した実施形態では、肩ヨーク4を2層構造とし、その裏地21を繊維自身が水分を吸着し発熱する生地で形成したが、本発明はこれに限るものではない。例えば、図5に示されるように、肩ヨーク30を表地31、中地32、裏地33から形成される3層構造とし、その中地32を繊維自身が水分を吸着し発熱する生地で形成してもよい。また同様に、肩ヨークを4層以上の構造とし、繊維自身が水分を吸着し発熱する生地が通常の布地で挟み込まれる構造としてもよい。さらにまた、図6に示されるように、肩ヨーク40は、繊維自身が水分を吸着し発熱する生地で形成された1層構造のものであってもよい。
A
2012140736
2011010251
20110104
null
null
20120726
2012140736
20120726
null
null
null
null
null
null
null
非接触型ICカード収納スーツ
511018181
奥西 重行
null
null
奥西 重行
null
8
A41D 27/20 (20060101), A41D 27/10 (20060101), A41D 1/02 (20060101)
A41D 27/20 M ,A41D 27/10 E ,A41D 1/02 A
1
null
null
null
0
3
3B031,3B035
3B031 AA07 ,3B031 AB13 ,3B031 AC05 ,3B031 AC14 ,3B031 AC16,3B035 AA10 ,3B035 AA18 ,3B035 AB09 ,3B035 AC20
【課題】この発明はスーツなどの上着の袖部分に非接触型ICカード用のポケットを備え付けることで、従来乗車定期券入れやセキュリティーカードなどの専用ホルダーをポケットなどから取り出すことなく収納された袖部分を自動改札機などのICカードシステム機器に当てることによりスムーズに出入りできるようにし、利便性と快適性を提供することを目的とする。【解決手段】スーツなどの上着の袖部分(袖ボタンの裏側付近)に図1のように袖開きの外側の裏または内側にICカードが入る大きさのポケットを用意する。前記ポケット口には、容易にカードが飛び出したり落とさないようにファスナーなどを設ける。【選択図】図1
【請求項1】スーツなどの上着の袖部分に非接触型ICカード専用のポケットを備え付けたことを特徴とする衣料。
【請求項1】スーツなどの上着の袖部分に非接触型ICカード専用のポケットを備え付けたことを特徴とする衣料。
【技術分野】【0001】この発明はスーツなどの上着の袖部分に非接触型ICカード用のポケットを備え付けることで従来乗車定期券入れや専用ホルダーを取り出すことなくスムーズに出入りできるようにすることによって利便性と安全性を提供することを目的とする。
【背景技術】【0002】昨今では、非接触型のICカードシステムの導入が増加しており、例えば電車に乗車する際にはSuicaなどの乗車定期券を定期券入れに入れてポケットから取り出し、自動改札機に振りかざしたり、社内出入り管理をしている会社の社員はセキュリティカードを首かけカードホルダーに入れて入出管理機に振りかざすケースが大半である。現行の特許・実用新案出願公開状況では上着のポケットに関しては携帯電話専用の内ポケット(特許文献1)や、定期券などを取り出しやすいように作られたポケットなどの文献はあるが、(特許文献2)いずれも「取り出す行為」が必要であり、収容性や取り出し易さの利便性の追求である。
【発明が解決しようとする課題】そこで、自動改札機などの非接触型ICカードシステム装置に振りかざすカードをポケットから取り出す動作を減らせば、さらにスムーズに出入りできることが考えられる。【0003】本発明者は、このような利便性向上に着目し、ICカードをポケットから取り出す動作を省く方法を提供することを目的とする。
【課題を解決するための手段】【0004】スーツなどの上着の右袖部分にICカード専用のポケットを用意する。
【発明の効果】【0005】スーツなどの上着の右袖に専用のポケットを用意することによって、わざわざ定期入れやカードホルダーを取り出すことなく、袖ポケット部分を振りかざすだけで入出できるようにし、さらなる快適性と利便性を向上させるものである。【0006】また、この発明を利用することによって、例えばSuicaなどの乗車定期券などを利用する場合、従来のケースではラッシュアワー時など改札付近で専用の定期券入れをポケットから取り出したり探したりする行為が減るため、安全性の確保にも繋がる。【0007】また、本発明に伴いICカードの利便性が向上することによって、利用者の購買意欲が活性化され、売店やコンビニエンスストアなどでも電子マネーの役割としても、より広く利用されることが見込まれる。また、上着の着用頻度の向上による新しいスーツの必要性が発生し、衣料業界をはじめとする様々な産業の発展に寄与する。
null
A
2012140805
2011000011
20110104
null
null
20120726
2012140805
20120726
null
null
null
null
null
null
null
日射熱制御膜材
000239862
平岡織染株式会社
null
null
狩野 俊也,松下 陽子
null
8
E04B 1/76 (20060101), B32B 27/00 (20060101), B32B 5/22 (20060101), B32B 27/18 (20060101), B32B 27/12 (20060101)
E04B 1/74 H ,B32B 27/00 N ,B32B 5/22 ,B32B 27/18 Z ,B32B 27/12
8
null
null
null
0
15
2E001,4F100
2E001 DD01 ,2E001 DD12 ,2E001 DD16 ,2E001 FA09 ,2E001 GA24 ,2E001 HD11 ,2E001 HD13 ,2E001 JD13 ,2E001 KA02,4F100 AA02B,4F100 AA17B,4F100 AA21B,4F100 AA25B,4F100 AA27B,4F100 AA28B,4F100 AA29B,4F100 AK01A,4F100 AK01B,4F100 AT00A,4F100 DG01C,4F100 DG12C,4F100 JD12B,4F100 JJ02A,4F100 JL06D,4F100 JN06B,4F100 YY00A,4F100 YY00B
【課題】テント構造物用膜材に適して用いられ、採光性、遮熱性と断熱性とを兼備する薄型の日射熱制御膜材の提供。【解決手段】断熱樹脂層2と、その一面上に赤外線反射樹脂層3を設けた可撓性積層体1として、断熱樹脂層2を、相転移物質内包粒子2-1-2を含む熱可塑性樹脂組成物2-1-1からなる充実部2-1と、気泡粒4による非充実部2-2とで構成し、充実部2-1を熱可塑性樹脂と相転移物質内包粒子2-1-2による99:1~80:20の混成質量比率とし、断熱樹脂層2の体積に対する非充実部2-2の占有総和を33~66体積%とし、さらに気泡粒の内壁表面に相転移物質内包粒子2-1-2の表面の一部を露出するように構成する。【選択図】図2
【請求項1】断熱樹脂層と、その一面上に設けられた赤外線反射樹脂層とを有する可撓性積層体であって、前記断熱樹脂層が、相転移物質内包粒子を含む熱可塑性樹脂組成物からなる充実部と、気泡粒による非充実部とからなり、前記充実部が前記熱可塑性樹脂と前記相転移物質内包粒子による99:1~80:20の混成質量比率、及び、前記断熱樹脂層の体積に対する前記非充実部の占有総和が33~66体積%、及び前記気泡粒の内壁表面に前記相転移物質内包粒子の表面の一部が露出してなり、さらに、前記赤外線反射樹脂層が無機金属化合物粒子を含んでいて、前記可撓性積層体が、波長300~2500nmにおける日射反射率(JISR3106)85%以上及び、日射熱取得率(JISR3106)10%以下を兼備することを特徴とする日射熱制御膜材。【請求項2】前記断熱樹脂層と、前記赤外線反射樹脂層との間に、繊維織物からなる基布層を含んでいる、請求項1に記載の日射熱制御膜材。【請求項3】前記断熱樹脂層と、前記基布層との間に、接着樹脂層を含んでいる、請求項2に記載の日射熱制御膜材。【請求項4】前記赤外線反射樹脂層が、繊維織物からなる基布層を含んでいる、請求項1に記載の日射熱制御膜材。【請求項5】前記赤外線反射樹脂層の表面に防汚層が設けられている、請求項1~4のいずれか1項に記載の日射熱制御膜材。【請求項6】前記無機金属化合物粒子が、酸化チタン(TiO2)、酸化亜鉛(ZnO)、硫化亜鉛(ZnS)、酸化スズ(SnO2)、酸化ジルコニウム(ZrO2)、三酸化アンチモン(Sb2O3)、酸化インジウム(In2O3)、スズドープ酸化インジウム、インジウムドープ酸化スズ、及びアンチモンドープ酸化スズから選ばれた1種以上である請求項1~5のいずれか1項に記載の日射熱制御膜材。【請求項7】前記相転移物質内包粒子が、互いに相転移温度の異なる2種以上の相転移物質内包粒子のブレンドであり、これら相転移物質内包粒子相互の相転移温度の最大差が3~36℃の範囲である請求項1~6のいずれか1項に記載の日射熱制御膜材。【請求項8】前記相転移物質内包粒子が、無機多孔質物質または有機高分子物質を基材とし、パラフィン族炭化水素、多価アルコール、及び無機水和塩から選ばれた1種以上を相転移物質として内包している請求項1~7に記載の日射熱制御膜材。
【請求項1】断熱樹脂層と、その一面上に設けられた赤外線反射樹脂層とを有する可撓性積層体であって、前記断熱樹脂層が、相転移物質内包粒子を含む熱可塑性樹脂組成物からなる充実部と、気泡粒による非充実部とからなり、前記充実部が前記熱可塑性樹脂と前記相転移物質内包粒子による99:1~80:20の混成質量比率、及び、前記断熱樹脂層の体積に対する前記非充実部の占有総和が33~66体積%、及び前記気泡粒の内壁表面に前記相転移物質内包粒子の表面の一部が露出してなり、さらに、前記赤外線反射樹脂層が無機金属化合物粒子を含んでいて、前記可撓性積層体が、波長300~2500nmにおける日射反射率(JISR3106)85%以上及び、日射熱取得率(JISR3106)10%以下を兼備することを特徴とする日射熱制御膜材。
【技術分野】【0001】本発明は熱制御機能と採光性を有する、テント構造物用膜材、トラック幌用膜材、ルーフィング材用膜材、フレキシブルコンテナ用膜材などの産業資材に関するものである。更に詳しく述べるならば、本発明の膜材は、遮熱性と断熱性と採光性とを兼備し、夏季においては日射による膜材からの蓄熱伝導を遮蔽する効果を有し、冬季には暖房熱を外気に逃がし難い効果を有する、特にテント構造物用に適し、省エネルギー性に優れた採光性膜材に関する。
【背景技術】【0002】遮熱性と断熱性とを兼備するシート状物の例として、発泡ウレタンや発泡スチレン等の可撓性のあるシート部材に、樹脂塗料中に酸化チタンやアルミナおよび酸化ケイ素等の熱反射機能を持つセラミックや無機化合物を混入してなる熱反射型塗料層を設けた遮熱シート(特許文献1)、相転移物質内包粒子を含有する下塗材により形成される断熱性下塗層と、赤外線反射性有色粒子を含有する装飾性塗材により形成される装飾材層を設けた建築物外装面用の遮熱断熱積層体(特許文献2)、光触媒含有層、保護層、セラミックス粉を含む熱制御性樹脂層、及びセラミックス粉末を含む樹脂発泡層とからなるテント構造物用の遮熱性防汚膜材(特許文献3)、軟質ポリ塩化ビニル樹脂基材層上に、熱可塑性樹脂に顔料が含有されて700~2100nmの波長域における日射反射率が30%以上となる赤外線反射樹脂層が形成され、防水シート基材層と熱反射樹脂層との間に熱可塑性樹脂を発泡してなる発泡樹脂層が形成された屋根用防水シート(特許文献4)、780~1600nmの近赤外線領域において30%未満の日射吸収率と、50%以上の日射透過率を有する表面層と、380~780nmの可視光線領域において80%以上の日射吸収率を有し、且つ、780~1600nmの近赤外線領域において85%以上の日射反射率を有し、且つ発泡倍率が1.2~5.0倍の発泡樹脂組成物からなる近赤外線領域光反射層を有する着色合成樹脂シート状物(特許文献5)などの提案がなされている。【0003】これらのシート状物はいずれも気泡を多数含有する樹脂発泡層を含む構成を必須とするものである。一般的に樹脂発泡層は、樹脂発泡層の厚さが大きいほど断熱効果に優れ、さらに気泡の径が大きく、より気泡含有量が大きいほど断熱効果に優れている。このような層が厚く、気泡含有量が大きい樹脂発泡層をテント構造物用膜材に応用することは断熱性付与に極めて効果的であるが、しかし、膜材厚が増大することでテントの採光性を阻害する問題、膜材同士の接合部強度低下の問題などから実用性に乏しいのが現状であった。【0004】従って樹脂発泡層を有するシート状物でありながら、採光性を有し、膜材同士の接合部強度低下の心配がなく、特にテント構造物用膜材に適して用いられ、遮熱性と断熱性とを兼備する薄型の日射熱制御膜材が望まれていたのであるが、今までに薄い厚さの樹脂発泡層で遮熱性と断熱性とを兼備するようなシートは存在していなかった。
【発明が解決しようとする課題】【0006】本発明は、採光性を有し、膜材同士の接合部強度低下の心配もないシートであって、特にテント構造物用膜材に適して用いられ、遮熱性と断熱性とを兼備する薄型の日射熱制御膜材を提供しようとするものである。
【課題を解決するための手段】【0007】上記課題を解決するために、断熱樹脂層と、その一面上に設けられた赤外線反射樹脂層とを有する可撓性積層体において、断熱樹脂層を、相転移物質内包粒子を含む熱可塑性樹脂組成物からなる充実部と、気泡粒による非充実部とで構成し、充実部を熱可塑性樹脂と相転移物質内包粒子による99:1~80:20の混成質量比率とし、断熱樹脂層の体積に対する非充実部の占有総和を33~66体積%、及び気泡粒の内壁表面に相転移物質内包粒子の表面の一部を露出するようにして、さらに、赤外線反射樹脂層が無機金属化合物粒子を含み、これによって可撓性積層体が、波長300~2500nmにおける日射反射率(JISR3106)85%以上及び、日射熱取得率(JISR3106)10%以下を兼備することを見出し、本発明を完成するに至った。【0008】すなわち本発明の日射熱制御膜材は、断熱樹脂層と、その一面上に設けられた赤外線反射樹脂層とを有する可撓性積層体であって、前記断熱樹脂層が、相転移物質内包粒子を含む熱可塑性樹脂組成物からなる充実部と、気泡粒による非充実部とからなり、前記充実部が前記熱可塑性樹脂と前記相転移物質内包粒子による99:1~80:20の混成質量比率、及び、前記断熱樹脂層の体積に対する前記非充実部の占有総和が33~66体積%、及び前記気泡粒の内壁表面に前記相転移物質内包粒子の表面の一部が露出してなり、さらに、前記赤外線反射樹脂層が無機金属化合物粒子を含んでいて、前記可撓性積層体が、波長300~2500nmにおける日射反射率(JISR3106)85%以上及び、日射熱取得率(JISR3106)10%以下を兼備することが好ましい。これによって本発明の日射熱制御膜材に遮熱性、断熱性及び採光性とを兼備させることを可能とする。【0009】本発明の日射熱制御膜材は、前記断熱樹脂層と、前記赤外線反射樹脂層との間に、繊維織物からなる基布層を含んでいることが好ましい。これによって本発明の日射熱制御膜材を好適に日よけテントやテント構造物用膜材に用いることができる。【0010】本発明の日射熱制御膜材は、前記断熱樹脂層と、前記基布層との間に、接着樹脂層を含んでいることが好ましい。これによって本発明の日射熱制御膜材を好適に日よけテントやテント構造物用膜材に用いることができる。【0011】本発明の日射熱制御膜材は、前記赤外線反射樹脂層が、繊維織物からなる基布層を含んでいることが好ましい。これによって本発明の日射熱制御膜材を好適に日よけテントやテント構造物用膜材に用いることができる。【0012】本発明の日射熱制御膜材は、前記赤外線反射樹脂層の表面に防汚層が設けられていることが好ましい。これによって本発明の日射熱制御膜材を好適に日よけテントやテント構造物用膜材に用いることができる。【0013】本発明の日射熱制御膜材は、前記無機金属化合物粒子が、酸化チタン(TiO2)、酸化亜鉛(ZnO)、硫化亜鉛(ZnS)、酸化スズ(SnO2)、酸化ジルコニウム(ZrO2)、三酸化アンチモン(Sb2O3)、酸化インジウム(In2O3)、スズドープ酸化インジウム、インジウムドープ酸化スズ、及びアンチモンドープ酸化スズから選ばれた1種以上であることが好ましい。これによって本発明の日射熱制御膜材に好適な遮熱性を付与することができる。【0014】本発明の日射熱制御膜材は、前記相転移物質内包粒子が、互いに相転移温度の異なる2種以上の相転移物質内包粒子のブレンドであり、これら相転移物質内包粒子相互の相転移温度の最大差が3~36℃の範囲であることが好ましい。これによって本発明の日射熱制御膜材に好適な断熱性を付与することができる。【0015】本発明の日射熱制御膜材は、前記相転移物質内包粒子が、無機多孔質物質または有機高分子物質を基材とし、相転移物質としてパラフィン族炭化水素、多価アルコール、及び無機水和塩から選ばれた1種以上を内包していることが好ましい。これによって本発明の日射熱制御膜材に好適な断熱性を付与することができる。
【発明の効果】【0016】本発明の日射熱制御膜材は、採光性を有し、膜材同士の接合部強度低下の心配もないシートであり、特に遮熱性と断熱性とを兼備することで、日よけテントやテント構造物用膜材に適して用いることができる。これによって夏季においては日射による膜材からの蓄熱伝導を遮蔽する効果を有し、冬季には暖房熱を外気に逃がし難い効果を有するので、極めて省エネルギー性に優れている。
【発明を実施するための形態】【0018】本発明の日射熱制御膜材は、断熱樹脂層と、その一面上に設けられた赤外線反射樹脂層とを有する可撓性積層体であり、特に繊維織物からなる基布層を含むことが好ましい。この基布層を含む位置は、1).赤外線反射樹脂層と断熱樹脂層との間、または2).赤外線反射樹脂層内のいずれかであり、1).の具体的構成としては、赤外線反射樹脂層/基布層/断熱樹脂層、2).の具体的構成としては、赤外線反射樹脂層/基布層/赤外線反射樹脂層/断熱樹脂層が例示できる。特に1).の場合、基布層と断熱樹脂層との間に接着樹脂層を含む構成であってもよく、具体的構成としては、赤外線反射樹脂層/基布層/接着樹脂層/断熱樹脂層が例示できる。【0019】本発明の日射熱制御膜材の赤外線反射樹脂層、断熱樹脂層、及び接着樹脂層に使用する樹脂は各層それぞれ、軟質塩化ビニル樹脂、塩化ビニル系共重合体樹脂、オレフィン樹脂、オレフィン系共重合体樹脂、ウレタン樹脂、ウレタン系共重合体樹脂、アクリル樹脂、アクリル系共重合体樹脂、酢酸ビニル樹脂、酢酸ビニル系共重合体樹脂、スチレン樹脂、スチレン系共重合体樹脂、ポリエステル樹脂、およびポリエステル系共重合体樹脂、フッ素樹脂、フッ素系共重合体樹脂、シリコン樹脂、シリコン系共重合体樹脂などの熱可塑性樹脂が使用でき、単独もしくは、2種以上併用してもよい。本発明においては赤外線反射樹脂層と断熱樹脂層とが同一の熱可塑性樹脂で構成されることが好ましく、これにより得られる膜材同士の高周波溶着接合性が確保されると同時に得られる膜材の屈曲耐久性がより向上する。また接着樹脂層を形成する場合には、接着樹脂層も同一熱可塑性樹脂を用いることによって得られる膜材の屈曲耐久性をより向上させることができる。時に本発明において好ましい熱可塑性樹脂は、塩化ビニル樹脂(可塑剤、安定剤等を配合した軟質~半硬質塩化ビニル樹脂を包含する)、オレフィン系共重合体樹脂、ウレタン系共重合体樹脂、およびポリエステル系共重合体樹脂等である。本発明の日射熱制御膜材の赤外線反射樹脂層、断熱樹脂層、及び接着樹脂層にはそれぞれ有機顔料、無機顔料による着色が可能であり、必要に応じて可塑剤、安定剤、充填剤、紫外線吸収剤、接着剤、防炎剤、防黴剤、滑剤等を含むことができる。【0020】本発明の日射熱制御膜材の赤外線反射樹脂層、断熱樹脂層、及び接着樹脂層の各層は、特に基布層を含み、繊維織物に対してディッピングやコーティングによる含浸被覆、繊維織物に対してのフィルムラミネートによる積層被覆、及びこれらの加工方法の組み合わせによって形成される。ディッピング法、コーティング法は上記熱可塑性樹脂によるエマルジョンや塩化ビニル樹脂のペーストゾルの使用が好ましく、またフィルムラミネートでは、上記熱可塑性樹脂をカレンダー法により成形したフィルムやシート、或いはTダイス押出成形して得たフィルムやシートを用いることができる。本発明において赤外線反射樹脂層、断熱樹脂層、及び接着樹脂層の各層は防炎性付与の観点から塩素原子を多量に含有する塩化ビニル樹脂を使用することが好ましく、公知の可塑剤、防炎可塑剤、及び公知の防炎剤を含有する防炎組成であることが好ましい。【0021】本発明の膜材の基布層に使用する繊維織物を構成する繊維は、ポリプロピレン繊維、ポリエチレン繊維、ポリエステル繊維、ナイロン繊維、ビニロン繊維、芳香族ヘテロ環ポリマー繊維などの合成繊維、木綿、麻、ケナフなどの天然繊維、アセテートなどの半合成繊維、ガラス繊維、シリカ繊維、アルミナ繊維、炭素繊維などの無機繊維が使用でき、これらは単独で、或いは2種以上の混用で用いてもよい。繊維糸条はマルチフィラメント、短繊維紡績、モノフィラメント、スプリットヤーン、テープヤーンなどいずれであってもよい。本発明に使用する繊維布帛は、織布、編布、不織布のいずれでもよいが、得られる装飾シートの寸法安定性及び寸法バランスとの観点から織布が好ましく、特に平織、綾織、繻子織、模紗織などが好ましい。これらの織布は、糸間間隙をおいて平行に配置された経糸及び緯糸を含む糸条により構成された粗目状の織布(空隙率は最大50%、好ましくは5~30%)、及び非粗目状織布(糸条間に実質上間隙が形成されていない空隙率0~3%織布)を包含する。これらの織布には、必要に応じて撥水処理、吸水防止処理、接着処理、難燃処理などの薬剤処理が施されたものを用いてもよい。【0022】本発明の膜材の赤外線反射樹脂層には、無機金属化合物粒子を含有し、赤外線反射樹脂層を構成する熱可塑性樹脂100質量部に対して、無機金属化合物粒子を0.5~30質量部、特に1~15質量部含有することが好ましい。用いる無機金属化合物粒子としては、平均粒子径が0.01~10μmの、酸化チタン(TiO2)、酸化亜鉛(ZnO)、硫化亜鉛(ZnS)、酸化スズ(SnO2)、酸化ジルコニウム(ZrO2)、三酸化アンチモン(Sb2O3)、酸化インジウム(In2O3)、スズドープ酸化インジウム、インジウムドープ酸化スズ、及びアンチモンドープ酸化スズから選ばれた1種以上であることが好ましい。このようにして得られた赤外線反射樹脂層の波長300~2500nmにおける日射反射率(JISR3106-1998年)は85%以上であることが好ましい。無機金属化合物粒子の含有量が0.5質量部未満だと得られる膜材の日射反射率(JISR3106-1998年)が85%未満となることがあり、また含有量が30質量部を越えると得られる膜材の赤外線反射樹脂層が脆くなり、膜材同士の端部を重ね合わせて熱溶着し、これによって接合部を形成した場合に、十分な接合部強度が得られないことがある。赤外線反射樹脂層の厚さは0.05~1.0mm、特に0.1~0.35mmであることが好ましい。赤外線反射樹脂層の厚さが0.05mm未満だと得られる膜材の日射反射率(JISR3106-1998年)が85%未満となることがあり、また膜材同士の端部を重ね合わせて熱溶着し、これによって接合部を形成した場合に、十分な接合部強度が得られないことがある。また赤外線反射樹脂層の厚さが1.0mmを越えると得られる膜材の質量が増して施工性を悪くすることがある。【0023】本発明の膜材の断熱樹脂層は、熱可塑性樹脂と相転移物質内包粒子による99:1~80:20の混成質量比率による充実部と、断熱樹脂層の体積に対する気泡粒占有総和体積が33~66体積%である非充実部とからなり、この気泡粒の内壁表面には相転移物質内包粒子の表面の一部が多数露出していることが好ましい。非充実部とは断熱樹脂層において気泡粒によって形成された占有空間を意味するもので、非充実部に対して充実部とは断熱樹脂層において占める熱可塑性樹脂を意味する。充実部に占める相転移物質内包粒子の質量比率が1未満だと、得られる膜材の日射熱取得率(JISR3106-1998年)10%を越えることがあり、また相転移物質内包粒子の質量比率が20を超えると、得られる膜材の断熱樹脂層が脆くなり、膜材同士の端部を重ね合わせて熱溶着し、これによって接合部を形成した場合に、十分な接合部強度が得られないことがある。また、断熱樹脂層の体積に対する非充実部の占有総和が33体積%未満だと、得られる膜材の日射熱取得率(JISR3106-1998年)10%を越えることがあり、また非充実部の割合が66体積%を超えると、得られる膜材の断熱樹脂層が脆くなり、膜材同士の端部を重ね合わせて熱溶着し、これによって接合部を形成した場合に、十分な接合部強度が得られないことがある。【0024】本発明の膜材の断熱樹脂層において、非充実部を構成する気泡粒として、その粒径は10~1000μmの混成で、特に粒径50~500μmの気泡粒で気泡粒全体の60%以上を占めることが好ましい。気泡粒の粒径の主体が10μm未満だと得られる膜材の断熱効果(日射熱取得率10%以下)が不十分となることがあり、また1000μmを超える気泡粒が主体だと、得られる膜材の断熱樹脂層の屈曲強度や摩耗強度を脆くすることがある。また非充実部を構成する気泡粒には殻壁粒子を含んでいてもよく、殻壁粒子は、内部に空気を封入して有するバルーン状粒子であり、その粒子径は0.1~100μmの混成で、特に粒子径1~50μmである。特に本発明において、気泡粒の内壁表面に相転移物質内包粒子の表面の一部を多数個露出させることによって、本発明の膜材の断熱樹脂層厚を必要以上に厚く設定しなくとも、日射熱取得率を10%以下とする断熱効果を得ることができる。このような気泡粒は例えば、粒径500μmの気泡粒の内壁に粒子径25μmの相転移物質内包粒子の表面の一部が多数露出した態様である。【0025】本発明の膜材の断熱樹脂層において、非充実部を構成する気泡粒は、熱可塑性樹脂中にアゾジカルボアミド、オキシビスベンゼンスルフォニルヒドラジド、ベンゼンスルフォニルヒドラジド、p-トルエンスルフォニルヒドラジド、ジアゾアミノベンゼン、アゾビスイソブチロニトリルなどの熱分解型化学発泡剤を均一分散し、これを加熱して化学発泡剤を熱分解させ、化学発泡剤の分解ガス生成痕を気泡粒とする化学発泡、または粘性を有する熱可塑性樹脂を機械攪拌することで空気粒を強制的に巻込み、これを固化して気泡粒とする機械発泡のいずれかの方法によって形成することができる。この気泡粒は連続気泡であっても独立気泡のいずれであってもよく、連続気泡と独立気泡との混成であってもよい。本発明の膜材において断熱樹脂層の層厚は0.1~2.0mm、特に0.5~1mmが好ましい。層厚が0.1mm未満だと、得られる膜材の断熱効果(日射熱取得率10%以下)が不十分となることがあり、また層厚が2.0mmを超えると、得られる膜材の採光性を悪くすると同時に、膜材同士の端部を重ね合わせて熱溶着し、これによって接合部を形成した場合に、十分な接合部強度が得られないことがある。【0026】本発明の膜材の断熱樹脂層において、充実部を構成する相転移物質内包粒子は、無機多孔質物質または有機高分子物質を基材とし、パラフィン族炭化水素、多価アルコール、及び無機水和塩から選ばれた1種以上を相転移物質として、25~75体積%内包するもので、無機多孔質物質を基材とする場合、相転移物質内包の好ましい態様は吸着であり、基材が有機高分子物質の場合はカプセル化である。また本発明の膜材において相転移物質内包粒子は、互いに相転移温度の異なる2種以上の相転移物質内包粒子のブレンドであり、これら相転移物質内包粒子相互の相転移温度の最大差が3~36℃の範囲であることが好ましい。相転移温度の異なる複数種の相転移物質内包粒子を含有することで、特に本発明の膜材を用いる環境の昼夜気温差が大きい場合に、熱制御効果の持続性が安定するためである。相転移物質内包粒子の粒子径は5~300μmの範囲を有し、特に粒子径10~100μmの範囲の球状粒子が好ましい。これらの相転移物質内包粒子の表面は断熱樹脂層における熱可塑性樹脂との密着性を向上させるために公知のシランカップリング剤(アクリル系、エポキシ系、アミノ系など)による表面処理、有機チタネート化合物により表面処理されていることが好ましい。相転移物質内包粒子として例えば、メラミン殻壁を有し、内部にパラフィンが封入された粒子の使用によって本発明の膜材の断熱効果をより向上させることができる。【0027】相転移物質は融解又は凝固する際の融解熱又は凝固熱を吸収又は放出するもので、融解・凝固のような固相と液相間の相転移、結晶相と非結晶相間の変態も利用できる。相転移物質として好ましいパラフィン族炭化水素は、C18~C36のパラフィン、C18~C36のノルマルパラフィン、イソパラフィン、シクロパラフィンよりなる群から選ばれた脂肪族炭化水素類の一種以上が挙げられる。具体的には、オクタデカン(融点28℃)、ノナデカン(融点32℃)、エイコサン(融点36℃)、ヘンエイコサン(融点40℃)、ドコサン(融点44℃)、テトラコサン(融点51℃)、ヘキサコサン(融点56℃)、ヘプタコサン(融点59℃)、オクタコサン(融点61℃)、トリアコンタン(融点66℃)などのノルマルパラフィン、これらの各種イソ体であるイソパラフィン、これらの分子内の一部に環構造を含む各種シクロパラフィン、及びこれらの混合物が挙げられる。また、パラフィンワックスが挙げられる。【0028】相転移物質として好ましい多価アルコールは、グリセリン、エチレングリコール、ジエチレングリコール、ポリエチレングリコール、プロピレングリコール、ジプロピレングリコール、ブチレングリコール、ジブチレングリコール、キシリトール、ソルビトール、マンニトール、マルチトール、リボース、エリスリトール、ガラクチトール、メチルグルセス、及びこれらの混合物が挙げられる。【0029】相転移物質として好ましい無機水和塩は、炭酸カリウム6水和物、硝酸リチウム3水和物、硫酸ナトリウム10水和物、炭酸ナトリウム10水和物、チオ硫酸ナトリウム5水和物、硝酸ニッケル6水和物、酢酸ナトリウム3水和物、硝酸鉄6水和物、硝酸アルミニウム9水和物、水酸化バリウム8水和物、水酸化ストロンチウム8水和物、硝酸マグネシウム6水和物、硫酸アルミニウム10水和物、塩化マグネシウム6水和物、及びこれらの混合物が挙げられる。【0030】相転移物質内包粒子を形成する基材が有機高分子物質の場合、上記相転移物質はカプセルで内包されたものが好ましく、このようなカプセル粒子の製法としては、複合エマルジョン法によるカプセル化法、相転移物質粒子の表面に液中で熱可塑性樹脂を形成する方法、相転移物質粒子の表面でモノマーを重合させ被覆する方法など、公知のカプセル化技術を用いることができる。カプセルを構成する壁殻の材質は、ビニル化合物、ポリエステル、ポリアミド、ポリウレタン、フェノール樹脂、メラミン樹脂などが挙げられる。特にビニル化合物モノマー(塩化ビニル、塩化ビニリデン、エチレン、プロピレン、スチレン、ジビニルベンゼン、メタクリル酸、メタクリル酸メチル、アクリロニトリルや酢酸ビニルなど)はラジカル付加重合の容易性からカプセル化物質に好適である。また、相転移物質内包粒子を形成する基材が無機多孔質物質の場合、シリカ、ゼオライト、チタンゼオライト、ジルコニウム、リン酸ジルコニウム、リン酸カルシウム、リン酸亜鉛カルシウム、ハイドロタルサイト、ヒドロキシアパタイト、シリカアルミナ、ケイ酸カルシウム、ケイ酸アルミン酸マグネシウム、ケイソウ土などの細孔に上記相転移物質を吸着させたものが使用できる。【0031】本発明の膜材の断熱樹脂層において、気泡粒の内壁表面に相転移物質内包粒子の表面の一部を多数個露出させた構成を得るには、予め相転移物質内包粒子を配合した熱可塑性樹脂組成物に、前述の熱分解型化学発泡剤を均一分散し、これを加熱して化学発泡剤を熱分解させ、化学発泡剤の分解ガス生成痕を気泡粒とする化学発泡させること、または粘性を有する熱可塑性樹脂中、例えばペースト塩化ビニル樹脂ゾルやエマルジョン樹脂増粘物に相転移物質内包粒子を配合して機械攪拌することで空気粒を強制的に巻込み、これを熱処理固化させて気泡粒とする機械発泡のいずれかの方法によって形成すればよい。このとき熱可塑性樹脂と相転移物質内包粒子の混成質量比率は99:1~80:20であることが好ましい。【0032】本発明の膜材の赤外線反射樹脂層の表面には防汚層が設けられていることが好ましく、防汚層の存在によって、波長300~2500nmにおける日射反射率(JISR3106)85%以上を持続することを可能とする。防汚層を有さない場合には、本発明の膜材表面、すなわち赤外線反射樹脂層表面に煤塵汚れが経時的に蓄積することで赤外線反射樹脂層本来の機能を発揮できなくなることがある。本発明において好ましい防汚層は、i).酸化チタン(TiO2)、過酸化チタン(ペルオキソチタン酸)、酸化亜鉛(ZnO)、酸化錫(SnO2)から選ばれた1種以上の光触媒物質含有層、または、ii).アクリル系樹脂、アクリル-シリコン樹脂、及びフッ素系樹脂から選ばれた1種以上による防汚層、またはこれらにシリカ微粒子を含む防汚層である。防汚層としての光触媒物質含有層は、光触媒物質を10~70質量%と、金属酸化物ゲル及び/又は金属水酸化物ゲルを25~90質量%、またケイ素化合物を1~20質量%含有する厚さ、0.1~10μmの塗膜である。また光触媒物質は、その表面の一部が無機化合物によって光触媒不活性化された表面処理粒子であってもよい。防汚層を形成するアクリル系樹脂、アクリル-シリコン樹脂、フッ素系樹脂(フルオロオレフィン共重合体樹脂)、またはフッ素系樹脂(フルオロオレフィン共重合体樹脂)とアクリル系樹脂とのブレンド物にはシリカ微粒子を含むことが雨筋汚れ抑制効果に優れ好ましい。本発明の膜材同士の接合における十分な接合部強度を得るには、フッ素系樹脂100質量部に対してアクリル系樹脂を5~75質量部ブレンドした組成物にシリカ微粒子5~25質量部を配合した防汚層が好ましい。【0033】本発明の日射熱制御膜材に関して、図1~5の可撓性積層体を一例として説明する。図1の可撓性積層体(1)は、断熱樹脂層(2)の1面上に赤外線反射樹脂層(3)が設けられている。断熱樹脂層(2)は充実部(2-1)及び非充実部(2-2)とから成り、充実部(2-1)は熱可塑性樹脂組成物(2-1-1)及び相転移物質内包粒子(2-1-2)によって構成され、非充実部(2-2)は気泡粒(2-2-1)により構成されている。また赤外線反射樹脂層(3)は無機金属化合物粒子(3-1)を含んでいる。断熱樹脂層(2)において気泡粒(2-2-1)の内壁表面に相転移物質内包粒子(2-1-2)の表面の一部が露出している(4)。図2の可撓性積層体(1)は、断熱樹脂層(2)と赤外線反射樹脂層(3)との間に繊維織物(5)が設けられている。図3の可撓性積層体(1)は、断熱樹脂層(2)と赤外線反射樹脂層(3)との間に繊維織物(5)が設けられ、繊維織物(5)と断熱樹脂層(2)との間に接着樹脂層(6)が設けられている。図4の可撓性積層体(1)は、繊維織物(5)の両面に赤外線反射樹脂層(3)が設けられ、その一方の赤外線反射樹脂層(3)面に断熱樹脂層(2)が設けられている。図5は図2の可撓性積層体(1)において、赤外線反射樹脂層(3)上にさらに防汚層(7)が設けられている。【実施例】【0034】以下、本発明について実施例を挙げて具体的に説明するが、本発明はこれらに限定されるものではない。下記実施例及び比較例において、試験膜材の遮制御性、防汚性などは下記の試験方法により測定し、評価した。1)日射熱取得率膜材の日射熱取得率は、分光光度計V-670型(日本分光(株)製)を使用し、日射透過率、日射吸収率を測定し、JISR3106に準拠し式(1)に従って算出した。日射熱取得率:η=a+b(1/αti)/(1/αti+1/αto)・・・(1)αto:屋外側表面の総合熱伝達率(常用値25W/m2K)αti:室内側表面の総合熱伝達率(常用値9W/m2K)a:日射透過率(%)b:日射吸収率(%)c:日射反射率(%)=100-〈日射透過率(%)+日射吸収率(%)〉2)屋外曝露試験たて(繊維織物の経糸方向)45cm×よこ(繊維織物の緯糸方向)30cmの膜材を、赤外線反射樹脂層形成面を表側にして、陽当たりの良い南向き傾斜30°方向に展張し、屋外汚れ試験を12ヶ月間行った。展張12ヶ月後の膜材の日射熱取得率を測定し、さらに未展張膜材との色差ΔE(JISZ-8729)を求め、下記の判定基準にて防汚性の評価を行った。※屋外展張は埼玉県草加市内において2月より開始した1:ΔE=0~1.9:汚れがなく良好。初期の状態を維持している2:ΔE=2~3.5:うすく汚れているが外観に支障はない3:ΔE=3.6~5.0:汚れと雨筋が目立つ4:ΔE=5.1~:汚れと雨筋が酷く、外観に支障がある3)環境試験たて100cm・よこ100cm・高さ100cmの正方形枠の6面全てに膜材を装着した密閉体を構成し、恒温槽内で密閉体内部の気温を25℃にした後、この密閉体を10℃と40℃に、それぞれ温度設定された2つの恒温槽に移し、静置状態で密閉体内部の気温を密閉体内部に中央にぶら下げた温度センサーにより観察し、密閉体内部の気温がそれぞれの恒温槽内環境温度と同じ10℃、40℃と平衡するまでの時間を測定した。【0035】[実施例1]繊維織物として、下記織組織のポリエステルマルチフィラメント平織物を用いた。1111dtex(たて糸)×1111dtex(よこ糸)/(22本/inch×25本/inch)、質量:215g/m2この繊維織物の片面上に、下記配合1の軟質塩化ビニル樹脂組成物による厚さ0.18mmのカレンダー成形フィルムを170℃の熱条件で熱ラミネートして積層接着し、厚さ0.18mmの赤外線反射樹脂層を形成した。<配合1>軟質塩化ビニル樹脂配合組成物(1)塩化ビニル樹脂(重合度1050)100質量部DOP(可塑剤)50質量部三酸化アンチモン(防炎剤)15質量部エポキシ化大豆油(安定剤)4質量部Ba-Zn(安定剤)2質量部酸化チタン(赤外線反射剤)10質量部※平均粒子径0.8~1.2μmの粗粒子酸化チタン【0036】この赤外線反射樹脂層積層中間体の裏面側に、下記配合2のペースト塩化ビニル樹脂組成物(機械攪拌により気泡粒を含む発泡組成物)を厚さ1mmで均一コーティングし、この塗工物を180℃の熱風で2分間加熱して固化させて、厚さ1mmの断熱樹脂層を形成した。得られた断熱樹脂層形成中間体は充実部として塩化ビニル樹脂組成物、及び相転移物質内包粒子とを56体積%含み、非充実部として気泡粒を44体積%含み、断熱樹脂層断面の拡大鏡観察により、気泡粒の内壁表面には相転移物質内包粒子の表面の一部が多数個露出している状態であった。<配合2>ペースト塩化ビニル樹脂配合組成物塩化ビニル樹脂(重合度1700)100質量部DOP(可塑剤)70質量部三酸化アンチモン(防炎剤)14質量部エポキシ化大豆油(安定剤)4質量部Ba-Zn(安定剤)2質量部酸化チタン(着色剤)8質量部※平均粒子径0.1~0.3μmの微粒子酸化チタンシリコーンオイル(整泡剤)2質量部相転移物質内包粒子A5質量部※メラミン殻壁によりエイコサン(分子式C20H42:分子量282.55:融点36℃)を有効成分70質量%で内包する平均粒子径20μmのカプセル球状粒子相転移物質内包粒子B5質量部※メラミン殻壁によりヘンエイコサン(分子式C21H44:分子量296.58:融点40℃)を有効成分70質量%で内包する平均粒子径20μmのカプセル球状粒子※熱可塑性樹脂(ペースト塩化ビニル樹脂組成物)と相転移物質内包粒子A+Bの混成質量比率は100:5である。【0037】この膜材中間体の赤外線反射樹脂層表面に、下記配合3の樹脂組成物による厚さ3μmの防汚層を80メッシュグラビア塗工にて形成し、本発明の日射熱制御膜材を得た。<配合3>樹脂組成物アクリル樹脂100質量部フルオロオレフィンビニルエーテル樹脂100質量部イソホロン系イソシアネート(硬化剤)10質量部シリカ(平均粒子径1μm)20質量部メチルエチルケトン(溶剤)1000質量部【0038】実施例1の膜材は第5図に示した態様である。実施例1の膜材の日射透過率5.7%、日射反射率87.3%、日射熱取得率5.5%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率5.5%、日射反射率86.9%、日射熱取得率5.7%であり、10℃平衡時間82分、40℃平衡時間54分であった。【0039】[実施例2]実施例1の膜材において、繊維織物と断熱樹脂層との間に下記配合4の軟質塩化ビニル樹脂配合組成物からなる接着樹脂層を設けた以外は全て実施例1と同一とした。接着樹脂層は下記配合4の軟質塩化ビニル樹脂組成物による厚さ0.12mmのカレンダー成形フィルムを用い、これを赤外線反射樹脂層積層中間体裏面側に170℃の熱条件で熱ラミネートして積層接着し、厚さ0.12mmの接着樹脂層を形成し、この接着樹脂層面上に実施例1の断熱樹脂層を設け、さらに赤外線反射樹脂層面上に実施例1の防汚層を設けて実施例2の膜材を得た。<配合4>軟質塩化ビニル樹脂配合組成物(2)塩化ビニル樹脂(重合度1050)100質量部DOP(可塑剤)50質量部三酸化アンチモン(防炎剤)15質量部エポキシ化大豆油(安定剤)4質量部Ba-Zn(安定剤)2質量部酸化チタン(着色剤)4質量部※平均粒子径0.1~0.3μmの微粒子酸化チタン【0040】実施例2の膜材は第3図に示した態様に防汚層を追加した態様である。実施例2の膜材の日射透過率5.3%、日射反射率87.0%、日射熱取得率5.3%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率5.1%、日射反射率85.5%、日射熱取得率5.4%であり、10℃平衡時間89分、40℃平衡温度58分であった。【0041】[実施例3]実施例1の膜材において、繊維織物と断熱樹脂層との間に配合1の軟質塩化ビニル樹脂配合組成物からなる赤外線反射樹脂層を設けた以外は全て実施例1と同一とした。実施例3の膜材は第4図に示した態様に防汚層を追加した態様である。実施例3の膜材の日射透過率5.3%、日射反射率88.6%、日射熱取得率5.4%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率5.2%、日射反射率86.3%、日射熱取得率5.5%であり、10℃平衡時間91分、40℃平衡温度62分であった。【0042】[実施例4]実施例1の膜材から、繊維織物を省略した以外は全て実施例1と同一とした。実施例4の膜材は第1図に示した態様に防汚層を追加した態様である。実施例4の膜材の日射透過率7.7%、日射反射率87.9%、日射熱取得率6.6%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率7.0%、日射反射率86.2%、日射熱取得率7.0%であり、10℃平衡時間57分、40℃平衡温度38分であった。【0043】実施例1~4の膜材は日射熱制御効果に優れ、屋外使用での汚れも付難いので経年劣化が少なく、従って本発明の膜材は夏季(例えば40℃の外気温)においては日射による膜材からの蓄熱伝導を遮蔽する効果を有し、冬季(例えば10℃の外気温)には暖房熱を外気に逃がし難い遮蔽効果を有する。【0044】[比較例1]実施例1の膜材の断熱樹脂層において、配合2から相転移物質内包粒子の配合を省略した以外は全て実施例1と同一とした。比較例1の膜材の日射透過率5.8%、日射反射率87.8%、日射熱取得率13.6%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率5.5%、日射反射率85.3%、日射熱取得率14.0%であり、10℃平衡時間65分、40℃平衡温度37分であった。【0045】[比較例2]実施例1の膜材の断熱樹脂層において、配合2から気泡粒を省略して無発泡層とした以外は全て実施例1と同一とした。比較例1の膜材の日射透過率5.2%、日射反射率86.4%、日射熱取得率15.1%であった。また展張12ヶ月後の曝露汚れ評価レベルは「1」、日射透過率5.0%、日射反射率85.0%、日射熱取得率15.8%であり、10℃平衡時間49分、40℃平衡温度21分であった。【0046】比較例1と2の膜材は、防汚層を有していることで、曝露汚れ評価レベルは「1」であり採光性も十分であったが、比較例1の膜材では相転移物質内包粒子が存在しないために十分な熱制御効果が発現されなかった。比較例1の膜材で実施例1の膜材と同等の熱制御効果を得るには断熱樹脂層の厚さを2mm(実施例1の断熱樹脂層の2倍)とする必要があった。(参考比較例1)参考比較例1の膜材では断熱樹脂層の厚さが2倍に増すことによる質量増で膜材が重くなるのみならず、採光性を著しく阻害する支障を生じていた。また比較例2の膜材では気泡粒が存在しない無発泡層であるために十分な熱制御効果が発現されなかった。比較例2の膜材で実施例1の膜材と同等の熱制御効果を得るには断熱樹脂層の厚さを3.5mm(実施例1の断熱樹脂層の3.5倍)とする必要があった。(参考比較例2)参考比較例2の膜材では断熱樹脂層の厚さが3.5倍に増すことによる質量増で膜材が重くなるのみならず、採光性を著しく阻害する問題を生じた。参考比較例1と2により、本発明の膜材(具体的に実施例1)は断熱樹脂層の厚さが薄くても優れた熱制御効果が得られることが比較証明された。参考比較例1と2において実施例1の膜材と同等の熱制御効果とは、日射反射率約87%、日射熱取得率約5%、10℃平衡時間約80分、40℃平衡温度約50分を得る性能である。従って比較例の膜材では夏季(例えば40℃の外気温)においては日射による膜材からの蓄熱伝導を遮蔽する効果に乏しく、冬季(例えば10℃の外気温)には暖房熱を外気に逃がし難い遮蔽効果に乏しいものであった。
A
2012140806
2011000083
20110104
null
null
20120726
2012140806
20120726
null
null
5579628
20140718
null
null
null
アンカー部材
000141521
株式会社技研製作所
100090033
荒船 博司
北村 精男
null
8
E02D 27/30 (20060101)
E02D 27/30
3
null
null
null
0
9
null
null
【課題】外力に対して大きな抵抗力を発揮することができ、コストの増大や工期の長期化を防ぐことが可能なアンカー部材を提供することを目的とする。【解決手段】上縁2aよりも下縁2bが広幅に、かつ少なくとも一方の側縁部2cが傾斜して形成され、両側縁部2c,2cに沿って継手3,3を有する異形鋼矢板2と、両側縁部4c,4cに沿って継手5,5を有する複数の鋼矢板4,4…と、を備えるアンカー部材1であり、異形鋼矢板2の両側に隣接する鋼矢板4,4が、それぞれ異形鋼矢板2に直交するとともに、該異形鋼矢板2の側縁部2cの角度に合わせて配置され、これら異形鋼矢板2と、該異形鋼矢板2の両側に隣接する鋼矢板4,4とが、互いの継手3,5を介して連結されている。これにより、異形鋼矢板の両側に隣接する鋼矢板を、互いの間隔が徐々に広がるように配置した状態で、異形鋼矢板と連結できる。【選択図】図1
【請求項1】正面視において上縁よりも下縁が広幅に、かつ少なくとも一方の側縁部が傾斜するようにして形成されるとともに、両側縁部に沿って継手を有する異形鋼矢板と、正面視において矩形状に形成されるとともに、両側縁部に沿って継手を有する複数の鋼矢板と、を備えており、前記複数の鋼矢板のうち、前記異形鋼矢板の両側に隣接する鋼矢板は、それぞれ、平面視において該異形鋼矢板に直交するとともに、該異形鋼矢板の側縁部の角度に合わせて配置されており、これら異形鋼矢板と、該異形鋼矢板の両側に隣接する鋼矢板とは、互いの継手を介して連結されていることを特徴とするアンカー部材。【請求項2】前記複数の鋼矢板のうち、前記異形鋼矢板に隣接する一方の鋼矢板と、該一方の鋼矢板に隣接する他の鋼矢板とは、同一方向に並設されるとともに、互いの継手を介して連結されていることを特徴とする請求項1に記載のアンカー部材。【請求項3】前記異形鋼矢板に隣接する一方の鋼矢板の上端部と、該一方の鋼矢板に隣接する他の鋼矢板の上端部とは、これら各鋼矢板の上端部間に架設される連結部材によって連結されていることを特徴とする請求項2に記載のアンカー部材。
【請求項1】正面視において上縁よりも下縁が広幅に、かつ少なくとも一方の側縁部が傾斜するようにして形成されるとともに、両側縁部に沿って継手を有する異形鋼矢板と、正面視において矩形状に形成されるとともに、両側縁部に沿って継手を有する複数の鋼矢板と、を備えており、前記複数の鋼矢板のうち、前記異形鋼矢板の両側に隣接する鋼矢板は、それぞれ、平面視において該異形鋼矢板に直交するとともに、該異形鋼矢板の側縁部の角度に合わせて配置されており、これら異形鋼矢板と、該異形鋼矢板の両側に隣接する鋼矢板とは、互いの継手を介して連結されていることを特徴とするアンカー部材。
【技術分野】【0001】本発明は、外力に対しての大きな抵抗力が要求される構造物の基礎等として用いられるアンカー部材に関する。
【背景技術】【0002】従来、構造物の基礎等として用いられるアンカー部材に係る技術は様々なものが開発されている。例えば特許文献1に記載の山留め工法では、少なくとも2本の斜杭を、地盤中に、各々の杭頭部が集合する配置で打設し、集合した杭頭部を連結部材で接合してなる組杭が、山留め壁を内側から支持するアンカー部材として用いられている。
【発明が解決しようとする課題】【0004】ところで、上述のような組杭を構成する各々の斜杭は、杭頭部は連結部材によって連結された状態となっているが、先端部は連結された状態とはなっていない。このため、例えば強固な土留壁や、強大な水平力や引抜力を受ける架空送電線用鉄塔の基礎、風力発電用風車の基礎等を構築したい場合には、強大な鉛直荷重に対して斜杭の先端が広がらないように、また引抜力に対しては斜杭の先端が狭まらないように、斜杭を十分に根入れする必要がある。ところが、このように十分に根入れするとなると、杭長の長い杭を用意しなければならないため、コストの増大や、工期の長期化という問題が生じる場合があった。【0005】本発明の課題は、鉛直力や引抜力、水平力等の外力に対して大きな抵抗力を発揮することができ、コストの増大や工期の長期化を防ぐことが可能なアンカー部材を提供することを目的とする。
【課題を解決するための手段】【0006】請求項1に記載の発明は、アンカー部材であって、正面視において上縁よりも下縁が広幅に、かつ少なくとも一方の側縁部が傾斜するようにして形成されるとともに、両側縁部に沿って継手を有する異形鋼矢板と、正面視において矩形状に形成されるとともに、両側縁部に沿って継手を有する複数の鋼矢板と、を備えており、前記複数の鋼矢板のうち、前記異形鋼矢板の両側に隣接する鋼矢板は、それぞれ、平面視において該異形鋼矢板に直交するとともに、該異形鋼矢板の側縁部の角度に合わせて配置されており、これら異形鋼矢板と、該異形鋼矢板の両側に隣接する鋼矢板とは、互いの継手を介して連結されていることを特徴としている。【0007】請求項2に記載の発明は、請求項1に記載のアンカー部材において、前記複数の鋼矢板のうち、前記異形鋼矢板に隣接する一方の鋼矢板と、該一方の鋼矢板に隣接する他の鋼矢板とは、同一方向に並設されるとともに、互いの継手を介して連結されていることを特徴としている。【0008】請求項3に記載の発明は、請求項2に記載のアンカー部材において、前記異形鋼矢板に隣接する一方の鋼矢板の上端部と、該一方の鋼矢板に隣接する他の鋼矢板の上端部とは、これら各鋼矢板の上端部間に架設される連結部材によって連結されていることを特徴としている。
【発明の効果】【0009】本発明によれば、異形鋼矢板の両側に隣接する鋼矢板は、それぞれ、平面視において異形鋼矢板に直交するとともに、該異形鋼矢板の側縁部の角度に合わせて配置されているので、異形鋼矢板の両側に隣接する鋼矢板は、異形鋼矢板の下端部に向かうにつれて互いの間隔が徐々に広がるように配置されることになる。また、これら異形鋼矢板の両側に隣接する鋼矢板は、異形鋼矢板の下端部に向かうにつれて互いの間隔が徐々に広がるように配置された状態で、異形鋼矢板と連結されることになる。したがって、このようなアンカー部材に鉛直力が作用したとしても、異形鋼矢板によって、両側に隣接して連結された鋼矢板の広がりを拘束することができるので、鉛直力に対する十分な抵抗力を発揮することができる。また、水平力が作用したとしても、異形鋼矢板の両側に隣接する鋼矢板のうち、一方の鋼矢板には引張、他方の鋼矢板には圧縮といった軸力が働くことになるので、水平力に対する十分な抵抗力を発揮することができる。また、引抜力が作用したとしても、摩擦力とともに土重量も作用するため十分な抵抗力を発揮することができる。これによって、例えば緩い地盤等でも確実に反力を得ることができるので、地盤に打設される異形鋼矢板や鋼矢板の長さを短くできるようになり、その分、コストの増大や工期の長期化を防ぐことが可能となる。
【発明を実施するための形態】【0011】以下、図面を参照して本発明の実施の形態について説明する。図1は本発明に係るアンカー部材の一例を示す平面図であり、図2は図1におけるA-A断面図である。本実施の形態のアンカー部材1は、正面視において上縁2aよりも下縁2bが広幅に、かつ少なくとも一方の側縁部2cが傾斜するようにして形成されるとともに、両側縁部2c,2cに沿って継手3,3を有する異形鋼矢板2と、正面視において矩形状に形成されるとともに、両側縁部4c,4cに沿って継手5,5を有する複数の鋼矢板4,4…と、を備えている。また、前記複数の鋼矢板4,4…のうち、前記異形鋼矢板2の両側に隣接する鋼矢板4,4は、それぞれ、平面視において該異形鋼矢板2に直交するとともに、該異形鋼矢板2の側縁部2cの角度に合わせて配置されている。そして、これら異形鋼矢板2と、該異形鋼矢板2の両側に隣接する鋼矢板4,4とは、互いの継手3,5を介して連結されている。【0012】より詳細には、本実施の形態のアンカー部材1は、1枚の異形鋼矢板2の一方の側縁部2c側に、この異形鋼矢板2と直交するとともに、該異形鋼矢板2の一方の側端部の縁部2cの角度に合わせて配置された少なくとも1枚の鋼矢板4を、互いの継手3,5を介して連結しており、さらに、この1枚の異形鋼矢板2の他方の側縁部2c側に、この異形鋼矢板2と直交するとともに、該異形鋼矢板2の他方の側端部の縁部2cの角度に合わせて配置された少なくとも1枚の鋼矢板4を、互いの継手3,5を介して連結することによって構成されている。【0013】また、本実施の形態において、異形鋼矢板2の両側に隣接する鋼矢板4,4は、互いに異なる方向に配置されている。すなわち、図1に示すように、一方の鋼矢板4は、図中において上方に配置され、他方の鋼矢板4は、図中において下方に配置されている。さらに、これら異形鋼矢板2の両側に隣接する鋼矢板4,4は、等しい形状の他の鋼矢板4と連結されている。すなわち、前記異形鋼矢板2に隣接する一方または他方の鋼矢板4と、該一方または他方の鋼矢板4に隣接する他の鋼矢板4とは、それぞれ同一方向に並設されるとともに、互いの継手5,5を介して連結されている。【0014】なお、本実施の形態においては、これら一方または他方の鋼矢板4と、該鋼矢板4に隣接する他の鋼矢板4とが3枚一組でグループ化されており、以下、複数枚で一組を成す鋼矢板4,4…のグループを、矢板群6と称する。すなわち、矢板群6は、前記異形鋼矢板2と直交するとともに、該異形鋼矢板2の側縁部2cの角度に合わせて配置されている。そして、これら異形鋼矢板2と矢板群6,6とは、異形鋼矢板2と該異形鋼矢板2の両側に隣接する鋼矢板4,4とを互いの継手3,5を介して連結することによって連結されている。このように複数の鋼矢板4,4…を同一方向に並設して連結したものを、前記異形鋼矢板2と組み合わせることによって、アンカー部材1に加えられる外力に対して、より大きな抵抗力を発揮することが可能となるので、地盤Gに打設される鋼矢板4,4…や異形鋼矢板2の長さを、より短くすることができる。【0015】ここで、前記異形鋼矢板2は、図2に示すように、正面視において上縁2aよりも下縁2bが広幅に、かつ両側縁部2c,2cが傾斜するように形成されており、正面視において台形状を成している。したがって、この異形鋼矢板2の両側に隣接する鋼矢板4,4および矢板群6,6は、正面視においてハの字に配置されることになる。また、本実施の形態では、その断面形状が、直線状に形成された直線型の異形鋼矢板が用いられている。また、断面直線型の板状本体と、この板状本体の両側縁部2c,2cに沿って設けられた継手3,3とは一体形成されている。なお、この異形鋼矢板2は、正面視において上縁よりも下縁が広幅となるので、断面形状が長さ方向にわたって不等になるように形成されている。ただし、継手3,3の断面形状は、異形鋼矢板2の長さ方向にわたって等しくなるように形成されている。つまり、継手3,3を除く板状本体の断面形状は、図2中の上端部から下端部に向かって徐々に幅寸法が長くなるように設定されている。【0016】なお、本実施の形態の異形鋼矢板2は、断面形状が直線状のものを用いているが、これに限られるものではなく、例えば断面略U字状に形成されたU型鋼矢板を用いてもよい。また、その他にも、ウェブと、このウェブの両端から斜めに張り出すフランジと、各フランジの先端からそれぞれに張り出すアームとを有するハット型鋼矢板を用いてもよい。すなわち、本発明の趣旨を逸脱しない範囲で適宜変更可能である。【0017】本実施の形態の鋼矢板4は、図1および図2に示すように、断面略U字状に形成されたU型鋼矢板が用いられている。このU型鋼矢板4は、ウェブ4aと、このウェブ4aの両端から斜めに張り出すフランジ4b,4bとを有している。前記継手5は、フランジ4b,4bの端部に設けられている。なお、これらウェブ4aと、フランジ4b,4bと、継手5,5とは一体形成されており、鋼矢板4は、断面形状が長さ方向にわたって等しくなるように形成されている。【0018】なお、本実施の形態の鋼矢板4はU字型鋼矢板を用いているが、これに限られるものではなく、例えば断面形状が直線状の直線型鋼矢板や、ハット型鋼矢板を用いてもよく、本発明の趣旨を逸脱しない範囲で適宜変更可能である。【0019】前記異形鋼矢板2の継手3,3は、図5に示すように、異形鋼矢板2の板状本体の両側縁部2c,2cからフック状に巻き込んだ状態で設けられており、前記鋼矢板4の継手5,5と係合可能となっている。また、前記鋼矢板4の継手5,5は、図5に示すように、フランジ4b,4bの端部からフック状に巻き込んだ状態で設けられており、前記異形鋼矢板2の継手3,3と係合可能となっている。また、この鋼矢板4の継手5,5は、隣接する他の鋼矢板4の継手5,5とも係合可能となっており、この場合は、図1および図5に示すように、鋼矢板4,4同士を互い違いに組み合わせる向きで継手5,5同士が係合されている。【0020】前記矢板群6を構成する複数の鋼矢板4,4…は、互いの継手5,5を介して連結されているが、これら複数の鋼矢板4,4…の上端部同士も、図示はしないが、各鋼矢板4,4…の上端部間に架設される連結部材によって連結されている。本実施の形態の連結部材としては、例えばアングル材等の鋼材が用いられているが、これに限られるものではなく、その他の鋼材や、コンクリート打設して各鋼矢板4,4…の上端部を含んだ状態でブロック化したもの等でもよく、適宜変更可能である。そして、このように複数の鋼矢板4,4…の上端部同士を連結部材で連結することによって、複数の鋼矢板4,4…同士をより強固に連結することができるので、アンカー部材1に加えられる外力に対して、より一層大きな抵抗力を発揮することが可能となる。【0021】なお、本実施の形態のアンカー部材1は、異形鋼矢板2と、この異形鋼矢板2の両側に隣接するとともに互いに異なる方向に配置された矢板群6,6とを連結することによって構成されているが、これに限られるものではない。例えば、図1に示す蛇行状のアンカー部材1よりも大きな蛇行を描くアンカー部材としてもよいし、より小さな蛇行を描くアンカー部材としてもよい。さらに、対向する2枚の異形鋼矢板2,2と、対向する2枚の鋼矢板4,4とを連結して筒状にしてもよいし、さらに多くの異形鋼矢板2,2…と鋼矢板4,4…とを用いて筒状のアンカー部材を形成してもよい。また、異形鋼矢板2の両側に隣接する鋼矢板4,4は、異形鋼矢板2と直交して配置されているものとしたが、厳密に直角に交差していなくてもよく、ある程度の角度で交差するように、または、直角に近い角度で交差するようにして配置されていればよい。すなわち、アンカー部材1は、本発明の趣旨を逸脱しない範囲で適宜変更可能である。【0022】以上のようなアンカー部材1は、鋼矢板等の杭の圧入・引き抜きを行う杭圧入引抜機を用いたり、鋼矢板等の杭の打ち込みを行うバイブロハンマ等の杭打ち機を用いたりして、地盤G中に埋設されている。また、各鋼矢板2,4の打設方向におけるアンカー部材1の上端部は地面から突出しており、例えば、この突出する上端部に対して構造物の基礎を組み付けたり、この突出する上端部自体を構造物の基礎として用いたりすることができる。【0023】また、アンカー部材1を地盤G中に埋設する方法は、まず、異形鋼矢板2を、例えば上述の杭圧入引抜機によって地盤G中に圧入する。続いて、この異形鋼矢板2の両側に、杭圧入引抜機によって、鋼矢板4,4を、該異形鋼矢板2に直交するとともに、該異形鋼矢板2の両側縁部2c,2cの角度に合わせて圧入する。この時、互いの継手3,5同士を係合させながら、鋼矢板4,4を圧入することで、異形鋼矢板2と、この異形鋼矢板2の両側に隣接する鋼矢板4,4とを連結することができる。また、最初に圧入した異形鋼矢板2の上端部が地上に突出した状態である場合には、異形鋼矢板2の両側縁部2c,2cに設けられた継手3,3も露出しているので、これら継手3,3によって、前記異形鋼矢板2の両側に隣接する鋼矢板4,4の圧入場所をガイドすることができる。続いて、前記他の鋼矢板4,4を、それぞれ前記異形鋼矢板2の両側の鋼矢板4,4に隣接させ、互いの継手5,5を介して連結しながら、杭圧入引抜機によって圧入する。以降、異形鋼矢板2を圧入する場合も、鋼矢板4を圧入する場合も、既設の鋼矢板2,4と継手を介して連結しながら圧入する。以上のようにしてアンカー部材1を地盤G中に埋設することができる。【0024】次に、外力に対する本実施の形態のアンカー部材1の作用について説明する。アンカー部材1に対して鉛直荷重が加えられた場合は、まず、異形鋼矢板2の両側に隣接する鋼矢板4,4および矢板群6,6は、互いの間隔がさらに広がる方向に変位しようとする。この時、異形鋼矢板2と、この異形鋼矢板2の両側に隣接する鋼矢板4,4とが、互いの継手3,5を介して連結されているので、前記異形鋼矢板2の両側に隣接する鋼矢板4,4および矢板群6,6の変位を、異形鋼矢板2によって拘束することができる。【0025】続いて、アンカー部材1に対して水平力(図3中の右向きの矢印)が加えられた場合は、まず、異形鋼矢板2の両側に隣接する鋼矢板4,4および矢板群6,6のうち、一方の鋼矢板4および矢板群6は地盤Gから引き抜かれる方向に変位しようとし、他方の鋼矢板4および矢板群6は地盤Gに圧入される方向に変位しようとする。この時、図3に示すように、一方の鋼矢板4および矢板群6には引張力(図中左側の下向きの矢印)が働き、他方の鋼矢板4および矢板群6には圧縮力(図中右側の上向きの矢印)が働くことになり、曲げ抵抗よりも大きいことから強力な水平抵抗を得ることができる。また、これら鋼矢板4,4および矢板群6,6には、地盤Gの摩擦力が作用することになる。したがって、アンカー部材1には、このアンカー部材1に加えられた水平力とは反対の力が働くことになる。【0026】続いて、アンカー部材1に対して引抜力が加えられた場合は、異形鋼矢板2の両側に隣接する鋼矢板4,4および矢板群6,6は、当然、地盤Gから引き抜かれる方向に変位しようとする。この時、図4に示すように、これら異形鋼矢板2の両側に隣接する鋼矢板4,4および矢板群6,6には、地盤Gの摩擦力とともに土重量も作用することになる(図中左側の下向きの矢印)。【0027】本実施の形態によれば、前記異形鋼矢板2の両側に隣接する鋼矢板4,4は、それぞれ、平面視において前記異形鋼矢板2に直交するとともに、該異形鋼矢板2の側縁部2c,2cの角度に合わせて配置されているので、前記異形鋼矢板2の両側に隣接する鋼矢板4,4は、異形鋼矢板2の下端部に向かうにつれて互いの間隔が徐々に広がるように配置されることになる。また、これら異形鋼矢板2の両側に隣接する鋼矢板4,4は、異形鋼矢板2の下端部に向かうにつれて互いの間隔が徐々に広がるように配置された状態で、前記異形鋼矢板2と連結されることになる。したがって、このようなアンカー部材1に鉛直力が作用したとしても、前記異形鋼矢板2によって、両側に隣接して連結された鋼矢板4,4の広がりを拘束することができるので、鉛直力に対する十分な抵抗力を発揮することができる。また、水平力が作用したとしても、前記異形鋼矢板2の両側に隣接する鋼矢板4,4のうち、一方の鋼矢板4には引張、他方の鋼矢板4には圧縮といった軸力が働くことになるので、水平力に対する十分な抵抗力を発揮することができる。また、引抜力が作用したとしても、摩擦力が作用するため十分な抵抗力を発揮することができる。これによって、例えば緩い地盤等でも確実に反力を得ることができるので、地盤Gに打設される異形鋼矢板2や鋼矢板4,4の長さを短くできるようになり、その分、コストの増大や工期の長期化を防ぐことが可能となる。
A
2012140807
2011000135
20110104
null
null
20120726
2012140807
20120726
null
null
5144770
20121130
null
null
null
水洗便器
599154412
松崎 健
null
null
松崎 健
null
8
E03D 11/02 (20060101)
E03D 11/02 Z
1
null
null
null
1
6
2D039
2D039 AA02 ,2D039 AD00 ,2D039 AD04 ,2D039 DB00
【課題】便器の封水面の水位を低下させることが出来る水洗便器を提供する。【解決手段】排泄物を受けるボウル部12と、ボウル部12に連通するとともに、ボウル部12内の溜水Wを下水管16に排出し、下水管16を水封するトラップ部14と、ボウル部12内に水を供給する給水手段とを備え、給水手段によりボウル部12内に溜水Wを所定の水位となるように供給し、ボウル部12内に溜水Wの封水面Pを形成する水洗便器1において、ボウル部12内からトラップ部14を経て下水管16に通じるパイプ部20をさらに設け、パイプ部20のボウル部12内の開口端部202は、封水面Pよりも下部に設けられ、パイプ部12の下水管16内の開口端部204は、ボウル部12内の開口端部202よりも下部に設けた。【選択図】図1
【請求項1】使用者の排泄物を受けるボウル部と、前記ボウル部に連通するとともに、前記ボウル部内の溜水を下水管に排出し、前記下水管を水封するトラップ部と、前記ボウル部内に水を供給する給水手段とを備え、前記給水手段により前記ボウル部内に前記溜水を供給し、前記ボウル部内に前記溜水の封水面を形成する水洗便器において、前記ボウル部内から前記下水管に通じるパイプ部をさらに設け、前記パイプ部の前記ボウル部内の開口端部は、前記封水面よりも下部に設けられ、前記パイプ部の前記下水管内の開口端部は、前記ボウル部内の開口端部よりも下部に設けられていることを特徴とする水洗便器。
【請求項1】使用者の排泄物を受けるボウル部と、前記ボウル部に連通するとともに、前記ボウル部内の溜水を下水管に排出し、前記下水管を水封するトラップ部と、前記ボウル部内に水を供給する給水手段とを備え、前記給水手段により前記ボウル部内に前記溜水を供給し、前記ボウル部内に前記溜水の封水面を形成する水洗便器において、前記ボウル部内から前記下水管に通じるパイプ部をさらに設け、前記パイプ部の前記ボウル部内の開口端部は、前記封水面よりも下部に設けられ、前記パイプ部の前記下水管内の開口端部は、前記ボウル部内の開口端部よりも下部に設けられていることを特徴とする水洗便器。
【技術分野】【0001】本発明は、所望時に封水面水位の低下可能な水洗便器に関する。
【背景技術】【0002】水洗式の大便器は、臭気の発生を抑制し、清潔且つ衛生的であるために、家庭用トイレおよび公共トイレに幅広く普及している。通常の水洗式大便器は配水管の臭気の逆流、使用時の汚物の付着を抑制するために、ボウル部に溜水を形成している。しかし、溜水の封水面の吃水線に沿ってボウル面に水垢などが付着すると、見た目にも不衛生な印象を与える。これを掃除するために、洗剤をかけてブラシなどでこすろうとしても、洗剤が溜水に薄まってしまい、洗浄が容易でない。また、溜水がブラシなどで跳ねて清掃しにくいという問題もある。【0003】これに対して、いわゆる「水道直圧式」の水洗便器において洗浄水を供給するタイミングを制御することにより、封水の水位を通常よりも下げた、いわゆる「お掃除モード」を実行可能とした水洗式大便器が開示されている(特許文献1)。【0004】また、ユーザー間に広く普及している、いわゆる「ロータンク式」のトイレ装置において、メインバルブを介さずに補助水管を設け、この補助水管の途中にバルブを設けて、このバルブを操作することで封水面の高さを調整する水洗式大便器が開示されている(特許文献2)。ところが、特許文献2に開示した機構による場合、補助水管に設けたバルブの開閉タイミングを制御する手段が必要とされる点で、改善の余地があった。そこで、既存の各種のロータンクを用いながら、簡単な機構で、封水の水位を低い状態に維持させる「お掃除モード」の動作も可能としたトイレ装置が開示されている(特許文献3)。すなわち、特許文献3に開示した機構において、「お掃除モード」を開始すると、まず、タンク水供給バルブを閉じる。タンク水供給バルブが手動式開閉式の場合には使用者が手動によりバルブを閉じる。また、伝道開閉式の場合には、制御部から駆動信号を出力することにより、タンク水供給バルブを閉じた状態にする。このうえで、排水弁を開放することによりサイホン現象が起こされ、その結果ボウル部の水位は、下限レベル近くまで低下するが、前述したように、タンク水供給バルブが閉じられた状態にあるため、便器への洗浄水の供給は停止され、水位が低い状態が維持される。しかしながら、特許文献1~3のいずれの技術においても、その機構は溜水のための洗浄水の供給停止または供給量制限であり、したがって、その後に当該供給停止の解除または供給量制限の解除を行う必要がある。解除を手動で行う場合、解除を失念すると、洗浄後の便器の水位は常に低い状態となるばかりか、特許文献2による場合においては、洗浄水がまったく供給されない事態も招きかねない。自動的に解除される場合にはこのような事態は免れるが、機構が複雑になり故障の原因が増えることになる。
【発明が解決しようとする課題】【0006】本発明の目的は、従来よりも簡単な機構により必要に応じ便器の封水面の水位を低下させることが出来る水洗便器を提供することにある。
【課題を解決するための手段】【0007】本発明は:使用者の排泄物を受けるボウル部と、前記ボウル部に連通するとともに、前記ボウル部内の溜水を下水管に排出し、前記下水管を水封するトラップ部と、前記ボウル部内に水を供給する給水手段とを備え、前記給水手段により前記ボウル部内に前記溜水を供給し、前記ボウル部内に前記溜水の封水面を形成する水洗便器において、前記ボウル部内から前記下水管に通じるパイプ部をさらに設け、前記パイプ部の前記ボウル部内の開口端部は、前記封水面よりも下部に設けられ、前記パイプ部の前記下水管内の開口端部は、前記ボウル部内の開口端部よりも下部に設けられていることを特徴とする水洗便器を提供する。
【発明の効果】【0008】本発明によれば、従来よりも簡単な機構により必要に応じ便器の封水面の水位を低下させることが出来る水洗便器が提供される。
【発明を実施するための形態】【0010】実施の形態1以下、本発明を図面を参照しながらさらに説明する。図1は、本発明の水洗便器の一実施形態を説明するための断面図である。図1において、本発明の水洗便器1は、使用者の排泄物を受けるボウル部12と、ボウル部12に連通するとともに、ボウル部12内の溜水を下水管16に排出し、下水管16を水封するトラップ部14と、ボウル部12内に水を供給する、図示しない給水手段とを備える。ボウル部12は、仕切板18により2つに区画され、区画18Aは使用者の排泄物を主に受け入れる空間であり、区画18Bは排泄物をトラップ部14を経て下水管16に排出するための通路を形成している。給水手段は、ボウル部12内に溜水Wを所定の水位Hとなるように供給し、ボウル部12内に溜水Wの封水面Pを形成している。本発明では、ボウル部12内からトラップ部14を経て下水管16に通じるパイプ部20をさらに設けていることを特徴としている。パイプ部20は、ボウル部12内の開口端部202と、下水管16内の開口端部204とを有する。一方の開口端部202は、封水面Pよりも下部に設けられ、他方の開口端部204は、ボウル部12内の開口端部202よりも下部に設けられている。図1に示す形態では、一方の開口端部202が仕切板18の端部に、封水面Pに向かって開口するように設けられているとともに、その上部に突起部181が設けられている。パイプ部20は、開口端部202の付近で屈曲部b1によって屈曲し、区画18Bからトラップ部14に向かって伸びている。さらにパイプ部20は、トラップ部14の最上部でもう1つの屈曲部b2により屈曲し、トラップ部14から下水管16の下方に向かって伸びている。そして下水管16内の他方の開口端部204は、ボウル部12内の開口端部202よりも下部に位置している。【0011】次に動作について説明する。掃除等を目的として水洗便器1の封水面Pの水位を低下させる場合には、使用者はパイプ部20のボウル部12内の開口端部202から水を圧入し、下水管16内の他方の開口端部204から水を排出させる。この作業により、溜水Wはサイホン効果により、図3(c)に示すようにボウル部12内の開口端部202の位置まで水位が低下し、新たな封水面Pを形成する。なお、サイホン効果で流れ切らなかった一部の残留水が屈曲部b1に溜まりパイプ部20の空気の流通を塞ぐため、排水管の臭気の逆流を防ぐ。前記水を圧入する方法にとくに制限はないが、例えば図3(a)に示すように、スポイトSに溜水Wを吸引させ、その吸引した溜水を図3(b)に示すようにボウル部12内の開口端部202から圧入する方法が挙げられる。掃除が終了した後は、図3(d)に示すように、常法により図示しない給水手段から洗浄水をボウル部12内に供給する(いわゆる「流す」作業)。このとき、水位の低下した溜水Wはサイホン効果によりトラップ部14から下水管16に排出されるが、通常、洗浄水はトラップ部14の最上部には到達しないので、トラップ部14の最上部で屈曲部b2を有するパイプ部にサイホン効果は生じず、図3(e)に示すように溜水Wは最初の封水面Pの水位に戻ることになる。なお、洗浄水がトラップ部14の最上部付近に到達する場合、一時的にパイプ部20にサイホン効果が生ずる場合があるが、封水面Pの水位低下により開口端部202より空気が流入することでサイホン効果が消失するため、溜水Wは最初の封水面Pの水位に戻ることになる。開口端部202の上部の突起部181は封水面Pの水位低下時に仕切版18の表面を流れる洗浄水が開口端部202へ流入するのを妨ぎ、空気の流入を促進させるために設置されている。これによりサイホン効果の消失がより確実なものになる。サイホン効果の消失を更に確実にするために、図示していないが、例えばトラップ部14の上部空間をより高くしたうえでパイプ部20をトラップ部14の最上部を経由させる構造とするか、若しくはパイプ部20をトラップ部14の最上部よりも更に高い位置を経由させる構造とすることが考えられる。これによりいわゆる「流す」作業においてトラップ部14の水位が通常より高くなったり(前者の場合)、最上部に到達した場合(後者の場合)においてもサイホン効果は生じない。【0012】実施の形態2図2は、本発明の水洗便器の別の実施形態を説明するための断面図である。図2において、本発明の水洗便器2は、実施の形態1とほぼ同様の構成を有するが、パイプ部20のトラップ部14中の屈曲部b2が、トラップ部14の下方に位置していること;ボウル部12内の開口端部202付近に仕切板18と一体的に形成された溜め部30が形成されていること;開口端部202が栓Qによって閉塞していること;が異なっている。【0013】次に動作について説明する。掃除等を目的として水洗便器2の封水面Pの水位を低下させる場合には、使用者は、まず、図4(a)に示すように栓Qを開口端部202から取り外す。続いて図4(b)に示すように、常法により図示しない給水手段から洗浄水をボウル部12内に供給する(いわゆる「流す」)作業を行なう。洗浄水をボウル部12内に供給すると、溜水Wはサイホン効果により、下水管16に向かって排出されるがこのとき、パイプ部20の屈曲部b2が、トラップ部14の下方に位置しているため、パイプ部20にもサイホン効果が生じる。ところで、溜水Wは、上記「流す」作業により、急激に水位が低下し、一時的に開口端部202よりも水位が低くなり、パイプ部20の開口端部202に空気が流れ込むことになる。これを防止するため、パイプ部20の開口端部202が引き続き水で満たされるように、上記のように溜め部30を設けている。これにより、上記「流す」作業により、急激に水位が低下しても、パイプ部の開口端部202の周囲は溜め部30内の水で満たされているため、空気が流入しない。その後、図4(c)に示すように封水面Pは上昇し、通常の状態に近づくが、パイプ部20のサイホン効果が引き続き有効なため、図4(d)に示すように封水面Pは低下に転ずる。なお、サイホン効果で流れ切らなかった一部の残留水が屈曲部b1に溜まりパイプ部20の空気の流通を塞ぐため、排水管の臭気の逆流を防ぐ。掃除が終了した後は、図4(e)に示すように、栓Qにより再び開口端部202を閉塞し、「流す」作業を行なう。このとき、水位の低下した溜水Wはサイホン効果によりトラップ部14から下水管16に排出されるが、開口端部202は栓Qにより閉塞しているため、パイプ部20にサイホン効果は生じず、図4(f)に示すように溜水Wは最初の封水面Pの水位に戻ることになる。なお、掃除の際は、便器2の適当箇所にフックFを設け、これと栓QとをチェーンCにより連結し、チェーンCの中央部付近に設けられたリングRをフックFに係合すれば、掃除の際に邪魔になることはない(図4(a)-(d)参照)。【0014】実施の形態1及び2の何れの場合においても、誤ってパイプ部20に流入した異物がパイプ内部に留まりパイプ部20を塞ぐことの無いよう、開口端部202から開口端部204にかけて、パイプ径が徐々に大きくなるようにすることにより、誤って流入した異物は内部に留まることなくスムーズに開口端部204へ移動し、下水管16へ流出することになる。さらに、実施の形態1において、パイプ部20の最上部付近、例えば屈曲部b2付近に吸引ポンプ(図示せず)を連結させることにより、スポイトSを用いることなく、パイプ部20にサイホン効果を生じさせることが可能となる。連結された吸引ポンプは、パイプ部20内の溜水Wを前記最上部付近まで吸引し、吸引した溜水Wを開口端部204に向かって排出する機能を有する。この場合、吸引ポンプを、より高い位置に設置することにより衛生的に操作することが可能となる。ここで、前述のようにパイプ部20をトラップ部14の最上部よりも更に高い位置を経由させる構造とした場合、パイプ部20の最上部付近に吸引ポンプを設置すれば、吸引ポンプの位置がさらに高い位置となり、衛生性がさらに高まり、好ましい。
A
2012140808
2011000170
20110104
null
null
20120726
2012140808
20120726
null
null
null
null
null
null
null
作業機械
000005522
日立建機株式会社
100084412
永井 冬紀
薮内 基行,長嶋 祐二,田中 慧
null
8
E02F 9/00 (20060101), E02F 9/12 (20060101)
E02F 9/00 C ,E02F 9/12 Z
5
null
null
null
0
10
2D015
2D015 DA03
【課題】下部走行体から上部旋回体へ電源電力を供給する場合に、センタージョイントが大型化することを防ぐ。【解決手段】油圧ショベルは下部走行体2と、旋回体1と、牽引フック25と、スリップリング212、213とを備える。旋回体1は下部走行体2上に旋回中心Xを軸として旋回可能に搭載され、牽引フック25は下部走行体2に旋回中心Xを軸として旋回可能に取り付けられ、運搬車6を牽引する。そして、運搬車6から給電される電力を牽引フック25を介して旋回体1に設けた集電装置10に供給する。【選択図】図3
【請求項1】下部走行体と、前記下部走行体上に旋回軸を中心として旋回可能に搭載された上部旋回体と、前記旋回軸を中心として前記下部走行体に旋回可能に取り付けられ、運搬車を牽引するための牽引装置と、前記運搬車から給電される電力を前記牽引装置を介して前記下部走行体に設けた電動機または前記上部旋回体に設けた電動機に供給する電力供給装置とを備えることを特徴とする作業機械。【請求項2】請求項1に記載の作業機械において、前記電力供給装置は、前記下部走行体から供給される電力を集電して前記上部旋回体に設置された電動機に供給する集電装置と、前記牽引装置に設けられ、前記運搬車から給電される電力の外部電力ケーブルが接続されるコネクタと、前記牽引装置と前記下部走行体との間に設けられた第1スリップリングと、前記コネクタと前記第1スリップリングとを接続する第1内部電力ケーブルと、前記下部走行体と前記上部旋回体とに間に設けられ、前記第1スリップリングに供給された電力を前記上部旋回体に設けた電動機に供給するための第2スリップリングと、前記第1スリップリングと前記第2スリップリングとを接続する第2内部電力ケーブルとを備えることを特徴とする作業機械。【請求項3】請求項2に記載の作業機械において、前記第1スリップリングに給電された電力を前記下部走行体に設けた電動機に供給する第3内部ケーブルを備えることを特徴とする作業機械。【請求項4】請求項2または3に記載の作業機械において、前記下部走行体は、前記牽引装置が機械的に連結される連結部と、前記牽引装置が電気的に接続される電機接続部とを有し、前記牽引装置は、前記下部走行体の前記連結部に旋回可能に連結するための走行体側連結部を一端に有し、他端に前記運搬車を旋回可能に連結する運搬車側連結部を有する牽引軸を含み、前記コネクタと前記第1スリップリングのブラシは前記牽引軸に設けられ、前記牽引軸に設けた前記ブラシが摺動する電極端子は前記下部走行体の前記電機接続部に設けられることを特徴とする作業機械。【請求項5】請求項1乃至4のいずれか一項に記載の作業機械において、バッテリーまたは、外部電力ケーブルが巻き回されたケーブルドラムを搭載した前記運搬車を備えることを特徴とする作業機械。
【請求項1】下部走行体と、前記下部走行体上に旋回軸を中心として旋回可能に搭載された上部旋回体と、前記旋回軸を中心として前記下部走行体に旋回可能に取り付けられ、運搬車を牽引するための牽引装置と、前記運搬車から給電される電力を前記牽引装置を介して前記下部走行体に設けた電動機または前記上部旋回体に設けた電動機に供給する電力供給装置とを備えることを特徴とする作業機械。
【技術分野】【0001】本発明は、作業機械に関する。
【背景技術】【0002】従来から、集電装置を下部走行体のトラックフレーム内に配設し、上部旋回体の収納スペースを確保している土木建設機械が知られている(たとえば特許文献1)。
【発明が解決しようとする課題】【0004】しかしながら、下部走行体から上部旋回体へ電源電力を伝達する系統がセンタージョイント内に設けられているため、センタージョイントが大型化するという問題がある。
【課題を解決するための手段】【0005】請求項1に記載の作業機械は、下部走行体と、下部走行体上に旋回軸を中心として旋回可能に搭載された上部旋回体と、旋回軸を中心として下部走行体に旋回可能に取り付けられ、運搬車を牽引するための牽引装置と、運搬車から給電される電力を牽引装置を介して下部走行体に設けた電動機または上部旋回体に設けた電動機に供給する電力供給装置とを備えることを特徴とする。
【発明の効果】【0006】本発明によれば、下部走行体に旋回可能に取り付けられた牽引装置と下部走行体とを電気的に接続して、外部からの電力を牽引装置を介して入力できる。
【発明を実施するための形態】【0008】-第1の実施の形態-以下、図1~図3を参照して、本発明による油圧ショベルの第1の実施の形態について説明する。図1は、本発明が適用される油圧ショベルの外観図である。油圧ショベルは、下部走行体2と、下部走行体2上に、旋回輪3を介して旋回中心Xを軸として旋回可能に搭載された旋回体1とを有する。旋回輪3はアウターレース31(図3)とインナーレース32(図3)とを有する。旋回体1にはキャブ4およびフロント装置5が設けられる。なお、図1においては旋回体1の後端部を符号Pで示す。【0009】下部走行体2は、トラックフレーム21(図2)と、遊動輪22と、走行装置23と、履帯24とを備え、トラックフレーム21の一部を形成する丸胴21a(図2、図3)には、牽引フック25が設けられている。遊動輪22と走行装置23とは、トラックフレーム21の前後端側にそれぞれ設けられている。履帯24は遊動輪22と走行装置23との間に捲き回されて設けられている。牽引フック25は、後述するように丸胴21aを介して旋回体1の旋回中心Xを軸として旋回可能に設けられ、たとえば非自走式の運搬車6を牽引する際に運搬車6の端部と接続される。なお、下部走行体2の構造については、後に詳説する。【0010】フロント装置5は、旋回体1の前部に連結ピン(不図示)により回動可能に軸支されたブーム51と、ブーム51の先端部に連結ピン(不図示)により回動可能に軸支されたアーム53と、アーム53の先端部に連結ピン(不図示)により回動可能に軸支されたバケット54と、ブーム51,アーム53,バケット54をそれぞれ回動駆動させるブームシリンダ56,アームシリンダ57,バケットシリンダ58とを有する。【0011】油圧シリンダ56,57,58はそれぞれ、キャブ4内に設けられた図示しない操作レバーの操作により伸縮する。ブームシリンダ56を伸縮操作するとブーム51が起伏し、アームシリンダ57を伸縮操作するとアーム53が起伏し、バケットシリンダ58を伸縮操作するとバケット54がクラウドまたはダンプする。これにより掘削作業を行う。【0012】油圧ショベルにより牽引される運搬車6はフレーム61を備え、フレーム61の下面には4つの車輪62が設けられている。フレーム61の前端部には連結用フック63が取り付けられている。連結用フック63の先端には、リング形状の連結部63aが形成されている。このリング形状の連結部63aに後述する牽引フック25の連結部25a(図2)が嵌挿されることにより、運搬車6は連結部63aを旋回中心Yとして左右方向に回動可能に連結される。なお、図1においては運搬車6の前端部を符号Qで示す。【0013】フレーム61の上面には、ケーブルドラム64と、ケーブル65,66とが設けられる。ケーブルドラム64は、図示しないブラケット等により回転可能に支持されている。ケーブル65はケーブルドラム64に巻き取り、繰り出し可能に巻き回されている。ケーブル65の一方の端部には図示しないコネクタが設けられ、図示しない外部電源と接続可能に構成されている。ケーブル66は、外部電源からケーブル65を介して供給される電力を油圧ショベルに供給するための電源ケーブルである。ケーブル66の一方の端部にはコネクタ67が設けられ、後述する牽引フック25に設けられたレセプタクル253(図2)と接続可能に構成されている。【0014】図2を参照して、下部走行体2について説明する。図2(a)はトラックフレーム21の外観斜視図であり、図2(b)は下部走行体2と運搬車6とを模式的に示す上面図である。トラックフレーム21にはストッパ211と、スリップリング212とが設けられている。ストッパ211は、牽引フック25が丸胴21aを介して旋回する場合に、牽引フック25による旋回を所定の旋回範囲内に規制するための部材である。すなわち、牽引フック25がストッパ211に当接することにより牽引フック25の旋回が規制されて、牽引フック25および牽引フック25に連結された運搬車6が履帯24と干渉することが防止される。図2(b)に示す場合では、牽引フック25は範囲A内で旋回可能となる。スリップリング212は、上記の範囲A、すなわち牽引フック25の旋回範囲内に丸胴21aの外周に沿って円弧状に設けられている。【0015】なお、地上から牽引フック25の旋回面までの高さが、履帯24までの高さよりも高い場合には、ストッパ211が設けられていなくてもよい。この場合は、牽引フック25が旋回したとしても履帯24と干渉しないためである。ストッパ211が設けられていない場合には、スリップリング212は丸胴21aの外周に沿って全周囲に設けられる。【0016】牽引フック25は、連結部25aと、エクステンション部25bと、接続部25cとによって構成される。エクステンション部25bはたとえば角柱状に形成され、牽引フック25に運搬車6が連結された場合であっても運搬車6が下部走行体21の左右の履帯22と干渉しない長さを有している。連結部25aは、エクステンション部25bの一方の端部に設けられ、運搬車6の連結用フック63に嵌挿されるピン形状を有している。本実施の形態の連結部25aは、旋回中心Xから運搬車6の先端部Qまでの距離と、旋回中心Xから旋回体1の後端部Pまでの距離とがほぼ同じ距離となる位置に設けられる。【0017】接続部25cは、エクステンション部25bの他方の端部に設けられ、丸胴21aの外周に沿ったリング形状となるように形成されている。接続部25cと丸胴21aとの間には複数のボール25dが設けられている。このため、牽引フック25は丸胴21aに沿って旋回体1の旋回中心Xを軸として旋回可能となる。なお、接続部25cは、第1接続部25c1と第2接続部25c2とに分割可能に構成される。このため、油圧ショベルの製造時には、丸胴21aを第1接続部25c1と第2接続部25c2とで挟み込んだ後、図示しないボルト等により第1接続部25c1と第2接続部25c2とを組み合わせることができる。また、エクステンション部25bには、後述するようにスリップリング212のブラシ212b(図3)が取り付けられ、かつレセプタクル253が設けられている。【0018】図3は、本実施の形態の油圧ショベルの丸胴21a近傍の断面図である。旋回モータ7は旋回体1に設けられ、図示しない油圧ポンプから供給される圧油により駆動される。旋回輪3の中心軸上には、センタージョイント8が設けられている。センタージョイント8は、丸胴21aの中央部に固定支持され、油圧ポンプからの圧油を走行装置23へ供給するための油圧配管が接続されている。この油圧ポンプは、集電装置10から電力の供給を受けたポンプ用モータ11により駆動される。【0019】旋回輪3のアウターレース31は旋回体1にボルト311によって固定されている。インナーレース32は丸胴21aの上面に設けられたフランジ部21bにボルト321により固定されている。アウターレース31とインナーレース32との間には複数のボール33が設けられている。インナーレース32の内周面に設けたインターナルギア(不図示)は、旋回体1上に設けられた旋回モータ7の出力軸のピ二オンギアと係合しているので、旋回モータ7の駆動力により、アウターレース31がインナーレース32に対して相対的に旋回する。すなわち、旋回体1が旋回輪3の中心軸を旋回中心Xとして、下部走行体2に対して旋回する。【0020】次に、図3を用いて、運搬車6から旋回体1への給電系統について説明する。以下の説明においては、(1)運搬車6から下部走行体2へ供給する系統と、(2)運搬車6から下部走行体2へ供給された電力を旋回体1へ供給する系統と、に分けて説明する。(1)運搬車6から下部走行体2へ供給する系統運搬車6から電力の供給を受けるために、牽引フック25は、レセプタクル253と、スリップリング212のブラシ212bとを備える。レセプタクル253は、運搬車6からのケーブル66を接続するための接続端子であり、牽引フック25のエクステンション部25bの上面に設けられている。スリップリング212のブラシ212bは、エクステンション部25bの下面、すなわちトラックフレーム21と接する面に取り付けられている。レセプタクル253とスリップリング212のブラシ212bとは、内部電力ケーブルである配線(電力ケーブル)254により接続されている。【0021】スリップリング212のブラシ212bは、トラックフレーム21の表面に取り付けられたスリップリング212のリング状の電極端子212aの上面と摺動するように設けられている。上述したように、スリップリング212の電極端子212aは、牽引フック25が旋回可能な範囲Aに沿って円弧状に設けられている。このため、牽引フック25が丸胴21aの外周に沿って旋回した場合であっても、ブラシ212bとリング状の電極端子212aとが接触した状態、すなわちブラシ212bと電極端子212aとが電気的に接続された状態が維持される。【0022】(2)運搬車6から下部走行体2へ供給された電力を旋回体1へ供給する系統上述したように、運搬車6および牽引フック25を介して供給された電力を旋回体1へ供給するために、スリップリング213を構成するリング状の電極端子213aが丸胴21aの上面に設けられている。なお、上述したスリップリング212のリング状の電極端子212aは、内部電力ケーブルである配線(電力ケーブル)214によって、スリップリング213のリング状の電極端子213aと接続されている。【0023】丸胴21aの上面のフランジ部21bに設けられたリング状の電極端子213aは、フランジ部21bの表面のうちアウターレース31と対向する位置に取り付けられている。すなわち、リング状の電極端子213aは、リング形状のフランジ部21bの外周側に全周にわたって設けられている。【0024】アウターレース31の下面、すなわちフランジ部21bと対向する表面には、フランジ部21bの表面に取り付けられたリング状の電極端子213aの上面を摺動するようにブラシ213bが取り付けられている。リング状の電極端子213aとブラシ213bとで構成されたスリップリング213は、フランジ部21bの全周にわたって設けられている。このため、旋回体1が旋回中心Xを軸として旋回した場合であっても、ブラシ213bとリング状の電極端子213aとが接触した状態、電気的に接続された状態が維持される。【0025】さらに、ブラシ213bは、配線(電力ケーブル)215によって、旋回体1内の集電装置10と接続されている。上述したように、スリップリング213のリング状の電極端子213aは、配線214によって、スリップリング212のリング状の電極端子212aと接続されている。この結果、上述した給電系統によって、図示しない外部電源と旋回体1内の集電装置10とが電気的に接続されるので、外部電源からの電力は、運搬車6を介して集電装置10に給電される。【0026】以上で説明した第1の実施の形態によれば、以下の作用効果が得られる。油圧ショベルは下部走行体2と、旋回体1と、牽引フック25と、スリップリング212、213とを備える。旋回体1は下部走行体2上に旋回中心Xを軸として旋回可能に搭載され、牽引フック25は下部走行体2に旋回中心Xを軸として旋回可能に取り付けられ、運搬車6を牽引する。そして、運搬車6から給電される電力を牽引フック25を介して旋回体1に設けた集電装置10に供給するようにした。【0027】具体的には、集電装置10は、下部走行体2から供給される電力を集電して上部旋回体に設置されたポンプ用モータ11に供給する。コネクタは、牽引フック25に設けられ、運搬車6からの電力を給電するためのケーブル66が接続される。スリップリング212は、牽引フック25と下部走行体2との間に設けられ、内部電力ケーブルである配線(電力ケーブル)254によりレセプタクル253とスリップリング212とが接続される。スリップリング213は、下部走行体2と旋回体1とに間に設けられ、スリップリング212に供給された電力を旋回体1に設けた集電装置10に供給する。スリップリング212とスリップリング213とは内部電力ケーブルである配線(電力ケーブル)214により接続される。したがって、外部装置からの電源電力を、センタージョイント8内に電源ケーブルを敷設することなく旋回体1に設けられた集電装置10に供給できるので、センタージョイント8を小型化できる。【0028】さらに加えて、牽引フック25が旋回中心Xを中心として旋回するとともに、運搬車6の旋回中心Yとなる連結部25aを、旋回中心Xから旋回体1の後端部Pとほぼ同じ距離に設けた。このため、図4の運搬車6の旋回運動の軌跡を示す図において太線で示すように、旋回体1の後端部Pと運搬車6の先端部Qとがほぼ同一の軌跡を描いて旋回することができる。これに対して、従来の技術の牽引フックでは、旋回体1の後端よりもはるかに後方に運搬車6の旋回中心Zが設けられ、運搬車6の先端部Rは、図4において破線で示す軌跡を描いて旋回する。したがって、本実施の形態の牽引フック25によれば、図4に示すように運搬車6の先端部Qが旋回体1の後端部Pとほぼ同じ距離を保てるので、運搬車6までの距離が短くなり運搬車6の取り回しが良くなる。【0029】以上で説明した第1の実施の形態を次のように変形できる。(1)スリップリング213をアウターレース31に設けるものに代えて、インナーレース32に設けるようにしてもよい。この場合、スリップリング213のブラシ213bは、インナーレース32の上部表面、すなわち旋回体1と接触する面に設けられる。スリップリング213のリング状の電極端子213aは、旋回体1の下部表面、すなわちインナーレース32の接触する面に、ブラシ213bと接触する位置に取り付けられる。換言すると、リング状の電極端子213aは、旋回体1の下部表面に旋回中心Xを中心として全周にわたって取り付けられる。【0030】(2)下部走行体2に走行電動モータを設け、運搬車6からの電力を旋回体1の集電装置10と下部走行体2の走行電動モータとに供給してもよい。この場合、配線214とは別に、スリップリング212と走行電動モータとをつなぐ配線を設ければよい。【0031】(3)下部走行体2に走行電動モータを設け、運搬車6からの電力を旋回体1の集電装置10へ供給するものに代えて、走行電動モータに供給してもよい。この場合、配線214を走行電動モータに接続すればよい。【0032】-第2の実施の形態-図5を参照して、本発明による油圧ショベルの第2の実施の形態を説明する。以下の説明では、第1の実施の形態と同じ構成要素には同じ符号を付して相違点を主に説明する。特に説明しない点については、第1の実施の形態と同じである。本実施の形態では、下部走行体に電動の走行モータを備える点、および運搬車の連結用フックの形状が、第1の実施の形態と異なる。【0033】図5(a)は、第2の実施の形態の油圧ショベルの丸胴21a近傍の断面図であり、図5(b)は図5(a)のB-B’断面図である。下部走行体2には、走行電動モータ9が設けられている。走行電動モータ9は、後述するように運搬車6を介して供給された電力によって駆動して、走行装置23へ駆動力を供給する。したがって、第2の実施の形態の油圧ショベルにおいては、旋回体1の図示しない油圧ポンプから供給される圧油は、走行装置23の駆動には用いられず、図示しないブレーキ装置等の駆動に用いられる。【0034】運搬車6の連結用フック63は、連結部63aが設けられた位置から、油圧ショベルの旋回体1の旋回中心方向へ所定の長さを有するエクステンション部63bを有する。運搬車6が、牽引フック25の連結部25aを旋回中心Yとして左右方向に回動する場合、エクステンション部63bの下面と、牽引フック25のエクステンション部25bの上面とは互いに摺動する。【0035】次に、第2の実施の形態における運搬車6から下部走行体2への給電系統について説明する。以下の説明においては、(1)運搬車6から牽引フック25へ供給する系統と、(2)運搬車6から牽引フック25へ供給された電力を下部走行体2へ供給する系統と、に分けて説明する。(1)運搬車6から牽引フック25へ供給する系統運搬車6から牽引フック25へ電力を供給するために、連結用フック63のエクステンション部63bは、レセプタクル631と、スリップリング632とを備える。レセプタクル631は、ケーブル66を接続するための接続端子であり、連結用フック63のエクステンション部63bの上面に設けられている。スリップリング632のブラシ632bはエクステンション部63bの下面、すなわち牽引フック25のエクステンション部25bと接する面に取り付けられている。このレセプタクル631とスリップリング632のブラシ632bとは、配線(電力ケーブル)634により接続されている。【0036】牽引フック25のエクステンション部25bの上面には、スリップリング632の円弧状の電極端子632aが取り付けられている。この電極端子632aは連結用フック63に取り付けられたブラシ632bと接触する位置に取り付けられている。図5(b)に示すように、牽引フック25の連結部25aを旋回中心Yとして運搬車6が回動する場合に、ブラシ632bが描く円弧状の軌跡に沿って円弧状の電極端子632aが取り付けられている。このため、運搬車6が牽引フック25の連結部25a旋回中心Yとして回動した場合であっても、ブラシ632bと円弧状の電極端子632aとが接触した状態、すなわち電気的に接続された状態が維持される。この結果、図示しない外部電源からの電力が、連結用フック63を介して牽引フック25へ供給される。【0037】(2)運搬車6から牽引フック25へ供給された電力を下部走行体2へ供給する系統上述したように牽引フック25に供給された電力を下部走行体2へ供給するために、牽引フック25にステップリング212が設けられている。このスリップリング212については第1の実施の形態と同様である。なお、スリップリング632の円弧状の電極端子632aは、配線254によって、スリップリング212のブラシ212bと接続されている。さらに、トラックフレーム21に取り付けられたリング状の電極端子212aは、配線216により走行電動モータ9に接続されている。この結果、上述した給電系統によって、図示しない外部電源と下部走行体2に設けられた走行電動モータ9とが電気的に接続されるので、外部電源からの電力は、運搬車6の連結用フック63および牽引フック25を介して走行電動モータ9に給電される。【0038】以上で説明した第2の実施の形態の油圧ショベルによれば、次の作用効果が得られる。下部走行体2から旋回体1に電力を供給する必要がなく、センタージョイント8内に電源ケーブルを設けずに済むので、センタージョイント8を小型にすることができる。さらに、運搬車6が牽引フック25の連結部25a旋回中心Yとして左右方向に回動した場合に、ケーブル66と運搬車6との相対的な位置関係が固定された状態となる。したがって、運搬車6が旋回運動した場合であってもケーブル66がよじれたりすることを防ぐことができる。【0039】なお、上述した第2の実施の形態による油圧ショベルにおいては、運搬車6からの電力を下部走行体2に設けられた走行電動モータ9に供給したが、第1の実施の形態と同様に、旋回体1に設けられた集電装置10に運搬車6からの電力を供給してもよい。すなわち、丸胴21aとアウターレース31とにスリップリング213を設け、スリップリング212とスリップリング213とを配線214により接続すればよい。【0040】第1および第2の実施の形態による運搬車6には、外部の電源からの電力を供給するケーブル65が巻き付けられたケーブルドラム64を搭載したが、バッテリーを搭載してもよい。この場合、バッテリーからの電力がケーブル66を介して油圧ショベルに供給される。【0041】なお、油圧ショベルに限らず電動式ショベル、クレーン等の全旋回式の作業機械に本発明を適用できる。【0042】また、本発明の特徴を損なわない限り、本発明は上記実施の形態に限定されるものではなく、本発明の技術的思想の範囲内で考えられるその他の形態についても、本発明の範囲内に含まれる。説明に用いた実施の形態および変形例は、それぞれを適宜組合わせて構成しても構わない。
A
2012140809
2011000171
20110104
null
null
20120726
2012140809
20120726
null
null
null
null
null
null
null
油圧ショベル
000005522
日立建機株式会社
100084412
永井 冬紀
大森 孝行
null
8
E02F 3/36 (20060101)
E02F 3/36 D
2
null
null
null
0
6
2D012
2D012 EB00
【課題】油圧ショベルを輸送車両に搭載して輸送する際の、輸送ドライバーの作業負荷を低減する。【解決手段】油圧ショベルは、走行体24と、走行体24の上部に旋回可能に設けられた旋回体1と、旋回体1に設けられた多関節構造の作業腕であるブーム3およびアーム6と、ブーム3およびアーム6の先端部にリンク10,11,12により回動可能に設けられたバケット8と、リンク10,11,12を駆動することによりバケット8をアーム6の先端に対して回動操作する油圧シリンダ9とを有する。そして、油圧ショベルが輸送姿勢をとったときに輸送体の床面と対向するバケットリンク12の外周面に衝撃吸収部材21が設けられる。【選択図】図1
【請求項1】走行体と、前記走行体の上部に旋回可能に設けられた旋回体と、前記旋回体に設けられた多関節構造の作業腕と、前記作業腕の先端部に作業具連結用リンクにより回動可能に設けられた作業具と、前記作業具連結用リンクを駆動することにより、前記作業具を前記作業腕の先端に対して回動操作する作業具駆動用油圧シリンダとを有する油圧ショベルにおいて、前記油圧ショベルが輸送姿勢をとったときに輸送体の床面と対向する作業具連結用リンクの外周面に衝撃吸収部材を設けたことを特徴とする油圧ショベル。【請求項2】請求項1に記載の油圧ショベルにおいて、前記作業具連結用リンクは、前記油圧シリンダと連結される端部に二股状連結部を備え、前記衝撃吸収部材は、前記油圧ショベルの輸送時に前記輸送体の床面と対向する二股状連結部の周面にそれぞれに着脱自在に設けられていることを特徴とする油圧ショベル。
【請求項1】走行体と、前記走行体の上部に旋回可能に設けられた旋回体と、前記旋回体に設けられた多関節構造の作業腕と、前記作業腕の先端部に作業具連結用リンクにより回動可能に設けられた作業具と、前記作業具連結用リンクを駆動することにより、前記作業具を前記作業腕の先端に対して回動操作する作業具駆動用油圧シリンダとを有する油圧ショベルにおいて、前記油圧ショベルが輸送姿勢をとったときに輸送体の床面と対向する作業具連結用リンクの外周面に衝撃吸収部材を設けたことを特徴とする油圧ショベル。
【技術分野】【0001】本発明は、油圧ショベルに関する。
【背景技術】【0002】従来から、輸送姿勢時ではアームを含めたフロントの高さを法規制限内に納める油圧ショベルが知られている(たとえば特許文献1)。
【発明が解決しようとする課題】【0004】しかしながら、油圧ショベルを輸送車両に搭載して輸送する際に、バケットリンク部に枕木等を設置する必要があり、輸送ドライバーの作業負荷となっているという問題がある。
【課題を解決するための手段】【0005】請求項1に記載の発明によると、走行体と、走行体の上部に旋回可能に設けられた旋回体と、旋回体に設けられた多関節構造の作業腕と、作業腕の先端部に作業具連結用リンクにより回動可能に設けられた作業具と、作業具連結用リンクを駆動することにより、作業具を作業腕の先端に対して回動操作する作業具駆動用油圧シリンダとを有する油圧ショベルにおいて、油圧ショベルが輸送姿勢をとったときに輸送体の床面と対向する作業具連結用リンクの外周面に衝撃吸収部材を設けたことを特徴とする。
【発明の効果】【0006】本発明によれば、油圧ショベルが輸送姿勢をとったときに輸送体の床面と対向する作業具連結用リンクの外周面に衝撃吸収部材を備えるので、衝撃吸収能力を向上させることができる。
【発明を実施するための形態】【0008】以下、図1~図4を参照して、本発明による油圧ショベルのバケットリンクの実施の形態について説明する。図1は、本発明が適用される油圧ショベルの外観図である。油圧ショベルは、走行体24と、走行体24上に旋回可能に搭載された旋回体1とを有する。旋回体1にはキャブ(操作室)2およびフロント装置15が設けられる。なお、図1は、油圧ショベルが輸送姿勢をとった場合を示す。【0009】フロント装置15は、旋回体1の前部に連結ピン(不図示)により回動可能に軸支されたブーム3と、ブーム3の先端部に連結ピン(不図示)で連結されたアーム6と、アーム6の先端部に作業具連結用のリンク10,11,12を介して回動可能に軸支されたバケット8と、ブーム3,アーム6,バケット8をそれぞれ回動駆動させるブームシリンダ4,5,アームシリンダ7,バケットシリンダ9とを有する。このように、旋回体1には多関節構造の作業腕が設けられている。なお、アーム6の先端部には、バケット8に代えて、種々の作業具が装着される。【0010】油圧シリンダ4,5,7,9はそれぞれ図示しない操作レバーの操作により伸縮する。ブームシリンダ4および5を伸縮操作するとブーム3が起伏し、アームシリンダ7を伸縮操作するとアーム6が起伏し、バケットシリンダ9を伸縮操作するとバケット8がクラウドまたはダンプする。これにより掘削作業を行う。換言すると、油圧シリンダ9は、リンク10,11,12を駆動することにより、バケット8を回動操作する。なお、掘削時の回動動作をクラウドと呼び、排土時の回動動作をダンプと呼ぶ。【0011】図2、図3を用いて、バケット8の取付構造について説明する。図2は、図1に示す油圧ショベルのバケット8の取付構造を示す要部拡大図であり、図3は図2のd-d矢視図である。バケット8の背面には、所定間隙をあけて互いに対向する一対のブラケット8aが設けられている。一対のブラケット8aの間には、アーム6の先端と、バケットリンク12の一端がそれぞれ挿入されている。ブラケット8aには2つのピン孔が設けられ、一方のピン孔はアーム6先端のピン孔にピン100で連結されている。ブラケット8aの他方のピン孔にはバケットリンク12の一端12Aがピンにより連結されている。バケットリンク12の他端には二股に分岐した連結部12Bが設けられている。図3に示されるように、二股状連結部12Bの間にはバケットシリンダ9のピストンロッド先端の連結部9aが挿入されている。また、二股状連結部12Bの両外側面には、一端がアーム6の先端部にピンで連結されている一対の板状リンク10,11の他端が、ピストンロッド先端の連結部9aとともにピン13により連結されている。このような構造により、バケット8はバケットシリンダ9によりアーム6に対して回動する。【0012】バケットリンク12の二股状連結部12Bの外周面の適所に、パッド20a,20b(総称する場合は符号20を付与する)がネジにより着脱可能に設けられている。すなわち、油圧ショベルが輸送姿勢をとったときに輸送体(たとえば、トラックの荷台や牽引式トレーラの荷台)の床面と対向する二股状連結部12Bの外周面にパッド20が設けられている。【0013】図4を参照してパッド20について詳細に説明する。図4(a)はパッド20の平面図、図4(b)は図4(a)のパッド20のA-A断面図である。パッド20は、衝撃吸収部材21とベース部材22とを有する。衝撃吸収部材21は、たとえば硬度95程度のゴムによって構成され、たとえば約17mmの厚さと、約50mmの幅を有する。なお、衝撃吸収部材21を強化プラスチックや樹脂等によって構成してもよい。ベース部材22は、たとえば鋼板等の金属板であり、たとえば約6mmの厚さを有する。衝撃吸収部材21は、たとえば加硫接着によってベース部材22に面接着されている。衝撃吸収部材21およびベース部材22には複数の取付孔23が設けられている。図4には、一例として4個の取付孔23が設けられている場合を示す。この取付孔23を介して、パッド20が連結部12Bに着脱可能にネジ止めされる。なお、図2に示すように連結部12Bは湾曲した形状にて形成されているので、パッド20の底面、すなわちベース部材22の底面(連結部12Bとの取り付け面)は、連結部12Bの形状に沿うように湾曲形状に形成されている。【0014】以上で説明した油圧ショベルは、次のようにして輸送体により輸送される。作業者は油圧ショベルを自走させて輸送体の荷台に搭載させる。そして、油圧ショベルが荷台に搭載されると、作業者は操作レバーを操作して油圧シリンダ4,5,7,9を伸縮させて、バケットリンク12に取り付けられた衝撃吸収部材21が輸送体の荷台の床面に当接するようにブーム3とアーム6との姿勢を制御する。【0015】以上で説明した実施の形態によれば、以下の作用効果が得られる。(1)油圧ショベルは、走行体24と、走行体24の上部に旋回可能に設けられた旋回体1と、旋回体1に設けられた多関節構造の作業腕であるブーム3およびアーム6と、ブーム3およびアーム6の先端部にリンク10,11,12により回動可能に設けられたバケット8と、リンク10,11,12を駆動することによりバケット8をアーム6の先端に対して回動操作する油圧シリンダ9とを有する。そして、油圧ショベルが輸送姿勢をとったときに輸送体の床面と対向するバケットリンク12の外周面に衝撃吸収部材21を取り付けるようにした。したがって、油圧ショベルを輸送体に搭載する際に、バケットリンク12に枕木等を設置する必要がなくなるので、作業員の作業負荷を低減できる。さらに、バケットリンク12の下部に枕木等を設置せずに済むので、油圧ショベルの輸送時の高さを低くすることができる。【0016】(2)バケットリンク12は、油圧シリンダ9と連結される端部に二股状連結部12Bを備え、衝撃吸収部材21は、油圧ショベルの輸送時に輸送体の床面と対向する二股状連結部12Bの周面にそれぞれに着脱自在に設けられるようにした。したがって、輸送時にバケットリンク12が接地する位置に衝撃吸収部材21が取り付けられるので、輸送時における衝撃の吸収能力を高めることができる。【0017】(3)衝撃吸収部材21は、二股状連結部12Bにネジで固着されるようにした。したがって、使用により衝撃吸収部材21の衝撃を吸収する特性が劣化した場合であっても容易に取り換え可能となるので、衝撃防止性能を維持できる。【0018】(4)油圧ショベルを輸送する方法は、輸送体の荷台などの輸送体上に油圧ショベルを自走して搭載する工程と、輸送体上に搭載された油圧ショベルのバケットリンク12の衝撃吸収部材21が輸送体の床面に当接するようにブーム3とアーム6の姿勢を制御する工程とを有するようにした。したがって、油圧ショベルを輸送体に搭載する際に、バケットリンク12に枕木等を設置する必要がなくなるので、作業員の作業負荷を低減できる。【0019】以上で説明した実施の形態による油圧ショベルを、次のように変形できる。パッド20がベース部材22を備えるものに代えて、衝撃吸収部材21をバケットリンク12の二股状連結部12Bに直接取り付けるようにしてもよい。この場合、衝撃吸収部材21を二股状連結部12Bに加硫接着によって取り付ければよい。【0020】また、本発明の特徴を損なわない限り、本発明は上記実施の形態に限定されるものではなく、本発明の技術的思想の範囲内で考えられるその他の形態についても、本発明の範囲内に含まれる。説明に用いた実施の形態および変形例は、それぞれを適宜組合わせて構成しても構わない。
A
2012140810
2011000237
20110104
null
null
20120726
2012140810
20120726
null
null
5592280
20140808
null
null
null
冷却壁
000206211
大成建設株式会社
100064414
磯野 道造
梅田 和彦
null
8
E04B 1/76 (20060101)
E04B 1/74 T
2
null
null
null
0
8
2E001
2E001 DD04 ,2E001 FA04 ,2E001 GA08 ,2E001 GA12 ,2E001 GA14 ,2E001 HB01 ,2E001 HD11 ,2E001 HE10
【課題】屋外の環境に与える影響を低減しつつ、壁体の温度を低下させることができる冷却壁を提供することを課題とする。【解決手段】壁体3aの屋外側に配置される冷却壁10であって、上下方向に間隔を空けて並設された複数の板材20によって構成され、上下の板材20,20の上面22は互い違いに傾斜しており、上側の板材20の下端縁の直下に、下側の板材20の上面22が配置されている。また、冷却壁を上下方向に間隔を空けて並設された複数の樋部材によって構成し、上側の樋部材の直下に、下側の樋部材の溝部を配置してもよい。【選択図】図1
【請求項1】壁体の屋外側に配置される冷却壁であって、上下方向に間隔を空けて並設された複数の板材によって構成され、上下の前記板材の上面は互い違いに傾斜しており、上側の前記板材の下端縁の直下に、下側の前記板材の上面が配置されていることを特徴とする冷却壁。【請求項2】壁体の屋外側に配置される冷却壁であって、上下方向に間隔を空けて並設された複数の樋部材によって構成され、上側の前記樋部材の直下に、下側の前記樋部材の溝部が配置されていることを特徴とする冷却壁。
【請求項1】壁体の屋外側に配置される冷却壁であって、上下方向に間隔を空けて並設された複数の板材によって構成され、上下の前記板材の上面は互い違いに傾斜しており、上側の前記板材の下端縁の直下に、下側の前記板材の上面が配置されていることを特徴とする冷却壁。【請求項2】壁体の屋外側に配置される冷却壁であって、上下方向に間隔を空けて並設された複数の樋部材によって構成され、上側の前記樋部材の直下に、下側の前記樋部材の溝部が配置されていることを特徴とする冷却壁。
【技術分野】【0001】本発明は、建物の壁体の屋外側に配置される冷却壁に関する。
【背景技術】【0002】近年、都市部の気温が周辺部に比較して高くなる所謂「ヒートアイランド現象」が問題になっている。その原因の一つとして、建物の蓄熱作用が挙げられている。そこで、屋外側の外壁面に反射材料を塗布し、外壁面の反射率を高めることで、壁体の蓄熱(温度上昇)を抑制する外壁構造がある。また、親水性を有するシートを外壁面の屋外側に取り付け、このシートを湿潤にして、水分蒸発に伴う気化熱によって、壁体の温度を低下させる外壁構造がある(例えば、特許文献1参照)。
【発明が解決しようとする課題】【0004】前記した従来の外壁構造のように、壁面の反射率を高める構成では、他の建物や地面などに向けて反射される光量が多くなるという問題がある。また、外壁面の屋外側に取り付けたシートを湿潤させる構成では、シートの耐久性が低いという問題がある。【0005】本発明は、前記した問題を解決し、屋外の環境に与える影響を低減しつつ、壁体の温度を低下させることができる冷却壁を提供することを課題とする。
【課題を解決するための手段】【0006】前記課題を解決するため、本発明は、壁体の屋外側に配置される冷却壁であって、上下方向に間隔を空けて並設された複数の板材によって構成され、上下の前記板材の上面は互い違いに傾斜しており、上側の前記板材の下端縁の直下に、下側の前記板材の上面が配置されていることを特徴としている。【0007】この構成では、上側の板材の上面に供給された水は、板材の上面を壁体の一方側から他方側に向けて流れ、壁体の他方側において下側の板材の上面に流下する。さらに、その水は下側の板材の上面を他方側から一方側に向けて流れる。このように、上下の板材の屋内側と屋外側の間をジグザグ状に水が流れるため、水から板材への熱伝導によって、板材を効果的に冷却することができる。また、板材の上面を流れる水の蒸発に伴う気化熱によって、冷却壁の屋内側および屋外側の周辺の空気を冷却することができる。さらに、上下の板材の間に空気が流通することで、板材の上面を流れる水の蒸発が促進されるため、冷却壁周辺の冷却効果を高めることができる。そして、壁体の屋外側に配置された冷却壁およびその周辺の空気が冷却されることによって、壁体の温度を低下させることができる。【0008】また、板材を用いることで冷却壁の耐久性を高めることができる。さらに、壁体の屋外側に配置する構成であるため、既存建物に対して簡単に施工することができる。【0009】なお、上下の板材の横方向および上下方向の位置関係を調整し、その間隔を小さくすることで、上下の板材の間から周辺に水が飛散するのを防ぐことができる。【0010】本発明の他の構成としては、壁体の屋外側に配置される冷却壁であって、上下方向に間隔を空けて並設された複数の樋部材によって構成され、上側の前記樋部材の直下に、下側の前記樋部材の溝部が配置されていることを特徴としている。【0011】この構成では、上側の樋部材の溝部内に溜まった水が、溝部の開口縁部から流出し、樋部材の外面を下方に向けて流れた後に、下側の樋部材の溝部内に流下する。このように、樋部材に溜まった水が屋内側および屋外側に流れるため、水から樋部材への熱伝導によって、樋部材を効果的に冷却することができる。また、樋部材の外面を流れる水の蒸発に伴う気化熱によって、冷却壁の屋内側および屋外側の周辺の空気を冷却することができる。さらに、上下の樋部材の間に空気が流通することで、樋部材の外面を流れる水の蒸発が促進されるため、冷却壁周辺の冷却効果を高めることができる。そして、壁体の屋外側に配置された冷却壁およびその周辺の空気が冷却されることによって、壁体の温度を低下させることができる。【0012】また、樋部材を用いることで冷却壁の耐久性を高めることができる。さらに、壁体の屋外側に配置する構成であるため、既存建物に対して簡単に施工することができる。【0013】なお、上下の樋部材の位置関係を調整し、その間隔を小さくすることで、上下の樋部材の間から周辺に水が飛散するのを防ぐことができる。
【発明の効果】【0014】本発明の冷却壁では、屋外の環境に与える影響を大幅に低減しつつ、壁体の温度を効果的に低下させることができる。
【発明を実施するための形態】【0016】本発明の実施形態について、適宜図面を参照しながら詳細に説明する。なお、各実施形態の説明において、同一の構成要素に関しては同一の符号を付し、重複した説明は省略するものとする。【0017】<第一実施形態>第一実施形態の冷却壁10は、図1(a)に示すように、上下方向に並設された複数の板材20と、各板材20を支持している複数の支柱30と、を備えている。【0018】板材20は、直線状に延ばされた長方形の金属板であり、軸方向が水平に配置され、全体が水平面に対して傾斜している。つまり、板材20は、上面22に供給された水が、上面22を屋内側または屋外側に流れるように傾斜している。なお、この実施形態では、熱伝導率が高い金属材料を用いて板材20が形成されているが、プラスチックなどの樹脂材料を用いてもよい。【0019】第一実施形態では、上下方向に間隔を空けて複数の板材20が配置されている。図1(b)に示すように、上下の板材20,20は互い違いに傾斜している。第一実施形態では、最上部の板材20は、図1(b)の左側の縁部が上側で、右側の縁部が下側となるように、水平面に対して鋭角に傾斜している。また、最上部の板材20の下側に配置された板材20は、最上部の板材20の傾斜方向に対して反対向きに同じ角度で傾斜している。同様にして、全ての板材20が互い違いに同じ角度で傾斜している。【0020】また、上側の板材20の下端縁の直下には、下側の板材20の上面22が配置されるとともに、上側の板材20の下端縁よりも、下側の板材20の上端縁が斜め上方に配置されている。すなわち、上側の板材20の上面22を下方に向けて延長させると、下側の板材20の上面22に交差するように構成されている。【0021】板材20には、上下方向に貫通した取付孔21が形成されている。取付孔21は、各板材20を平面視したときに、重なり合う部位に形成されている。【0022】支柱30は、床面に垂直に立設された円形断面の部材である。この支柱30は、各板材20を支持するものであり、各板材20の取付孔21に挿通され、ボルトなどの固定手段によって各板材20が取り付けられている。なお、第一実施形態では、二本の支柱30,30が設けられているが、支柱30の本数や太さは限定されるものではなく、必要な支持力に応じて設定される。【0023】このような冷却壁10は、既存建物3の壁体3aの屋外側に配置することで、既存建物3に増設することができる。冷却壁10と壁体3aとは間隔を空けて対向しており、冷却壁10と壁体3aとの間に空気層4が形成されている。【0024】第一実施形態の冷却壁10は、以下のような作用効果を奏する。図1(b)に示すように、図示しない給水手段から最上部の板材20の上面22に供給された水は、板材20の上面22を屋外側10Bから屋内側10Aに向けて流れ、屋内側10Aにおいて板材20の下端縁から下側の板材20の上面22に流下する。さらに、その水は下側の板材20の上面22を屋内側10Aから屋外側10Bに向けて流れた後に、更に下側の板材20の上面22に流下する。【0025】このように、冷却壁10では、順次に下方の板材20の上面22に水が供給され、上下の板材20,20の屋内側10Aと屋外側10Bの間をジグザグ状に水が流れるため、水から板材20への熱伝導によって、板材20を効果的に冷却することができる。また、板材20の上面22を流れる水の蒸発に伴う気化熱によって、冷却壁10の屋内側10Aおよび屋外側10Bの周辺の空気を冷却することができる。さらに、上下の板材20,20の間を空気が流通することで、板材20の上面22を流れる水の蒸発が促進されるため、冷却壁10周辺の冷却効果を高めることができる。そして、冷却壁10および空気層4が冷却されることによって、壁体3aの温度を低下させることができる。【0026】また、硬質な板材20を用いることで、冷却壁10の耐久性を高めることができる。さらに、壁体3aの屋外側に配置する構成であるため、既存建物3に対して簡単に施工することができる。【0027】また、上下の板材20,20の横方向および上下方向の位置関係を調整し、その間隔を小さくすることで、上下の板材20,20の間から周辺に水が飛散するのを防ぐことができる。また、上側の板材20の下端縁よりも、下側の板材20の上端縁が上方に配置されているため、上側の板材20から斜め下方に向けて流下した水を、下側の板材20の上面22によって確実に受け止めることができ、水の飛散を確実に防ぐことができる。【0028】なお、最上部の板材20に水を供給する給水手段(図示せず)の構成は限定されるものではなく、例えば、上水を板材20に供給してもよいし、タンクに貯留させた雨水を板材20に供給してもよい。さらに、最下部の板材20から流下した水を回収し、その水をポンプによって汲み上げて、再度、最上部の板材20に供給した場合には、壁体3aの冷却に使用する水量を大幅に減らすことができる。【0029】以上のように、第一実施形態の冷却壁10では、屋外の環境に与える影響を大幅に低減しつつ、壁体3aの温度を効果的に低下させることができる。また、既存建物3に対して簡単に施工することができ、既存建物3内の冷房効率を大幅に高めることができる。【0030】以上、本発明の第一実施形態について説明したが、本発明は前記実施形態に限定されることなく、その趣旨を逸脱しない範囲で適宜に変更が可能である。例えば、第一実施形態では、図1(a)に示すように、板材20全体を傾斜させているが、上面のみを傾斜させた板材を用いてもよい。また、第一実施形態では、全ての板材20が同じ角度で傾斜しているが、上下の板材20,20の傾斜角度が異なっていてもよい。【0031】<第二実施形態>次に、第二実施形態の冷却壁について説明する。第二実施形態の冷却壁50は、図2(a)に示すように、上下方向に並設された複数の樋部材60と、各樋部材60を支持している複数の支柱30と、を備えている。【0032】樋部材60は、直線状に延ばされ金属板であり、上端部から下端部に向かうに従って幅寸法が漸減するように、軸断面が半円形状となっている。したがって、樋部材60には、上部が開口した半円形状の溝部61が軸方向に形成されている。また、樋部材60の両端部には、溝部61を閉塞する側板62,62が設けられているため、溝部61内に貯水可能となっている。この第二実施形態では、熱伝導率が高い金属材料を用いて樋部材60が形成されているが、プラスチックなどの樹脂材料を用いてもよい。【0033】支柱30には、上下方向に間隔を空けて複数の樋部材60が取り付けられている。図2(b)に示すように、上側の樋部材60の直下に、下側の樋部材60の溝部61が配置されている。具体的には、上側の樋部材60の外面の下端部の直下に、下側の樋部材60の溝部61が配置されている。すなわち、上側の樋部材60の外面を伝って流れた水が、外面の下端部から下側の樋部材60の溝部61内に流下するように配置されている。【0034】第二実施形態の冷却壁50は、以下のような作用効果を奏する。図2(b)に示すように、図示しない給水手段から最上部の樋部材60の溝部61内に水が供給されると、溝部61内に溜まった水が開口縁部から屋内側50Aおよび屋外側50Bに流出し、樋部材60の外面を流れた後に、外面の下端部から下側の樋部材60の溝部61内に流下する。【0035】このように、冷却壁50では、樋部材60の内部に溜まった水が屋内側50Aおよび屋外側50Bに流れるため、水から樋部材60への熱伝導によって、樋部材60を効果的に冷却することができる。また、樋部材60の外面を流れる水の蒸発に伴う気化熱によって、冷却壁50の屋内側50Aおよび屋外側50Bの周辺の空気を冷却することができる。さらに、上下の樋部材60,60の間を空気が流通することで、樋部材60の外面を流れる水の蒸発が促進されるため、冷却壁50周辺の冷却効果を高めることができる。そして、冷却壁50と既存建物3との間に形成された空気層4が冷却されることによって、壁体3aの温度を低下させることができる。【0036】また、硬質な樋部材60を用いることで、冷却壁50の耐久性を高めることができる。さらに、壁体3aの屋外側に配置する構成であるため、既存建物3に対して簡単に施工することができる。【0037】また、冷却壁50では、上下の樋部材60,60の上下方向の位置関係を調整し、その間隔を小さくすることで、上下の樋部材60,60の間から周囲に水が飛散するのを防ぐことができる。このように、冷却壁50では、屋外の環境に与える影響を大幅に低減しつつ、壁体3aの温度を効果的に低下させることができる。【0038】以上、本発明の第二実施形態について説明したが、本発明は前記実施形態に限定されることなく、その趣旨を逸脱しない範囲で適宜に変更が可能である。前記した第二実施形態の樋部材60では、図2(b)に示すように、樋部材60の軸断面が半円形状となっているが、樋部材の形状は限定されるものではなく、例えば、樋部材の軸断面を逆三角形状に形成してもよい。さらには、樋部材の軸断面を凹形状に形成し、下面に突起部を形成することで、外面を流れた水が突起部から下側の樋部材の溝部に流下するように構成してもよい。また、全ての樋部材の形状を同じに形成する必要はなく、異なる断面形状の樋部材を組み合わせてもよい。【0039】また、樋部材60の底部に開口部を貫通させ、溝部61内に水を溜めつつ、底部の開口部から水を流出させるとともに、上側の開口縁部から外面に水が流出するように構成してもよい。なお、溝部61内に供給される単位時間当たりの水量W1よりも、底部の開口部から単位時間当たりに流出する水量W2が少なくなるとともに、溝部61内に供給された水の余剰水W3(=W1-W2)が、上側の開口縁部から流出するように、底部の開口部の開口面積を設定する。この構成では、冷却壁50を流れる水の流量が増えるため、壁体3aの冷却効果を高めることができる。【0040】また、図3(a)および(b)に示すように、冷却壁10,50の屋外側に格子70を配置してもよい。この構成では、格子70が日除けの役割りを果たすことになり、冷却壁10,50の周辺の空気の温度上昇を抑制することができるため、冷却壁10,50による冷却効果を高めることができる。なお、冷却壁10,50の屋外側に配置される日除け用の部材は、前記した格子70に限定されるものではなく、網状の部材や複数の孔が形成された板材など、通気性が確保された部材を用いることができる。
A
2012140838
2011010249
20110104
null
null
20120726
2012140838
20120726
null
null
null
null
null
null
null
舗装材(ガーデンサンドコート)と施工方法
511018402
株式会社エム・ピー・ジェイ
null
null
山本 裕之
null
8
E01C 7/32 (20060101), E01C 7/30 (20060101), E01C 7/10 (20060101)
E01C 7/32 ,E01C 7/30 ,E01C 7/10
12
null
null
null
0
6
2D051
2D051 AA05 ,2D051 AD07 ,2D051 AE05 ,2D051 AF02 ,2D051 AF06 ,2D051 AF14 ,2D051 AH01 ,2D051 AH03 ,2D051 CA03 ,2D051 CA10 ,2D051 EA01 ,2D051 EA06 ,2D051 EB04 ,2D051 EB06
【課題】簡便な舗装・遊歩道・公園・家屋・施設周辺等の景観保護機能を果たし、その継続性を維持し、ヒートアイランド現象等を抑制する舗装を提供する。【解決手段】廃ガラス粉状骨材、樹脂系モルタル接着増強剤を主体する舗装材を使用した表面舖装材(上層)を形成する。廃ガラス粉状骨材とセメントを使用し、周辺の水分により固化し強固な基盤強化(中層)を形成する。粉状生石灰とセメントを使用した乾燥固化促進材(下層)により当該表面舗装(上層)の乾燥固化を促進する。施工方法は、土砂の基盤に当該乾燥固化促進材(下層)を敷き均し,その上に当該基盤強化材(中層)を敷き均し、締め固め・散水する工程と、当該表面舗装材を敷き均し、転圧、表面仕上げを行い、舗装面を形成する。【選択図】図-1
【請求項1】表面舗装材(湿)細骨材と、廃ガラス粉状骨材と樹脂系モルタル接着増強剤基盤強化材(乾)廃ガラス粉状骨材と、セメントと、乾燥固化促進材粉状生石灰とセメントを含むことを特徴とする。【請求項2】樹脂系モルタル接着増強剤(粉末)は、細骨材と廃ガラス粉状骨材及び下層路盤との接着性が強く、曲げ強さ、耐衝撃性及び耐磨耗性当が向上し、耐透水性向上する、また廃ガラス粉状骨材を配合することにより表面の平坦性、施工性を向上させることを特徴とする請求項1の表面舗装材料。【請求項3】骨材100重量部に対し樹脂系モルタル接着増強剤(1~10)重量部の割合であること及び骨材100重量部に対し廃ガラス粉状骨材(10~30)重量部の割合であることを特徴とする表面舗装材料。【請求項4】基盤強化材(乾)は、廃ガラス粉状骨材とセメントの混合品を敷き均し転圧する。表面舗装材の水分を吸収し、舗装材の乾燥固化を促進し、基盤材は周辺の水分により固化し、より強固な基盤を形成する。表面散水することにより、舗装材との密着性がよくなり、セメントによる表面舗装面の白光現象の抑制することを特徴とする請求項1の基盤強化材料。【請求項5】廃ガラス粉状骨材100重量部に対しセメント(10~30)の重量部の割合であることを特徴とする基盤強化材料。【請求項6】乾燥固化促進材は、粉状生石灰とセメントの混合品を敷き均し、基盤土の水分を吸水発熱し、表面舗装材の乾燥固化を促進することを特徴とする請求項1の乾燥固化促進材料。【請求項7】セメント100重量部に対し粉状生石灰(10~50)重量部の割合であることを特徴とする乾燥固化促進材料。【請求項8】基盤強化材と乾燥固化促進材を混合して使用することを妨げるものではない。【請求項9】遊歩道・公園・家屋・庭・施設周辺等の舗装土砂基盤面に乾燥固化促進材を敷き均し、その上に基盤強化材を敷き均し、表面散水し、表面舗装材を敷き均し・転圧・表面仕上げする工程と、前記遊歩道・公園・家屋・庭・施設周辺等に、請求項1の舗装材料を使用した舗装面(固化部)を形成’することを特徴とする施工方法【請求項10】細骨材(海砂・川砂・山砂・人工砂)及び廃ガラス粉状骨材と樹脂系モルタル接着増強剤をプレミックスし、袋詰めすることにより湿潤状態で長期保存が可能であることを特徴とする舗装材料。又細骨材と樹脂系モルタル接着増強剤のみをプレミックスすることを妨げるものではない。【請求項11】廃ガラス粉状骨材とセメントをプレミックスし、袋詰めすることにより乾燥状態で長期保存が可能であることを特徴とする基盤強化材料。【請求項12】プレミックスされた表面舗装材・基盤強化材・乾燥固化促進材を公園・家屋・庭・施設周辺等の土質面に舗装面を外気温に左右されず早期に乾燥固化部を形成することを特徴とする請求項1に記載する施工方法。
【請求項1】表面舗装材(湿)細骨材と、廃ガラス粉状骨材と樹脂系モルタル接着増強剤基盤強化材(乾)廃ガラス粉状骨材と、セメントと、乾燥固化促進材粉状生石灰とセメントを含むことを特徴とする。【請求項3】骨材100重量部に対し樹脂系モルタル接着増強剤(1~10)重量部の割合であること及び骨材100重量部に対し廃ガラス粉状骨材(10~30)重量部の割合であることを特徴とする表面舗装材料。【請求項5】廃ガラス粉状骨材100重量部に対しセメント(10~30)の重量部の割合であることを特徴とする基盤強化材料。【請求項7】セメント100重量部に対し粉状生石灰(10~50)重量部の割合であることを特徴とする乾燥固化促進材料。【請求項8】基盤強化材と乾燥固化促進材を混合して使用することを妨げるものではない。【請求項10】細骨材(海砂・川砂・山砂・人工砂)及び廃ガラス粉状骨材と樹脂系モルタル接着増強剤をプレミックスし、袋詰めすることにより湿潤状態で長期保存が可能であることを特徴とする舗装材料。又細骨材と樹脂系モルタル接着増強剤のみをプレミックスすることを妨げるものではない。【請求項11】廃ガラス粉状骨材とセメントをプレミックスし、袋詰めすることにより乾燥状態で長期保存が可能であることを特徴とする基盤強化材料。
【技術分野】【0001】本発明は、遊歩道・公園・家屋・施設周辺等の土砂の表面に舗装工事施工方法に係り、土砂の基面に乾燥固化促進材・基盤強化材・表面散水・表面舗装材を敷き均し・転圧・表面仕上げし、舗装面(固化部)を形成し、かつ工程の簡略化により工事期間の短縮、ヒートアイランド現象の抑制効果及び自然らしい舖装を可能とした施工方法に関する。
【背景技術】【0002】遊歩道・公園・家屋・庭・施設周辺は、未舗装が大半であり、一部はアスファルト・コンクリートは大型機械による舗装がされており、施工作業が煩雑であり、合理性が主である。かつアスファルト・コンクリート舗装はヒートアイランド現象の問題を抱えています。
null
null
null
【実施例】【0012】以下、図面を参照しながら、本発明を具体化した実施例について説明する。図-1は、本発明の実施例を示し、作業工程を説明するための工程図である。【0013】実施例の施工方法は、概略すると、▲1▼舗装面の不陸・整正・転圧▲2▼乾燥固化促進材の敷き均し工程、▲3▼基盤強化材敷き均し工程、▲4▼表面散水の工程、▲5▼表面舗装材の敷き均し、転圧、表面仕上げ工程の五工程を有し、遊歩道、公園・家屋・庭・施設周辺等に舗装部(固化部)を形成するようにした構成よりなる。【0014】<舗装面の不陸・整正・転圧の工程>本工程は、遊歩道・公園・家屋・庭・施設周辺等に配設されている土砂の表層を整正・転圧する工程である。【0015】<乾燥固化促進材を敷き均す工程>本工程は、該残土層に乾燥固化促進材を敷き均しする工程である。【0016】<基盤強化材を敷き均す工程>本工程は、前工程で敷き均した乾燥固化促進材の上に基盤強化材を敷き均す工程である。【0017】<表面散水する工程>本工程は、敷き均した基盤強化材の表面に散水し、表面舗装材との密着性の向上とセメントによる舗装表面の白光現象の抑制を図る工程である。【0018】<表面舗装材を敷き均し・転圧・表面仕上げをする工程>本工程は、乾燥固化促進材、基盤強化材の上に表面舗装材を敷き均し・転圧・表面仕上げをする工程である。【0019】<アスファルト・コンクリート・鉄板・タイル面等に施工する工程>アスファルト・コンクリート・鉄板・タイル面等に清掃・散水し、表面舗装材を敷き均し・転圧・表面仕上げをする工程である。以上の結果より、本実施工法の場合、従来の工法に比べて、工法も簡略化され、工期も短縮して舗装が施工できる。【0020】本発明は上述した実施例に限定されるものではなく、本発明の要旨を変更しない範囲内で変形実施できる構成を含む、本発明は、遊歩道・公園・家屋・庭・施設周辺・アスファルト・コンクリート・鉄板・タイル面等についての構成であるが、必要に応じて、他の路面や地面等における舗装対策施工方法としても摘要できる。【0021】以上の説明のように、本発明の施工方法によれば、遊歩道・公園・家屋・庭・施設周辺等に配設されている表層土の一部を取り除き、乾燥固化促進材・基盤強化材・表面舗装材の敷き均し、転圧、表面仕上げ及びアスファルト・コンクリート・鉄板・タイル面等に表面舗装材の乾燥固化・養生するようにしているので、その施工期間を短縮できるという効果を有する。
A
2012140910
2011000009
20110104
null
null
20120726
2012140910
20120726
null
null
null
null
null
null
null
コンバインドサイクル発電プラント及びガスタービンシステム並びにガスタービン燃料ガス加熱システム
000005108
株式会社日立製作所
110000350
ポレール特許業務法人
福永 浩,菊地 広介,阿部 天洋
null
8
F02C 7/224 (20060101), F22D 5/26 (20060101), F22B 1/18 (20060101), F02C 6/18 (20060101), F01K 23/10 (20060101), F01K 9/00 (20060101)
F02C 7/224 ,F22D 5/26 Z ,F22B 1/18 E ,F02C 6/18 A ,F01K 23/10 T ,F01K 23/10 W ,F01K 9/00 F
9
null
null
null
0
14
3G081
3G081 BA02 ,3G081 BA11 ,3G081 BC07 ,3G081 DA03 ,3G081 DA22 ,3G081 DA27
【課題】本発明の課題は、給水ポンプがトリップした場合でも、ガスタービン燃料ガスへ加熱水の供給が継続可能となり、燃料ガス温度が維持でき、ガスタービンの負荷を維持したままでの運転が可能となるコンバインドサイクル発電プラントを提供することである。【解決手段】本発明では、上記課題を解決するために、給水ポンプからの給水を節炭器により加熱水にしてガスタービン燃料ガス加熱器の熱源として利用するコンバインドサイクル発電プラントにおいて、前記給水ポンプがトリップした際に、予備機の給水ポンプが起動するまでの間、ドラムからの給水を取水源とし、前記ガスタービン燃料ガス加熱器へ加熱水を供給するガスタービン燃料ガス加熱水バックアップ系統を備えていることを特徴とする。【選択図】図3
【請求項1】燃焼器からの高温のガスタービン燃焼ガスを膨張させて動力を得るガスタービンと、該ガスタービンから排出される排ガスで給水を加熱し、蒸気を発生する排熱回収ボイラと、該排熱回収ボイラから供給される蒸気を膨張させて動力を生じる蒸気タービンと、前記排熱回収ボイラに給水を供給するための給水ポンプ及びその予備機と、蒸発器を経て高温となった給水から蒸気を発生させるドラムと、前記燃焼器のガスタービン燃料ガスを加熱するガスタービン燃料ガス加熱器と、前記給水ポンプからの給水を加熱水にする節炭器とを備え、前記給水ポンプからの給水を節炭器により加熱水にして前記ガスタービン燃料ガス加熱器の熱源として利用するコンバインドサイクル発電プラントにおいて、前記給水ポンプがトリップした際に、前記予備機の給水ポンプが起動するまでの間、前記ドラムからの給水を取水源とし、前記ガスタービン燃料ガス加熱器へ加熱水を供給するガスタービン燃料ガス加熱水バックアップ系統を備えていることを特徴とするコンバインドサイクル発電プラント。【請求項2】燃焼器からの高温のガスタービン燃焼ガスを膨張させて動力を得るガスタービンと、該ガスタービンから排出される排ガスで給水を加熱し、蒸気を発生する排熱回収ボイラと、該排熱回収ボイラから供給される蒸気を膨張させて動力を生じる蒸気タービンと、前記排熱回収ボイラに給水を供給するための給水ポンプ及びその予備機と、高圧、中圧及び低圧の各蒸発器を経て高温となった給水から蒸気を発生させる高圧、中圧及び低圧の各ドラムと、前記燃焼器のガスタービン燃料ガスを加熱するガスタービン燃料ガス加熱器と、前記給水ポンプからの給水を高圧、中圧及び低圧の各節炭器により加熱水にして、前記ガスタービン燃料ガス加熱器の熱源として利用するコンバインドサイクル発電プラントにおいて、前記給水ポンプがトリップした際に、前記予備機の給水ポンプが起動するまでの間、前記高圧、中圧及び低圧ドラムの1つからの給水を取水源とし、前記ガスタービン燃料ガス加熱器へ加熱水を供給するガスタービン燃料ガス加熱水バックアップ系統を備えていることを特徴とするコンバインドサイクル発電プラント。【請求項3】請求項1又は2に記載のコンバインドサイクル発電プラントにおいて、前記ガスタービン燃料ガス加熱水バックアップ系統は、その一端が前記ドラムと直に、若しくは前記ドラムから前記蒸発器へ繋がる降水管の途中に接続されると共に、その他端が前記ドラムと給水ポンプを繋ぐドラム給水供給管に接続されか、若しくは前記ガスタービン燃料ガス加熱水管に直接接続されていることを特徴とするコンバインドサイクル発電プラント。【請求項4】請求項2又は3に記載のコンバインドサイクル発電プラントにおいて、前記ガスタービン燃料ガス加熱水バックアップ系統は、その途中に、前記給水ポンプがトリップした時にのみ、ガスタービン燃料ガス加熱水がガスタービン燃料ガス加熱器へ流れるようにするガスタービン燃料ガス加熱水止弁及び加熱水逆止弁が設置され、かつ、このガスタービン燃料ガス加熱水止弁をバイパスする配管と逆止弁及びオリフィスから構成されるウォーミング用系統が、前記ガスタービン燃料ガス加熱水バックアップ系統と並列に設置されていることを特徴とするコンバインドサイクル発電プラント。【請求項5】請求項2又は3に記載のコンバインドサイクル発電プラントにおいて、前記ガスタービン燃料ガス加熱水バックアップ系統は、その途中に、前記給水ポンプがトリップした時にのみ、ガスタービン燃料ガス加熱水がガスタービン燃料ガス加熱器へ流れるようにするガスタービン燃料ガス加熱水止弁及び加熱水逆止弁が設置されていると共に、前記給水ポンプと節炭器との配管の途中には圧力計が設置され、該圧力計の値が、前記給水ポンプがトリップしたことで設定値以下となったことを検出して、前記ガスタービン燃料ガス加熱水止弁を“開”とし、前記ドラム内の給水を、前記ガスタービン燃料ガス加熱水バックアップ系統を経て前記ガスタービン燃料ガス加熱器に供給することを特徴とするコンバインドサイクル発電プラント。【請求項6】空気を圧縮する圧縮機と、該圧縮機で圧縮された空気と燃料とを燃焼させて燃焼ガスを発生する燃焼器と、該燃焼器からの高温のガスタービン燃焼ガスを膨張させて動力を得るガスタービンと、前記燃焼器のガスタービン燃料ガスを加熱するガスタービン燃料ガス加熱器とを備えたガスタービンシステムにおいて、前記ガスタービン燃料ガス加熱器は、前記ガスタービンから排出される排ガスで給水が加熱され、蒸気を発生する排熱回収ボイラに給水を供給するための給水ポンプがトリップした際に、蒸発器を経て高温となった給水から蒸気を発生させるドラムからの給水を取水源とするガスタービン燃料ガス加熱水バックアップ系統から加熱水が供給されることを特徴とするガスタービンシステム。【請求項7】空気を圧縮する圧縮機と、該圧縮機で圧縮された空気と燃料とを燃焼させて燃焼ガスを発生する燃焼器と、該燃焼器からの高温のガスタービン燃焼ガスを膨張させて動力を得るガスタービンと、前記燃焼器のガスタービン燃料ガスを加熱するガスタービン燃料ガス加熱器とを備えたガスタービンシステムにおいて、前記ガスタービン燃料ガス加熱器は、前記ガスタービンから排出される排ガスで給水を加熱され、蒸気を発生する排熱回収ボイラに給水を供給するための給水ポンプがトリップした際に、高圧、中圧及び低圧の各蒸発器を経て高温となった給水から蒸気を発生させる高圧、中圧及び低圧の各ドラムの1つからの給水を取水源とするガスタービン燃料ガス加熱水バックアップ系統から加熱水が供給されることを特徴とするガスタービンシステム。
【請求項1】燃焼器からの高温のガスタービン燃焼ガスを膨張させて動力を得るガスタービンと、該ガスタービンから排出される排ガスで給水を加熱し、蒸気を発生する排熱回収ボイラと、該排熱回収ボイラから供給される蒸気を膨張させて動力を生じる蒸気タービンと、前記排熱回収ボイラに給水を供給するための給水ポンプ及びその予備機と、蒸発器を経て高温となった給水から蒸気を発生させるドラムと、前記燃焼器のガスタービン燃料ガスを加熱するガスタービン燃料ガス加熱器と、前記給水ポンプからの給水を加熱水にする節炭器とを備え、前記給水ポンプからの給水を節炭器により加熱水にして前記ガスタービン燃料ガス加熱器の熱源として利用するコンバインドサイクル発電プラントにおいて、前記給水ポンプがトリップした際に、前記予備機の給水ポンプが起動するまでの間、前記ドラムからの給水を取水源とし、前記ガスタービン燃料ガス加熱器へ加熱水を供給するガスタービン燃料ガス加熱水バックアップ系統を備えていることを特徴とするコンバインドサイクル発電プラント。【請求項2】燃焼器からの高温のガスタービン燃焼ガスを膨張させて動力を得るガスタービンと、該ガスタービンから排出される排ガスで給水を加熱し、蒸気を発生する排熱回収ボイラと、該排熱回収ボイラから供給される蒸気を膨張させて動力を生じる蒸気タービンと、前記排熱回収ボイラに給水を供給するための給水ポンプ及びその予備機と、高圧、中圧及び低圧の各蒸発器を経て高温となった給水から蒸気を発生させる高圧、中圧及び低圧の各ドラムと、前記燃焼器のガスタービン燃料ガスを加熱するガスタービン燃料ガス加熱器と、前記給水ポンプからの給水を高圧、中圧及び低圧の各節炭器により加熱水にして、前記ガスタービン燃料ガス加熱器の熱源として利用するコンバインドサイクル発電プラントにおいて、前記給水ポンプがトリップした際に、前記予備機の給水ポンプが起動するまでの間、前記高圧、中圧及び低圧ドラムの1つからの給水を取水源とし、前記ガスタービン燃料ガス加熱器へ加熱水を供給するガスタービン燃料ガス加熱水バックアップ系統を備えていることを特徴とするコンバインドサイクル発電プラント。【請求項6】空気を圧縮する圧縮機と、該圧縮機で圧縮された空気と燃料とを燃焼させて燃焼ガスを発生する燃焼器と、該燃焼器からの高温のガスタービン燃焼ガスを膨張させて動力を得るガスタービンと、前記燃焼器のガスタービン燃料ガスを加熱するガスタービン燃料ガス加熱器とを備えたガスタービンシステムにおいて、前記ガスタービン燃料ガス加熱器は、前記ガスタービンから排出される排ガスで給水が加熱され、蒸気を発生する排熱回収ボイラに給水を供給するための給水ポンプがトリップした際に、蒸発器を経て高温となった給水から蒸気を発生させるドラムからの給水を取水源とするガスタービン燃料ガス加熱水バックアップ系統から加熱水が供給されることを特徴とするガスタービンシステム。【請求項7】空気を圧縮する圧縮機と、該圧縮機で圧縮された空気と燃料とを燃焼させて燃焼ガスを発生する燃焼器と、該燃焼器からの高温のガスタービン燃焼ガスを膨張させて動力を得るガスタービンと、前記燃焼器のガスタービン燃料ガスを加熱するガスタービン燃料ガス加熱器とを備えたガスタービンシステムにおいて、前記ガスタービン燃料ガス加熱器は、前記ガスタービンから排出される排ガスで給水を加熱され、蒸気を発生する排熱回収ボイラに給水を供給するための給水ポンプがトリップした際に、高圧、中圧及び低圧の各蒸発器を経て高温となった給水から蒸気を発生させる高圧、中圧及び低圧の各ドラムの1つからの給水を取水源とするガスタービン燃料ガス加熱水バックアップ系統から加熱水が供給されることを特徴とするガスタービンシステム。
【技術分野】【0001】本発明はコンバインドサイクル発電プラント及びガスタービンシステムに係り、特に、排熱回収ボイラの高温水を熱源としガスタービン燃料ガスを加熱することを特徴としたコンバインドサイクル発電プラント及びガスタービンシステムに関する。
【背景技術】【0002】コンバインドサイクル発電プラントは、ガスタービンプラントに蒸気タービンプラントと排熱回収プラントを組合せ、ガスタービンプラントから出た排熱を利用して排熱回収ボイラで蒸気を発生させ、その蒸気を蒸気タービンプラントに供給して発電させるものである(特許文献1を参照)。【0003】このコンバインドサイクル発電プラントの一構成例を、図1を用いて説明する。【0004】図1に示す如く、コンバインドサイクル発電プラントは、ガスタービン1と、高圧蒸気タービン18及び中低圧蒸気タービン22と、排熱回収ボイラ5とから概略構成されている。【0005】ガスタービン1は、燃焼器2と空気圧縮機3を備え、空気圧縮機3で吸い込んだ空気を高圧化し、その高圧空気に、ガスタービン燃料ガス加熱器4からの加熱されたガスタービン燃料ガスを加えて燃焼器2で燃焼ガスを生成し、この生成した燃焼ガスを駆動ガスとしてガスタービン1を駆動させている。【0006】また、排熱回収ボイラ5は、ガスタービン1からの排ガスと低圧給水ポンプ24及び高中圧給水ポンプ25からの給水との熱交換を行い、高圧蒸気タービン18、中低圧蒸気タービン22の駆動蒸気を発生させている。更に、排熱回収ボイラ5は、各々圧力レベルの異なる蒸気を発生する高圧節炭器6、高圧蒸発器7、高圧過熱器8から構成される高圧系と、中圧節炭器9、中圧蒸発器10、中圧過熱器11から構成される中圧系、及び低圧節炭器12、低圧蒸発器13、低圧過熱器14から構成される低圧系から成り立っている。【0007】一方、高圧ドラム15、中圧ドラム16、低圧ドラム17に供給された給水は、高圧蒸発器7、中圧蒸発器10、低圧蒸発器13で飽和蒸気となり、その飽和蒸気は、高圧過熱器8、中圧過熱器11、低圧過熱器14で加熱されて過熱蒸気となる。この過熱蒸気を、それぞれ高圧蒸気、中圧蒸気、低圧蒸気と呼ぶ。高圧蒸気は、高圧蒸気タービン駆動用蒸気として高圧蒸気タービン18に供給され、中圧蒸気及び低圧蒸気は、中低圧蒸気タービン22に供給される。【0008】次に、高圧蒸気タービン18及び中低圧蒸気タービン22に、蒸気を供給する系統を説明する。【0009】先ず、高圧節炭器6へと供給された給水は、高圧節炭器6にてガスタービン1からの排ガスと熱交換を行い、高圧ドラム15及び高圧蒸発器7で飽和蒸気となる。その飽和蒸気は、高圧過熱器8で加熱され過熱蒸気となり、高圧蒸気として高圧蒸気タービン18に供給される。【0010】また、中圧節炭器9へと供給された給水は、中圧節炭器9にてガスタービン1からの排ガスと熱交換を行い、中圧ドラム16及び中圧蒸発器10で飽和蒸気となる。その飽和蒸気は、中圧過熱器11で加熱され過熱蒸気となる。その後、高圧蒸気タービン18から排出された低温再熱蒸気と合流する。合流後、再熱器20で加熱され、高温再熱管21を通って中圧蒸気として中低圧蒸気タービン22に供給される。【0011】更に、低圧節炭器12へと供給された給水は、低圧節炭器12にてガスタービン1からの排ガスと熱交換を行い、低圧ドラム17及び低圧蒸発器13で飽和蒸気となる。その飽和蒸気は、低圧過熱器14で加熱されて過熱蒸気となり、低圧蒸気として中低圧蒸気タービン22に供給される。中低圧蒸気タービン22の排気は、復水器23へと排出される。【0012】次に、上述した従来の構成におけるガスタービン燃料ガスの加熱の仕方について、図2を用いて説明する。【0013】従来の構成の場合、図2に示すように、ガスタービン燃料ガスを加熱する加熱水は、高中圧給水ポンプ25により、給水系統から中圧節炭器9を経て高温となってガスタービン燃料ガス加熱水管26を介してガスタービン燃料ガス加熱器4へ供給され、ガスタービン燃料ガスを加熱している。尚、調節弁27は、ガスタービン燃料ガス加熱器4の下流におけるガスタービン燃料ガスの温度を一定値に制御する目的で、ガスタービン燃料ガス加熱器4から復水器23の間に設置されている。
【発明が解決しようとする課題】【0015】しかしながら、従来のガスタービン燃料ガスを加熱する方法では、図2から明らかなように、高中圧給水ポンプ25がトリップした場合、ガスタービン燃料ガス加熱器4へ加熱水を供給することができなくなり、高中圧給水ポンプ25によるガスタービン燃料ガス加熱器4への加熱水の供給が停止してしまう(低圧給水ポンプ24がトリップした場合も同様)。その結果、ガスタービン燃料ガス加熱水管26及び中圧ドラム給水供給管34内の残留給水が、ガスタービン燃料ガス加熱器4へ供給された後は、ガスタービン燃料ガス加熱器4への加熱水の供給が不可能となり、ガスタービン燃料ガスが十分に加熱されなくなるため、ガスタービン1の燃料温度が低くなってしまう。【0016】そのため、燃料ガスの温度が、ガスタービン1が許容するガスタービン燃料ガス温度以下に低下してしまい、ガスタービン1はランバックし、低負荷運転状態となってしまう。【0017】給水ポンプの予備機が設置されているプラントにおいては、給水ポンプのトリップが発生しても、給水ポンプの予備機が自動起動して加熱水を供給することが可能となっている。しかし、給水ポンプが切り替わるまでの間、一時的に加熱水が供給できなくなるため、ガスタービン燃料ガの温度が低下し、ガスタービン1がランバックする恐れがある。【0018】本発明は上述の点に鑑みなされたもので、その目的とするところは、給水ポンプがトリップした場合でも、ガスタービン燃料ガスへ加熱水の供給が継続可能となり、燃料ガス温度が維持でき、ガスタービンの負荷を維持したままでの運転が可能となるコンバインドサイクル発電プラント及びガスタービンシステムを提供することにある。
【課題を解決するための手段】【0019】本発明のコンバインドサイクル発電プラントは、上記目的を達成するために、燃焼器からの高温のガスタービン燃焼ガスを膨張させて動力を得るガスタービンと、該ガスタービンから排出される排ガスで給水を加熱し、蒸気を発生する排熱回収ボイラと、該排熱回収ボイラから供給される蒸気を膨張させて動力を生じる蒸気タービンと、前記排熱回収ボイラに給水を供給するための給水ポンプと、蒸発器を経て高温となった給水から蒸気を発生させるドラムと、前記燃焼器のガスタービン燃料ガスを加熱するガスタービン燃料ガス加熱器と、前記給水ポンプからの給水を加熱水にする節炭器とを備え、前記給水ポンプからの給水を節炭器により加熱水にして前記ガスタービン燃料ガス加熱器の熱源として利用するコンバインドサイクル発電プラントにおいて、前記給水ポンプがトリップした際に、前記ドラムからの給水を取水源とし、前記ガスタービン燃料ガス加熱器へ加熱水を供給するガスタービン燃料ガス加熱水バックアップ系統を備えていることを特徴とする。【0020】また、本発明のガスタービンシステムは、上記目的を達成するために、空気を圧縮する圧縮機と、該圧縮機で圧縮された空気と燃料とを燃焼させて燃焼ガスを発生する燃焼器と、該燃焼器からの高温のガスタービン燃焼ガスを膨張させて動力を得るガスタービンと、前記燃焼器のガスタービン燃料ガスを加熱するガスタービン燃料ガス加熱器とを備えたガスタービンシステムにおいて、前記ガスタービン燃料ガス加熱器は、前記ガスタービンから排出される排ガスで給水が加熱され、蒸気を発生する排熱回収ボイラに給水を供給するための給水ポンプがトリップした際に、蒸発器を経て高温となった給水から蒸気を発生させるドラムからの給水を取水源とするガスタービン燃料ガス加熱水バックアップ系統から加熱水が供給されることを特徴とする。
【発明の効果】【0021】本発明によれば、給水ポンプがトリップした場合でも、ガスタービン燃料ガスへ加熱水の供給が継続可能となり、燃料ガス温度が維持でき、ガスタービンの負荷を維持したままでの運転が可能となる効果がある。
【発明を実施するための形態】【0023】以下、本発明のコンバインドサイクル発電プラントの一実施例について、図面を用いて具体的に説明する。尚、符号は、従来と同一のものは同符号を使用し、その詳細説明は省略する。【0024】図3に本発明のコンバインドサイクル発電プラントの一実施例を示す。該図は、ガスタービン燃料ガスの加熱について説明するためのコンバインドサイクル発電プラント系統の一部分である。【0025】該図に示す如く、本実施例は、ガスタービン1の負荷を維持したままの運転を継続するために、高中圧給水ポンプ25がトリップした時も、ガスタービン燃料ガス加熱器4へガスタービン燃料ガス加熱水を供給するガスタービン燃料ガス加熱水バックアップ系統35を設けてものである。【0026】このガスタービン燃料ガス加熱水バックアップ系統35は、一端が中圧ドラム16の降水管16Aの途中に、他端が中圧ドラム給水供給管34の途中で、かつ、ガスタービン燃料ガス加熱水管26が接続されている位置よりは上方の位置で中圧ドラム給水供給管34にそれぞれ接続されている。また、ガスタービン燃料ガス加熱水バックアップ系統35の途中には、高中圧給水ポンプ25がトリップした時にのみ、ガスタービン燃料ガス加熱水がガスタービン燃料ガス加熱器4へと流れるよう、ガスタービン燃料ガス加熱水止弁29及び加熱水逆止弁30が設置されている。その他の構成は、図2と同様である。【0027】尚、ガスタービン燃料ガス加熱水バックアップ系統35は、一端を中圧ドラム16に直接接続(中圧ドラム16の真下が望ましい)しても良いし、他端をガスタービン燃料ガス加熱水管26に直接接続しても良い。【0028】このような本実施例の構成では、図3に示すように、ガスタービン燃料ガス加熱水バックアップ系統35を設置しているため、高中圧給水ポンプ25がトリップした場合でも、高中圧給水ポンプ25が予備器の給水ポンプに切り替わるまでの間、中圧ドラム16内の給水が、ガスタービン燃料ガス加熱水バックアップ系統35を経て、ガスタービン燃料ガス加熱器4に供給される。【0029】従来構成のガスタービン燃料ガス加熱水バックアップ系統35が無い場合、高中圧給水ポンプ25がトリップした時は、ガスタービン燃料ガス加熱水管26及び中圧ドラム給水供給管34内の残留給水を供給するのみであったが、本実施例のようにガスタービン燃料ガス加熱水バックアップ系統35を設置した場合、中圧ドラム16内の給水を供給できるため、高中圧給水ポンプ25が予備機に切り替わるまでの間、ガスタービン燃料ガス加熱器4への加熱水の供給を継続できる。【0030】上述したガスタービン燃料ガス加熱水バックアップ系統35のガスタービン燃料ガス加熱水止弁29は、高中圧給水ポンプ25のトリップ信号(点線で示す)により“開”となる。尚、このガスタービン燃料ガス加熱水止弁29を“開”とするのは、高中圧給水ポンプ25よりも上流に位置する給水ポンプ(例えば、低圧給水ポンプ24)のトリップ信号でもよい。また、高中圧給水ポンプ25が、高圧、中圧でそれぞれ別の給水ポンプで構成されている場合、ガスタービン燃料ガス加熱水止弁29を“開”とするのは、いずれかの給水ポンプからのトリップ信号でも良い。【0031】図4に本発明の他の実施例を示す。ガスタービン燃料ガス加熱水止弁29を“開”とする条件は、上述した高中圧給水ポンプ25のトリップ信号以外でも、高中圧給水ポンプ25の下流の給水圧力を測定しても可能であり、図4は、この例を示す。【0032】該図に示す如く、高中圧給水ポンプ25と中圧節炭器9との配管の途中に圧力計33を設置し、この圧力計33の値が、高中圧給水ポンプ25がトリップしたことにより設定値以下となったことを検出して、ガスタービン燃料ガス加熱水止弁29が“開”とされ、中圧ドラム16内の給水が、ガスタービン燃料ガス加熱水バックアップ系統35を経てガスタービン燃料ガス加熱器4に供給されるものである。この場合の制御フローチャートを図5に示す。【0033】図5において、先ず「高中圧給水ポンプ25、若しくはそれより上流の給水ポンプのトリップ信号あり」40を検出し、40が“Yes”の場合には、加熱水止弁(ガスタービン燃料ガス加熱水止弁29)を“開”とする。40が“No”の場合には、「高中圧給水ポンプ25の下流側圧力が設定値以下」41を検出し、41が“Yes”の場合には、加熱水止弁(ガスタービン燃料ガス加熱水止弁29)を“開”とし、41が“No”の場合には、加熱水止弁(ガスタービン燃料ガス加熱水止弁29)を“閉”とするものである。【0034】このように、高中圧給水ポンプ25の下流の給水圧力を測定して、ガスタービン燃料ガス加熱水止弁29を“開”とし、中圧ドラム16内の給水を、ガスタービン燃料ガス加熱水バックアップ系統35を経てガスタービン燃料ガス加熱器4に供給することでも、その効果は、上述した実施例と同様である。【0035】ところで、ガスタービン燃料ガス加熱水バックアップ系統35は、高中圧給水ポンプ25が正常に運転している時は、ガスタービン燃料ガス加熱水止弁29により流れが遮断されている。特に、ガスタービン燃料ガス加熱水バックアップ系統35の配管長が長い場合、ガスタービン燃料ガス加熱水バックアップ系統35内に加熱水が停滞し、放熱により配管温度が低下する恐れがある。この状態で、ガスタービン燃料ガス加熱水バックアップ系統35に、中圧ドラム16からの加熱水が供給されると配管の温度が急変する。【0036】このガスタービン燃料ガス加熱水バックアップ系統35の配管温度急変を避けるために、ガスタービン燃料ガス加熱水バックアップ系統35にウォーミング系統を設置し、ウォーミング系統内の流れが停滞しないようにする必要がある。この例を図6に示す。【0037】図6のように、ウォーミング系統は、ガスタービン燃料ガス加熱水止弁29をバイパスする配管とガスタービン燃料ガス加熱水ウォーミング逆止弁31及びオリフィス32から成り、ガスタービン燃料ガス加熱水バックアップ系統35と並列に接続されている。ガスタービン燃料ガス加熱水ウォーミング逆止弁31は、高中圧給水ポンプ25の停止時のドラム水流出防止のために設置され、オリフィス32は、ウォーミング系統の流量を必要流量に制限するために設置されている。【0038】このように、ガスタービン燃料ガス加熱水バックアップ系統35と並列に、ガスタービン燃料ガス加熱水ウォーミング逆止弁31とオリフィス32から成るウォーミング系統を設けることにより、上述した本実施例の効果が達成できることは勿論、ガスタービン燃料ガス加熱水バックアップ系統35の配管温度急変を避けることができる。【0039】上述した各実施例のガスタービン燃料ガス加熱水バックアップ系統35は、その取水源として中圧ドラム16について説明したが、この中圧ドラム16に限らず高圧ドラム15、低圧ドラム17であってもよく、燃焼器の温度によって変わってくるので、その条件に応じて高圧ドラム15、中圧ドラム16、低圧ドラム17うち温度条件がガスタービン燃料ガス加熱に最適なドラムの降水管に接続すれば良い。【0040】また、上記した実施例では、中圧ドラム16の降水管16Aに接続してガスタービン燃料ガス加熱水バックアップ系統35の取水源としているが、他の取水源として、図7に示すように、中圧ドラム16から直に取水しても良い(高圧ドラム15、低圧ドラム17でも良い)。その場合は、中圧ドラム16の通常水位(これより上方に接続すると給水できない水位)(Normalwaterlevel)以下の部分にガスタービン燃料ガス加熱水バックアップ系統35の一端を接続しなければならない。このように構成しても、上述した本実施例の効果が達成できる。
A
2012140911
2011000124
20110104
null
null
20120726
2012140911
20120726
null
null
null
null
null
null
null
電動ポンプ装置
000001247
株式会社ジェイテクト
100068755
恩田 博宣
宇田 健吾,青木 保幸
null
8
F04B 49/10 (20060101)
F04B 49/10 311
5
null
null
null
0
13
3H145
3H145 AA05 ,3H145 AA12 ,3H145 AA24 ,3H145 AA42 ,3H145 BA43 ,3H145 CA19 ,3H145 DA07 ,3H145 EA16 ,3H145 EA26 ,3H145 EA34
【課題】コンデンサの内部温度を精度良く推定し、コンデンサの過熱を適切に抑制することのできる電動ポンプ装置を提供する。【解決手段】マイコン62は、コンデンサ64の周囲温度Ta及び出力電流Iに加え、コンデンサ64に近接して配置されたロータ41のロータ温度Trを考慮してコンデンサ64の推定内部温度を演算するようにした。そして、推定内部温度が第1の閾値温度を超える場合に、コンデンサ64の過熱を抑制すべくインバータ61の作動を制御するようにした。【選択図】図3
【請求項1】油圧を発生させるオイルポンプと、前記オイルポンプを駆動するモータと、前記モータの作動を制御する制御装置と、これら前記オイルポンプ、前記モータ及び前記制御装置を収容するハウジングとを備えた電動ポンプ装置において、前記制御装置は、前記モータに駆動電力を供給するインバータ、前記インバータの作動を制御する制御回路、及び前記インバータと電源との間に設けられるコンデンサを有し、前記制御回路は、前記コンデンサの内部温度を推定し、前記推定した内部温度が閾値温度を超える場合には、前記コンデンサの過熱を抑制すべく前記インバータの作動を制御するものであって、前記制御回路は、前記コンデンサに近接して配置された高温部材の温度を考慮して前記内部温度を推定することを特徴とする電動ポンプ装置。【請求項2】請求項1に記載の電動ポンプ装置において、前記制御回路は、前記コンデンサの周囲温度が高くなるほど、前記高温部材による前記内部温度の上昇を低く推定することを特徴とする電動ポンプ装置。【請求項3】請求項1又は2に記載の電動ポンプ装置において、前記高温部材は、前記オイルポンプに連結された前記モータのロータであることを特徴とする電動ポンプ装置。【請求項4】請求項3に記載の電動ポンプ装置において、前記ハウジングは、前記モータ及び前記制御装置を収容する筒状のモータケースと、前記モータケースの一端を閉塞するカバーとを備え、前記モータは、前記モータケースの内周に固定されるステータを備え、前記ステータには、前記モータケースの一端側に突出して前記制御装置の回路基板が固定される台座部が形成され、前記コンデンサは、前記回路基板における前記モータケースの他端側に設けられたことを特徴とする電動ポンプ装置。【請求項5】請求項3又は4に記載の電動ポンプ装置において、前記制御回路は、エンジンにより駆動されるメインポンプから油圧作動機器への油圧供給が低下する場合に、前記モータを駆動することにより前記油圧作動機器への油圧供給を補助することを特徴とする電動ポンプ装置。
【請求項1】油圧を発生させるオイルポンプと、前記オイルポンプを駆動するモータと、前記モータの作動を制御する制御装置と、これら前記オイルポンプ、前記モータ及び前記制御装置を収容するハウジングとを備えた電動ポンプ装置において、前記制御装置は、前記モータに駆動電力を供給するインバータ、前記インバータの作動を制御する制御回路、及び前記インバータと電源との間に設けられるコンデンサを有し、前記制御回路は、前記コンデンサの内部温度を推定し、前記推定した内部温度が閾値温度を超える場合には、前記コンデンサの過熱を抑制すべく前記インバータの作動を制御するものであって、前記制御回路は、前記コンデンサに近接して配置された高温部材の温度を考慮して前記内部温度を推定することを特徴とする電動ポンプ装置。
【技術分野】【0001】本発明は、電動ポンプ装置に関する。
【背景技術】【0002】従来、一時停車時にエンジンを自動停止する所謂アイドルストップ機能を備えた車両では、電動ポンプ装置を用いることにより、アイドルストップ時においても変速機構等への油圧供給が確保されるようにしている。この種の電動ポンプ装置の駆動源としては、一般にブラシレスモータが用いられており、駆動回路(インバータ)から三相の駆動電力が供給されることにより駆動される(例えば、特許文献1参照)。こうしたインバータと車載電源とを接続する電源線には、通常、当該電源線を流れる電流の平滑等を目的として、コンデンサが設けられている。【0003】ところで、コンデンサは、その温度(内部温度)が高くなると劣化し易くなるため、寿命が短くなるという問題がある。そこで、このような電源とインバータとの間に設けられるコンデンサを有する構成において、コンデンサの内部温度を推定し、この推定した内部温度に応じてインバータからの出力電流を低減することにより、コンデンサの過熱を抑制するようにしたものが知られている(例えば、特許文献2参照)。また、コンデンサの内部温度の推定方法として、コンデンサの周囲温度及びインバータからの出力電流に基づいて推定するものが知られている(例えば、特許文献3参照)。
【発明が解決しようとする課題】【0005】ところで、こうした電動ポンプ装置は、車両の限られたスペースに搭載されることから、その小型化が強く要請されている。そこで、従来では、油圧を発生させるオイルポンプと、オイルポンプを駆動するモータと、上記インバータ及びコンデンサを有する制御装置とを共通のハウジング内に収容して一体化することにより、その小型化を図ったものが広く採用されている(例えば、特許文献4参照)。このように小型化された電動ポンプ装置では、コンデンサの周囲に、例えばロータやモータコイル等の各部材が近接して配置されることになるため、これら各部材の温度が高くなると、その輻射熱等によりコンデンサの内部温度が変化し易くなる。【0006】しかしながら、上記特許文献2に記載の方法は、コンデンサの周囲温度及びインバータからの出力電流に基づいて推定するものであるため、この方法を適用して電動ポンプ装置に設けられたコンデンサの内部温度を推定しても、実際の内部温度と大きく乖離することがあり、コンデンサの過熱を適切に抑制することができない虞があった。【0007】本発明は、上記問題点を解決するためになされたものであって、その目的は、コンデンサの内部温度を精度良く推定し、コンデンサの過熱を適切に抑制することのできる電動ポンプ装置を提供することにある。
【課題を解決するための手段】【0008】上記目的を達成するため、請求項1に記載の発明は、油圧を発生させるオイルポンプと、前記オイルポンプを駆動するモータと、前記モータの作動を制御する制御装置と、これら前記オイルポンプ、前記モータ及び前記制御装置を収容するハウジングとを備えた電動ポンプ装置において、前記制御装置は、前記モータに駆動電力を供給するインバータ、前記インバータの作動を制御する制御回路、及び前記インバータと電源との間に設けられるコンデンサを有し、前記制御回路は、前記コンデンサの内部温度を推定し、前記推定した内部温度が閾値温度を超える場合には、前記コンデンサの過熱を抑制すべく前記インバータの作動を制御するものであって、前記制御回路は、前記コンデンサに近接して配置された高温部材の温度を考慮して前記内部温度を推定することを要旨とする。【0009】上記構成によれば、コンデンサに近接して配置された高温部材の温度を考慮してコンデンサの内部温度を推定するため、ハウジング内にコンデンサを有する制御装置がオイルポンプ及びモータとともに収容されていても、精度良く内部温度を推定することが可能になる。これにより、実際の内部温度と推定した内部温度とが大きく乖離することを防ぎ、コンデンサの過熱を適切に抑制することができる。【0010】請求項2に記載の発明は、請求項1に記載の電動ポンプ装置において、前記制御回路は、前記コンデンサの周囲温度が高くなるほど、前記高温部材による前記内部温度の上昇を低く推定することを要旨とする。【0011】すなわち、コンデンサの周囲温度(雰囲気温度)が高くなり、高温部材の温度との差が小さくなると、高温部材によってコンデンサの内部温度が変化し難くなると推定される。この点、上記構成では、周囲温度が高くなるほど、高温部材による内部温度の上昇を小さく推定するため、より精度良くコンデンサの内部温度を推定することができる。【0012】請求項3に記載の発明は、請求項1又は2に記載の電動ポンプ装置において、前記高温部材は、前記オイルポンプに連結された前記モータのロータであることを要旨とする。上記構成によれば、ロータはオイルポンプを介して作動油の熱が伝達されることにより高温になるため、ロータの温度を考慮することで、精度良くコンデンサの内部温度を推定することができる。【0013】請求項4に記載の発明は、請求項3に記載の電動ポンプ装置において、前記ハウジングは、前記モータ及び前記制御装置を収容する筒状のモータケースと、前記モータケースの一端を閉塞するカバーとを備え、前記モータは、前記モータケースの内周に固定されるステータを備え、前記ステータには、前記モータケースの一端側に突出して前記制御装置の回路基板が固定される台座部が形成され、前記コンデンサは、前記回路基板における前記モータケースの他端側に設けられたことを要旨とする。【0014】上記構成によれば、回路基板におけるモータケースの他端側とロータとの間には、空間が形成される。そのため、他の回路素子に比べて大きなコンデンサを回路基板の他端側に配置することで、同コンデンサを回路基板の一端側に配置する場合のようにカバーと回路基板との間に大きな間隔を空けずともよくなり、電動ポンプ装置が大型化することを抑制できる。そして、上記構成では、コンデンサはロータと対向することから、特にコンデンサとロータとが近接して配置されることになる。従って、請求項3のロータの温度を考慮してコンデンサの内部温度を推定する構成は、上記構成のようにコンデンサが回路基板の他端側に配置されてロータと対向する電動ポンプ装置に特に有効であり、内部温度の推定精度を効果的に向上させることができる。【0015】請求項5に記載の発明は、請求項3又は4に記載の電動ポンプ装置において、前記制御回路は、エンジンにより駆動されるメインポンプから油圧作動機器への油圧供給が低下する場合に、前記モータを駆動することにより前記油圧作動機器への油圧供給を補助することを要旨とする。【0016】ここで、通常、エンジンの駆動時にはメインポンプにより変速機構等の油圧作動機器に十分な油圧が供給されており、電動ポンプ装置は停止している。つまり、車両の走行状況によっては、電動ポンプ装置がほとんど作動しなくても、メインポンプから油圧作動機器に作動油が供給されることで、作動油の油温が高くなることがある。このようにして作動油が高温になった後に、アイドルストップ等によりエンジンが停止して電動ポンプ装置が作動すると、オイルポンプに高温の作動油が吸入・吐出され、ロータが高温になる。その結果、電動ポンプ装置の作動時間が短く、コンデンサの周囲温度があまり高くなっていない状態においても、ロータが高温になることがあり、ロータによってコンデンサの内部温度が変化し易くなる。従って、請求項3のロータの温度を考慮してコンデンサの内部温度を推定する構成は、上記構成のようにメインポンプによる油圧作動機器への油圧供給を補助する用途に用いられる電動ポンプ装置に特に有効であり、内部温度の推定精度を効果的に向上させることができる。
【発明の効果】【0017】本発明によれば、コンデンサの内部温度を精度良く推定し、コンデンサの過熱を適切に抑制することのできる電動ポンプ装置を提供することができる。
【発明を実施するための形態】【0019】以下、本発明を具体化した一実施形態を図面に従って説明する。図1に示すように、電動ポンプ装置1は、一時停車時にエンジン2を自動停止する所謂アイドルストップ機能を備えた車両(図示略)に搭載されている。この電動ポンプ装置1は、エンジン2により駆動される機械式のメインポンプ3と併用され、アイドリングストップ時等、エンジン2の停止時におけるメインポンプ3の代替として、油圧作動機器である変速機構4(本実施形態では、無段変速機)への作動油の供給を実行する。【0020】詳述すると、メインポンプ3は、エンジン2に駆動連結されており、同エンジン2の駆動により、オイルパン11から作動油を吸入して変速機構4に供給する。なお、メインポンプ3の出口油路12には、その停止時における作動油の逆流を禁止する逆止弁13が設けられている。【0021】一方、電動ポンプ装置1は、油圧を発生させるオイルポンプ14と、オイルポンプ14を駆動するモータ15と、モータ15の作動を制御する制御装置としてのEOP(ElectricOilPump)ECU16とを備えている。そして、電動ポンプ装置1は、モータ15によってオイルポンプ14が駆動されることにより、オイルパン11から作動油を吸入して変速機構4に供給する。なお、オイルポンプ14の出口油路17には、その停止時における作動油の逆流を禁止する逆止弁18が設けられている。【0022】エンジン2には、上位ECU19が接続されている。上位ECU19には、車内ネットワーク(CAN:ControllerAreaNetwork)を介して、車速やアクセル開度等が入力されるようになっており、上位ECU19は、入力されるこれら各状態量に基づいて、エンジン2及び変速機構4の作動を制御する。例えば、上位ECU19は、所定の停止条件が成立するとエンジン2を停止させ、所定の再始動条件が成立するとエンジン2を再始動させるアイドルストップ制御を実行する。また、EOPECU16には、上位ECU19が接続されている。そして、EOPECU16は、上位ECU19からの制御信号等に基づき、アイドルストップ時等、メインポンプ3から変速機構4に十分な作動油が供給されなくなる場合に、モータ15を駆動する。【0023】次に、電動ポンプ装置1について説明する。図2に示すように、電動ポンプ装置1は、略円筒形状に形成されたハウジング21を備えている。ハウジング21内には、上記オイルポンプ14、モータ15及びEOPECU16が一体的に収容されている。なお、以下の説明では、ハウジング21の軸方向一端側(図1における左側)を前側とし、軸方向他端側(図1における右側)を後側とする。【0024】ハウジング21は、略円環状のポンプケース22と、ポンプケース22の前側に配置されるポンププレート23と、ポンプケース22の後側に配置される円筒状のモータケース24と、モータケース24の後側の開口端を閉塞するカバー25とを備えている。なお、ポンプケース22及びポンププレート23は金属材料により構成されるとともに、モータケース24及びカバー25は樹脂材料により構成されている。そして、ポンプケース22、ポンププレート23及びモータケース24は、ボルト26により互いに連結されるとともに、カバー25はモータケース24に対して溶着(振動溶着等)されることにより固定されている。なお、ポンプケース22とポンププレート23との間、及びポンプケース22とモータケース24との間には、それぞれOリング27,28が介在されている。【0025】本実施形態では、オイルポンプ14には、トロコイドポンプ(内接ギアポンプ)が採用されている。また、オイルポンプ14の駆動源であるモータ15には、ロータの回転位置を検出する回転センサのないセンサレスタイプのブラシレスモータが採用されており、EOPECU16から供給される三相(U,V,W)の駆動電力に基づき回転する。【0026】詳述すると、オイルポンプ14は、内周に歯部が形成されたアウタギア31と、外周に歯部が形成されたインナギア32とを備えている。そして、アウタギア31は、ポンプケース22の中央に形成された収容孔33に回転可能に収容されるとともに、インナギア32は、アウタギア31の内周側に配置されている。なお、収容孔33の前端を閉塞するポンププレート23には、アウタギア31及びインナギア32間に作動油を吸入するための吸入口34及び作動油を吐出するための吐出口(図示略)が形成されている。【0027】また、ポンプケース22には、後側に突出するモータケース24よりも小径の円筒状の支持部35が収容孔33と同軸上に形成されている。支持部35内には、オイルポンプ14の駆動軸となるモータ15の出力軸36が収容孔33内に突出した状態で挿通されており、支持部35内の後部に設けられた軸受装置37により片持ち支持されている。本実施形態では、軸受装置37は前後に隣接する2個の転がり軸受により構成されている。そして、出力軸36の前端には、インナギア32が一体回転可能に連結されている。なお、ポンプケース22における支持部35よりも前側の部分と出力軸36との間には、収容孔33からモータケース24側に作動油が漏洩することを防止するためのシール部材38が設けられている。【0028】モータ15は、上記出力軸36を有するロータ41と、モータケース24の内周に固定されるステータ42とを備えている。具体的には、ロータ41は、出力軸36の後端に固定されるロータコア44と、ロータコア44に固定されるマグネット45とを有している。ロータコア44は、出力軸36の後端から径方向に延び、支持部35を包囲するような有底円筒状に形成されており、出力軸36の後端に一体回転可能に連結されている。また、マグネット45は、ステータ42と対向するようにロータコア44の外周面に固定されている。【0029】一方、ステータ42は、環状に形成された円筒部47及び同円筒部47から径方向内側に向かって突出した複数のティース部48からなるステータコア49と、各ティース部48にインシュレータ50を介して巻回される三相のモータコイル51とを備えている。インシュレータ50には、円筒部47の後端から延出されてEOPECU16が固定される台座部52が形成されている。【0030】EOPECU16は、略円板状の回路基板(プリント基板)53を備えている。回路基板53は、複数のネジ54によりインシュレータ50の台座部52に固定されている。この回路基板53には、後述するインバータ61やマイコン62等を構成する各種の回路素子(図示略)とともに、同インバータ61と車載電源(バッテリ)63との間に設けられるコンデンサ64が実装されている。なお、本実施形態のコンデンサ64には、電解コンデンサが採用されている。そして、コンデンサ64は、回路基板53の前側面53aに実装され、回路基板53とモータ15(ロータ41)との間に形成される空間55内に配置されている。これにより、コンデンサ64は、ロータ41と対向して近接配置されている。【0031】なお、電動ポンプ装置1は、車両の限られたスペースに搭載されることから、小型化されており、本実施形態では、前後方向の幅が10cm程度に形成されている。そして、コンデンサ64の先端とロータ41(ロータコア44)との間には2mm程度の隙間が形成されるように設計されている。【0032】このように構成された電動ポンプ装置1は、EOPECU16からモータ15に三相の駆動電力が供給されることにより、ロータ41(出力軸36)が回転する。そして、出力軸36に連結されたインナギア32が回転することにより、オイルポンプ14が駆動されて変速機構4(図1参照)に作動油が供給されるようになっている。【0033】次に、電動ポンプ装置の電気的構成について説明する。図3に示すように、EOPECU16は、モータコイル51u,51v,51wに三相の駆動電力を供給するインバータ61と、インバータ61にモータ制御信号を出力してモータ15を駆動する制御回路としてのマイコン62とを備えている。なお、本実施形態では、120度(電気角)毎に通電相及び通電方向を切り替える120度矩形波通電により、各相のモータコイル51u,51v,51wに駆動電力を供給する。【0034】詳述すると、インバータ61は、電源線Lpを介して車載電源63と接続されている。また、電源線Lpには、当該電源線Lpに通電される電流の平滑を目的としたコンデンサ64が接続されている。そして、インバータ61は、この電源線Lpを介して印加される電源電圧に基づいてモータ15に電流を出力することが可能となっている。【0035】本実施形態のインバータ61には、直列に接続された一対のスイッチング素子を基本単位(スイッチングアーム)として、各相のモータコイル51u,51v,51wに対応する3つのスイッチングアームを並列に接続してなる周知のPWMインバータが採用されている。つまり、マイコン62の出力するモータ制御信号は、この駆動回路を構成する各相スイッチング素子のオン/オフ状態(各相スイッチングアームのデューティ比)を規定するものとなっている。そして、インバータ61は、そのスイッチングパターンに対応する通電相、通電方向及びデューティ比に基づく出力電流がモータ15に出力される構成となっている。【0036】マイコン62には、モータコイル51u,51v,51wの端子電圧Vu,Vv,Vwを検出する電圧センサ65u,65v,65wが接続されている。マイコン62は、電圧センサ65u,65v,65wにより検出される各モータコイル51の誘起電圧(逆起電力)に基づいてロータ41の回転位置(回転角)を推定する。具体的には、誘起電圧が基準電位となる時点(ゼロクロス点)を検出することにより、ロータ41の回転位置を推定する。そして、マイコン62は、推定したロータ41の回転位置に応じてスイッチングパターンを決定する。【0037】また、マイコン62には、インバータ61から出力される出力電流I、すなわちモータ15に通電される実電流値を検出する電流センサ66及び上位ECU19が接続されている。マイコン62は、上位ECU19から出力される電流指令値I*に出力電流Iを追従させるべくフィードバック制御を実行することにより、電流指令値I*と出力電流Iとの偏差に応じたデューティ比を決定する。なお、上位ECU19は、電動ポンプ装置1から変速機構4に供給される油圧やエンジン回転数等に基づいて電流指令値I*を演算する。そして、マイコン62は、このように決定したスイッチングパターン及びデューティ比を示すモータ制御信号をインバータ61に出力する。これにより、インバータ61からモータ15に三相の駆動電力が供給され、モータ15が駆動する。【0038】(コンデンサの過熱抑制制御)次に、電動ポンプ装置の作動によりコンデンサが過熱することを抑制する過熱抑制制御について説明する。【0039】上述のようにコンデンサ64の内部温度が高くなると、その寿命が短くなるため、マイコン62は、コンデンサ64の内部温度を推定し、この推定した推定内部温度Tcに応じてコンデンサ64の過熱を抑制すべくインバータ61の作動を制御する。【0040】ここで、コンデンサ64は、インバータ61からモータ15に駆動電力を供給することに伴う充放電により発熱するため、コンデンサ64の周囲温度(雰囲気温度)Taとインバータ61からの出力電流I(実電流値)とに基づいてコンデンサ64の内部温度を推定することが考えられる。しかしながら、本実施形態の電動ポンプ装置1は小型化されており、コンデンサ64は、オイルポンプ14を介して作動油の熱が伝達されることにより高温となるロータ41に近接して配置されている(図3参照)。従って、コンデンサ64の内部温度は、ロータ41の輻射熱の影響により変化し易くなるため、コンデンサ64の周囲温度Ta及びインバータ61からの出力電流Iに基づいて推定すると、実際の内部温度と大きく乖離してしまう虞がある。【0041】この点を踏まえ、マイコン62は、コンデンサ64の周囲温度Ta及びインバータ61からの出力電流Iに加え、ロータ41の温度(ロータ温度Tr)を考慮してコンデンサ64の内部温度を推定する。すなわち、本実施形態では、ロータ41が高温部材に相当する。【0042】詳述すると、マイコン62には、回路基板53の基板温度を検出する基板温度センサ71及び作動油の油温を検出する油温センサ72が接続されており、マイコン62は基板温度をコンデンサ64の周囲温度Taとして用いるとともに、油温をロータ温度Trとして用いる。そして、マイコン62は、周囲温度Taに、モータ15に通電される出力電流Iに基づく第1上昇値U1、及びロータ41の輻射熱に基づく第2上昇値U2を加算することにより推定内部温度Tc(Tc=Ta+U1+U2)を演算する。【0043】具体的には、マイコン62は、出力電流Iと、コンデンサ64の内部温度の第1上昇値U1との関係を示す第1マップ74と、ロータ温度Trと、コンデンサ64の内部温度の第2上昇値U2との関係を示す第2マップ75と、メモリ76とを備えている。図4に示すように、第1マップ74は、出力電流Iが大きいほど、第1上昇値U1が大きくなるように設定されている。また、図5に示すように、第2マップ75は、ロータ温度Trが大きいほど、また周囲温度Taが低いほど、第2上昇値U2が大きくなるように設定されている。マイコン62は、第1マップ74を参照することにより出力電流Iに応じた第1上昇値U1を演算し、第2マップ75を参照することによりロータ温度Trに応じた第2上昇値U2を演算する。そして、このように演算された第1及び第2上昇値U1,U2を周囲温度Taに加算することにより推定内部温度Tcを演算する。【0044】また、マイコン62は、上記のように演算した推定内部温度Tcを閾値温度と比較し、その比較結果に応じてインバータ61の作動を制御する。具体的には、推定内部温度Tcが第1の閾値温度Tth1よりも大きく、且つ同第1の閾値温度Tth1よりも大きな第2の閾値温度Tth2以下の場合には、出力電流Iが漸減(漸次低減)するようにインバータ61を制御する。また、推定内部温度Tcが第2の閾値温度Tth2よりも大きな場合には、出力電流Iをゼロとなるようにインバータ61を制御する。なお、推定内部温度Tcが第1の閾値温度Tth1以下の場合には、上位ECU19から出力される電流指令値I*に基づいて、インバータ61の作動を制御する。【0045】次に、本実施形態のECU(マイコン)による過熱抑制制御の処理手順を図6のフローチャートに従って説明する。マイコン62は、センサ値として出力電流I、周囲温度Ta及びロータ温度Trを取得すると(ステップ101)、第1及び第2マップ74,75に基づいて第1及び第2上昇値U1,U2を演算し(ステップ102)、推定内部温度Tcを演算する(ステップ103)。続いて、推定内部温度Tcが第1の閾値温度Tth1よりも大きいか否かを判定し(ステップ104)、推定内部温度Tcが第1の閾値温度Tth1よりも大きい場合には(ステップ104:YES)、推定内部温度Tcが第2の閾値温度Tth2よりも大きいか否かを判定する(ステップ105)。そして、推定内部温度Tcが第2の閾値温度Tth2以下の場合には(ステップ105:NO)、ステップ106に移行して出力電流Iが漸減するようにインバータ61の作動を制御する。【0046】具体的には、マイコン62は、出力電流Iの漸減中であることを示すフラグがセットされているか否かを判定し(ステップ106)、フラグがセットされていない場合には(ステップ106:NO)、同フラグをセットする(ステップ107)。続いて、上位ECU19から出力される電流指令値I*を低減した低減値(例えば電流指令値I*の90%の値)を演算し(ステップ108)、この低減値をメモリ76に記憶する(ステップ109)。そして、出力電流Iが低減値に追従するようにデューティ比を決定してモータ制御信号を出力し(ステップ110)、インバータ61の作動を制御する。これに対し、フラグがセットされている場合には(ステップ106:YES)、メモリ76に記憶された前回の低減値を読み出し(ステップ111)、この前回低減値をさらに低減した低減値(例えば前回低減値の90%の値)を演算する(ステップ112)。そして、ステップ109に移行して低減値をメモリ76に記憶(更新)し、ステップ110に移行してインバータ61の作動を制御する。【0047】一方、推定内部温度Tcが第2の閾値温度Tth2よりも大きい場合には(ステップ105:YES)、出力電流Iがゼロとなるようにデューティ比を決定してモータ制御信号を出力し、モータ15を停止させる(ステップ113)。そして、マイコン62は、フラグをクリアする(ステップ114)。【0048】なお、推定内部温度Tcが第1の閾値温度Tth1以下の場合には(ステップ104:NO)、上位ECU19から出力される電流指令値I*に出力電流Iが追従するようにデューティ比を決定してモータ制御信号を出力する通常制御を実行する(ステップ115)。このように、マイコン62は、所定周期毎に上記ステップ101~115の処理を実行し、コンデンサ64の過熱抑制制御を実行する。【0049】以上記述したように、本実施形態によれば、以下の作用効果を奏することができる。(1)マイコン62は、コンデンサ64の周囲温度Ta及びインバータ61の出力電流Iに加え、コンデンサ64に近接して配置されたロータ41のロータ温度Trを考慮してコンデンサ64の推定内部温度Tcを演算するようにした。そして、推定内部温度Tcが第1の閾値温度Tth1を超える場合に、コンデンサ64の過熱を抑制すべくインバータ61の作動を制御するようにした。【0050】上記構成によれば、作動油の熱により高温となるロータ41のロータ温度Trを考慮してコンデンサ64の内部温度を推定するため、ハウジング21内にコンデンサ64を有するEOPECU16がオイルポンプ14及びモータ15とともに収容されていても、精度良く内部温度を推定することができる。これにより、実際の内部温度と推定内部温度Tcとが大きく乖離することを防ぎ、コンデンサ64の過熱を適切に抑制することができる。【0051】(2)マイコン62は、コンデンサ64の周囲温度Taが高くなるほど、ロータ41による内部温度の上昇値である第2上昇値U2を低く推定するようにした。すなわち、コンデンサ64の周囲温度Taが高くなり、ロータ41のロータ温度Trとの差が小さくなると、ロータ41によってコンデンサ64の内部温度が変化し難くなると推定される。この点、上記構成では、コンデンサ64の周囲温度Taが高くなるほど、ロータ41による内部温度の第2上昇値U2を小さく推定するため、より精度良くコンデンサ64の内部温度を推定することができる。【0052】(3)ハウジング21は、モータ15及びEOPECU16を収容する筒状のモータケース24と、モータケース24の一端を閉塞するカバー25とを備え、ステータ42を構成するインシュレータ50に、後側に突出してEOPECU16の回路基板53が固定される台座部52を形成した。そして、コンデンサ64を回路基板53の前側面53aに実装した。【0053】上記構成によれば、回路基板53とロータ41との間に空間55が形成される。そのため、他の回路素子に比べて大きなコンデンサ64を回路基板53の前側面53aに配置することで、同コンデンサ64を回路基板53の後側面53bに配置する場合のようにカバー25と回路基板53との間に大きな間隔を空けずともよくなり、電動ポンプ装置1が大型化することを抑制できる。そして、上記構成では、コンデンサ64はロータ41と対向することから、特にコンデンサ64とロータ41とが近接して配置されることになる。従って、ロータ温度Trを考慮してコンデンサ64の内部温度を推定することが特に有効であり、内部温度の推定精度を効果的に向上させることができる。【0054】(4)マイコン62は、アイドルストップ時等、エンジン2により駆動されるメインポンプ3から変速機構4への油圧供給が低下する場合に、モータ15を駆動することにより同変速機構4への油圧供給を補助するようにした。【0055】ここで、エンジン2の駆動時にはメインポンプ3により変速機構4に十分な油圧が供給されており、電動ポンプ装置1は停止している。つまり、車両の走行状況によっては、電動ポンプ装置1がほとんど作動しなくても、メインポンプ3から変速機構4に作動油が供給されることで、作動油の油温が高くなることがある。このようにして作動油が高温になった後に、アイドルストップ等によりエンジン2が停止して電動ポンプ装置1が作動すると、オイルポンプ14に高温の作動油が吸入・吐出され、ロータ41が高温になる。その結果、電動ポンプ装置1の作動時間が短く、コンデンサ64の周囲温度Taがあまり高くなっていない状態においても、ロータ41が高温になることがあり、ロータ41によってコンデンサ64の内部温度が変化し易くなる。従って、ロータ温度Trを考慮してコンデンサ64の内部温度を推定することが特に有効であり、内部温度の推定精度をより効果的に向上させることができる。【0056】(5)マイコン62は、推定内部温度Tcが第1の閾値温度Tth1よりも大きく、第2の閾値温度Tth2以下の場合には、出力電流Iを漸減し、推定内部温度Tcが第2の閾値温度Tth2を超える場合には、出力電流Iをゼロとするようにした。上記構成によれば、推定内部温度Tcに応じて出力電流Iを適切に制御することができ、油圧の供給を維持しつつ、コンデンサ64が過熱することを好適に抑制できる。【0057】なお、上記実施形態は、これを適宜変更した以下の態様にて実施することもできる。・上記実施形態では、コンデンサ64を回路基板53の前側面53aに実装したが、これに限らず、後側面53bに実装してもよい。【0058】・上記実施形態では、推定内部温度Tcが第1の閾値温度Tth1よりも大きく、且つ第2の閾値温度Tth2以下の場合には、出力電流Iを漸減させ、推定内部温度Tcが第2の閾値温度Tth2よりも大きな場合には、出力電流Iをゼロとなるようにした。しかし、これに限らず、例えば推定内部温度Tcが第1の閾値温度Tth1よりも大きい場合には出力電流Iをゼロとする等、コンデンサ64の過熱を抑制できれば、他の態様でインバータ61を制御するようにしてもよい。【0059】・上記実施形態では、ロータ温度Trと第2上昇値U2との関係を示す第2マップ75を、ロータ温度Trが大きいほど、また周囲温度Taが低いほど、第2上昇値U2が大きくなるように設定したが、これに限らず、周囲温度Taに応じて第2上昇値U2が変化しないように設定してもよい。【0060】・上記実施形態では、マイコン62は、第1マップ74に基づいて第1上昇値U1を演算したが、これに限らず、例えば出力電流Iと第1上昇値U1との関係を関数式で近似できれば、関数式を用いて演算してもよい。同様に、第2マップ75に代えて、例えばロータ温度Trと第2上昇値U2との関係を関数式で近似できれば、関数式を用いて演算してもよい。【0061】・上記実施形態では、回路基板53の基板温度をコンデンサ64の周囲温度Taとして用いたが、これに限らず、基板温度以外の温度を検出し、当該温度をコンデンサ64の周囲温度Taとしてもよい。同様に、作動油の油温をロータ温度Trとして用いたが、これに限らず、作動油以外の温度を検出し、当該温度をロータ温度Trとして用いてもよい。【0062】・上記実施形態では、ロータ温度Trとして用いる油温を油温センサ72により検出した。しかし、これに限らず、例えば出力電流I及びロータ41の回転速度から作動油の粘度を推定し、この推定した粘度に基づいて油温を推定するようにしてもよい。【0063】・上記実施形態では、推定内部温度Tcが第1の閾値温度Tth1を超える場合に、電流指令値I*によらず、出力電流Iが漸減又はゼロとなるようにマイコン62内部で処理するようにした。しかし、これに限らず、出力電流Iが漸減又はゼロとなるような電流指令値I*を出力するように上位ECU19に対して要求信号を出力してもよい。【0064】・上記実施形態では、ロータ41を高温部材としたが、これに限らず、モータコイル51やインバータ61を構成する回路素子等を高温部材としてもよい。また、高温部材は一つでなくともよく、複数の部材を高温部材としてもよい。【0065】・上記実施形態では、マイコン62は、コンデンサ64の周囲温度Ta、出力電流I及びロータ温度Trに基づいて推定内部温度Tcを演算した。しかし、これに限らず、例えば出力電流I及びロータ温度Trに基づいて推定内部温度Tcを演算する等、高温部材の温度を考慮すれば、どのようなパラメータを用いて推定内部温度Tcを演算してもよい。【0066】・上記実施形態では、本発明を、アイドルストップ機能を備えた車両に搭載され、メインポンプ3と併用される電動ポンプ装置に適用したが、例えば単独で使用される電動ポンプ装置等、これ以外の用途に用いられる電動ポンプ装置に適用してもよい。【0067】次に、上記実施形態及び別例から把握できる技術的思想について、それらの効果とともに以下に追記する。(イ)請求項1~5のいずれか一項に記載の電動ポンプ装置において、前記制御回路は、前記推定した内部温度が第1の閾値温度を超える場合には、前記出力電流を漸減し、前記推定した内部温度が前記第1の閾値温度よりも高い第2の閾値温度を超える場合には、前記出力電流をゼロとすることを特徴とする電動ポンプ装置。上記構成によれば、推定した内部温度に応じて出力電流を適切に制御することができ、油圧の供給を維持しつつ、コンデンサが過熱することを好適に抑制できる。
A
2012140912
2011000125
20110104
null
null
20120726
2012140912
20120726
null
null
null
null
null
null
null
電動ポンプ装置
000001247
株式会社ジェイテクト
100068755
恩田 博宣
今井 深見,宇田 健吾
null
8
F04B 49/06 (20060101)
F04B 49/06 321B
2
null
null
null
0
9
3H145
3H145 AA16 ,3H145 AA24 ,3H145 AA42 ,3H145 BA38 ,3H145 CA28 ,3H145 DA05 ,3H145 DA35
【課題】振動や異音の発生を抑制することのできる電動ポンプ装置を提供する。【解決手段】EOPECUは、エンジンにより駆動されるメインポンプから供給される油圧の上昇に伴って、オイルポンプから供給される油圧Poを低下させる際に、該油圧Poが徐々に低下する減圧制御を実行するようにした。【選択図】図3
【請求項1】油圧を発生させるオイルポンプと、前記オイルポンプを駆動するモータと、前記モータへの駆動電力の供給を通じて前記オイルポンプの作動を制御する制御装置とを備え、油圧作動機器に作動油を供給するための油圧回路に他のオイルポンプとともに設けられる電動ポンプ装置において、前記制御装置は、前記他のオイルポンプによる前記油圧作動機器への油圧供給が停止する場合に、前記油圧作動機器への油圧供給を補完すべく前記オイルポンプを作動させるものであって、前記制御装置は、前記油圧作動機器への油圧供給を前記オイルポンプから前記他のオイルポンプに切り替える際に、前記オイルポンプから供給される油圧を徐々に低下させる減圧制御を実行することを特徴とする電動ポンプ装置。【請求項2】請求項1に記載の電動ポンプ装置において、前記モータは、センサレスタイプのブラシレスモータにより構成され、前記制御装置は、モータコイルに生じる誘起電圧に基づいてロータの回転位置を推定するものであって、前記制御装置は、前記他のオイルポンプにより前記油圧作動機器への油圧供給がなされる状態で、前記誘起電圧に基づいて前記回転位置を検出可能なモータ角速度を維持することを特徴とする電動ポンプ装置。
【請求項1】油圧を発生させるオイルポンプと、前記オイルポンプを駆動するモータと、前記モータへの駆動電力の供給を通じて前記オイルポンプの作動を制御する制御装置とを備え、油圧作動機器に作動油を供給するための油圧回路に他のオイルポンプとともに設けられる電動ポンプ装置において、前記制御装置は、前記他のオイルポンプによる前記油圧作動機器への油圧供給が停止する場合に、前記油圧作動機器への油圧供給を補完すべく前記オイルポンプを作動させるものであって、前記制御装置は、前記油圧作動機器への油圧供給を前記オイルポンプから前記他のオイルポンプに切り替える際に、前記オイルポンプから供給される油圧を徐々に低下させる減圧制御を実行することを特徴とする電動ポンプ装置。
【技術分野】【0001】本発明は、電動ポンプ装置に関する。
【背景技術】【0002】従来、一時停車時にエンジンを自動停止する所謂アイドルストップ機能を備えた車両では、エンジンにより駆動されるメインポンプ及びモータを駆動源とする電動ポンプ装置により、変速機等の油圧作動機器に油圧を供給している(例えば、特許文献1参照)。具体的には、これらメインポンプ及び電動ポンプ装置のオイルポンプは、油圧作動機器に油圧を供給するための共通の油圧回路に接続されている。そして、エンジンの駆動時には、メインポンプにより油圧作動機器に油圧を供給しており、通常、電動ポンプ装置は停止している。一方、アイドルストップ時等のエンジン停止時には、メインポンプが停止するため、電動ポンプ装置により油圧作動機器への油圧供給を確保している。
【発明が解決しようとする課題】【0004】ところで、アイドルストップ後にエンジンが再始動し、油圧作動機器への油圧供給を電動ポンプ装置からメインポンプに切り替える際に、電動ポンプ装置を即座に停止すると、同電動ポンプ装置(オイルポンプ)から供給される油圧が急変する。その結果、油圧回路内で急激な油圧変動が生じることがあり、振動や異音が発生する虞があった。【0005】本発明は、上記問題点を解決するためになされたものであって、その目的は、振動や異音の発生を抑制することのできる電動ポンプ装置を提供することにある。
【課題を解決するための手段】【0006】上記目的を達成するため、請求項1に記載の発明は、油圧を発生させるオイルポンプと、前記オイルポンプを駆動するモータと、前記モータへの駆動電力の供給を通じて前記オイルポンプの作動を制御する制御装置とを備え、油圧作動機器に作動油を供給するための油圧回路に他のオイルポンプとともに設けられる電動ポンプ装置において、前記制御装置は、前記他のオイルポンプによる前記油圧作動機器への油圧供給が停止する場合に、前記油圧作動機器への油圧供給を補完すべく前記オイルポンプを作動させるものであって、前記制御装置は、前記油圧作動機器への油圧供給を前記オイルポンプから前記他のオイルポンプに切り替える際に、前記オイルポンプから供給される油圧を徐々に低下させる減圧制御を実行することを要旨とする。【0007】上記構成によれば、油圧作動機器への油圧供給を電動ポンプ装置のオイルポンプから他のオイルポンプに切り替える際に、減圧制御が実行されることによりオイルポンプから供給される油圧が徐々に低下されるため、電動ポンプ装置を即座に停止する場合と異なり、油圧回路において急激な油圧変動が生じることが抑制される。これにより、油圧作動機器への油圧供給が電動ポンプ装置から他のオイルポンプに円滑に切り替えられ、振動や異音が発生することを抑制できる。【0008】請求項2に記載の発明は、請求項1に記載の電動ポンプ装置において、前記モータは、センサレスタイプのブラシレスモータにより構成され、前記制御装置は、モータコイルに生じる誘起電圧に基づいてロータの回転位置を推定するものであって、前記制御装置は、前記他のオイルポンプにより前記油圧作動機器への油圧供給がなされる状態で、前記誘起電圧に基づいて前記回転位置を検出可能なモータ角速度を維持することを要旨とする。【0009】すなわち、ロータが停止した状態では誘起電圧が生じないため、センサレスタイプのブラシレスモータでは、ロータの回転位置にかかわらず、各モータコイルへの通電方向及び通電相を予め定められた順序で切り替えること(強制転流)により、ロータを強制的に回転させて起動する。そして、モータ角速度(ロータの回転速度)が上昇し、モータコイルに生じる誘起電圧に基づいてロータの回転位置が検出できるようになってから、油圧の制御が可能となる。そのため、モータを完全に停止させてしまうと、電動ポンプ装置から油圧を供給できるようになるまでに時間がかかってしまう。この点、上記構成によれば、他のオイルポンプにより油圧作動機器への油圧供給がなされている状態においても、モータ角速度が誘起電圧に基づいてロータの回転位置を検出可能な角速度に維持されるため、他のオイルポンプから油圧作動機器への油圧供給が低下した際に、速やかに電動ポンプ装置のオイルポンプから油圧を供給できるようになる。【0010】また、誘起電圧に基づいてロータの回転位置を検出可能な角速度に対応した油圧がオイルポンプから継続して供給されることになるため、同オイルポンプから供給される油圧がゼロになる場合に比べ、油圧作動機器への油圧供給を電動ポンプ装置から他のオイルポンプに切り替える際に油圧変動が生じることを抑制できる。
【発明の効果】【0011】本発明によれば、振動や異音の発生を抑制することのできる電動ポンプ装置を提供することができる。
【発明を実施するための形態】【0013】以下、本発明を具体化した一実施形態を図面に従って説明する。図1に示す電動ポンプ装置1は、一時停車時にエンジン2を自動停止する所謂アイドルストップ機能を備えた車両(図示略)に搭載されている。この電動ポンプ装置1は、エンジン2により駆動される他のオイルポンプとしてのメインポンプ3とともに、油圧作動機器である変速機構4(本実施形態では、無段変速機)に油圧(作動油)を供給するための油圧回路5に設けられている。そして、アイドリングストップ時等、エンジン2の停止時におけるメインポンプ3の代替として、変速機構4への油圧の供給を実行する。【0014】詳述すると、メインポンプ3は、エンジン2に駆動連結されており、同エンジン2の駆動により、オイルパン11から作動油を吸入して変速機構4に油圧を供給する。一方、電動ポンプ装置1は、油圧を発生させるオイルポンプ12と、オイルポンプ12を駆動するモータ13と、モータ13の作動を制御する制御装置としてのEOP(ElectricOilPump)ECU14とを備えている。そして、電動ポンプ装置1は、モータ13によってオイルポンプ12が駆動されることにより、オイルパン11から作動油を吸入して変速機構4に油圧を供給する。なお、オイルポンプ12の出口油路15には、その停止時における作動油の逆流を禁止する逆止弁16が設けられている。【0015】エンジン2には、上位ECU18が接続されている。上位ECU18には、車速やアクセル開度等の各種センサ値が入力されるようになっており、上位ECU18は、入力されるこれら各状態量に基づいてエンジン2及び変速機構4の作動を制御する。例えば、上位ECU18は、所定の停止条件が成立するとエンジン2を停止させるとともに、所定の再始動条件が成立するとエンジン2を再始動させるアイドルストップ制御を実行する。【0016】また、上位ECU18には、EOPECU14が接続されている。そして、EOPECU14は、上位ECU18からの制御信号に基づき、アイドルストップ時にモータ13を駆動してオイルポンプ12から変速機構4に油圧を供給する構成となっている。【0017】次に、電動ポンプ装置の電気的構成について説明する。図2に示すように、EOPECU14は、モータ13に三相の駆動電力を供給する駆動回路21と、駆動回路21にモータ制御信号を出力してモータ13を駆動するマイコン22とを備えている。なお、本実施形態では、EOPECU14は、120度(電気角)毎に通電相及び通電方向を切り替える120度矩形波通電により、モータ13に駆動電力を供給する。また、モータ13には、ロータ24の回転位置を検出する回転センサのないセンサレスタイプのブラシレスモータが採用されており、マイコン22は、各相のモータコイル25u,25v,25wに生じる誘起電圧に基づいてロータ24の回転位置を推定する。【0018】詳述すると、駆動回路21には、直列に接続された一対のスイッチング素子を基本単位(スイッチングアーム)として、各相のモータコイル25u,25v,25wに対応する3つのスイッチングアームを並列に接続してなる周知のPWMインバータが採用されている。つまり、マイコン22の出力するモータ制御信号は、この駆動回路21を構成する各相スイッチング素子のオン/オフ状態(各相スイッチングアームのデューティ比)を規定するものとなっている。そして、駆動回路21は、そのスイッチングパターンに対応する通電相、通電方向及びデューティ比に基づく駆動電力がモータ13に出力される構成となっている。【0019】マイコン22には、モータコイル25u,25v,25wの端子電圧Vu,Vv,Vwを検出する電圧センサ26u,26v,26wが接続されている。マイコン22は、電圧センサ26u,26v,26wにより検出される各モータコイル25u,25v,25wの誘起電圧(逆起電力)に基づいてロータ24の回転位置(回転角)を推定する。具体的には、誘起電圧が基準電位となる時点(ゼロクロス点)を検出する周知の方法により、ロータ24の回転位置を推定する。そして、マイコン22は、推定したロータ24の回転位置に応じてスイッチングパターンを決定する。【0020】また、マイコン22には、モータ13に通電される実電流値Iを検出する電流センサ27及び上位ECU18が接続されている。マイコン22は、上位ECU18から出力される制御信号に含まれる電流指令値I*に実電流値Iを追従させるべくフィードバック制御を実行することにより、電流指令値I*と実電流値Iとの偏差に応じたデューティ比を決定する。そして、マイコン22は、このように決定したスイッチングパターン及びデューティ比を示すモータ制御信号を駆動回路21に出力する。これにより、駆動回路21からモータ13に三相の駆動電力が供給され、モータ13が駆動することによりオイルポンプ12から油圧が供給されるようになっている。【0021】次に、本実施形態における変速機構4へ油圧を供給するポンプの切り替えについて説明する。アイドルストップ時には、エンジン2が停止しており、メインポンプ3から油圧が供給されないため、上位ECU18からオイルポンプ12により変速機構4への油圧供給を実行する旨の制御信号がEOPECU14に出力される。そして、EOPECU14は当該制御信号(電流指令値I*)に基づいてモータ13を駆動させて油圧Poを供給する。一方、エンジン2が再始動してメインポンプ3から供給される油圧Pmが所定値を超えると、上位ECU18からオイルポンプ12による油圧供給を停止させる旨の制御信号がEOPECU14に出力され、変速機構4への油圧供給が電動ポンプ装置1からメインポンプ3に切り替わる。【0022】ここで、電動ポンプ装置1(オイルポンプ12)が上位ECUからの制御信号を受けて即座に停止すると、電動ポンプ装置1が供給していた油圧が急激に低下することで、油圧回路5内で急激な油圧変動が生じ、振動や異音が発生する虞がある。【0023】この点を踏まえ、EOPECU14は、上位ECU18からモータ13を停止する旨の制御信号が入力されても、モータ13の作動を即座に停止せず、オイルポンプ12から供給される油圧Poを徐々に低下させる減圧制御を実行する。具体的には、本実施形態の減圧制御では、オイルポンプ12から供給される油圧Poの低下速度を連続的に変更しながら、同油圧Poを低下させる。そして、EOPECU14は、メインポンプ3により変速機構4への油圧供給がなされる状態で、モータ角速度(ロータ24の回転速度)ωがモータコイル25u,25v,25wに生じる誘起電圧に基づいてロータ位置を検出可能なスタンバイ角速度ωsで維持されるようにしている。【0024】このように構成された電動ポンプ装置1では、図3に示すように、時刻tにおいて、上位ECU18からオイルポンプ12による油圧供給を停止する旨の制御信号がEOPECU14に入力されると、減圧制御が実行され、オイルポンプ12から供給される油圧Poが徐々に低下する。そして、スタンバイ角速度ωsで回転するモータ13によりオイルポンプ12から供給されるスタンバイ油圧Posまで低下すると、同スタンバイ油圧Posで維持される。【0025】以上記述したように、本実施形態によれば、以下の作用効果を奏することができる。(1)EOPECU14は、変速機構4への油圧供給をオイルポンプ12からエンジン2により駆動されるメインポンプ3に切り替える際に、同オイルポンプ12から供給される油圧Poが徐々に低下する減圧制御を実行するようにした。【0026】上記構成によれば、減圧制御が実行されることにより電動ポンプ装置1のオイルポンプ12から供給される油圧Poが徐々に低下されるため、電動ポンプ装置1を即座に停止する場合と異なり、油圧回路5において急激な油圧変動が生じることが抑制される。これにより、変速機構4への油圧供給が電動ポンプ装置1からメインポンプ3に円滑に切り替えられ、振動や異音が発生することを抑制できる。【0027】(2)モータ13をセンサレスタイプのブラシレスモータにより構成した。そして、EOPECU14は、モータ角速度ωをモータコイル25u,25v,25wに生じる誘起電圧に基づいてロータ24の回転位置を検出可能なスタンバイ角速度ωsで維持するようにした。【0028】すなわち、ロータ24が停止した状態では誘起電圧が生じないため、センサレスタイプのブラシレスモータでは、ロータ24の回転位置にかかわらず、各モータコイル25u,25v,25wへの通電方向及び通電相を予め定められた順序で切り替えること(強制転流)により、ロータ24を強制的に回転させて起動する。そして、モータ角速度ωが上昇し、モータコイル25u,25v,25wに生じる誘起電圧に基づいてロータ24の回転位置が検出できるようになってから、油圧の制御が可能となる。そのため、モータ13を完全に停止させてしまうと、電動ポンプ装置1から油圧を供給できるようになるまでに時間がかかってしまう。この点、上記構成によれば、メインポンプ3により変速機構4への油圧供給がなされている状態においても、モータ角速度ωが誘起電圧に基づいてロータ24の回転位置を検出可能なスタンバイ角速度ωsに維持されるため、メインポンプ3から変速機構4への油圧供給が低下した際に、速やかに電動ポンプ装置1から油圧を供給できるようになる。【0029】また、スタンバイ角速度ωsに対応したスタンバイ油圧Posがオイルポンプ12から継続して供給されることになるため、同オイルポンプ12から供給される油圧Poがゼロになる場合に比べ、変速機構4への油圧供給を電動ポンプ装置1からメインポンプ3に切り替える際に油圧変動が生じることを抑制できる。【0030】(3)EOPECU14は、オイルポンプ12から供給される油圧Poの低下速度を連続的に変更するため、好適に油圧変動を抑制することができる。なお、上記実施形態は、これを適宜変更した以下の態様にて実施することもできる。【0031】・上記実施形態では、オイルポンプ12から供給される油圧Poの低下速度を連続的に変更しながら同油圧Poを低下させたが、これに限らず、一定の低下速度で油圧Poをスタンバイ油圧Posまで低下させ、同スタンバイ油圧Posを維持するようにしてもよい。また、油圧Poが徐々に低下すれば、どのような態様で減圧制御を実行してもよい。【0032】例えば図4に示すように、オイルポンプ12から供給される油圧Poを低下させる途中の所定油圧で一時的に保持するようにしてもよい。また、所定油圧を複数設定し、ステップ状に油圧を低下させるようにしてもよい。このように油圧Poを低下させる途中で一時的に保持しながら段階的に低下させることで、油圧回路5において急激な油圧変動が生じることを確実に抑制できる。【0033】また、例えば図5に示すように、オイルポンプ12から供給される油圧Poを一旦上昇させてから低下させるようにしてもよい。このように構成することで、減圧制御の実行時に、メインポンプ3から供給される油圧Pmが油圧Poよりも高くなっていても、これら各油圧Po,Pmを近づけることができ、好適に油圧変動を抑制することができる。【0034】さらに、例えばオイルポンプ12から供給される油圧Poを一旦上昇させてから段階的に低下させる等、図3~図5に示す油圧Poの低下態様を組み合わせてもよい。・上記実施形態では、EOPECU14は、メインポンプ3により変速機構4への油圧供給がなされている状態においても、モータ角速度ωがスタンバイ角速度ωsで維持されるようにしたが、これに限らず、モータ13を完全に停止するようにしてもよい。【0035】・上記実施形態では、減圧制御を実行した後に、モータ角速度ωをスタンバイ角速度ωsで維持するようにした。しかし、これに限らず、変速機構4への油圧供給をオイルポンプ12からメインポンプ3に切り替える際に、モータ角速度ωが即座にスタンバイ角速度ωsとなるようにモータ13を制御し、同モータ角速度ω(スタンバイ油圧Pos)を維持するようにしてもよい。このように構成しても、上記実施形態の(2)に準じた作用効果を奏することができる。【0036】・上記実施形態では、本発明をエンジン2より駆動されるメインポンプ3とともに油圧回路5に接続される電動ポンプ装置1に適用したが、これに限らず、メインポンプ3以外のポンプとともに油圧回路に接続される電動ポンプ装置に適用してもよい。また、油圧回路5に電動ポンプ装置1以外のポンプを複数設けるようにしてもよい。【0037】次に、上記実施形態及び別例から把握できる技術的思想について、それらの効果とともに以下に追記する。(イ)請求項1又は2に記載の電動ポンプ装置において、前記減圧制御では、前記オイルポンプから供給される油圧の低下速度を変更することを特徴とする電動ポンプ装置。上記構成によれば、好適に油圧変動を抑制することができる。【0038】(ロ)請求項1又は2、上記(イ)のいずれか一項に記載の電動ポンプ装置において、前記減圧制御では、前記オイルポンプから供給される油圧を一時的に保持しながら段階的に該油圧を低下させることを特徴とする電動ポンプ装置。上記構成によれば、油圧回路において急激な油圧変動が生じることを確実に抑制できる。【0039】(ハ)請求項1又は2、上記(イ)、(ロ)のいずれか一項に記載の電動ポンプ装置において、前記減圧制御では、前記オイルポンプから供給される油圧を低下させる前に、該油圧を一旦上昇させることを特徴とする電動ポンプ装置。上記構成によれば、他のオイルポンプから供給される油圧が電動ポンプ装置のオイルポンプから供給される油圧よりも高くなっていても、オイルポンプから供給される油圧を低下させる際に、各ポンプから供給される油圧を近づけることができ、好適に油圧変動を抑制することができる。【0040】(二)油圧を発生させるオイルポンプと、前記オイルポンプを駆動するモータと、前記モータへの駆動電力の供給を通じて前記オイルポンプの作動を制御する制御装置とを備え、油圧作動機器に作動油を供給するための油圧回路に他のオイルポンプとともに設けられる電動ポンプ装置において、前記制御装置は、前記他のオイルポンプによる前記油圧作動機器への油圧供給が停止する場合に、前記油圧作動機器への油圧供給を補完すべく前記オイルポンプを作動させるものであり、前記モータは、センサレスタイプのブラシレスモータにより構成され、前記制御装置は、モータコイルに生じる誘起電圧に基づいてロータの回転位置を推定するものであって、前記制御装置は、前記他のオイルポンプにより前記油圧作動機器への油圧供給がなされる状態で、前記誘起電圧に基づいて前記回転位置を検出可能なモータ角速度を維持することを特徴とする電動ポンプ装置。上記構成によれば、請求項2と同様の作用効果を奏することができる。