source_text
stringlengths 727
31.6k
| target_text
stringlengths 567
11.4k
|
---|---|
Generative AI Specialist, Blackbelt, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job As a Generative Artificial Intelligence (AI) Specialist, you will work with Product Development and Technical Sales teams as an Generative AI subject matter expert to bring Google Cloud AI products to customers and partners. In this role, you will help prospective customers and partners understand the power of Google AI, explain technical features, help customers design architectures, build AI powered applications, and problem-solve any potential roadblocks. You will also have the opportunity to help customers leverage Google Cloud’s Generative AI services, including Large Language Models and specialized Machine Learning (ML) hardware developed by Google, called Tensor Processing Unit. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Work with the team to identify and qualify business opportunities, understand key customer technical objections, and develop the strategy to resolve technical blockers. Provide AI expertise to support the technical relationship with Google’s customers, manage product and solution briefings, create demos, proof-of-concept work, and partner directly with product management to prioritize solutions impacting customer adoption to Google Cloud. Recommend integration strategies, enterprise architectures, platforms, and application infrastructure required to implement a complete solution on Google Cloud. Support developers, creators, and enterprises to leverage Google’s Generative Language APIs so they can build their own AI products in the future. Travel to customer sites, conferences, and other related events as needed. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Generative AI Specialist, Blackbelt, Google Cloud", "level": "Mid", "location": "Bengaluru, Karnataka, India", "description": "Our products are engineered for security, reliability and scalability, running the full stack from infrastructure to applications to devices and hardware. Our teams are dedicated to helping our customer developers, small and large businesses, educational institutions and government agencies. As part of an entrepreneurial team in this rapidly growing business, you will play a key role in understanding the needs of our customers and help shape the future of businesses of all sizes use technology to connect with customers, employees, and partners.", "key_qualifications": "Bachelor's degree in Computer Science or equivalent practical experience. 9 years of experience serving in the capacity of a technical Solution Architect in a cloud computing environment or a customer-facing role. Experience with Large Language Models (LLMs), Open Source, Big Data, Machine Learning, and numerical programming frameworks. Experience with AI products, technologies, and infrastructure.", "preferred_qualifications": "Master's degree in Computer Science or a related technical field. Experience with building AI and machine learning solutions, Machine Learning Operation frameworks (e.g., Kubeflow), and leveraging specific machine learning architectures (e.g., deep learning, LSTM, etc.). Experience architecting and developing software or infrastructure for scalable, distributed systems and with machine learning technologies. Understanding of AI Models, Large Language Models, and AI specialized infrastructure as it relates to AI trends and issues within businesses. Understanding of responsible AI practice. Ability to learn, understand, and work with new emerging technologies, methodologies, and solutions in the Cloud/IT technology space.", "responsibilities": "Work with the team to identify and qualify business opportunities, understand key customer technical objections, and develop the strategy to resolve technical blockers. Provide AI expertise to support the technical relationship with Google\u2019s customers, manage product and solution briefings, create demos, proof-of-concept work, and partner directly with product management to prioritize solutions impacting customer adoption to Google Cloud. Recommend integration strategies, enterprise architectures, platforms, and application infrastructure required to implement a complete solution on Google Cloud. Support developers, creators, and enterprises to leverage Google\u2019s Generative Language APIs so they can build their own AI products in the future. Travel to customer sites, conferences, and other related events as needed.", "company": "google"} |
Manager, Government Affairs and Public Policy (English, Spanish) share link link Copy link email email Email a friend corporate_fare Google place Santiago, Chile bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Please submit your resume in English - we can only consider applications submitted in this language. Please submit your resume in English - we can only consider applications submitted in this language. Please submit your resume in English - we can only consider applications submitted in this language. Minimum qualifications: Preferred qualifications: About the job As a member of Google’s Government Affairs and Public Policy team, you'll be part of a diverse global government affairs team, working across regions, product areas, and functions. You’ll combine creativity and intellectual excellence with the organizational skills to manage various campaigns, projects and initiatives. In this role, you’ll advocate for Google to bring external perspectives back into the company to inform our perception and direction. You're passionate about the opportunity to shape the future of how we use and build technology for everyone. In this role, you will engage with multilateral organizations, governments, and third-parties, and lead regional and cross-border initiatives to advance Google’s policy priorities. You will work collaboratively with the Government Affairs and Public Policy global and regional teams. Google takes its responsibilities seriously, including engaging with government and other stakeholders on important public policy challenges. The Government Affairs and Public Policy (GAPP) team leads the company’s engagement with executive branch officials, legislators, regulators and third-parties in the formation of public policy. Working closely with Google leaders, GAPP seeks to identify key policy issues, listen carefully to others’ views and opinions, and distill and share the company’s perspective on those issues with external stakeholders. While we focus on challenges affecting the internet, our issue areas are increasingly broad and encompass many areas where public policy, business, and technology intersect. Responsibilities Develop and lead public policy campaigns across the full range of policy areas that impact Google and the web. Develop and lead high-impact projects and strategic initiatives with multilateral organizations, and other regional stakeholders (including civil society and industry groups) to advance Google’s policy and business priorities. Engage with multilateral organizations, policymakers, industry players, think tanks, civil society, and key opinion formers to shape policy and political dialogue on Google’s policy efforts. Work closely with Legal, Communications, Marketing, and other teams across Google to execute programs on policy priorities. Monitor, research, identify, and analyze current and emerging public policy issues, considering how Google should work with allied organizations and industries. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Manager, Government Affairs and Public Policy (English, Spanish)", "level": "Advanced", "location": "Santiago, Chile", "description": "As a member of Google\u2019s Government Affairs and Public Policy team, you'll be part of a diverse global government affairs team, working across regions, product areas, and functions. You\u2019ll combine creativity and intellectual excellence with the organizational skills to manage various campaigns, projects and initiatives. In this role, you\u2019ll advocate for Google to bring external perspectives back into the company to inform our perception and direction. You're passionate about the opportunity to shape the future of how we use and build technology for everyone.", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 10 years of experience with digital policy issues within multilateral organizations, government, think tanks, regulatory agencies, corporate public policy teams, or relevant industry associations. Ability to communicate in English and Spanish fluently to engage with local stakeholders.", "preferred_qualifications": "Experience advising and collaborating with cross-functional stakeholders on policy strategies. Experience working with or in government, NGOs, regulatory agencies, or corporate public policy teams. Experience advocating for digital-related policies: trade, privacy, AI, Cloud, digital/audiovisual content, digital market competition and regulation, digital economy. Knowledge of Internet technologies and the policy environments that surround them. Understanding of the Latin American political landscape including multilateral organizations, trade associations, and think tanks operating in the region. Excellent leadership skills, with the ability to build partnerships and drive forward complex initiatives involving multiple internal or external stakeholders.", "responsibilities": "Develop and lead public policy campaigns across the full range of policy areas that impact Google and the web. Develop and lead high-impact projects and strategic initiatives with multilateral organizations, and other regional stakeholders (including civil society and industry groups) to advance Google\u2019s policy and business priorities. Engage with multilateral organizations, policymakers, industry players, think tanks, civil society, and key opinion formers to shape policy and political dialogue on Google\u2019s policy efforts. Work closely with Legal, Communications, Marketing, and other teams across Google to execute programs on policy priorities. Monitor, research, identify, and analyze current and emerging public policy issues, considering how Google should work with allied organizations and industries.", "company": "google"} |
Senior Software Engineer, Infrastructure, Google Cloud AI share link link Copy link email email Email a friend corporate_fare Google place Kirkland, WA, USA ; Sunnyvale, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Kirkland, WA, USA; Sunnyvale, CA, USA Minimum qualifications: Bachelor’s degree or equivalent practical experience. 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. 3 years of experience testing, maintaining, or launching software products, and 1 year of experience with software design and architecture. Preferred qualifications: Master's degree or PhD in Computer Science or related technical field. 1 year of experience in a technical leadership role. Experience developing accessible technologies. About the job Responsibilities Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Software Engineer, Infrastructure, Google Cloud AI", "level": "Mid", "location": "Kirkland, WA, USA; Sunnyvale, CA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.Google Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "salary": "$161,000-$239,000 + bonus + equity + benefits", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. 3 years of experience testing, maintaining, or launching software products, and 1 year of experience with software design and architecture. 3 years of experience developing large-scale infrastructure, distributed systems or networks, or experience with compute technologies, storage or hardware architecture.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field. 1 year of experience in a technical leadership role. Experience developing accessible technologies.", "responsibilities": "Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Security Engineer, Infrastructure Protection share link link Copy link email email Email a friend corporate_fare Google place Hyderabad, Telangana, India bar_chart bar_chart Early Early Early Experience completing work as directed, and collaborating with teammates; developing knowledge of relevant concepts and processes. Apply share link link Copy link email email Email a friend Minimum qualifications: 1 year of experience with security in attacks and mitigation methods. 1 year of experience with security in attacks and mitigation methods. 1 year of experience with security in attacks and mitigation methods. Preferred qualifications: Knowledge of federated authentication/authorization technologies (e.g., SAML, SCIM, OIDC). Knowledge of networking concepts (e.g., protocols, topologies, encryption). About the job The Enterprise Infrastructure Protection (EIP) team is responsible for protecting Google's enterprise environment from advanced attackers. The teams engineers design and build innovative ways to protect the diverse set of client, mobile, and server platforms the enterprise runs on, as well as ensuring the security of the underlying management and access infrastructure. The Enterprise Infrastructure Protection (EIP) team is responsible for protecting Google's enterprise environment from advanced attackers. The teams engineers design and build innovative ways to protect the diverse set of client, mobile, and server platforms the enterprise runs on, as well as ensuring the security of the underlying management and access infrastructure. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Security Engineer, Infrastructure Protection", "level": "", "location": "Hyderabad, Telangana, India", "description": "There's no such thing as a \"safe system\" - only safer systems. Our Security team works to create and maintain the safest operating environment for Google's users and developers. As a Security Engineer, you help protect network boundaries, keep computer systems and network devices hardened against attacks and provide security services to protect highly sensitive data like passwords and customer information. Security Engineers work directly with network equipment and actively monitor our systems for attacks and intrusions. You also work with software engineers to proactively identify and fix security flaws and vulnerabilities.", "key_qualifications": "Bachelor's degree in Computer Science, a related technical field, or equivalent practical experience.\n1 year of experience with security in attacks and mitigation methods.", "preferred_qualifications": "Master's degree in Computer Science or a related field.\nExperience coding in one or more languages (e.g., Python, Go, SQL, JavaScript).\nKnowledge of federated authentication/authorization technologies (e.g., SAML, SCIM, OIDC).\nKnowledge of networking concepts (e.g., protocols, topologies, encryption).\nAbility to design and develop new security control implementations.\nAbility to perform technical security assessments of systems.", "responsibilities": "Provide security and guidance to a diverse set of Google engineering and business teams.\nConduct security reviews of core corporate and production infrastructure.\nDrive enterprise focused security improvements to Google products and services.\nBuild security tools and processes using Python or Go for infrastructure protection, monitoring, and remediation.", "company": "google"} |
Head of Silicon Operations, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Taipei, Taiwan bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Google welcomes people with disabilities. Google welcomes people with disabilities. Minimum qualifications: Preferred qualifications: About the job Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible. Responsibilities d Demonstrate leadership and strategy development. Formulate strategic plans to optimize production efficiency, quality, and cost-effectiveness. Lead and mentor a team of technicians and other manufacturing personnel. Demonstrate leadership and strategy development. Formulate strategic plans to optimize production efficiency, quality, and cost-effectiveness. Lead and mentor a team of technicians and other manufacturing personnel. Demonstrate leadership and strategy development. Formulate strategic plans to optimize production efficiency, quality, and cost-effectiveness. Lead and mentor a team of technicians and other manufacturing personnel. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Head of Silicon Operations, Google Cloud", "level": "Advanced", "location": "Taipei, Taiwan", "description": "Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.", "key_qualifications": "Bachelor's degree in Electrical, Process, or Manufacturing Engineering, or equivalent practical experience. 12 years of experience in semiconductor manufacturing engineering roles. 10 years of experience in people management.", "preferred_qualifications": "Experience overseeing all aspects of silicon manufacturing operations, from wafer fabrication to final testing and packaging. Experience developing and executing strategic plans to optimize production efficiency, yield, quality, and cost-effectiveness in a high-volume manufacturing environment. Experience managing supplier relationships, negotiating agreements, and ensuring timely delivery of materials, while maintaining a focus on cost control and continuous quality improvement. Experience leading diverse teams of engineers, technicians, and other manufacturing personnel. Excellent leadership and mentoring skills.", "responsibilities": "Drive production management, delivering production schedules while maintaining high product quality and yield. Identify and resolve manufacturing bottlenecks and issues. Lead supplier management by maintaining relationships with foundry partners and other external suppliers. Implement agreements and ensure timely delivery of production materials. Own cost control, including manufacturing budgets, cost-saving opportunities and implementing efficiency measures. Be responsible for Quality Assurance (QA) by implementing robust quality control processes and ensuring compliance with industry standards. Drive continuous improvement and manage customer returns. Demonstrate leadership and strategy development. Formulate strategic plans to optimize production efficiency, quality, and cost-effectiveness. Lead and mentor a team of technicians and other manufacturing personnel.", "company": "google"} |
Senior Systems Software Engineer, GPU, Platforms share link link Copy link email email Email a friend corporate_fare Google place Taipei, Taiwan bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Google welcomes people with disabilities. Google welcomes people with disabilities. Minimum qualifications: Preferred qualifications: About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. Platforms Engineering performs research, design and development for the hardware, software and networking technologies that power all of Google's products and services. We constantly work on solutions not only to deliver the next generation compute, storage and networking products for the Google worldwide data centers, but also to counter the implications of Moore’s Law. Platforms offers impactful projects at every level of the technology stack, from the chip level to distributed systems design and software development. The GPU System Software team is responsible for building quality GPU compute solutions that power various Google services such as Google Cloud, YouTube, DeepMind, etc. We also maintain the systems deployed in the data centers with state of the art reliability monitoring services, kernel rollouts, firmware and driver upgrades. Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Systems Software Engineer, GPU, Platforms", "level": "Mid", "location": "Taipei, Taiwan", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward.", "key_qualifications": "Bachelor's degree in Computer Science, Electrical Engineering, or equivalent practical experience. 10 years of experience in system software development (e.g., embedded Linux, device drivers, system development, firmware, etc.). Experience coding in C or C++. Experience with Unix/Linux environments.", "preferred_qualifications": "Master's degree or PhD in Computer Science, Electrical Engineering, or a related technical field. 6 years of experience in designing and developing device drivers for peripherals such as GPUs, Switches, and connectivity buses such as USB, PCIe, etc. Ability to build tools to enable automation of tests to ship high quality systems. Excellent coding skills in C/C++.", "responsibilities": "Design, develop and maintain the system software stack for Graphics Processing Unit (GPU) system software. Provide technical leadership to help formulate and drive software development plans. Help identify dependencies in cross-functional teams and drive New Product Introduction (NPI) execution with a focus on development velocity and quality. Set technical direction and priorities for a small number of adjacent engineers within and across teams and recommend solutions that consider both short and long-term goals. Drive System Software integration to enable next generation GPU Accelerators for Google Data Center.", "company": "google"} |
Senior Technical Solutions Consultant, Android Business Communications share link link Copy link email email Email a friend corporate_fare Google place San Jose, CA, USA ; Bellevue, WA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X San Jose, CA, USA; Bellevue, WA, USA Minimum qualifications: Preferred qualifications: About the job Android is Google’s open-source mobile operating system powering more than 3 billion devices worldwide. Android is about bringing computing to everyone in the world. We believe computing is a super power for good, enabling access to information, economic opportunity, productivity, connectivity between friends and family and more. We think everyone in the world should have access to the best computing has to offer. We provide the platform for original equipment manufacturers (OEMs) and developers to build compelling computing devices (smartphones, tablets, TVs, wearables, etc) that run the best apps/services for everyone in the world. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Technical Solutions Consultant, Android Business Communications", "level": "Mid", "location": "San Jose, CA, USA; Bellevue, WA, USA", "description": "As a Technical Solutions Consultant, you will be responsible for the technical relationship of our largest advertising clients and/or product partners. You will lead cross-functional teams in Engineering, Sales and Product Management to leverage emerging technologies for our external clients/partners. From concept design and testing to data analysis and support, you will oversee the technical execution and business operations of Google's online advertising platforms and/or product partnerships. Android is Google\u2019s open-source mobile operating system powering more than 3 billion devices worldwide.", "key_qualifications": "Bachelor\u2019s degree in Engineering, Computer Science, a related field, or equivalent practical experience. 5 years of experience in technical project management, stakeholder management, professional services, solution engineering or technical consulting, and 1 year of experience in technical leadership. 1 year of experience in technical troubleshooting and writing code in one or more programming languages.", "preferred_qualifications": "Master\u2019s degree in Engineering, Computer Science, Business, or a related field. 5 years of experience with Rich Communication Services (RCS), IP Messaging, SIP and any other communication protocols. Experience in internet technologies such as HTTP, TCP/IP, HTML, JavaScript, and/or Unix/Linux. Experience with mobile technology solutions/applications, especially iOS.", "responsibilities": "Build and maintain strategic relationships with executive stakeholders in customer and/or partner organizations to deliver or manage quality technical solutions and services. Provide feedback on Product Requirement Documents (PRDs) and design documents, and lead PRDs, particularly around the developer experience. Scale existing or create repeatable solutions (e.g., best practices recommendations, tutorials, blog articles, sample code) and ensure effective documentation of repeatable solutions. Drive solution code development in collaboration with internal or external developers, users, partners, clients, stakeholders as needed. Review Product Requirement Documents (PRDs) to record product specifications, validate PRDs to ensure customer/partner and internal needs are met.", "company": "google"} |
Software Engineer III, Infrastructure, Gemini share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor’s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting. 2 years of experience with data structures or algorithms in either an academic or industry setting. Preferred qualifications: Master's degree or PhD in Computer Science or related technical fields. Experience developing accessible technologies. Proficiency in code and system health, diagnosis and resolution, and software test engineering. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. A conversational AI tool that enables users to collaborate with generative AI and help augment their imagination, expand their curiosity, and enhance their productivity. Responsibilities Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer III, Infrastructure, Gemini", "level": "Mid", "location": "Mountain View, CA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. A conversational AI tool that enables users to collaborate with generative AI and help augment their imagination, expand their curiosity, and enhance their productivity. The US base salary range for this full-time position is $136,000-$200,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Please note that the compensation details listed in US role postings reflect the base salary only, and do not include bonus, equity, or benefits. Learn more about benefits at Google.", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting. 2 years of experience with data structures or algorithms in either an academic or industry setting. 2 years of experience with developing large-scale infrastructure, distributed systems or networks, or experience with compute technologies, storage or hardware architecture.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical fields. 2 years of experience with performance, large scale systems data analysis, visualization tools, or debugging. Experience developing accessible technologies. Proficiency in code and system health, diagnosis and resolution, and software test engineering.", "responsibilities": "Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Software Engineer III, Natural Language Processing, Google Cloud AI share link link Copy link email email Email a friend corporate_fare Google place Sunnyvale, CA, USA ; Kirkland, WA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Sunnyvale, CA, USA; Kirkland, WA, USA Minimum qualifications: Bachelor’s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting. 2 years of experience with data structures or algorithms in either an academic or industry setting. Experience processing and understanding natural language and large language models. Experience with algorithms that uses deep learning techniques and large data sets to understand, summarize, generate, and predict new content. Preferred qualifications: Master's degree or PhD in Computer Science or related technical fields. Experience developing accessible technologies. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. The US base salary range for this full-time position is $136,000-$200,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer III, Natural Language Processing, Google Cloud AI", "level": "Mid", "location": "Sunnyvale, CA, USA; Kirkland, WA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward.\n\nWith your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.\n\nGoogle Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "salary": "$136,000-$200,000 + bonus + equity + benefits", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting. 2 years of experience with data structures or algorithms in either an academic or industry setting. Experience processing and understanding natural language and large language models. Experience with algorithms that uses deep learning techniques and large data sets to understand, summarize, generate, and predict new content.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical fields. 2 years of experience working in a complex, matrixed organization involving cross-functional, and/or cross-business projects. Experience developing accessible technologies.", "responsibilities": "Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Principal Engineer, AI Data Sourcing, Generation and Value share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA ; Sunnyvale, CA, USA bar_chart Director+ Apply share link link Copy link email email Email a friend info_outline info_outline X Mountain View, CA, USA; Sunnyvale, CA, USA Minimum qualifications: Preferred qualifications: About the job AI is evolving rapidly; the caliber and diversity of training and evaluation data and the ability to respond quickly to emerging trends to enable product impact are differentiating factors against the competition. Google's unique advantage and differentiating edge lie in its vast repository of data to which it has access. The transformative potential of generative AI is contingent upon the availability and quality of data used for training, tuning, evaluating, and Google's ability to iterate quickly for market responsiveness and innovation speed. In this role, you will be responsible for strategic and technical leadership for the critical area of acquiring high-quality data for training GenAI models.You'll focus on design and development of systems for catering to different stages of GenAI data - pre-training, SFT/RLHF, production data flywheel – optimizing for high-quality data that results in differentiated model capabilities. You will work closely with GDM, Research, and other infrastructure teams, in addition to cross-functional collaboration with different product teams. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. The US base salary range for this full-time position is $278,000-$399,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Principal Engineer, AI Data Sourcing, Generation and Value", "level": "Director+", "location": "Mountain View, CA, USA; Sunnyvale, CA, USA", "description": "AI is evolving rapidly; the caliber and diversity of training and evaluation data and the ability to respond quickly to emerging trends to enable product impact are differentiating factors against the competition. Google's unique advantage and differentiating edge lie in its vast repository of data to which it has access. The transformative potential of generative AI is contingent upon the availability and quality of data used for training, tuning, evaluating, and Google's ability to iterate quickly for market responsiveness and innovation speed. In this role, you will be responsible for strategic and technical leadership for the critical area of acquiring high-quality data for training GenAI models. You'll focus on design and development of systems for catering to different stages of GenAI data - pre-training, SFT/RLHF, production data flywheel \u2013 optimizing for high-quality data that results in differentiated model capabilities. You will work closely with GDM, Research, and other infrastructure teams, in addition to cross-functional collaboration with different product teams. Google Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. The US base salary range for this full-time position is $278,000-$399,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Please note that the compensation details listed in US role postings reflect the base salary only, and do not include bonus, equity, or benefits. Learn more about benefits at Google.", "key_qualifications": "Bachelor's degree in Computer Science, Mathematics, other relevant Engineering field, or equivalent practical experience. 15 years of experience as a Software Engineering leader in ML Infrastructure, ML or AI for products, or related fields. Experience with large-scale machine learning systems.", "preferred_qualifications": "Experience working with stakeholders to understand their needs and translate them into technical requirements. Experience with developing/innovating technology at scale, and passion for development and use of cross-platform shared code. Understanding of GenAI model development from pre-training to product fine-tuning, use-case specific definition of high-quality data, and pragmatically balancing trade-offs for research, privacy, and product usage. Understanding of ML systems and infrastructure for production, with technical knowledge to be credible with customers and engineers. Ability to balance detailed, technical guidance with big picture strategy, enabling teams to deliver effective products and creating ways to manage data.", "responsibilities": "Lead technical design for sourcing and generating data across different phases of model development creating a data flywheel from foundational model to products. Work with partners from Google DeepMind and Google Research (e.g. for latest techniques for synthetic data creation), product areas (e.g., Ads, Search, YouTube, Cloud, etc.), and other infrastructure teams (e.g., GDM data teams, Core Data, Core PSS) to develop joint roadmaps and drive outcomes. Work with various cross-functional teams including Data Science, Data Operations, and Product Managers/Customer Leads in defining quality/value attributes for data assets in a scalable way. Mentor and train other Technical Leads on the team working in this space. Keep the team current with state-of-the-art knowledge across the company and industry to help prioritize technical innovation accordingly.", "company": "google"} |
Product Manager, Looker share link link Copy link email email Email a friend corporate_fare Google place Hyderabad, Telangana, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Ability to craft a coherent product goal, multi-quarter strategy and roadmap. Ability to craft a coherent product goal, multi-quarter strategy and roadmap. Ability to craft a coherent product goal, multi-quarter strategy and roadmap. Ability to craft a coherent product goal, multi-quarter strategy and roadmap. About the job Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Build an understanding of our most demanding enterprise customers requirements for data analytics and business intelligence. Build an understanding of our most demanding enterprise customers requirements for data analytics and business intelligence. Build an understanding of our most demanding enterprise customers requirements for data analytics and business intelligence. Drive adoption and awareness through enabling our field Sales and Marketing teams. Drive adoption and awareness through enabling our field Sales and Marketing teams. Drive adoption and awareness through enabling our field Sales and Marketing teams. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Product Manager, Looker", "level": "Mid", "location": "Hyderabad, Telangana, India", "description": "At Google, we put our users first. The world is always changing, so we need Product Managers who are continuously adapting and excited to work on products that affect millions of people every day. In this role, you will work cross-functionally to guide products from conception to launch by connecting the technical and business worlds. You can break down complex problems into steps that drive product development. One of the many reasons Google consistently brings innovative, world-changing products to market is because of the collaborative work we do in Product Management. Our team works closely with creative engineers, designers, marketers, etc. to help design and develop technologies that improve access to the world's information. We're responsible for guiding products throughout the execution cycle, focusing specifically on analyzing, positioning, packaging, promoting, and tailoring our solutions to our users. As a Product Manager in the Looker team, you will work on Business Intelligence (BI) products from conception to launch spanning all data users across analysts, administrators and business users. You will differentiate our BI offering through a unified portfolio that brings the best of Looker and Looker Studio to our customers. Google Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "key_qualifications": "8 years of experience in product management. Experience building enterprise Software-as-a-Service (SaaS) products.", "preferred_qualifications": "Experience with data analytics/Business Intelligence (BI) platforms. Experience working with external partners and executing multiple projects across the full software development lifecycle, including working cross-functionally with stakeholders. Ability to collaborate with engineers in developing the technical architecture of the products. Ability to craft a coherent product goal, multi-quarter strategy and roadmap. Ability to deliver products working across various functions and partners.", "responsibilities": "Build an understanding of our most demanding enterprise customers requirements for data analytics and business intelligence. Define the strategy and prioritize the product roadmap, working with Engineering, Sales, Support, and other cross-functional teams. Define, measure, and improve key metrics for the product that translate the success and growth of our enterprise customer base (e.g., satisfaction, adoption). Execute the full lifecycle of shipping new features and other total product initiatives, from requirements through to optimizing for landing and achieving our success metrics. Drive adoption and awareness through enabling our field Sales and Marketing teams.", "company": "google"} |
Technical Program Manager, Payment Platforms share link link Copy link email email Email a friend corporate_fare Google place Hyderabad, Telangana, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor's degree in Engineering, or equivalent practical experience. Preferred qualifications: About the job Whether it is paying online with Autofill, using tap and pay in stores, or using the Google Pay app, the Payments team at Google is focused on making payments simple, seamless, and secure. In addition to consumer payment technologies, the Payments team also powers the money movement between Google and its consumers and businesses. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Technical Program Manager, Payment Platforms", "level": "Mid", "location": "Hyderabad, Telangana, India", "description": "A problem isn\u2019t truly solved until it\u2019s solved for all. That\u2019s why Googlers build products that help create opportunities for everyone, whether down the street or across the globe. As a Technical Program Manager at Google, you\u2019ll use your technical expertise to lead complex, multi-disciplinary projects from start to finish. You\u2019ll work with stakeholders to plan requirements, identify risks, manage project schedules, and communicate clearly with cross-functional partners across the company. You're equally comfortable explaining your team's analyses and recommendations to executives as you are discussing the technical tradeoffs in product development with engineers.\n\nOur goal is to build a Google that looks like the world around us \u2014 and we want Googlers to stay and grow when they join us. As part of our efforts to build a Google for everyone, we build diversity, equity, and inclusion into our work and we aim to cultivate a sense of belonging throughout the company.\n\nWhether it is paying online with Autofill, using tap and pay in stores, or using the Google Pay app, the Payments team at Google is focused on making payments simple, seamless, and secure. In addition to consumer payment technologies, the Payments team also powers the money movement between Google and its consumers and businesses.", "key_qualifications": "Bachelor's degree in Engineering, or equivalent practical experience. 2 years of experience in program management. Experience in project planning, stakeholder management, communication, risk management, project execution.", "preferred_qualifications": "2 years of experience managing cross-functional or cross-team projects. Experience managing software development projects. Experience in commerce, payments, or platform products. Ability to take ownership and collaborate well with others. Excellent problem-solving, written/verbal communication, investigative, and organizational skills.", "responsibilities": "Build and manage cross-functional/technical projects and drive them to launch. Work with Engineers, Program Managers, cross-functional partners to define requirements, scope, schedule, resourcing, and milestones/tasks. Manage relationships with key internal and external stakeholders (e.g., third-party vendors, regulators etc.). Support team discussions, drive issues to resolution, help identify and implement risk mitigation, communicate project status to various audiences, and cross-functional and executive audiences. Provide technical knowledge and thought leadership to manage projects efficiently.", "company": "google"} |
Staff Data Scientist Lead, Product, Google Maps share link link Copy link email email Email a friend corporate_fare Google place Tokyo, Japan bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Google welcomes people with disabilities. Google welcomes people with disabilities. Minimum qualifications: Preferred qualifications: About the job Help serve Google's worldwide user base of more than a billion people. Data Scientists provide quantitative support, market understanding and a strategic perspective to our partners throughout the organization. As a data-loving member of the team, you serve as an analytics expert for your partners, using numbers to help them make better decisions. You will weave stories with meaningful insight from data. You'll make critical recommendations for your fellow Googlers in Engineering and Product Management. You relish tallying up the numbers one minute and communicating your findings to a team leader the next. We are a part of Google Maps, and work with engineering teams on a variety of problems related to understanding the quality of Maps data, the connection between users and data quality, how to grow ecosystems of data contributors and contribution experience to support the company mission and engage users, and the impact of GenAI features on users and contributors. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Staff Data Scientist Lead, Product, Google Maps", "level": "Advanced", "location": "Tokyo, Japan", "description": "Help serve Google's worldwide user base of more than a billion people. Data Scientists provide quantitative support, market understanding and a strategic perspective to our partners throughout the organization. As a data-loving member of the team, you serve as an analytics expert for your partners, using numbers to help them make better decisions. You will weave stories with meaningful insight from data. You'll make critical recommendations for your fellow Googlers in Engineering and Product Management. You relish tallying up the numbers one minute and communicating your findings to a team leader the next. We are a part of Google Maps, and work with engineering teams on a variety of problems related to understanding the quality of Maps data, the connection between users and data quality, how to grow ecosystems of data contributors and contribution experience to support the company mission and engage users, and the impact of GenAI features on users and contributors.", "salary": "", "key_qualifications": "Master's degree in Statistics, Economics, Engineering, Mathematics, a related quantitative field, or equivalent practical experience. 7 years of experience with statistical data analysis. 5 years of experience with data mining, querying, and managing analytical projects. 3 years of experience developing and managing metrics or evaluating programs/products.", "preferred_qualifications": "5 years of experience in scripting or statistical analysis (e.g., R, Stata, SPSS, SAS) in a complex, matrixed organization. 3 years of experience preparing and delivering technical presentations to executive leadership. 3 years of experience in a technical leadership role. 3 years of people management experience (e.g., supervision, or team lead role).", "responsibilities": "Perform analysis utilizing relevant tools (e.g., SQL, R, Python). Provide analytical thought leadership through proactive and strategic contributions (e.g., suggests new analyses, infrastructure or experiments to drive improvements in the business). Own outcomes for projects by covering problem definition, metrics development, data extraction and manipulation, visualization, creation, and implementation of analytical/statistical models, and presentation to stakeholders. Develop solutions, lead, and manage problems that may be ambiguous and lacking clear precedent by framing problems, generating hypotheses, and making recommendations from a perspective that combines both, analytical and product-specific expertise. Oversee the integration of cross-functional and cross-organizational project/process timelines, develop process improvements and recommendations, and help define operational goals and objectives. Directly or indirectly oversee the contributions of others and develop colleagues\u2019 capabilities in the area of specialization.", "company": "google"} |
Network Engineer, Google Global Networking share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor's degree in Computer Science, Computer Engineering, Electrical Engineering, or equivalent practical experience. Bachelor's degree in Computer Science, Computer Engineering, Electrical Engineering, or equivalent practical experience. Preferred qualifications: About the job Google is proud to boast a network that provides service to millions of Internet users around the world. The Network Engineering team is responsible for operating that network reliably and at scale. As a member of the team, you have a direct impact on design and feature enhancements to keep our systems running smoothly. You also ensure that network operations are safe and efficient by monitoring network performance, coordinating planned maintenance, adjusting hardware components and responding to network connectivity issues. Google's complex network generates a constant stream of challenges which require you to continually be innovative with an evolving set of technologies. Keeping the network reliable ensures that our users stay connected with our suite of applications, products and services. Google's network engineers develop the next-generation technologies that change users connect, explore, and interact with information and one another. Google Network Infrastructure team works on the systems to deliver compute and storage not available anywhere else through tightly-coupled, highly available network infrastructure. Our Google Wide Area Network (gWAN) Build and Safety team plans, designs and builds Google's global private WAN network. In this role, you will deliver the networking that enables all Google’s technical infrastructure. Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible. Responsibilities Analyze the short and long-term growth needs, plan for the future, and demand and ensure system scales to needed growth envelopes. Engage in inception, design, qualification, and validation of new technologies, and ensure new technologies fit the need and demand of the users, are deployable at scale, and are safely operable. Scale network systems sustainably through automation, and evolve them by pushing for changes that improve reliability and velocity. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Network Engineer, Google Global Networking", "level": "Mid", "location": "Bengaluru, Karnataka, India", "description": "Google is proud to boast a network that provides service to millions of Internet users around the world. The Network Engineering team is responsible for operating that network reliably and at scale. As a member of the team, you have a direct impact on design and feature enhancements to keep our systems running smoothly. You also ensure that network operations are safe and efficient by monitoring network performance, coordinating planned maintenance, adjusting hardware components and responding to network connectivity issues. Google's complex network generates a constant stream of challenges which require you to continually be innovative with an evolving set of technologies. Keeping the network reliable ensures that our users stay connected with our suite of applications, products and services. Google's network engineers develop the next-generation technologies that change users connect, explore, and interact with information and one another. Google Network Infrastructure team works on the systems to deliver compute and storage not available anywhere else through tightly-coupled, highly available network infrastructure. Our Google Wide Area Network (gWAN) Build and Safety team plans, designs and builds Google's global private WAN network. In this role, you will deliver the networking that enables all Google\u2019s technical infrastructure. Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.", "salary": "", "key_qualifications": "Bachelor's degree in Computer Science, Computer Engineering, Electrical Engineering, or equivalent practical experience. 3 years of experience with TCP/IP foundations and routing protocols (e.g., EIGRP, OSPF, BGP, IS-IS, MPLS). Experience coding in Python.", "preferred_qualifications": "Experience with networking technologies/concepts such as Software Defined Networking (SDN) and OpenFlow. Experience with system design. Experience building software applications in the networking domain. Experience with network automation and bootstrapping processes.", "responsibilities": "Analyze the short and long-term growth needs, plan for the future, and demand and ensure system scales to needed growth envelopes. Engage in inception, design, qualification, and validation of new technologies, and ensure new technologies fit the need and demand of the users, are deployable at scale, and are safely operable. Scale network systems sustainably through automation, and evolve them by pushing for changes that improve reliability and velocity. Drive process improvements and automated turn-up and service delivery. Participate in on-call rotation for escalated issues. Write workflow automation to improve the customer experience.", "company": "google"} |
Information Security Engineer, Product Security Engineering, Cloud CISO share link link Copy link email email Email a friend corporate_fare Google place Kirkland, WA, USA ; Seattle, WA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Kirkland, WA, USA; Seattle, WA, USA Minimum qualifications: Preferred qualifications: Experience with security consulting. Excellent communication skills. About the job Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. The US base salary range for this full-time position is $136,000-$200,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Information Security Engineer, Product Security Engineering, Cloud CISO", "level": "Mid", "location": "Kirkland, WA, USA; Seattle, WA, USA", "description": "There's no such thing as a \"safe system\" - only safer systems. Our Security team works to create and maintain the safest operating environment for Google's users and developers. As a Security Engineer, you help protect network boundaries, keep computer systems and network devices hardened against attacks and provide security services to protect highly sensitive data like passwords and customer information. Security Engineers work directly with network equipment and actively monitor our systems for attacks and intrusions. You also work with software engineers to proactively identify and fix security flaws and vulnerabilities.\\n\\nProduct Security Engineering is the team within the Cloud CISO organization responsible for helping ensure every product Cloud ships is as secure as it can be and increasing the assurance levels of security in the infrastructure underlying products. The team will also focus on increasing the capabilities of each product team to develop more secure products by design and by default from patterns, tools, and frameworks. As an information security engineer you will help to ensure that software and systems are designed and implemented to security standards. You will perform technical security assessments, code reviews, and vulnerability testing to highlight risk, helping Google teams and partners to improve security, and work on a wide variety of software designs and technology stacks.\\n\\nGoogle Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "salary": "$136,000-$200,000 + bonus + equity + benefits", "key_qualifications": "Bachelor's degree or equivalent practical experience.\\n2 years of experience with security assessments or security design reviews or threat modeling.\\n2 years of experience with security engineering, computer and network security and security protocols.\\n2 years of coding experience in one or more general purpose languages.", "preferred_qualifications": "Experience with security consulting.\\nAbility to influence others.\\nExcellent communication skills.", "responsibilities": "Perform security reviews, research and reproduce vulnerabilities, design secure protocols and systems, and write tests.\\nReview and develop secure operational practices, and provide security guidance for engineers and support staff.\\nReview designs and look for vulnerabilities, both with one-time reviews and longer term engagements.\\nLook for vulnerabilities with techniques including reverse engineering and static analysis.\\nRespond to vulnerabilities with mitigation and hardening.", "company": "google"} |
Open Career Opportunities, GFiber share link link Copy link email email Email a friend corporate_fare GFiber place Mountain View, CA, USA ; Austin, TX, USA ; +17 more ; +16 more share link link Copy link email email Email a friend info_outline info_outline X Mountain View, CA, USA; Austin, TX, USA; Kansas City, MO, USA; Atlanta, GA, USA; Charlotte, NC, USA; San Antonio, TX, USA; Nashville, TN, USA; Chicago, IL, USA; West Des Moines, IA, USA; Huntsville, AL, USA; Mesa, AZ, USA; Miami, FL, USA; Provo, UT, USA; Durham, NC, USA; San Diego, CA, USA; Seattle, WA, USA; San Francisco, CA, USA; Salt Lake City, UT, USA About the job At GFiber, we believe in the power of great internet that lets people do more, helps communities get stronger and makes amazing things possible. We’re not just growing to build better internet experiences, we’re also building a welcoming place where people who want to make a difference can grow their careers. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Open Career Opportunities, GFiber", "level": "", "location": "Mountain View, CA, USA", "description": "At GFiber, we believe in the power of great internet that lets people do more, helps communities get stronger and makes amazing things possible. We\u2019re not just growing to build better internet experiences, we\u2019re also building a welcoming place where people who want to make a difference can grow their careers. GFiber is an Alphabet company that brings Google Fiber and Google Fiber Webpass internet services to homes and businesses across the United States. Our growing, inclusive team is expanding as we connect more cities and people. Explore open roles at GFiber on our careers page.", "key_qualifications": "", "preferred_qualifications": "", "responsibilities": "", "company": "google"} |
Senior CPU Design Engineer, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration. Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior CPU Design Engineer, Google Cloud", "level": "Mid", "location": "Bengaluru, Karnataka, India", "description": "Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.", "key_qualifications": "Bachelor's degree in Electrical Engineering, Computer Science, or equivalent practical experience. 10 years of experience in logic/RTL design, low power implementations. Experience with modern processor microarchitecture and related technologies/algorithms, microarchitecture definition and, RTL design. Experience with modern CPU design implementation results, front-end design, low power implementations, power analysis tools for CPU, and ARM Instruction Set Architecture.", "preferred_qualifications": "Master\u2019s degree or PhD in Electrical Engineering or Computer Science. Experience with modern processor microarchitecture and related technologies and algorithms, through academic projects or industry experience. Knowledge of Programming languages such as C, C++, and Python.", "responsibilities": "Contribute to CPU front-end designs, microarchitecture, and RTL design for the next generation CPU. Propose performance enhancing microarchitecture features and work with architects and performance teams for trade-off studies. Perform IP and Subsystem RTL design and Integration, and participate in the performance modeling effort. Deliver designs meeting Power, Performance, and Area (PPA) goals with production quality. Work with the functional verification team to ensure production quality designs, and with the physical design team to meet frequency, power, and area goals.", "company": "google"} |
Formal Verification Engineer, Silicon share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Knowledge of formal methodology and formal abstraction techniques. About the job Google's mission is to organize the world's information and make it universally accessible and useful. Our team combines the best of Google AI, Software, and Hardware to create radically helpful experiences. We research, design, and develop new technologies and hardware to make computing faster, seamless, and more powerful. We aim to make people's lives better through technology. Responsibilities Plan the formal verification strategy, and create the properties and constraints for digital design blocks. Utilize formal property verification tools combined with formal verification closure techniques to verify properties. Contribute improvements to methodologies to enhance formal verification results. Resolve issues to verify properties. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Formal Verification Engineer, Silicon", "level": "Mid", "location": "Bengaluru, Karnataka, India", "description": "Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.\n\nIn this role, you will help develop the next generation interconnect and platform solutions that scale across Google's Silicon offerings.\nGoogle's mission is to organize the world's information and make it universally accessible and useful. Our team combines the best of Google AI, Software, and Hardware to create radically helpful experiences. We research, design, and develop new technologies and hardware to make computing faster, seamless, and more powerful. We aim to make people's lives better through technology.", "salary": "", "key_qualifications": "Bachelor's degree in Electrical Engineering, Computer Science, or equivalent practical experience.\n3 years of experience with SystemVerilog and SystemVerilog Assertion.\nExperience with formal verification.", "preferred_qualifications": "Master's degree or PhD in Electrical Engineering, Computer Science, or a related field.\nExperience with scripting languages (e.g., Python/Perl, and TCL).\nExperience with formal sign-offs of industry ASIC designs.\nKnowledge of formal verification applications (e.g., sequential equivalence checking, and connectivity checking) and data-path verification.\nKnowledge of formal methodology and formal abstraction techniques.", "responsibilities": "Plan the formal verification strategy, and create the properties and constraints for digital design blocks.\nUtilize formal property verification tools combined with formal verification closure techniques to verify properties.\nContribute improvements to methodologies to enhance formal verification results.\nResolve issues to verify properties.", "company": "google"} |
CPU Technical Program Manager, Silicon share link link Copy link email email Email a friend corporate_fare Google place New Taipei, Banqiao District, New Taipei City, Taiwan bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Google welcomes people with disabilities. Google welcomes people with disabilities. Minimum qualifications: Preferred qualifications: Experience interacting with global teams and excellent communication skills. About the job Responsibilities Drive inter-discipline discussions at the project level. Coordinate among CPU Architecture, Performance, Design, Design Verification, Emulation, Physical Design, and Support teams. Coordinate regular Engineering and Management meetings at various levels and across multiple geographical locations, and ensure smooth operation of well-functioning programs. Host issue and resolution meetings at the project level, and create and track issues. Ensure programs are executed for quality and schedule. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "CPU Technical Program Manager, Silicon", "level": "Advanced", "location": "New Taipei, Banqiao District, New Taipei City, Taiwan", "description": "Google's mission is to organize the world's information and make it universally accessible and useful. Our Devices & Services team combines the best of Google AI, Software, and Hardware to create radically helpful experiences for users. We research, design, and develop new technologies and hardware to make our user's interaction with computing faster, seamless, and more powerful. Whether finding new ways to capture and sense the world around us, advancing form factors, or improving interaction methods, the Devices & Services team is making people's lives better through technology.", "key_qualifications": "Bachelor\u2019s degree in Electrical, Electronics, Computer Engineering, Computer Science, or equivalent practical experience. 10 years of experience with CPU engineering, CPU program management, or similar experience. Experience with modern processor micro-architecture and processor design flows, RTL, Design Simulation and Emulation, and Physical Design technologies.", "preferred_qualifications": "Master\u2019s or PhD degree in Electrical, Electronics, Computer Engineering, Computer Science, or a related field. Experience with industry standard CPU benchmarks, with knowledge of CPU Performance Power Area (PPA) metrics and trade-offs. Experience with Google suite of applications (e.g., Google App Scripts, Sheets), and knowledge of modern project management tools (e.g., Confluence, JIRA). Experience interacting with global teams and excellent communication skills. Knowledge of up-to-date ARM Instruction Set Architecture.", "responsibilities": "Drive inter-discipline discussions at the project level. Coordinate among CPU Architecture, Performance, Design, Design Verification, Emulation, Physical Design, and Support teams. Coordinate regular Engineering and Management meetings at various levels and across multiple geographical locations, and ensure smooth operation of well-functioning programs. Host issue and resolution meetings at the project level, and create and track issues. Ensure programs are executed for quality and schedule.", "company": "google"} |
Database Migration Customer Engineer, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Paris, France bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Google welcomes people with disabilities. Google welcomes people with disabilities. Minimum qualifications: Preferred qualifications: About the job When leading companies choose Google Cloud, it's a huge win for spreading the power of cloud computing globally. Once educational institutions, government agencies, and other businesses sign on to use Google Cloud products, you come in to facilitate making their work more productive, mobile, and collaborative. You listen and deliver what is most helpful for the customer. You assist fellow sales Googlers by problem-solving key technical issues for our customers. You liaise with the product marketing management and engineering teams to stay on top of industry trends and devise enhancements to Google Cloud products. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Work with the team to identify and qualify business opportunities for migrations. Understand key customer business and technical issues, and develop strategies and solutions to support their migrations. Inspire customers and peers to solve difficult problems with ambitious and novel solutions. This includes product and solution briefings, proof-of-concept work, and coordinating technical resources. Design the target architecture and the migration strategy, minimizing the migration effort, risk, and downtime. Work with customers to demonstrate and prototype migration to Google Cloud database offerings in customer/partner environments, and travel to customer sites, conferences, and other related events as needed. Recommend integration strategies, enterprise architectures, platforms, and application infrastructure required to implement complete solutions using best practices on Google Cloud. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Database Migration Customer Engineer, Google Cloud", "level": "Advanced", "location": "Paris, France", "description": "When leading companies choose Google Cloud, it's a huge win for spreading the power of cloud computing globally. Once educational institutions, government agencies, and other businesses sign on to use Google Cloud products, you come in to facilitate making their work more productive, mobile, and collaborative. You listen and deliver what is most helpful for the customer. You assist fellow sales Googlers by problem-solving key technical issues for our customers. You liaise with the product marketing management and engineering teams to stay on top of industry trends and devise enhancements to Google Cloud products.\nAs a Database Migration Customer Engineer for Google Cloud, you will help our customers migrate from their current database portfolios to Google Cloud's offerings. You will anticipate and address key technical challenges for our customers in close partnership with Sales teams. Additionally, you will collaborate with Product and Engineering teams to stay ahead of industry trends and proactively devise enhancements to Google Cloud products.\nGoogle Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "salary": "", "key_qualifications": "Bachelor's degree in Computer Science or equivalent practical experience. 10 years of experience with database technologies, PL/SQL development, administration and management tools, database performance tuning techniques, and troubleshooting. 10 years of experience with enterprise-wide database to PostgreSQL data migrations, including Schema/Code conversion tools, zero downtime migration strategies and technologies, and regression testing strategies and automation tools. Experience engaging with, and presenting to, technical stakeholders and executive leaders.", "preferred_qualifications": "Experience with Oracle and similar database technologies, enterprise wide Oracle/Database 2 to PostgreSQL data migrations, including proficiency with Schema/Code conversion tools, zero downtime migration strategies and technologies. Experience with technical sales or professional consulting in the fields of systems integration, large-scale data transfer/management, and enterprise database performance. Experience optimizing database performance with respect to transactional or analytic workloads, and knowledge of disaster recovery and data backup strategies. Knowledge of DevOps principles, database automation tools, and regression testing strategies. Understanding of cloud-based database architectures and deployments.", "responsibilities": "Work with the team to identify and qualify business opportunities for migrations. Understand key customer business and technical issues, and develop strategies and solutions to support their migrations. Inspire customers and peers to solve difficult problems with ambitious and novel solutions. This includes product and solution briefings, proof-of-concept work, and coordinating technical resources. Design the target architecture and the migration strategy, minimizing the migration effort, risk, and downtime. Work with customers to demonstrate and prototype migration to Google Cloud database offerings in customer/partner environments, and travel to customer sites, conferences, and other related events as needed. Recommend integration strategies, enterprise architectures, platforms, and application infrastructure required to implement complete solutions using best practices on Google Cloud.", "company": "google"} |
Global Account Lead, Global Client and Agency Solutions share link link Copy link email email Email a friend corporate_fare Google place Tokyo, Japan bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Google welcomes people with disabilities. Google welcomes people with disabilities. Minimum qualifications: Experience working within the Japanese business environment. Preferred qualifications: Ability to effectively grow relationships and deepen partnerships with an executive presence. Ability to effectively grow relationships and deepen partnerships with an executive presence. About the job Responsibilities Manage, coach, and develop a team of client partnership experts, cultivating a collaborative environment where individual growth and collective success are fostered. Lead the development and execution of global account strategies, aligning with client goals and maximizing growth opportunities, and oversee the creation and implementation of joint business plans and innovative solutions to achieve key objectives. Take charge of internal operational processes, ensuring the team efficiently achieves strategic goals, delivers insightful reporting, and consistently exceeds performance expectations. Represent Google at the highest levels, building and nurturing C-level relationships with clients and internal stakeholders, showcasing thought leadership, and fostering trust-based partnerships. Be proactive in identifying and removing roadblocks, ensuring seamless client service and successful outcomes. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Global Account Lead, Global Client and Agency Solutions", "level": "Advanced", "location": "Tokyo, Japan", "description": "Businesses that partner with Google come in all shapes, sizes and market caps, and no one Google advertising solution works for all. Your knowledge of online media combined with your communication skills and analytical abilities shapes how new and existing businesses grow. Using your relationship-building skills, you provide Google-caliber client service, research, and market analysis. You anticipate how decisions are made, persistently explore and uncover the business needs of Google's key clients and understand how our range of product offerings can grow their business. Working with them, you set the vision and the strategy for how their advertising can reach thousands of users. Our Global Clients and Agency Solutions (GCAS) team helps shape how companies grow their businesses in the digital age. We aspire to advise clients on all aspects of their online business strategy. Using Google's broad range of products, we help our clients connect instantly and seamlessly with their audiences.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 15 years of experience in advertising sales, digital marketing, consulting, or media. Experience working within the Japanese business environment.", "preferred_qualifications": "Experience in making and delivering presentations to C-level/executive audiences. Experience in project management, with experience in business development and sales leadership. Experience in leading, coaching, and developing teams, and with people management experience. Understanding of the industry's issues, a direction for growth, and commitment to advancing Google's strategies within the marketplace. Knowledge of Search, YouTube, or Google Marketing Platform. Ability to effectively grow relationships and deepen partnerships with an executive presence.", "responsibilities": "Manage, coach, and develop a team of client partnership experts, cultivating a collaborative environment where individual growth and collective success are fostered. Lead the development and execution of global account strategies, aligning with client goals and maximizing growth opportunities, and oversee the creation and implementation of joint business plans and innovative solutions to achieve key objectives. Take charge of internal operational processes, ensuring the team efficiently achieves strategic goals, delivers insightful reporting, and consistently exceeds performance expectations. Represent Google at the highest levels, building and nurturing C-level relationships with clients and internal stakeholders, showcasing thought leadership, and fostering trust-based partnerships. Be proactive in identifying and removing roadblocks, ensuring seamless client service and successful outcomes.", "company": "google"} |
Sales Representative, Google for Education (English, Bahasa) share link link Copy link email email Email a friend corporate_fare Google place Jakarta, Indonesia bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job At Google for Education, we believe that educators and learners at every age and stage deserve the tools and skills that set them up for success in building the future they want for themselves. Responsibilities Develop and execute strategy that balances investments for long-term business growth while working towards strategic goals and other metrics through market planning and data analysis. Build executive-level relationships and consult on long-cycle partnerships with school and system leaders. Build pipeline and ecosystem management including driving that ecosystem to achieve aspirational goals. Enlist global OEMs and local ecosystem partners to grow market share while managing existing partners to achieve business goals. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Sales Representative, Google for Education (English, Bahasa)", "level": "Mid", "location": "Jakarta, Indonesia", "description": "At Google for Education, we believe that educators and learners at every age and stage deserve the tools and skills that set them up for success in building the future they want for themselves.", "key_qualifications": "Bachelor's degree or equivalent practical experience.\n3 years of experience in technology sales or working with customers in a sales role.\nAbility to communicate in English and Bahasa fluently in order to communicate with and support Indonesian customers.", "preferred_qualifications": "Experience in public sector sales, technical sales, and education technology.\nExperience leveraging channels for success.\nAbility to influence and lead cross-functional teams.\nAbility to manage projects in a dynamic and fast-paced environment.\nExcellent communication, presentation, and leadership skills.", "responsibilities": "Develop and execute strategy that balances investments for long-term business growth while working towards strategic goals and other metrics through market planning and data analysis.\nBuild executive-level relationships and consult on long-cycle partnerships with school and system leaders.\nBuild pipeline and ecosystem management including driving that ecosystem to achieve aspirational goals.\nEnlist global OEMs and local ecosystem partners to grow market share while managing existing partners to achieve business goals.", "company": "google"} |
Technical Program Manager, Quantum AI, Calibrations share link link Copy link email email Email a friend corporate_fare Google place Goleta, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job The US base salary range for this full-time position is $142,000-$211,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Technical Program Manager, Quantum AI, Calibrations", "level": "Mid", "location": "Goleta, CA, USA", "description": "A problem isn\u2019t truly solved until it\u2019s solved for all. That\u2019s why Googlers build products that help create opportunities for everyone, whether down the street or across the globe. As a Technical Program Manager at Google, you\u2019ll use your technical expertise to lead complex, multi-disciplinary projects from start to finish. You\u2019ll work with stakeholders to plan requirements, identify risks, manage project schedules, and communicate clearly with cross-functional partners across the company. You're equally comfortable explaining your team's analyses and recommendations to executives as you are discussing the technical tradeoffs in product development with engineers. Our goal is to build a Google that looks like the world around us \u2014 and we want Googlers to stay and grow when they join us. As part of our efforts to build a Google for everyone, we build diversity, equity, and inclusion into our work and we aim to cultivate a sense of belonging throughout the company. As Technical Program Manager for the Quantum AI team, you will manage multi-disciplinary quantum computing projects through the entire project life-cycle using engineering knowledge. You\u2019ll work with stakeholders to plan requirements, identify risks, manage project schedules, and communicate with cross-functional partners across the organization. The full potential of quantum computing will be unlocked with a large-scale computer capable of complex, error-corrected computations. Google Quantum AI's mission is to build this computer and unlock solutions to classically intractable problems. Our roadmap is focused on advancing the capabilities of quantum computing and enabling meaningful applications. The US base salary range for this full-time position is $142,000-$211,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Please note that the compensation details listed in US role postings reflect the base salary only, and do not include bonus, equity, or benefits. Learn more about benefits at Google.", "key_qualifications": "Bachelor's degree in a technical field, or equivalent practical experience. 5 years of experience in program management.", "preferred_qualifications": "Master's degree or PhD in Physics, Electrical Engineering, or related engineering fields. 5 years of experience managing cross-functional or cross-team projects. Experience working with superconducting qubits in a collaborative software environment. Experience coding in Python.", "responsibilities": "Support Quantum AI research teams focused on calibration and measurements by planning project requirements and roadmaps, managing project schedule, managing budget, demand forecasting, and vendor management to enable hardware and software development. Anticipate issues and propose solutions, manage dependencies and cross-functional interfaces, and identify the need to develop or improve tools or processes. Document and communicate work plans, timelines, and results to the broader team.", "company": "google"} |
Senior CPU Architect, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Tel Aviv, Israel ; Haifa, Israel bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Tel Aviv, Israel; Haifa, Israel Minimum qualifications: Preferred qualifications: About the job Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible. Responsibilities Lead architectural definition of CPU core designs, facilitate and make final decisions. Participate in and influence the building of processor performance analysis infrastructure. Influence the development of architectural models with varying configurations across product categories. Perform Performance, Power, Area (PPA) trade-off analysis for architecture and microarchitecture features, communicate analysis results in both qualitative and quantitative fashion to support decisions. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior CPU Architect, Google Cloud", "level": "Advanced", "location": "Tel Aviv, Israel; Haifa, Israel", "description": "Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration. In this role, you will take part in CPU development, leading the CPU architecture and microarchitecture definitions. You will collaborate with software and hardware architects, design, verification, and physical implementation teams. You will influence the building of processor performance analysis infrastructure with modeling, emulation, and silicon measurement and drive power and performance optimizations for our specific customers workloads. Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.", "key_qualifications": "Bachelor's degree in Electrical Engineering, Computer Engineering, Computer Science, or equivalent practical experience. Candidates will typically have 8 years of experience with microprocessor architecture and related technologies and algorithms. Experience with CPU architecture performance analysis, tools, and simulators at different abstraction levels (i.e., cycle accurate, functional, emulation).", "preferred_qualifications": "Advanced degree in Electrical Engineering, Computer Engineering, or Computer Science, with an emphasis on computer architecture. Experience analyzing workloads and definitions of microarchitectural features. Knowledge of ARM architecture.", "responsibilities": "Lead architectural definition of CPU core designs, facilitate and make final decisions. Participate in and influence the building of processor performance analysis infrastructure. Influence the development of architectural models with varying configurations across product categories. Perform Performance, Power, Area (PPA) trade-off analysis for architecture and microarchitecture features, communicate analysis results in both qualitative and quantitative fashion to support decisions.", "company": "google"} |
Program Manager, Apprenticeships share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India ; Hyderabad, Telangana, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Bengaluru, Karnataka, India; Hyderabad, Telangana, India Minimum qualifications: 2 years of experience in program or project management. 2 years of experience in program or project management. 2 years of experience in program or project management. 2 years of experience in program or project management. Preferred qualifications: Experience with program or project management with impact through design and implementation. Experience managing cross-functional projects and stakeholders. Ability to take initiative in an ambiguous situation, identify problems, and propose/execute solutions. Excellent organization and communication skills. About the job The Apprenticeship Program contributes towards the development of digital knowledge by providing opportunities for work and study. During the program, apprentices get exposure to different areas and teams at Google, while receiving external training. The India Apprenticeships team manages the apprentice site at Google globally, the team partners with local teams and stakeholders on shared priorities and supporting the apprenticeship community during their time at Google. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Program Manager, Apprenticeships", "level": "Mid", "location": "Bengaluru, Karnataka, India; Hyderabad, Telangana, India", "description": "A problem isn\u2019t truly solved until it\u2019s solved for all. That\u2019s why Googlers build products that help create opportunities for everyone, whether down the street or across the globe. As a Program Manager at Google, you\u2019ll lead complex, multi-disciplinary projects from start to finish \u2014 working with stakeholders to plan requirements, manage project schedules, identify risks, and communicate clearly with cross-functional partners across the company. Your projects will often span offices, time zones, and hemispheres. It's your job to coordinate the players and keep them up to date on progress and deadlines.\n\nOur goal is to build a Google that looks like the world around us \u2014 and we want Googlers to stay and grow when they join us. As part of our efforts to build a Google for everyone, we build diversity, equity, and inclusion into our work and we aim to cultivate a sense of belonging throughout the company.\n\nThe Apprenticeship Program contributes towards the development of digital knowledge by providing opportunities for work and study. During the program, apprentices get exposure to different areas and teams at Google, while receiving external training. The India Apprenticeships team manages the apprentice site at Google globally, the team partners with local teams and stakeholders on shared priorities and supporting the apprenticeship community during their time at Google.", "salary": "", "key_qualifications": "Bachelor's degree or equivalent practical experience. 2 years of experience in program or project management.", "preferred_qualifications": "Experience with program or project management with impact through design and implementation. Experience managing cross-functional projects and stakeholders. Experience working with historically under-represented groups or community outreach. Ability to take initiative in an ambiguous situation, identify problems, and propose/execute solutions. Excellent organization and communication skills.", "responsibilities": "Support and manage the apprenticeship program life-cycle (e.g., onboard, streamline program aspects, evaluation process, and offboarding) in collaboration with internal and external stakeholders. Create trainings that provide apprentices with a cohesive experience on leveraging and understanding Google products, Search, gPay, Maps, and integration of AI. Identify and lead multiple work streams for the program\u2019s key focus areas, and liaise with external providers. Establish relationships with a variety of cross-functional teams, and be responsible for streamlining processes and providing consistent communication with internal and external stakeholders. Maintain consistency, follow centralized guidelines, and adopt best practices in the program.", "company": "google"} |
Measurement Implementation Expert, gTech Ads Google Customer Solutions share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India ; Gurgaon, Haryana, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Bengaluru, Karnataka, India; Gurgaon, Haryana, India Minimum qualifications: Experience translating quantitative data into insights. Preferred qualifications: About the job Google Customer Solutions (GCS) sales teams are trusted advisors and competitive sellers who maintain a relentless focus on customer success by bringing the best Google has to offer to small- and medium-sized businesses (SMBs), which are the backbone of our communities. As a member of our team, you’ll have the opportunity to work with company owners and make a real difference in their businesses by helping them grow. Together, we help shape the future of innovation for customers, partners, and sellers...and we have fun doing it. Responsibilities Develop an understanding of client needs basis their MOs/CBOs, measurement objectives and provide optimal measurement strategy, and define KPIs based on eligibility criteria. Design and execute measurement studies (e.g., Conversion Lift, Brand Lift Study, Experiments, etc.) for clients. Analyze attribute lift across channels to inform the right channel strategy. Partner with Account Executives (AE) and Product Specialists (PSA) to interpret measurement results for the client and identify opportunities to increase product adoption for key solutions. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Measurement Implementation Expert, gTech Ads Google Customer Solutions", "level": "Mid", "location": "Bengaluru, Karnataka, India; Gurgaon, Haryana, India", "description": "In this role, you will provide a clear sense of return on investment to businesses and will work to understand customer business objectives and solve measurement problems that advance measurement maturity across Google\u2019s product suite. You will be responsible for helping customers navigate increasing measurement ambiguity through a command of trends around privacy, conversion analytics, and attribution practices.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of experience with marketing analytics, return on investment, and statistical analysis. Experience translating quantitative data into insights. Experience with SQL or scripts, building Data models or analytic dashboards to pull insights from data sets.", "preferred_qualifications": "Master's degree in Analytics or equivalent practical experience. 5 years of experience with media mix modeling, A/B testing, marketing analytics, and analytics integrations. Ability to collaborate as part of a team. Ability to work in a fast-paced environment with excellent time-management skills.", "responsibilities": "Develop an understanding of client needs basis their MOs/CBOs, measurement objectives and provide optimal measurement strategy, and define KPIs based on eligibility criteria. Design and execute measurement studies (e.g., Conversion Lift, Brand Lift Study, Experiments, etc.) for clients. Analyze attribute lift across channels to inform the right channel strategy. Partner with Account Executives (AE) and Product Specialists (PSA) to interpret measurement results for the client and identify opportunities to increase product adoption for key solutions.", "company": "google"} |
Senior Interaction Designer, Google Photos share link link Copy link email email Email a friend corporate_fare Google place San Francisco, CA, USA ; Mountain View, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X San Francisco, CA, USA; Mountain View, CA, USA Minimum qualifications: Experience in visual design and prototyping. Preferred qualifications: About the job Google Photos is a photo sharing and storage service developed by Google. Photos is one of the most sought after products at Google and is looking for both client-side (web and mobile), with server-side (search, storage, serving) and machine intelligence (learning, computer vision) Software Engineers. We are dedicated to making Google experiences centered around the user. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Interaction Designer, Google Photos", "level": "Mid", "location": "San Francisco, CA, USA; Mountain View, CA, USA", "description": "At Google, we follow a simple but vital premise: 'Focus on the user and all else will follow.' Google\u2019s Interaction Designers take complex tasks and make them intuitive and easy-to-use for billions of people around the globe. Throughout the design process\u2014from creating user flows and wireframes to building user interface mockups and prototypes\u2014you\u2019ll envision how people will experience our products, and bring that vision to life in a way that feels inspired, refined, and even magical.", "key_qualifications": "Bachelor's degree in Design, Human-Computer Interaction, Computer Science, a related field, or equivalent practical experience. 6 years of interaction design experience in product design or UX design. Experience in visual design and prototyping. Include a portfolio, website, or any other relevant link to your work in your resume (providing a viewable link or access instructions).", "preferred_qualifications": "Master's degree in Design, Human-Computer Interaction, Computer Science, a related field. 8 years of experience in product design or UX. 3 years of experience working in a complex, cross-functional organization. 2 years of experience leading design projects. 1 year of experience working with executive leaders.", "responsibilities": "Collaborate with product managers, engineers, and cross-functional stakeholders to understand requirements and provide creative, thoughtful solutions. Communicate the user experience at various stages of the design process with wireframes, flow diagrams, storyboards, mockups, and/or high fidelity prototypes. Integrate user feedback and business requirements into ongoing product experience updates. Advocate for the prioritization of design centered changes, refinements, and improvements. Articulate user journeys with scenarios, storyboards and presentations, low and high-fidelity mocks, detailed interaction specs, and prototypes to effectively communicate interaction and design ideas.", "company": "google"} |
Account Strategist, Engage, Google Customer Solutions (Cantonese, English) share link link Copy link email email Email a friend corporate_fare Google place Hong Kong bar_chart bar_chart Early Early Early Experience completing work as directed, and collaborating with teammates; developing knowledge of relevant concepts and processes. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor's degree or equivalent practical experience. Preferred qualifications: About the job Businesses that partner with Google come in all shapes, sizes and market caps, and no one Google advertising solution works for all. Your knowledge of online media combined with your communication skills and analytical abilities shapes how new and existing businesses grow. Using your relationship-building skills, you provide Google-caliber client service, research and market analysis. You anticipate how decisions are made, persistently explore and uncover the business needs of Google's key clients and understand how our range of product offerings can grow their business. Working with them, you set the vision and the strategy for how their advertising can reach thousands of users. Google Customer Solutions (GCS) sales teams are trusted advisors and competitive sellers who maintain a relentless focus on customer success by bringing the best Google has to offer to small- and medium-sized businesses (SMBs), which are the backbone of our communities. As a member of our team, you’ll have the opportunity to work with company owners and make a real difference in their businesses by helping them grow. Together, we help shape the future of innovation for customers, partners, and sellers...and we have fun doing it. Responsibilities Manage a portfolio of medium size businesses by understanding growth drivers, identifying opportunity for growth, managing risks, and creating plans for achievement. Collaborate within the Sales team, align workflow among team members to execute on a plan and ensure accountability to meet or exceed goals while delivering outstanding customer experience. Improve our customer relationships and share performance-enhancing suggestions. Conduct outbound calls to establish relationships and work with existing clients to generate growth and improved client success. Identify key client stakeholders to support Customer Business Objectives and annual digital marketing plans. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Account Strategist, Engage, Google Customer Solutions (Cantonese, English)", "level": "", "location": "Hong Kong", "description": "Businesses that partner with Google come in all shapes, sizes and market caps, and no one Google advertising solution works for all. Your knowledge of online media combined with your communication skills and analytical abilities shapes how new and existing businesses grow. Using your relationship-building skills, you provide Google-caliber client service, research and market analysis. You anticipate how decisions are made, persistently explore and uncover the business needs of Google's key clients and understand how our range of product offerings can grow their business. Working with them, you set the vision and the strategy for how their advertising can reach thousands of users. As an Account Strategist, you will provide quality client service, research, and market analysis in the local language (Cantonese). You will anticipate decisions, uncover the business needs of Google's key clients, and understand how our range of product offerings can grow their business. You'll set the direction and the strategy for how their advertising can reach thousands of users. In this role, your understanding of online media and commercial acumen will shape how new and existing businesses grow. You will understand the details of separate campaigns and explore and uncover business opportunities for key clients. Working with the clients, you'll set a strategy for how their products and services can reach users. You will work as part of a team and use your creativity to grow and transform the business. Google Customer Solutions (GCS) sales teams are trusted advisors and competitive sellers who maintain a relentless focus on customer success by bringing the best Google has to offer to small- and medium-sized businesses (SMBs), which are the backbone of our communities. As a member of our team, you\u2019ll have the opportunity to work with company owners and make a real difference in their businesses by helping them grow. Together, we help shape the future of innovation for customers, partners, and sellers...and we have fun doing it.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 3 years of experience working in advertising sales, marketing, consulting, or media. Ability to communicate in Cantonese and English fluently to support client relationship management in this market.", "preferred_qualifications": "Experience in advertising, media account management, and achieving quotas to drive client growth. Ability to assess and solve client needs through effective questioning, objection handling, and engaged promotions. Ability to manage multiple projects while paying strict attention to detail, working individually and with others. Ability to use problem-solving skills to leverage data to uncover insights, support proposals, and analyze impact. Excellent presentation and communication skills with peers, management, cross-functional teams and clients.", "responsibilities": "Manage a portfolio of medium-sized businesses by understanding growth drivers, identifying opportunities for growth, managing risks, and creating plans for achievement. Collaborate within the Sales team, align workflow among team members to execute on a plan and ensure accountability to meet or exceed goals while delivering outstanding customer experience. Improve our customer relationships and share performance-enhancing suggestions. Conduct outbound calls to establish relationships and work with existing clients to generate growth and improved client success. Identify key client stakeholders to support Customer Business Objectives and annual digital marketing plans.", "company": "google"} |
Senior Director, Regulatory Affairs, Content Advisory Global Lead share link link Copy link email email Email a friend corporate_fare Google place San Francisco, CA, USA ; Mountain View, CA, USA ; +3 more ; +2 more bar_chart Director+ Apply share link link Copy link email email Email a friend info_outline info_outline X San Francisco, CA, USA; Mountain View, CA, USA; New York, NY, USA; Washington D.C., DC, USA Minimum qualifications: Preferred qualifications: About the job Regulatory Affairs helps the company innovate responsibly and comply with laws around the world. We partner with teams across Global Affairs, Product Areas, and the rest of the company to ensure constructive engagement with governments and regulators while mitigating risk. The US base salary range for this full-time position is $320,000-$460,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Director, Regulatory Affairs, Content Advisory Global Lead", "level": "Director+", "location": "San Francisco, CA, USA", "description": "Regulatory Affairs helps the company innovate responsibly and comply with laws around the world. We partner with teams across Global Affairs, Product Areas, and the rest of the company to ensure constructive engagement with governments and regulators while mitigating risk.", "key_qualifications": "JD or equivalent degree, 15 years of experience in legal, compliance, and regulatory risk, Admitted to the bar and in good standing or otherwise authorized to practice law (e.g. have registered in-house status) in the state in which the position is located.", "preferred_qualifications": "Experience developing, evaluating, and maintaining programs that ensure products comply with laws, regulations, and external commitments, Experience with managing projects and partnering effectively with cross-functional teams, Experience managing global teams and other managers, Experience working with technology companies and ability to understand complex technical issues, Excellent written and verbal communication, time management, analytical, investigative skills, attention to detail with the ability to be action-oriented.", "responsibilities": "Translate legislation, regulations, and other obligations into requirements that will be utilized by Compliance, Product, Business, and Engineering teams to ensure compliance. Provide legal advice and subject area insights to Public Policy as they engage with Governments and Regulators on draft legislation. Review proposed designs and advise teams on risks that arise during designing and implementing enhancements. Engage with governments and regulators to provide briefings, participate in negotiations, and submit disclosures regarding our readiness efforts on critical compliance obligations and readiness efforts.", "company": "google"} |
Executive Business Partner, Google Threat Intelligence share link link Copy link email email Email a friend corporate_fare Google place Reston, VA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor's degree or equivalent practical experience. Preferred qualifications: About the job As an Administrative Business Partner, you're at the heart of your team's business operations and activities and the soul that keeps your team moving forward. You anticipate the needs of your managers and team members and help them stay focused on their projects by resolving operational and administrative issues before they arise. You move quickly with the changing environment and are up to date with the latest Google products and services. You also use that knowledge to strategically support your team's projects. In addition to being organized and analytical, you possess the strong business judgment and communication skills needed to interact with a variety of people and job functions. Administrative jobs at Google are staffed by organized and dependable people driven by a common company goal: to help us accomplish great things. Working behind the scenes, we make a significant impact on the people we support as well as on Google users around the world. We're adept at leading and managing a variety of simultaneous projects, which requires the particular talent of being able to communicate effectively with all levels of the organization. Responsibilities Plan and organize internal and external programs and events and manage event logistics in collaboration with internal partners and external vendors. Plan and organize internal and external programs and events and manage event logistics in collaboration with internal partners and external vendors. Plan and organize internal and external programs and events and manage event logistics in collaboration with internal partners and external vendors. Plan and organize internal and external programs and events and manage event logistics in collaboration with internal partners and external vendors. Plan and organize internal and external programs and events and manage event logistics in collaboration with internal partners and external vendors. Assess and advocate for office space needs. Assess and advocate for office space needs. Assess and advocate for office space needs. Complete travel coordination tasks without assistance. Complete travel coordination tasks without assistance. Complete travel coordination tasks without assistance. Complete travel coordination tasks without assistance. Complete travel coordination tasks without assistance. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Executive Business Partner, Google Threat Intelligence", "level": "Mid", "location": "Reston, VA, USA", "description": "As an Administrative Business Partner, you're at the heart of your team's business operations and activities and the soul that keeps your team moving forward. You anticipate the needs of your managers and team members and help them stay focused on their projects by resolving operational and administrative issues before they arise. You move quickly with the changing environment and are up to date with the latest Google products and services. You also use that knowledge to strategically support your team's projects. In addition to being organized and analytical, you possess the strong business judgment and communication skills needed to interact with a variety of people and job functions.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of administrative experience in a high-tech or international environment working on core administrative tasks (e.g., travel management, expense reports, calendar management, facilities coordination, etc.)", "preferred_qualifications": "Experience in using technology and the internet to improve work efficiency. Ability to adhere to an expectation of complete confidentiality on all business matters. Ability to effectively communicate and collaborate with a diverse range of people and job functions. Ability to quickly learn new tools and technologies.", "responsibilities": "Schedule, maintain, and update calendar events. Prepare meetings for the executive or team without assistance. Execute expense management activities separately. Plan and organize internal and external programs and events and manage event logistics in collaboration with internal partners and external vendors. Assess and advocate for office space needs. Complete travel coordination tasks without assistance.", "company": "google"} |
Senior Director, Regulatory Affairs, Content Advisory Global Lead share link link Copy link email email Email a friend corporate_fare Google place Dublin, Ireland bar_chart Director+ Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job Regulatory Affairs helps the company innovate responsibly and comply with laws around the world. We partner with teams across Global Affairs, Product Areas, and the rest of the company to ensure constructive engagement with governments and regulators while mitigating risk. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Director, Regulatory Affairs, Content Advisory Global Lead", "level": "Director+", "location": "Dublin, Ireland", "description": "Regulatory Affairs helps the company innovate responsibly and comply with laws around the world. We partner with teams across Global Affairs, Product Areas, and the rest of the company to ensure constructive engagement with governments and regulators while mitigating risk.", "key_qualifications": "JD or equivalent degree \nExperience in legal, compliance, and regulatory risk.\nAdmitted to the bar and in good standing or otherwise authorized to practice law (e.g. have registered in-house status) in the state in which the position is located.", "preferred_qualifications": "Experience developing, evaluating, and maintaining programs that ensure products comply with laws, regulations, and external commitments.\nExperience with managing projects and partnering effectively with cross-functional teams.\nExperience managing global teams and other managers.\nExperience working with technology companies and ability to understand complex technical issues.\nExcellent written and verbal communication, time management, and investigative skills, and attention to detail with the ability to be action-oriented.", "responsibilities": "Translate legislation, regulations, and other obligations into requirements that will be utilized by Compliance, Product, Business, and Engineering teams to ensure compliance.\nProvide legal advice and subject area insights to Public Policy as they engage with Governments and Regulators on draft legislation. Review proposed designs and advise teams on risks that arise during designing and implementing enhancements.\nEngage with governments and regulators to provide briefings, participate in negotiations, and submit disclosures regarding our readiness efforts on critical compliance obligations and readiness efforts.", "company": "google"} |
Lead Program Manager, Greenhouse Gas, Carbon Emissions Measurement and Standards share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA ; Boulder, CO, USA ; +2 more ; +1 more bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Mountain View, CA, USA; Boulder, CO, USA; San Francisco, CA, USA Minimum qualifications: Experience with Green House Gas (GHG) accounting and emissions reductions metrics and standards. Preferred qualifications: Experience in data analytics to deliver insights and decisions. Experience in data analytics to deliver insights and decisions. Experience in data analytics to deliver insights and decisions. About the job On the Measurement pillar, you will align on key requirements as defined by internal and external stakeholders and then institutionalize GHG and climate related methodologies to enable reduction initiatives, reporting and analytics. Drive alignment on operational data requirements for disclosure, internal performance metrics (e.g Scope1, 2, 3) and alignment with voluntary and regulatory frameworks. Support actions that drive accuracy and consistency across reporting, operational decisions, forecasting, budgets etc. On the Standards pillar, you will be responsible for strategic and programmatic execution on development and reform of voluntary standards and protocols. Challenge status quo and drive progressive action on standards reform across key GHG emissions frameworks such as GHG Protocol, CDP, SBTI, VCMI and similar. Responsibilities Oversee metrics and measurement of GHG emissions in support of operationalizing the company’s NetZero goals. Articulate methodologies for carbon measurement and reductions for Google’s operational footprint. Lead a team that provides methodology support to internal functional stakeholders such as NetZero planning and digital infrastructure. Work with partner teams on data requirements and processes to operationalize GHG measurement across Google and its value chain. Facilitate external research, establish or participate in coalitions, and other modes of standards advocacy. Develop and manage standards programs and enable teams to operate and execute with more agility. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Lead Program Manager, Greenhouse Gas, Carbon Emissions Measurement and Standards", "level": "Advanced", "location": "Mountain View, CA, USA", "description": "As the GHG Emissions Measurement and Standards Lead, you will lead efforts to measure GHG emissions across the company and reform GHG and Net Zero standards and protocols. In this role, you will be responsible for developing a concrete Google-level view of our operational measurement and standards engagement strategy on carbon emissions and drive opportunities for improved alignment. This includes coordinating and working with all operational, reporting and supply chain teams as well as supporting digital infrastructure efforts. You will lead a team that drives coordination across key internal and external stakeholders to identify areas to develop and / or evolve GHG emissions and climate related frameworks and standards.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 10 years of experience in program management, strategy, analytics, and cross-functional planning (e.g., roadmaps, objectives and key results, stakeholder engagement). Experience with Green House Gas (GHG) accounting and emissions reductions metrics and standards.", "preferred_qualifications": "Experience in building and executing strategies for GHG emissions reductions across hardware and IT sector. Experience working in complex carbon measurement projects. Experience in emissions accounting, reporting, auditing and standards interpretations. Experience in data analytics to deliver insights and decisions.", "responsibilities": "Oversee metrics and measurement of GHG emissions in support of operationalizing the company\u2019s NetZero goals. Articulate methodologies for carbon measurement and reductions for Google\u2019s operational footprint. Lead a team that provides methodology support to internal functional stakeholders such as NetZero planning and digital infrastructure. Work with partner teams on data requirements and processes to operationalize GHG measurement across Google and its value chain.", "company": "google"} |
Senior Technical Program Manager, Pixel Modem share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA ; San Diego, CA, USA bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Mountain View, CA, USA; San Diego, CA, USA Minimum qualifications: Preferred qualifications: About the job The Google Pixel team focuses on designing and delivering the world's most helpful mobile experience. The team works on shaping the future of Pixel devices and services through some of the most advanced designs, techniques, products, and experiences in consumer electronics. This includes bringing together the best of Google’s artificial intelligence, software, and hardware to build global smartphones and create transformative experiences for users across the world. The US base salary range for this full-time position is $168,000-$252,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Technical Program Manager, Pixel Modem", "level": "Advanced", "location": "Mountain View, CA, USA; San Diego, CA, USA", "description": "A problem isn\u2019t truly solved until it\u2019s solved for all. That\u2019s why Googlers build products that help create opportunities for everyone, whether down the street or across the globe. As a Technical Program Manager at Google, you\u2019ll use your technical expertise to lead complex, multi-disciplinary projects from start to finish. You\u2019ll work with stakeholders to plan requirements, identify risks, manage project schedules, and communicate clearly with cross-functional partners across the company. You're equally comfortable explaining your team's analyses and recommendations to executives as you are discussing the technical tradeoffs in product development with engineers. Our goal is to build a Google that looks like the world around us \u2014 and we want Googlers to stay and grow when they join us. As part of our efforts to build a Google for everyone, we build diversity, equity, and inclusion into our work and we aim to cultivate a sense of belonging throughout the company.", "key_qualifications": "Bachelor's degree in a technical field, or equivalent practical experience. 8 years of experience in program management. 4 years of experience working with mobile wireless technologies including Bluetooth, Wifi, or 5G LTE. 4 years of experience with carrier certification, standards, testing, certification protocols and requirements, and working with carriers. 4 years of experience with the software development life cycle.", "preferred_qualifications": "Experience managing software development for consumer hardware products. Ability to work in a fast paced and time sensitive environment. Excellent multitasking skills, with the ability to prioritize when working on multiple tasks/projects. Excellent communication, influence, and collaboration skills.", "responsibilities": "Implement communications standards across a portfolio of programs including executive and key partner communications. Establish a reliable cadence for program reviews, decision-making, prioritization, and Resource Stewardship (effective deployment of machine and people resources). Seek out and identify change management opportunities that increase program velocity and affect multiple teams. Track and prioritize feature/surface/system development work from concept to launch. Drive external partners and internal teams to deliver features and bug fixes.", "company": "google"} |
Senior Quantitative UX Research Manager, Google Ads share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA ; New York, NY, USA ; +2 more ; +1 more bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Mountain View, CA, USA; New York, NY, USA; San Francisco, CA, USA Minimum qualifications: Preferred qualifications: About the job Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Quantitative UX Research Manager, Google Ads", "level": "Advanced", "location": "Mountain View, CA, USA", "description": "At Google, we follow a simple but vital premise: \"Focus on the user and all else will follow.\" Google\u2019s UX leaders help define and drive the future of Google design. They create and clarify product strategy, conceptualize UX ecosystems in ways that mitigate complexity, and inspire teams to push the boundaries of what\u2019s possible. They possess a clear vision of the future of user experience and have the courage to pursue forward-thinking design. Google User Experience (UX) is made up of multi-disciplinary teams of UX Designers, Researchers, Writers, Content Strategists, Program Managers, and Engineers: we care deeply about the people who use our products. You are a thoughtful team leader, manager, expert researcher, and visionary. You'll be responsible for guiding the careers of your team members, working closely with each of them to help them realize their full potential. Quantitative UX Research Managers are fierce advocates for the people who use our products as well as the members of their teams. They\u2019re experts at using data and quantitative analysis to shape product development and influence overall strategy. In this role, you\u2019ll take the time to understand not just the execution side of UX, but also the business aspects of the products we build. You\u2019ll collaborate with leaders of other UX, Engineering, and Product Management teams to create innovative experiences across all of Google\u2019s products, leveraging your passion for brand, craft, and design quality.", "key_qualifications": "Bachelor's degree in Human-Computer Interaction, Cognitive Science, Statistics, Psychology, Anthropology, related field, or equivalent practical experience. 10 years of experience in an applied research setting, or similar. 5 years of experience leading design projects and managing people or teams. 3 years of work experience working with executive leaders. Include a portfolio, website, or any other relevant link to your work in your resume (providing a viewable link and/or access instructions).", "preferred_qualifications": "Master's or PhD degree in Human-Computer Interaction, Cognitive Science, Statistics, Psychology, Anthropology, or related field. 15 years of relevant work experience, including experience integrating user research into product designs and design practices. 10 years of experience conducting UX research on products and working with executive leadership (e.g., Director level and above). 8 years of experience managing projects, and working in a large, matrixed organization. Strong understanding of the strengths and shortcomings of different research methods, including when and how to apply them during each product phase. Excellent working knowledge of statistics and the principles of experiment design.", "responsibilities": "Influence stakeholders across organizations to gain support for research-based, user-centric solutions. Own project priorities in alignment with larger product goals, and oversee allocation of resources within the project. Drive ideas to improve products and services through research-driven insights and recommendations. Lead teams to define and evaluate product, service, ecosystem impact. Own vision and strategy discussions through research by analyzing, consolidating, or synthesizing what is known about user, product, service, or business needs.", "company": "google"} |
Data Scientist, Supply Chain and Operations, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place New York, NY, USA ; Kirkland, WA, USA ; +2 more ; +1 more bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X New York, NY, USA; Kirkland, WA, USA; Sunnyvale, CA, USA Minimum qualifications: Experience with LLMs (large language models). Preferred qualifications: About the job Responsibilities Research and apply latest GenAI technologies on supply chain and operations use cases and problems. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Data Scientist, Supply Chain and Operations, Google Cloud", "level": "Mid", "location": "New York, NY, USA; Kirkland, WA, USA", "description": "The mission of Cloud Supply Chain Operations Data Science and Simulation team is to improve efficiency through applied machine learning, statistical and simulation modeling as well as prescriptive insights. Efficiency could come in the form of cost-savings, reduced deployment/maintenance time, and improved supply/demand predictability. Our data science modeling and analytics work also focuses on projects that increase satisfaction of the users, elevate our measurement capabilities and improve key business metrics.", "salary": "$127,000-$187,000 + bonus + equity + benefits", "key_qualifications": "Master's degree in Statistics, Data Science, Mathematics, Physics, Economics, Operations Research, Engineering, or a related quantitative field or equivalent practical experience. 3 years of work experience using analytics to solve product or business problems, coding (e.g., Python, R, SQL), querying databases or statistical analysis, or a PhD degree. 1 year of experience in generative AI and machine learning. Experience with LLMs (large language models).", "preferred_qualifications": "PhD degree. 5 years of work experience using analytics to solve product or business problems, coding (e.g., Python, R, SQL), querying databases or statistical analysis.", "responsibilities": "Own the process of gathering, extracting, and compiling data across sources via relevant tools (e.g., SQL, R, Python). Independently format, re-structure, and/or validate data to ensure quality, and review the dataset to ensure it is ready for analysis. Serve as Large Language Models (LLM)/Generative AI (GenAI) modeling subject-matter-expert across Cloud Supply Chain Operations organization. Research and apply latest GenAI technologies on supply chain and operations use cases and problems. Develop other machine learning, statistical, and optimization models to improve supply chain and operations efficiency. Most of our (non-LLM) modeling needs are on forecasting and classification. Use custom data infrastructure or existing data models as appropriate, using specialized knowledge. Design and evaluate models to mathematically express and solve defined problems with limited precedent.", "company": "google"} |
Cloud Consultant, Data and Analytics, Google Cloud Consulting share link link Copy link email email Email a friend corporate_fare Google place Boulder, CO, USA ; San Diego, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Boulder, CO, USA; San Diego, CA, USA Minimum qualifications: Bachelor's degree in Computer Science, Computer Engineering, a related technical field, or equivalent practical experience. Experience architecting, designing, and deploying distributed data processing systems with one or more open source technologies. Experience in technical consulting delivery for data solutions in cloud environments. Preferred qualifications: MBA or Master's degree in Computer Science or Engineering field. Cloud certification. 7 years of experience demonstrating technical client service. Experience with reading software code in one or more languages such as Java, JavaScript, or Python. Experience designing and deploying large scale distributed data processing systems with one or more technologies such as Oracle, SQL Server, MySQL, PostgreSQL, MongoDB, Cassandra, Redis, Hadoop, Spark, HBase, Vertica, Netezza, Teradata, Tableau, or MicroStrategy. About the job Google Cloud helps employees and organizations empower their employees, serve their customers, and build what’s next for their business, all with technology built in the cloud. Our products are engineered for security, reliability, and scalability, managing the full-stack from infrastructure to applications to devices and hardware. Our teams are dedicated to helping our customers, developers, small and large businesses, educational institutions and government agencies, see the benefits of our technology come to life. Google Cloud accelerates organizations’ ability to digitally transform their business with the best infrastructure, platform, industry solutions and expertise. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology – all on the cleanest cloud in the industry. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. The US base salary range for this full-time position is $118,000-$174,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Work with customer technical leads, client executives, and partners to manage and deliver implementations of cloud solutions becoming a trusted advisor to decision makers throughout the engagement. Work with internal specialists, product and engineering teams to package best practices and lessons learned into thought leadership, methodologies, and published assets. Interact with sales, partners, and customer technical stakeholders to manage project scope, priorities, deliverables, risks/issues, and timelines for client outcomes. Advocate for customer needs in order to overcome adoption blockers and drive new feature development based on your field experience. Propose solution architectures and manage the deployment of cloud based big data and analytics solutions according to complex customer requirements and implementation best practices. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Cloud Consultant, Data and Analytics, Google Cloud Consulting", "level": "Mid", "location": "Boulder, CO, USA; San Diego, CA, USA", "description": "Google Cloud helps employees and organizations empower their employees, serve their customers, and build what\u2019s next for their business, all with technology built in the cloud. Our products are engineered for security, reliability, and scalability, managing the full-stack from infrastructure to applications to devices and hardware. Our teams are dedicated to helping our customers, developers, small and large businesses, educational institutions and government agencies, see the benefits of our technology come to life.\n\nAs a Cloud Consultant for Data and Analytics, you will work with Google\u2019s customers on critical projects to help them transform their businesses. You will provide management, consulting and technical aptitude to customer engagements while working with client executives and key technical leaders to deploy solutions via Google\u2019s Cloud Platform. \n\nYou will also work closely with key Google partners currently servicing accounts to manage programs, deliver consulting services, and provide technical guidance and best practice expertise. \n\nYou will travel up to 30% of the time for client engagements.\n\nGoogle Cloud accelerates organizations\u2019 ability to digitally transform their business with the best infrastructure, platform, industry solutions and expertise. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology \u2013 all on the cleanest cloud in the industry. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.\n\nThe US base salary range for this full-time position is $118,000-$174,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process.\n\nPlease note that the compensation details listed in US role postings reflect the base salary only, and do not include bonus, equity, or benefits. Learn more about <a href=\"https://careers.google.com/benefits/\">benefits at Google</a>.", "key_qualifications": "Bachelor's degree in Computer Science, Computer Engineering, a related technical field, or equivalent practical experience.\n4 years of experience project managing and delivering technical solutions.\nExperience architecting, designing, and deploying distributed data processing systems with one or more open source technologies.\nExperience in technical consulting delivery for data solutions in cloud environments.", "preferred_qualifications": "MBA or Master's degree in Computer Science or Engineering field.\nCloud certification.\n7 years of experience demonstrating technical client service.\nExperience with reading software code in one or more languages such as Java, JavaScript, or Python.\nExperience designing and deploying large scale distributed data processing systems with one or more technologies such as Oracle, SQL Server, MySQL, PostgreSQL, MongoDB, Cassandra, Redis, Hadoop, Spark, HBase, Vertica, Netezza, Teradata, Tableau, or MicroStrategy.\nExperience devising migration approach, and migrating on premises data processing systems to cloud.", "responsibilities": "Work with customer technical leads, client executives, and partners to manage and deliver implementations of cloud solutions becoming a trusted advisor to decision makers throughout the engagement.\nWork with internal specialists, product and engineering teams to package best practices and lessons learned into thought leadership, methodologies, and published assets.\nInteract with sales, partners, and customer technical stakeholders to manage project scope, priorities, deliverables, risks/issues, and timelines for client outcomes.\nAdvocate for customer needs in order to overcome adoption blockers and drive new feature development based on your field experience.\nPropose solution architectures and manage the deployment of cloud based big data and analytics solutions according to complex customer requirements and implementation best practices.", "company": "google"} |
Software Engineer III, Engineering Productivity, Google Cloud Platforms share link link Copy link email email Email a friend corporate_fare Google place Sunnyvale, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor’s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting. 2 years of experience with data structures or algorithms in either an academic or industry setting. 2 years of experience building developer tools that improve developer velocity, code quality and code health (e.g., compilers, automated releases, code design and testing, test automation frameworks). Preferred qualifications: Master's degree or PhD in Computer Science or related technical fields. 2 years of experience with performance, large scale systems data analysis, visualization tools, and/or debugging. Experience developing accessible technologies. Proficiency in code and system health, diagnosis and resolution, and software test engineering. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. The US base salary range for this full-time position is $136,000-$200,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer III, Engineering Productivity, Google Cloud Platforms", "level": "Mid", "location": "Sunnyvale, CA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another.", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience.\n2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting.\n2 years of experience with data structures or algorithms in either an academic or industry setting.\n2 years of experience building developer tools that improve developer velocity, code quality and code health (e.g., compilers, automated releases, code design and testing, test automation frameworks).", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical fields.\n2 years of experience with performance, large scale systems data analysis, visualization tools, and/or debugging.\nExperience developing accessible technologies.\nProficiency in code and system health, diagnosis and resolution, and software test engineering.", "responsibilities": "Write product or system development code.\nParticipate in, or lead design reviews with peers and stakeholders to decide amongst available technologies.\nReview code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).\nContribute to existing documentation or educational content and adapt content based on product/program updates and user feedback.\nTriage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Software Engineer III, Android, Wear OS share link link Copy link email email Email a friend corporate_fare Google place Bucharest, Romania bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor’s degree or equivalent practical experience. Preferred qualifications: Experience developing or designing large software systems. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. In this role, you will have the opportunity to create Android, Wear OS, Fitbit OS applications for our next generation wearables devices. You will work in new product development cross-functionally with many other engineering organizations. Google's mission is to organize the world's information and make it universally accessible and useful. Our Devices & Services team combines the best of Google AI, Software, and Hardware to create radically helpful experiences for users. We research, design, and develop new technologies and hardware to make our user's interaction with computing faster, seamless, and more powerful. Whether finding new ways to capture and sense the world around us, advancing form factors, or improving interaction methods, the Devices & Services team is making people's lives better through technology. Responsibilities Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Collaborate with cross-functional teams to define, design, and ship new features. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer III, Android, Wear OS", "level": "Mid", "location": "Bucharest, Romania", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another.", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree. 2 years of experience with data structures or algorithms. Experience with Android application development.", "preferred_qualifications": "Master's degree or PhD in Computer Science, or a related technical field. Experience developing or designing large software systems. Experience creating user interfaces for embedded devices: graphics/windows Software Development Kit (SDK), fonts, haptic interfaces, internationalization and localization.", "responsibilities": "Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Develop user-facing applications for devices. Collaborate with cross-functional teams to define, design, and ship new features.", "company": "google"} |
Technical Account Manager, Google Cloud Consulting share link link Copy link email email Email a friend corporate_fare Google place Sunnyvale, CA, USA ; San Francisco, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Sunnyvale, CA, USA; San Francisco, CA, USA Minimum qualifications: Preferred qualifications: About the job As a Technical Account Manager (TAM), you will help customers successfully adopt Google Cloud products. You will lead the successful adoption of Google Cloud at organizations, guiding them through the strategic and technical facets of their Google Cloud transformation journey. You will manage the successful delivery of Cloud Consulting engagements to drive customer adoption of Google Cloud services. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Accelerate customer adoption of Google Cloud by leading the implementation journey. Provide technical guidance and manage timelines, milestones, migration goal and business transformation strategies. Plan for customer events and launches, partnering with Support, Engineers, and Site Reliability Engineers to ensure customer success, and work with customers and Support to guide issues/escalations to resolution. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Technical Account Manager, Google Cloud Consulting", "level": "Mid", "location": "Sunnyvale, CA, USA; San Francisco, CA, USA", "description": "As a Technical Account Manager (TAM), you will help customers successfully adopt Google Cloud products. You will lead the successful adoption of Google Cloud at organizations, guiding them through the strategic and technical facets of their Google Cloud transformation journey. You will manage the successful delivery of Cloud Consulting engagements to drive customer adoption of Google Cloud services. Google Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "salary": "$106,000-$156,000 + bonus + equity + benefits", "key_qualifications": "Bachelor\u2019s degree in Computer Science, Engineering, a related technical field, or equivalent practical experience. 5 years of experience in a customer-facing role working with stakeholders, driving customer technical implementations or transformation programs. Experience supporting customers in cloud operations (e.g., launch or capacity planning, product release management), technical support, escalation management, or IT consulting.", "preferred_qualifications": "MBA or Master\u2019s degree in a Management, Technical, or an Engineering field. Experience translating business requirements into technological solutions. Experience in application or workload migration to public cloud providers. Experience collaborating with teams, groups, business units, channel partners, systems integrators, and third-party developers to deliver high-impact solutions. Understanding of IT operations, database systems, networking, IT security, application development, service architecture, cloud-native application development, hosted services, storage systems, or content delivery networks. Excellent written and verbal communication, presentation, problem-solving, and client management skills.", "responsibilities": "Accelerate customer adoption of Google Cloud by leading the implementation journey. Provide technical guidance and manage timelines, milestones, migration goal and business transformation strategies. Advocate for customer needs in order to overcome adoption blockers and drive new feature development. Lead across multiple work streams and teams to maintain customer momentum. Develop relationships with stakeholders to understand customer\u2019s business, develop strategic roadmaps, and lead quarterly business reviews and executive sessions to better understand business and technical needs. Plan for customer events and launches, partnering with Support, Engineers, and Site Reliability Engineers to ensure customer success, and work with customers and Support to guide issues/escalations to resolution. Develop best practices and assets based on learnings from customer engagements to support initiatives to scale through partners and accelerate Google Cloud adoption.", "company": "google"} |
Circuit Design Engineer, Silicon share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Experience with HSPICE or equivalent circuit simulator, and writing SPICE decks. Experience with shell scripting (e.g. sed/awk). Preferred qualifications: Master's degree in VLSI, Computer Engineering, or Electronics Engineering, or equivalent practical experience. Experience with writing SPICE decks, running SPICE simulations, and performing high-sigma variation analysis. About the job In this role, you will augment PPA of Digital Circuit IPs used in Google Silicon products. You'll engage with Architects, Physical Designers, Silicon Design Engineers, the Test-Chip team, and External Foundry teams to improve PPA of Google Silicon. You will collaborate with the post-silicon team to debug silicon issues and correlate Silicon-SPICE results. Google's mission is to organize the world's information and make it universally accessible and useful. Our team combines the best of Google AI, Software, and Hardware to create radically helpful experiences. We research, design, and develop new technologies and hardware to make computing faster, seamless, and more powerful. We aim to make people's lives better through technology. Responsibilities Analyze design specifications from Compute IPs and develop custom memory arrays or std-cells. Draw schematics, extract layouts, write SPICE decks, and run SPICE simulations to validate the circuit or block. Run Monte-Carlo or high-speed sigma analysis to understand sensitivity of designed circuit. Work with Layout Engineers to improve PPA of memory array or std-cell. Collaborate with Physical Design team and ensure seamless integration of std-cell or memory array. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Circuit Design Engineer, Silicon", "level": "Mid", "location": "Bengaluru, Karnataka, India", "description": "Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.", "key_qualifications": "Bachelor\u2019s degree in VLSI, Computer Engineering, or Electronics Engineering, or equivalent practical experience. 5 years of experience designing Register File Memory Arrays. Experience with HSPICE or equivalent circuit simulator, and writing SPICE decks. Experience with shell scripting (e.g. sed/awk).", "preferred_qualifications": "Master's degree in VLSI, Computer Engineering, or Electronics Engineering, or equivalent practical experience. Experience designing digital circuits like Adders, Level Shifters, Multipliers, Retention Flops, and Register File Memory Design. Experience with writing SPICE decks, running SPICE simulations, and performing high-sigma variation analysis. Understanding of CMOS Transistor Short Channel and Layout Dependent Effects in FinFET and GAA technology. Proficiency in Python, Perl, TCL, or shell scripts", "responsibilities": "Analyze design specifications from Compute IPs and develop custom memory arrays or std-cells. Draw schematics, extract layouts, write SPICE decks, and run SPICE simulations to validate the circuit or block. Run Monte-Carlo or high-speed sigma analysis to understand sensitivity of designed circuit. Work with Layout Engineers to improve PPA of memory array or std-cell. Collaborate with Physical Design team and ensure seamless integration of std-cell or memory array.", "company": "google"} |
Research Data Scientist, Ads Insight and Measurement share link link Copy link email email Email a friend corporate_fare Google place Bangalore, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Experience articulating and translating business questions and using techniques to arrive at an answer using available data. About the job At Google, data drives all of our decision-making. Quantitative Analysts work all across the organization to help shape Google's business and technical strategies by processing, analyzing and interpreting huge data sets. Using analytical excellence and statistical methods, you mine through data to identify opportunities for Google and our clients to operate more efficiently, from enhancing advertising efficacy to network infrastructure optimization to studying user behavior. As an analyst, you do more than just crunch the numbers. You work with Engineers, Product Managers, Sales Associates and Marketing teams to adjust Google's practices according to your findings. Identifying the problem is only half the job; you also figure out the solution. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Research Data Scientist, Ads Insight and Measurement", "level": "Mid", "location": "Bangalore, Karnataka, India", "description": "At Google, data drives all of our decision-making. Quantitative Analysts work all across the organization to help shape Google's business and technical strategies by processing, analyzing and interpreting huge data sets. Using analytical excellence and statistical methods, you mine through data to identify opportunities for Google and our clients to operate more efficiently, from enhancing advertising efficacy to network infrastructure optimization to studying user behavior. As an analyst, you do more than just crunch the numbers. You work with Engineers, Product Managers, Sales Associates and Marketing teams to adjust Google's practices according to your findings. Identifying the problem is only half the job; you also figure out the solution. As a Data Scientist working on Ads Insights and Measurement, you will develop, evaluate and improve the entire range of Google's advertising products including Search, Display, Apps, TV and Video (YouTube). You will collaborate closely with a multi-disciplinary team of engineers, analysts and product managers to develop new science and to translate it into deployed products at scale. You will also play a key role in developing new ideas and methods that drive ad measurement and business generation, including paradigm-shifting ad-measurement science and products for the privacy-preserving future of digital advertising. In doing so, you will be a key part of building and driving impact on large-scale ad-systems both at Google and in the ad-tech and mar-tech industry as a whole, globally.", "salary": "", "key_qualifications": "Master's degree in Statistics, Economics, Engineering, Mathematics, a related quantitative field, or equivalent practical experience. 3 years of experience with statistical data analysis, data mining, and querying (e.g., Python, R, SQL). 1 year of experience managing analytical projects.", "preferred_qualifications": "5 years of experience using analytics to solve product or business problems, coding (e.g., Python, R, SQL), querying databases or statistical analysis, or a PhD degree. 4 years of experience working as a Data Scientist with statistical data analysis such as linear models, multi-variate analysis, stochastic models, sampling methods. Experience with causal inference methods (e.g., split-testing, instrumental variables, difference-in-difference methods, fixed effects regression, panel data models, regression discontinuity, matching estimators). Experience articulating and translating business questions and using techniques to arrive at an answer using available data.", "responsibilities": "Collaborate with stakeholders in cross-projects and team settings to identify and clarify business or product questions to answer. Provide feedback to translate and refine business questions into tractable analysis, evaluation metrics, or mathematical models. Use custom data infrastructure or existing data models as appropriate, using specialized knowledge. Design and evaluate models to mathematically express and solve defined problems with limited precedent. Gather information, business goals, priorities, and organizational context around the questions to answer, as well as the existing and upcoming data infrastructure. Own the process of gathering, extracting, and compiling data across sources via relevant tools (e.g., SQL, R, Python). Independently format, re-structure, and/or validate data to ensure quality, and review the dataset to ensure it is ready for analysis.", "company": "google"} |
Product Manager II, Google Tensor share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job We are the team that builds Google Tensor - Google’s custom System-on-Chip (SoC) that powers Pixel devices. Tensor makes transformative user experiences possible with the help of Machine Learning (ML) running on our Tensor Processing Unit (TPU) ML accelerator complemented by CPU and GPU subsystems to deliver the foundational mobile experiences. Our goal is to produce the latest ML innovations and research by delivering heterogeneous computing hardware and software across CPU, GPU, and TPU. Google's mission is to organize the world's information and make it universally accessible and useful. Our team combines the best of Google AI, Software, and Hardware to create radically helpful experiences. We research, design, and develop new technologies and hardware to make computing faster, seamless, and more powerful. We aim to make people's lives better through technology. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Product Manager II, Google Tensor", "level": "Mid", "location": "Mountain View, CA, USA", "description": "At Google, we put our users first. The world is always changing, so we need Product Managers who are continuously adapting and excited to work on products that affect millions of people every day. In this role, you will work cross-functionally to guide products from conception to launch by connecting the technical and business worlds.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of experience in product management or related technical role. 2 years of experience taking technical products from conception to launch. Experience with machine learning or software (memory performance, CPU scheduling) or hardware (system architecture) in mobile platforms.", "preferred_qualifications": "Master's degree in a technology or business related field. 3 years of experience in a role preparing and delivering technical presentations to senior leadership. 2 years of experience in software development or engineering. 2 years of experience working cross-functionally with engineering, UX/UI, sales finance, and other stakeholders. 1 year of experience in technical leadership. Familiarity with mobile computing constraints, OS and Software frameworks/tools, and software development life cycles.", "responsibilities": "Collaborate with Pixel and Android partners to identify compute Critical User Journeys (CUJs) and corresponding workloads that drive the performance requirements for the flagship Pixel phones. Prioritize these CUJs/Workloads and partner with the cross-functional engineering teams for deeper understanding and analysis of the performance limiters (KPIs). Define differentiated and compelling silicon solutions and roadmap that leverage Google\u2019s hardware, software, research, and ML capabilities. Co-work with marketing, engineering, legal, and multiple cross functional teams for product and feature launches enabled with Google Tensor.", "company": "google"} |
Technical Program Manager, Safety Compliance share link link Copy link email email Email a friend corporate_fare Google place New Taipei, Banqiao District, New Taipei City, Taiwan bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Google welcomes people with disabilities. Google welcomes people with disabilities. Minimum qualifications: Preferred qualifications: Ability to perform safety testing. About the job Google's mission is to organize the world's information and make it universally accessible and useful. Our Devices & Services team combines the best of Google AI, Software, and Hardware to create radically helpful experiences for users. We research, design, and develop new technologies and hardware to make our user's interaction with computing faster, seamless, and more powerful. Whether finding new ways to capture and sense the world around us, advancing form factors, or improving interaction methods, the Devices & Services team is making people's lives better through technology. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Technical Program Manager, Safety Compliance", "level": "Mid", "location": "New Taipei, Banqiao District, New Taipei City, Taiwan", "description": "Google's projects, like our users, span the globe and require managers to keep the big picture in focus while being able to dive into the unique engineering challenges we face daily. As a Technical Program Manager at Google, you lead complex, multi-disciplinary engineering projects using your engineering expertise. You plan requirements with internal customers and usher projects through the entire project lifecycle. This includes managing project schedules, identifying risks and clearly communicating them to project stakeholders. You're equally at home explaining your team's analyses and recommendations to executives as you are discussing the technical trade-offs in product development with engineers. Using your extensive technical and leadership expertise, you manage projects of various size and scope, identifying future opportunities, improving processes and driving the technical directions of your programs. Google's mission is to organize the world's information and make it universally accessible and useful. Our Devices & Services team combines the best of Google AI, Software, and Hardware to create radically helpful experiences for users. We research, design, and develop new technologies and hardware to make our user's interaction with computing faster, seamless, and more powerful. Whether finding new ways to capture and sense the world around us, advancing form factors, or improving interaction methods, the Devices & Services team is making people's lives better through technology.", "key_qualifications": "Bachelor's degree in engineering or a relevant field, or equivalent practical experience. 2 years of experience managing projects with consumer electronics. 4 years of experience in safety certification (e.g., IEC 62368-1, IEEE 1725, UL 1642, UL 2054).", "preferred_qualifications": "Experience of safety hazard identification and risk analysis, including means for mitigation. Experience with product safety analysis and investigation and product recall. Experience working within safety testing, test lab quality management systems, in compliance with ISO 17025. Experience with international certification schemes for information technology equipment (e.g., CE marking, CB scheme, GS, RCM, BIS, PSE, BSMI). Ability to perform safety testing.", "responsibilities": "Drive safety and certification requirements across development teams, testing labs, suppliers, and manufacturing partners. Troubleshoot compliance issues, coordinating solutions with internal and external engineering teams and partners/suppliers. Define/oversee hardware certification test requirements and validate testing reports for accuracy, completeness, and ensure all mandatory requirements are accounted for in filing packages. Communicate certification status and issues in a clear and timely manner to all impacted teams. Prepare hardware samples and submit them to test labs for product safety certification testing.", "company": "google"} |
Strategic Partnership Manager (English, Japanese) share link link Copy link email email Email a friend corporate_fare Google place Tokyo, Japan bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Google welcomes people with disabilities. Google welcomes people with disabilities. Minimum qualifications: Preferred qualifications: Experience selling consumer electronics or products to retailers or mobile network companies. Ability to interpret legal documents keeping in mind local context and work with attorneys on specific agreement language. Ability to represent companies in discussions and structure terms, demonstrating excellent business judgment. About the job Google's line of products and services to our clients never stops growing. The Partnerships Development team is responsible for seeking and exploring new opportunities with Google's partners. Equipped with your business acumen and extensive product knowledge, you are right on the front line of interacting with our partners, and helping them find ways to grow using Google's newest product offerings. Your knowledge of relevant verticals and relationships with key industry players will help shape our great applications and content for products such as YouTube, Google TV and Commerce. Responsibilities Drive and action distribution agreements for Google-branded hardware. Initiate agreements with potential partners, lead exploratory talks, build consensus with internal and external executives. Consult with strategic partnerships, manage partnerships to deliver results, and build long-term partner relationships. Identify and prioritize partner goals. Become an expert on organization, business model, and strategic priorities. Serve as Google-branded hardware relationship manager for the current existing agreements. Help realize and optimize value from the partnership. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Strategic Partnership Manager (English, Japanese)", "level": "Mid", "location": "Tokyo, Japan", "description": "Google's line of products and services to our clients never stops growing. The Partnerships Development team is responsible for seeking and exploring new opportunities with Google's partners. Equipped with your business acumen and extensive product knowledge, you are right on the front line of interacting with our partners, and helping them find ways to grow using Google's newest product offerings. Your knowledge of relevant verticals and relationships with key industry players will help shape our great applications and content for products such as YouTube, Google TV and Commerce.", "salary": "", "key_qualifications": "Bachelor's degree or equivalent practical experience. 8 years of experience in consulting, software, internet, media industries, or early stage companies. Ability to communicate in English and Japanese fluently as this is a customer-facing role that requires interactions in English and Japanese with local stakeholders.", "preferred_qualifications": "Experience selling consumer electronics or products to retailers or mobile network companies. Experience with ongoing partner relationship management. Ability to interpret legal documents keeping in mind local context and work with attorneys on specific agreement language. Ability to represent companies in discussions and structure terms, demonstrating excellent business judgment.", "responsibilities": "Drive and action distribution agreements for Google-branded hardware. Initiate agreements with potential partners, lead exploratory talks, build consensus with internal and external executives. Consult with strategic partnerships, manage partnerships to deliver results, and build long-term partner relationships. Identify and prioritize partner goals. Become an expert on organization, business model, and strategic priorities. Serve as Google-branded hardware relationship manager for the current existing agreements. Help realize and optimize value from the partnership.", "company": "google"} |
Technical Program Manager, Google Ads Operations share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Experience with the Ads product area/business. Ability to manage ambiguous programs with cross-functional teams. About the job Google is an engineering company at heart. We hire people with a broad set of technical skills who are ready to take on some of technology's greatest challenges and make an impact on users around the world. At Google, engineers not only revolutionize search, they routinely work on scalability and storage solutions, large-scale applications and entirely new platforms for developers around the world. From Google Ads to Chrome, Android to YouTube, social to local, Google engineers are changing the world one technological achievement after another. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Technical Program Manager, Google Ads Operations", "level": "Mid", "location": "Bengaluru, Karnataka, India", "description": "A problem isn\u2019t truly solved until it\u2019s solved for all. That\u2019s why Googlers build products that help create opportunities for everyone, whether down the street or across the globe. As a Technical Program Manager at Google, you\u2019ll use your technical expertise to lead complex, multi-disciplinary projects from start to finish. You\u2019ll work with stakeholders to plan requirements, identify risks, manage project schedules, and communicate clearly with cross-functional partners across the company. You're equally comfortable explaining your team's analyses and recommendations to executives as you are discussing the technical tradeoffs in product development with engineers.\n\nOur goal is to build a Google that looks like the world around us \u2014 and we want Googlers to stay and grow when they join us. As part of our efforts to build a Google for everyone, we build diversity, equity, and inclusion into our work and we aim to cultivate a sense of belonging throughout the company.\n\nGoogle is an engineering company at heart. We hire people with a broad set of technical skills who are ready to take on some of technology's greatest challenges and make an impact on users around the world. At Google, engineers not only revolutionize search, they routinely work on scalability and storage solutions, large-scale applications and entirely new platforms for developers around the world. From Google Ads to Chrome, Android to YouTube, social to local, Google engineers are changing the world one technological achievement after another.", "key_qualifications": "Bachelor's degree in Engineering, or equivalent practical experience.\n2 years of experience in program management.\nExperience identifying issues and working cross-functionally with partners.", "preferred_qualifications": "2 years of experience managing cross-functional or cross-team projects.\nExperience with the software development life cycle.\nExperience with the Ads product area/business.\nAbility to manage ambiguous programs with cross-functional teams.\nAbility to collaborate across organizational boundaries, and build relationships to achieve broader organizational goals.", "responsibilities": "Manage a portfolio of projects and work-streams, and be responsible for overall program strategy, governance, operating model, and program operations.\nBe responsible for program scoping, planning, creating and managing integrated schedules, setting milestones, and program tracking.\nUse leadership, product, and technical knowledge to drive program delivery, work with engineering, product management, UX, and other partner teams.\nNavigate multiple work-streams, functional and technical concepts, and conversations across different areas and stakeholders to drive collaboration and consensus.\nLead the creation of integrated timelines and schedules, track the progress, manage dependencies, identify and communicate risks, and identify mitigations.", "company": "google"} |
Data Center Facilities Technician, Mechanical share link link Copy link email email Email a friend corporate_fare Google place Fredericia, Denmark bar_chart bar_chart Early Early Early Experience completing work as directed, and collaborating with teammates; developing knowledge of relevant concepts and processes. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job The Data Center team designs and operates some of the most sophisticated electrical and HVAC systems in the world. We are a diverse, upbeat, creative, team-oriented group of engineers committed to building and operating powerful data centers. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Data Center Facilities Technician, Mechanical", "level": "", "location": "Fredericia, Denmark", "description": "The Data Center team designs and operates some of the most sophisticated electrical engineering, mechanical engineering and HVAC systems in the world. Facilities Technicians at Google data centers operate, monitor and support physical facilities conditions. Some of these duties will include heating and cooling of air and water, power supply, generators, UPS systems, electrical distribution and control and monitoring systems. You regularly help inspect, maintain and repair various data center systems such as piping and non-critical electrical or mechanical system components). You provide daily assistance to senior technicians as you read blueprints/schematics, conduct tours of systems and assess their working order.\n\nAs an advocate for best practices, you develop creative approaches to reducing operational costs while improving overall data center efficiency. You ensure that environmental and safety standards are consistently met, identifying problems and making repairs quickly. In emergency situations or abnormal conditions, you manage data center performance issues and outages to minimize the recovery time from failures.\n\nAs a Facilities Technician, you will operate, monitor, and support physical facilities conditions for our data centers.\nThe Data Center team designs and operates some of the most sophisticated electrical and HVAC systems in the world. We are a diverse, upbeat, creative, team-oriented group of engineers committed to building and operating powerful data centers.", "key_qualifications": "Associate's degree, trade school certification, or other certified training in a related technical field, or equivalent practical experience.\n2 years of Mechanical/HVAC experience in an industrial or commercial environment.\nAbility to lift and move 50 lbs of equipment, and work on platforms, ladders, and under raised floors.\nAbility to work non-standard hours and work rotations/shifts.", "preferred_qualifications": "2 years of experience in maintenance of mechanical/cooling distribution systems, construction/technical environment, or a related field.\nExperience in data centers, hospitals, or power plants.\nKnowledge of mechanical systems used in a data center environment (e.g., Chillers, Air handling units, pumps, and CRAC/CRAH units).\nKnowledge of meters, devices, sensors, and troubleshooting utilizing standard hand tools, digital metering, or calibration/diagnostic equipment.\nAbility to communicate with contractors who perform maintenance or upgrade work on the data center systems.", "responsibilities": "Inspect, maintain, and repair various data center systems such as piping and mechanical system components. \nProvide daily assistance to technicians as you read blueprints/schematics/sequence of operations, conduct tours of systems, and assess their working order. \nManage the uptime and maintenance of water pumps and treatment systems, HVAC, and control and monitoring systems. \nOperate, monitor, maintain, and respond to abnormal conditions in the data center facilities systems and equipment. \nSupport startup, commissioning, and integration of new mechanical equipment and systems into facilities infrastructure.", "company": "google"} |
Product Support Engineer share link link Copy link email email Email a friend corporate_fare Google place Dublin, Ireland bar_chart bar_chart Early Early Early Experience completing work as directed, and collaborating with teammates; developing knowledge of relevant concepts and processes. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Experience in technical troubleshooting or customer support in a tech organization. About the job Responsibilities Prioritize and deliver outstanding customer service throughout the customer journey, troubleshooting and resolving issues interacting directly with Google's advertisers and Sales teams, agencies and partners. Prioritize and deliver outstanding customer service throughout the customer journey, troubleshooting and resolving issues interacting directly with Google's advertisers and Sales teams, agencies and partners. Prioritize and deliver outstanding customer service throughout the customer journey, troubleshooting and resolving issues interacting directly with Google's advertisers and Sales teams, agencies and partners. Drive inclusive culture and success among a global team, demonstrating mentorship and leadership. Drive inclusive culture and success among a global team, demonstrating mentorship and leadership. Drive inclusive culture and success among a global team, demonstrating mentorship and leadership. Drive inclusive culture and success among a global team, demonstrating mentorship and leadership. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Product Support Engineer", "level": "", "location": "Dublin, Ireland", "description": "Google creates products and services that make the world a better place, and gTech\u2019s role is to help bring them to life. Our teams of trusted advisors support customers globally. Our solutions are rooted in our technical skill, product expertise, and a thorough understanding of our customers\u2019 complex needs. Whether the answer is a bespoke solution to solve a unique problem, or a new tool that can scale across Google, everything we do aims to ensure our customers benefit from the full potential of Google products. To learn more about gTech, check out our video.", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 2 years of experience project managing and delivering technical solutions. 2 years of experience in technical troubleshooting, and managing internal/external partners or customers. Experience in system design or in one programming language (e.g., Java, C++, Python, etc.).", "preferred_qualifications": "Experience working in Technical Products, Cloud Support, Quality Engineering, or SRE. Knowledge and experience using Google Ads or other online advertising solutions and the media/tech landscape. Experience in technical troubleshooting or customer support in a tech organization. Customer-facing experience translating technical concepts and solutions to non-technical and executive audiences. Experience in business analysis to conduct analytics, develop dashboards, and make recommendations. Critical thinking and problem-solving experience to develop strategic perspectives on customer-focused solutions within a diverse environment and a customer-first mindset with the ability to own end-to-end experience and solutions.", "responsibilities": "Prioritize and deliver outstanding customer service throughout the customer journey, troubleshooting and resolving issues interacting directly with Google's advertisers and Sales teams, agencies, and partners. Become a technical expert on AI-powered advertising products, troubleshoot complex issues, develop diagnostic tools, and contribute to product improvements through cross-functional collaboration including Product Engineering teams. Analyze data and insights to create action plans to solve issues at the root cause for our customers focusing on knowledge management, operational improvements, account reviews, and product adoption. Partner with Sales and other cross-functional partner teams to own and continuously improve the journey of all clients across, resolving complex issues and understanding customer pain points. Share insights and provide expertise to our partner teams to support product and process improvements. Drive an inclusive culture and success among a global team, demonstrating mentorship and leadership.", "company": "google"} |
NPI Technical Operations Manager, Networking and Optics share link link Copy link email email Email a friend corporate_fare Google place Sunnyvale, CA, USA bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job Google's custom-designed equipment makes up one of the largest and most powerful computing infrastructures in the world. The Manufacturing Operations team is responsible for providing the manufacturing capability to deliver this state-of-the-art physical infrastructure. As a Manufacturing Engineer, you evaluate the product designs and create the processes, tools and procedures behind Google’s powerful search technology. When vendors build parts for our infrastructure, you’re right there alongside ensuring manufacturing processes are repeatable and controlled. You collaborate with Commodity Managers and Design Engineers to determine Google’s infrastructure needs and product specifications. Your work ensures the various pieces of Google’s infrastructure fit together perfectly and keep our systems humming along smoothly for a seamless user experience. Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible. The US base salary range for this full-time position is $202,000-$287,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "NPI Technical Operations Manager, Networking and Optics", "level": "Advanced", "location": "Sunnyvale, CA, USA", "description": "Google's custom-designed equipment makes up one of the largest and most powerful computing infrastructures in the world. The Manufacturing Operations team is responsible for providing the manufacturing capability to deliver this state-of-the-art physical infrastructure.", "key_qualifications": "Bachelor's degree in Electrical, Process, or Manufacturing Engineering, or equivalent practical experience. 12 years of experience developing supply chain manufacturing, testing, and advanced technologies. 10 years of experience in people management. Experience with designing, manufacturing, and deployment of hardware. Experience working with Original Device Manufacturers (ODMs), contract manufacturers, and component suppliers for data center class products.", "preferred_qualifications": "Master's degree in Electrical Engineering or a related field. Experience with designing, manufacturing, and deployment of Networking and optical hardware. Experience in bring-up or bench testing hardware in a lab environment. Knowledge of SQL queries and scripting in Python or Bash.", "responsibilities": "Foster a high-performing engineering team through effective leadership, mentorship, and collaboration by driving cross-functional alignment and addressing organizational challenges. Serve as a recognized technical authority, guiding the resolution of complex issues and aligning solutions with product and strategic goals. Make decisive choices that reduce costs, mitigate risks, and accelerate project timelines. Lead failure analysis, risk management, and continuous improvement efforts. Oversee advanced manufacturing solutions for Google products, defining and implementing comprehensive test strategies, ensuring yield, quality, and factory readiness. Drive vendor selection, qualification, and management. Stay at the forefront of manufacturing advancements and assess their potential for adoption.", "company": "google"} |
Software Engineer III, AI/Machine Learning, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Hyderabad, Telangana, India ; Bengaluru, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Hyderabad, Telangana, India; Bengaluru, Karnataka, India Minimum qualifications: Bachelor’s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree. 2 years of experience with data structures or algorithms in either an academic or industry setting. Preferred qualifications: Master's degree or PhD in Computer Science or related technical field. 2 years of experience with machine learning algorithms and tools (e.g., TensorFlow), artificial intelligence, deep learning and/or natural language processing. Experience developing accessible technologies. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer III, AI/Machine Learning, Google Cloud", "level": "Mid", "location": "Hyderabad, Telangana, India; Bengaluru, Karnataka, India", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Google Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "salary": "", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree. 2 years of experience with data structures or algorithms in either an academic or industry setting.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field. 2 years of experience with machine learning algorithms and tools (e.g., TensorFlow), artificial intelligence, deep learning and/or natural language processing. Experience developing accessible technologies.", "responsibilities": "Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Software Engineer III, Networks, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Master's degree or PhD in Computer Science or related technical fields. About the job Responsibilities Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer III, Networks, Google Cloud", "level": "Mid", "location": "Bengaluru, Karnataka, India", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward.\n\nWith your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.Google Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "salary": "", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree. 2 years of experience with data structures or algorithms.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical fields. 2 years of experience building networking components and products (e.g., load balancers, firewalls, NAT, SDN, switches/routers, CDN, network protocols, or caching). Experience developing accessible technologies.", "responsibilities": "Write and test product or system development code.\nParticipate in, or lead design reviews with peers and stakeholders to decide amongst available technologies.\nReview code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).\nContribute to existing documentation or educational content and adapt content based on product/program updates and user feedback.\nTriage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Technical Program Manager, Google Cloud Platforms, Enterprise Finance share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India ; Hyderabad, Telangana, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Bengaluru, Karnataka, India; Hyderabad, Telangana, India Minimum qualifications: Preferred qualifications: Excellent organizational skills, with attention to detail. About the job A problem isn’t truly solved until it’s solved for all. That’s why Googlers build products that help create opportunities for everyone, whether down the street or across the globe. As a Technical Program Manager at Google, you’ll use your technical expertise to lead complex, multi-disciplinary projects from start to finish. You’ll work with stakeholders to plan requirements, identify risks, manage project schedules, and communicate clearly with cross-functional partners across the company. You're equally comfortable explaining your team's analyses and recommendations to executives as you are discussing the technical tradeoffs in product development with engineers. Our goal is to build a Google that looks like the world around us — and we want Googlers to stay and grow when they join us. As part of our efforts to build a Google for everyone, we build diversity, equity, and inclusion into our work and we aim to cultivate a sense of belonging throughout the company. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Technical Program Manager, Google Cloud Platforms, Enterprise Finance", "level": "Mid", "location": "Bengaluru, Karnataka, India; Hyderabad, Telangana, India", "description": "A problem isn\u2019t truly solved until it\u2019s solved for all. That\u2019s why Googlers build products that help create opportunities for everyone, whether down the street or across the globe. As a Technical Program Manager at Google, you\u2019ll use your technical expertise to lead complex, multi-disciplinary projects from start to finish. You\u2019ll work with stakeholders to plan requirements, identify risks, manage project schedules, and communicate clearly with cross-functional partners across the company. You're equally comfortable explaining your team's analyses and recommendations to executives as you are discussing the technical tradeoffs in product development with engineers.", "key_qualifications": "Bachelor's degree in Computer Science, IT, or related field, or equivalent practical experience. 2 years of experience in managing projects. Experience managing enterprise finance programs. Experience managing programs and cross-functional teams. Experience working across functions and organizations to find commonality in technical roadmaps and team needs.", "preferred_qualifications": "Experience with software development lifecycle principles and agile execution. Experience in security/access control and protecting data. Excellent organizational skills, with attention to detail.", "responsibilities": "Provide software development and project management, coordination, and inter/intra team communications to deliver outstanding program outcomes. Work closely with Software Engineers, Product Managers, and other Engineering teams to get high-quality products and features through the software project lifecycle (e.g., build, test, and release on time). Manage project schedules, identify possible issues, and clearly communicate them to project stakeholders. Take responsibility for release schedules and milestones, keeping up a high velocity in a fast-paced environment. Lead several technical programs, setting priorities for products and engineering, leading teams to take products to market, assuring success metrics are informing future efforts, and quickly fine-tuning the program as needed.", "company": "google"} |
Network Implementation Engineer II share link link Copy link email email Email a friend corporate_fare Google place Oslo, Norway bar_chart bar_chart Early Early Early Experience completing work as directed, and collaborating with teammates; developing knowledge of relevant concepts and processes. Apply share link link Copy link email email Email a friend Minimum qualifications: Experience with fiber types and their application, physical fiber characteristics, and standard testing tools (e.g., OSA, BERT, OTDR). Preferred qualifications: About the job Google is proud to boast a network that provides service to millions of Internet users around the world. The Network Engineering team is responsible for operating that network reliably and at scale. As a member of the team, you have a direct impact on design and feature enhancements to keep our systems running smoothly. You also ensure that network operations are safe and efficient by monitoring network performance, coordinating planned maintenance, adjusting hardware components and responding to network connectivity issues. Google's complex network generates a constant stream of challenges which require you to continually be innovative with an evolving set of technologies. Keeping the network reliable ensures that our users stay connected with our suite of applications, products and services. Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Network Implementation Engineer II", "level": "", "location": "Oslo, Norway", "description": "Google is proud to boast a network that provides service to millions of Internet users around the world...", "key_qualifications": "Bachelor's degree in Computer Science, Network Engineering, a related technical field, or equivalent practical experience. 2 years of experience in telecommunications at carrier scale working with optical network infrastructure, transmission systems, layer2/3 routers, and data services. 2 years of experience in telecommunications, OSP, ISP, AC/DC power systems, and relay rack/cabinet/cage construction. Experience with fiber types and their application, physical fiber characteristics, and standard testing tools (e.g., OSA, BERT, OTDR).", "preferred_qualifications": "Experience in one or more of the following disciplines: Layer 1 optical transmissions systems, layer 3 routing TCP/IP, network design, operations, or large-scale workflow automation. Experience with managing or directing field operation technicians, engineers, contractors, or vendors in a data center working environment. Experience working in or supporting a data center environment. Knowledge in one or more coding languages (e.g., Python, Java, JavaScript, etc.).", "responsibilities": "Direct and prioritize resources while maintaining quality and adherence to network standards. Collaborate as a subject matter expert to ensure adoption of network infrastructure standards. Deliver network capacity for Google via generation of bill of materials, deployment packages, device management, vendor management, and standard workflow based activation. Triage network issues, identify root cause, generate maintenance operation procedures, orchestrate network repair, and complete on-site work in support of the repair activity.", "company": "google"} |
Senior Engineer Manager, Pixel Software Test share link link Copy link email email Email a friend corporate_fare Google place Shanghai, China bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job In this role, you will design and develop full-stack tools and infrastructure from scratch, while also leveraging existing solutions when appropriate. The Google Pixel team focuses on designing and delivering the world's most helpful mobile experience. The team works on shaping the future of Pixel devices and services through some of the most advanced designs, techniques, products, and experiences in consumer electronics. This includes bringing together the best of Google’s software and hardware to build global smartphones and create transformative experiences for users across the world. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Engineer Manager, Pixel Software Test", "level": "Advanced", "location": "Shanghai, China", "description": "Pixel Software Test Engineer plays a key role in ensuring the overall quality and user experience of Google Pixel and related devices. The team collaborates with cross-functional teams across multiple sites, including Taiwan, the US, Shanghai, and London. Their scope encompasses infrastructure and tooling development, integration, field and carrier testing, pre-certification testing, quality analysis, and more. In this role, you will design and develop full-stack tools and infrastructure from scratch, while also leveraging existing solutions when appropriate. The Google Pixel team focuses on designing and delivering the world's most helpful mobile experience. The team works on shaping the future of Pixel devices and services through some of the most advanced designs, techniques, products, and experiences in consumer electronics. This includes bringing together the best of Google\u2019s software and hardware to build global smartphones and create transformative experiences for users across the world.", "salary": "", "key_qualifications": "Bachelor\u2019s degree, or equivalent practical experience.8 years of experience with software development in one or more programming languages (e.g., Python, C, C++, Java, JavaScript).5 years of experience in a technical leadership role; overseeing projects, with 5 years of experience in a people management, supervision/team leadership role.Experience engaging with, and presenting to, technical stakeholders and executive leadersExperience in designing and leading Test automation solutions, monitor systems, or testing effectiveness", "preferred_qualifications": "Experience in leading, influencing and engaging with cross-functional teams to shape design and project direction.Experience in building test automation to scale and improving efficiency.Experience in managing projects and teams.Experience in Android system components, interfaces, and protocols on mobile devices.Knowledge of Mobile development.", "responsibilities": "Contribute to, or lead software test engineering efforts from planning, execution and delivery that solves our business objectives.Empower team's ability to identify defects and make actionable, develop or adapt the infra/tools for productivity.Lead or develop scalable and reliable automation systems. Guide, analyze and decompose complex systems to surface root causes, identify patterns that lead to general solutions for broader applications.Lead effective collaborations with teams across Google, working with diverse cultures, job functions, and backgrounds.Define goal and guide teams to align with the organization directions, lead through examples, mentor and grow the team.", "company": "google"} |
Senior Interaction Designer, Google Messages share link link Copy link email email Email a friend corporate_fare Google place Kraków, Poland bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor's degree in Design, Human-Computer Interaction, Computer Science, a related field, or equivalent practical experience. 6 years of experience in product design or UX. Experience designing across multiple platforms, and working with technical/design teams to create user flows, wireframes, and building user interface mockups and prototypes. Preferred qualifications: Master's degree in Design, Human-Computer Interaction, Computer Science, a related field, or equivalent practical experience. 8 years of experience in product design or UX. 3 years of experience working in a complex, cross-functional organization. 2 years of experience leading design projects. 1 year of experience working with executive leaders. Ability to lead and ideate products from scratch and improve features within a user-centered design process. Ability to communicate and influence product design strategy. About the job Android is Google’s open-source mobile operating system powering more than 3 billion devices worldwide. Android is about bringing computing to everyone in the world. We believe computing is a super power for good, enabling access to information, economic opportunity, productivity, connectivity between friends and family and more. We think everyone in the world should have access to the best computing has to offer. We provide the platform for original equipment manufacturers (OEMs) and developers to build compelling computing devices (smartphones, tablets, TVs, wearables, etc) that run the best apps/services for everyone in the world. Responsibilities Collaborate with product managers, engineers, and cross-functional stakeholders to understand requirements, and provide creative, thoughtful solutions. Communicate the user experience at various stages of the design process with wireframes, flow diagrams, storyboards, mockups, and/or high fidelity prototypes. Integrate user feedback and business requirements into ongoing product experience updates. Advocate for the prioritization of design centered changes, refinements, and improvements. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Interaction Designer, Google Messages", "level": "Mid", "location": "Krak\u00f3w, Poland", "description": "At Google, we follow a simple but vital premise: 'Focus on the user and all else will follow.' Google\u2019s Interaction Designers take complex tasks and make them intuitive and easy-to-use for billions of people around the globe. Throughout the design process\u2014from creating user flows and wireframes to building user interface mockups and prototypes\u2014you\u2019ll envision how people will experience our products, and bring that vision to life in a way that feels inspired, refined, and even magical.", "key_qualifications": "Bachelor's degree in Design, Human-Computer Interaction, Computer Science, a related field, or equivalent practical experience. 6 years of experience in product design or UX. Experience designing across multiple platforms, and working with technical/design teams to create user flows, wireframes, and building user interface mockups and prototypes. Portfolio highlighting multiple projects and your direct contributions.", "preferred_qualifications": "Master's degree in Design, Human-Computer Interaction, Computer Science, a related field, or equivalent practical experience. 8 years of experience in product design or UX. 3 years of experience working in a complex, cross-functional organization. 2 years of experience leading design projects. 1 year of experience working with executive leaders. Problem-solving skills and familiarity with technical constraints and limitations as they apply to designing for platforms such as desktop and mobile (e.g., Android and iOS). Ability to lead and ideate products from scratch and improve features within a user-centered design process. Ability to communicate and influence product design strategy.", "responsibilities": "Collaborate with product managers, engineers, and cross-functional stakeholders to understand requirements, and provide creative, thoughtful solutions. Communicate the user experience at various stages of the design process with wireframes, flow diagrams, storyboards, mockups, and/or high fidelity prototypes. Integrate user feedback and business requirements into ongoing product experience updates. Advocate for the prioritization of design centered changes, refinements, and improvements.", "company": "google"} |
UX Design Manager, Gemini share link link Copy link email email Email a friend corporate_fare Google place New York, NY, USA bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job A conversational AI tool that enables users to collaborate with generative AI and help augment their imagination, expand their curiosity, and enhance their productivity. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "UX Design Manager, Gemini", "level": "Advanced", "location": "New York, NY, USA", "description": "At Google, we follow a simple but vital premise: \"Focus on the user and all else will follow.\" Google\u2019s UX leaders help define and drive the future of Google design. They create and clarify product strategy, conceptualize UX ecosystems in ways that mitigate complexity, and inspire teams to push the boundaries of what\u2019s possible. They possess a clear vision of the future of user experience and have the courage to pursue forward-thinking design. Google User Experience (UX) is made up of multi-disciplinary teams of UX Designers, Researchers, Writers, Content Strategists, Program Managers, and Engineers: we care deeply about the people who use our products. You are a thoughtful team leader, manager, systems-level design thinker, and visionary - with strong instincts and outstanding intuition informed by user needs and insights. You'll be responsible for guiding the careers of your team members, working closely with each of them to help them realize their full potential. UX Design Managers are fierce advocates for the people who use our products as well as the members of their teams. They have a practiced eye for effective design, and are committed to creating elegantly simple user experiences from otherwise complex workflows. In this role, you\u2019ll take the time to understand not just the execution side of UX, but also the business aspects of the products we build. You\u2019ll collaborate with leaders of other UX, Engineering, and Product Management teams to create innovative experiences across all of Google\u2019s products, leveraging your passion for brand, craft, and design quality. A conversational AI tool that enables users to collaborate with generative AI and help augment their imagination, expand their curiosity, and enhance their productivity.", "salary": "$168,000-$252,000 + bonus + equity + benefits", "key_qualifications": "Bachelor's degree in Design, Human-Computer Interaction, Computer Science, a related field, or equivalent practical experience. 8 years of experience in product design or UX. 3 years of experience leading design projects and managing people or teams.", "preferred_qualifications": "Master's degree in Design, Human-Computer Interaction, Computer Science, or a related field. 5 years of experience working in a complex, cross-functional organization. 3 years of work experience working with executive leaders.", "responsibilities": "Influence stakeholders across functions to gain support for design strategies. Drive the development of innovative design solutions to user, product, and business problems. Drive a holistic design process, design system, or design language across teams or products. Deliver improvements in design based on UX testing and stakeholder input, and provide guidance and expertise to effectively engage stakeholders on product design to achieve product goals. Drive project priorities in alignment with larger project goals, coordinate allocation of resources within the design project, and guide team members to develop individual OKRs.", "company": "google"} |
Leadership Technical Program Manager I, Payments share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor's degree in a relevant field, or equivalent practical experience. Preferred qualifications: About the job Google is an engineering company at heart. We hire people with a broad set of technical skills who are ready to take on some of technology's greatest challenges and make an impact on users around the world. At Google, engineers not only revolutionize search, they routinely work on scalability and storage solutions, large-scale applications and entirely new platforms for developers around the world. From Google Ads to Chrome, Android to YouTube, social to local, Google engineers are changing the world one technological achievement after another. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Leadership Technical Program Manager I, Payments", "level": "Advanced", "location": "Mountain View, CA, USA", "description": "Google's projects, like our users, span the globe and require managers to keep the big picture in focus while being able to dive into the unique engineering challenges we face daily. As a Technical Program Manager at Google, you lead complex, multi-disciplinary engineering projects using your engineering expertise. You plan requirements with internal customers and usher projects through the entire project lifecycle. This includes managing project schedules, identifying risks and clearly communicating them to project stakeholders. You're equally at home explaining your team's analyses and recommendations to executives as you are discussing the technical trade-offs in product development with engineers.\n\nUsing your extensive technical and leadership expertise, you manage various Engineering-specific programs and teams.\n\nGoogle is an engineering company at heart. We hire people with a broad set of technical skills who are ready to take on some of technology's greatest challenges and make an impact on users around the world. At Google, engineers not only revolutionize search, they routinely work on scalability and storage solutions, large-scale applications and entirely new platforms for developers around the world. From Google Ads to Chrome, Android to YouTube, social to local, Google engineers are changing the world one technological achievement after another.", "salary": "$168,000-$252,000 + bonus + equity + benefits", "key_qualifications": "Bachelor's degree in a relevant field, or equivalent practical experience. 8 years of technical program management work experience. 5 years of experience in leadership role(s) with/without direct reports. 4 years of experience managing projects.", "preferred_qualifications": "8 years of experience managing cross-functional/team projects. 5 years of supervisory experience.", "responsibilities": "Implement communications standards across a portfolio of programs including executive and key partner communications.\nEstablish a reliable and visible cadence for program reviews, decision-making, prioritization, and Resource Stewardship (effective deployment of machine and people resources) whereby improvements such as efficiency and utilization gains are measurable and the impact can be felt organization wide.\nLead a governance structure that drives effective executive decision-making. Ensure governance structure effectively exposes and mitigates dependencies.\nSeek out and identify change management opportunities that increase program velocity and which affect multiple teams. Apply governance over change management to ensure it\u2019s used effectively.\nDefine/manage a program portfolio solving problems that target high business impact for the organization and product area.", "company": "google"} |
Managed Defense Associate Security Analyst share link link Copy link email email Email a friend corporate_fare Google place Pune, Maharashtra, India bar_chart bar_chart Early Early Early Experience completing work as directed, and collaborating with teammates; developing knowledge of relevant concepts and processes. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job Responsibilities Monitor a broad range of appliances and provide advanced detection and response service though security event analysis and review. Perform live response data collection and analysis on hosts of interest in an investigation. Perform incident response and basic malware analysis to investigate incidents. Help establish the scope of the compromise, activity associated with any malware, and assess customer impact. Maintain current knowledge of tools and best-practices in forensics and incident response and an understanding of Advanced Persistent Threats, including tools, techniques, and procedures of attackers. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Managed Defense Associate Security Analyst", "level": "", "location": "Pune, Maharashtra, India", "description": "Google Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "key_qualifications": "3 years of experience in security operations or system/network administration, or relevant certifications (e.g., GCIH, GCFA, GREM, CEH, CySA+, CC etc.). 3 years of experience in Log Analysis, Security Monitoring, Cyber Security, Logging and AttacksLog Analysis. 3 years of experience in Security Analysis, Networking, Windows and TCP/IP.", "preferred_qualifications": "Ability to learn quickly and willingness to be tested. Excellent communication and people management skills.", "responsibilities": "Monitor a broad range of appliances and provide advanced detection and response service though security event analysis and review. Perform live response data collection and analysis on hosts of interest in an investigation. Perform incident response and basic malware analysis to investigate incidents. Help establish the scope of the compromise, activity associated with any malware, and assess customer impact. Maintain current knowledge of tools and best-practices in forensics and incident response and an understanding of Advanced Persistent Threats, including tools, techniques, and procedures of attackers.", "company": "google"} |
Product Manager, Google Technology share link link Copy link email email Email a friend corporate_fare Google place Hyderabad, Telangana, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor's degree or equivalent practical experience. Preferred qualifications: About the job Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Product Manager, Google Technology", "level": "Mid", "location": "Hyderabad, Telangana, India", "description": "At Google, we put our users first. The world is always changing, so we need Product Managers who are continuously adapting and excited to work on products that affect millions of people every day. In this role, you will work cross-functionally to guide products from conception to launch by connecting the technical and business worlds. You can break down complex problems into steps that drive product development. One of the many reasons Google consistently brings innovative, world-changing products to market is because of the collaborative work we do in Product Management. Our team works closely with creative engineers, designers, marketers, etc. to help design and develop technologies that improve access to the world's information. We're responsible for guiding products throughout the execution cycle, focusing specifically on analyzing, positioning, packaging, promoting, and tailoring our solutions to our users. Google Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "salary": "", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of experience in product management or related technical role. 2 years of experience taking technical products from conception to launch.", "preferred_qualifications": "Experience incorporating inclusion and accessibility into product development methodologies. Experience building cloud platforms and distributed systems at enterprise-scale. Knowledge of, or continued interest in building accessibility best practices. Knowledge of end user experience, with experience inventing innovative and user-friendly products.", "responsibilities": "Understand user needs for multiple user groups including operations and other business users. Define, design, evaluate, build, launch, and land improvements. Measure performance, iterate, and improve the user experience for service providers. Work collaboratively in partnership with engineering, UX, operations customer teams, and more. Drive the notifications platform roadmap to enable engineering teams and business users to send information in order to drive business outcomes. Assess technology needs and create compelling roadmap, and improve user journeys of IT services.", "company": "google"} |
Environmental Health and Safety Program Manager share link link Copy link email email Email a friend corporate_fare Google place Eemshaven, Netherlands bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Environmental Health and Safety Program Manager", "level": "Mid", "location": "Eemshaven, Netherlands", "description": "A problem isn\u2019t truly solved until it\u2019s solved for all. That\u2019s why Googlers build products that help create opportunities for everyone, whether down the street or across the globe. As a Program Manager at Google, you\u2019ll lead complex, multi-disciplinary projects from start to finish \u2014 working with stakeholders to plan requirements, manage project schedules, identify risks, and communicate clearly with cross-functional partners across the company. Your projects will often span offices, time zones, and hemispheres. It's your job to coordinate the players and keep them up to date on progress and deadlines. Our goal is to build a Google that looks like the world around us \u2014 and we want Googlers to stay and grow when they join us. As part of our efforts to build a Google for everyone, we build diversity, equity, and inclusion into our work and we aim to cultivate a sense of belonging throughout the company. As a member of the Compliance, Safety, and Risk Management (CSRM) Team, you will be responsible for supporting EHS programs including management system implementation, environmental compliance programs and global oversight.", "salary": "", "key_qualifications": "Bachelor's degree in Occupational Health and Safety, a related discipline, or equivalent practical experience. 2 years of experience in program or project management. Experience in Environmental Health and Safety (EHS) management, and experience managing EHS compliance for industrial facilities. Experience managing environmental programs (e.g., emissions, wastewater, etc.).", "preferred_qualifications": "Credentials from Board of Certified Safety Professionals, NEBOSH or equivalent agency. Experience communicating with Federal, State, and Local Authorities regarding EHS issues. Data Center or other electrical safety industry experience. Ability to coach, influence, and advise local employees to achieve positive EHS outcomes. Excellent collaboration, project management, and written and verbal communication skills.", "responsibilities": "Design and deliver predictable program communication plans in collaboration with program stakeholders, including targeted communications by stakeholder type. Build trusted relationships with program stakeholders, including managing expectations of program stakeholders and ensuring they have the insights they need to make effective decisions. Identify, organize, and lead program activities and stakeholders to deliver successful business, operational, and technical improvements within the EHS domain. Maintain environmental permits/programs to ensure compliance with all applicable regulations. Monitor and track program performance, identify areas for improvement and implement corrective action plans. Identify and evaluate operational EHS risks, assessing the impact to the business; analyse risk scenarios to determine their potential impact to the business and design/lead programs to mitigate those risks.", "company": "google"} |
Product Specialist, Product and Sales Activation (English, Japanese) share link link Copy link email email Email a friend corporate_fare Google place Tokyo, Japan bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Google welcomes people with disabilities. Google welcomes people with disabilities. Minimum qualifications: Preferred qualifications: Excellent thought leadership and structured problem solving skills, with the ability to work on complex operational and strategic initiatives. About the job Google Customer Solutions (GCS) sales teams are trusted advisors and competitive sellers who maintain a relentless focus on customer success by bringing the best Google has to offer to small- and medium-sized businesses (SMBs), which are the backbone of our communities. As a member of our team, you’ll have the opportunity to work with company owners and make a real difference in their businesses by helping them grow. Together, we help shape the future of innovation for customers, partners, and sellers...and we have fun doing it. Responsibilities Partner with GCS Sales teams, Google advertisers and agencies to increase adoption and usage of Google’s first-party data measurement solutions (e.g., Enhanced Conversions (EC), EC for Leads, Customer Match, Conversion Lift, etc.). Work with Sales teams based on a quarterly client list via co-pitches and pre-pitch support. Collate information regarding common objections, successful strategies, and product knowledge gaps, and facilitate internal and external product training. Develop a deep understanding of our GCS advertisers’ measurement opportunities and challenges in the privacy first world, in order to create activation strategies to drive current and future measurement products across APAC and help evolve the future strategy and Go-to-Market approach. Monitor and report on key drivers/metrics. Work with team leads to ensure effective narratives are developed. Leverage those resources to equip promoters with product knowledge and expertise. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Product Specialist, Product and Sales Activation (English, Japanese)", "level": "Mid", "location": "Tokyo, Japan", "description": "As a Product Specialist, you will help to scale measurement product adoption across the APAC region by directly working with Google Customer Solutions (GCS) sellers and customers.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 4 years of experience in business related areas like Marketing, Strategy, Sales, Consulting, or other related fields. Ability to communicate in English and Japanese fluently to interact in this customer-facing role.", "preferred_qualifications": "Experience pitching and activating measurement solutions across a business organization and how to activate sellers on products. Experience influencing executives, managers, and sellers internally and externally. Ability to set objectives and key results, and prioritize and allocate resources to highest impact initiatives and projects. Excellent thought leadership and structured problem solving skills, with the ability to work on complex operational and strategic initiatives. Excellent business acumen, with the ability to grow in a fast moving and ambiguous business environment. Excellent written and verbal communication skills.", "responsibilities": "Partner with GCS Sales teams, Google advertisers and agencies to increase adoption and usage of Google\u2019s first-party data measurement solutions (e.g., Enhanced Conversions (EC), EC for Leads, Customer Match, Conversion Lift, etc.). Work with Sales teams based on a quarterly client list via co-pitches and pre-pitch support. Collate information regarding common objections, successful strategies, and product knowledge gaps, and facilitate internal and external product training. Develop a deep understanding of our GCS advertisers\u2019 measurement opportunities and challenges in the privacy first world, in order to create activation strategies to drive current and future measurement products across APAC and help evolve the future strategy and Go-to-Market approach. Monitor and report on key drivers/metrics. Work with team leads to ensure effective narratives are developed. Leverage those resources to equip promoters with product knowledge and expertise.", "company": "google"} |
Senior Software Engineer, Android, Privacy Sandbox share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor’s degree or equivalent practical experience. 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. 3 years of experience testing, maintaining, or launching software products, and 1 year of experience with software design and architecture. Preferred qualifications: Master's degree or PhD in Computer Science or related technical field. 1 year of experience in a technical leadership role. Experience developing accessible technologies. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Android is Google’s open-source mobile operating system powering more than 3 billion devices worldwide. Android is about bringing computing to everyone in the world. We believe computing is a super power for good, enabling access to information, economic opportunity, productivity, connectivity between friends and family and more. We think everyone in the world should have access to the best computing has to offer. We provide the platform for original equipment manufacturers (OEMs) and developers to build compelling computing devices (smartphones, tablets, TVs, wearables, etc) that run the best apps/services for everyone in the world. The US base salary range for this full-time position is $161,000-$239,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Software Engineer, Android, Privacy Sandbox", "level": "Mid", "location": "Mountain View, CA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward.", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. 3 years of experience testing, maintaining, or launching software products, and 1 year of experience with software design and architecture.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field. 1 year of experience in a technical leadership role. Experience developing accessible technologies.", "responsibilities": "Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Software Engineering Manager II, Engineering Productivity, Core share link link Copy link email email Email a friend corporate_fare Google place Hyderabad, Telangana, India bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor's degree or equivalent practical experience. Preferred qualifications: Master’s degree or PhD in Engineering, Computer Science, or a related technical field. About the job The Core team builds the technical foundation behind Google’s flagship products. We are owners and advocates for the underlying design elements, developer platforms, product components, and infrastructure at Google. These are the essential building blocks for excellent, safe, and coherent experiences for our users and drive the pace of innovation for every developer. We look across Google’s products to build central solutions, break down technical barriers and strengthen existing systems. As the Core team, we have a mandate and a unique opportunity to impact important technical decisions across the company. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineering Manager II, Engineering Productivity, Core", "level": "Advanced", "location": "Hyderabad, Telangana, India", "description": "Like Google's own ambitions, the work of a Software Engineer goes beyond just Search. Software Engineering Managers have not only the technical expertise to take on and provide technical leadership to major projects, but also manage a team of Engineers. You not only optimize your own code but make sure Engineers are able to optimize theirs. As a Software Engineering Manager you manage your project goals, contribute to product strategy and help develop your team. Teams work all across the company, in areas such as information retrieval, artificial intelligence, natural language processing, distributed computing, large-scale system design, networking, security, data compression, user interface design; the list goes on and is growing every day. Operating with scale and speed, our exceptional software engineers are just getting started -- and as a manager, you guide the way. With technical and leadership expertise, you manage engineers across multiple teams and locations, a large product budget and oversee the deployment of large-scale projects across multiple sites internationally. The Core team builds the technical foundation behind Google\u2019s flagship products. We are owners and advocates for the underlying design elements, developer platforms, product components, and infrastructure at Google. These are the essential building blocks for excellent, safe, and coherent experiences for our users and drive the pace of innovation for every developer. We look across Google\u2019s products to build central solutions, break down technical barriers and strengthen existing systems. As the Core team, we have a mandate and a unique opportunity to impact important technical decisions across the company.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of experience with software development in one or more programming languages (e.g., Python, C, C++, Java, Javascript). 3 years of experience in a technical leadership role; overseeing strategic projects, with 2 years of experience in a people management, supervision/team leadership role. 3 years of experience building tools that improve development velocity, code quality, and/or code health.", "preferred_qualifications": "Master\u2019s degree or PhD in Engineering, Computer Science, or a related technical field. 3 years of experience working in a complex, matrixed organization.", "responsibilities": "Set and communicate team priorities that support the broader organization's goals. Align strategy, processes, and decision-making across teams. Set clear expectations with individuals based on their level and role and aligned to the broader organization's goals. Meet regularly with individuals to discuss performance and development and provide feedback and coaching. Develop the mid-term technical vision and roadmap within the scope of your (often multiple) team(s). Evolve the roadmap to meet anticipated future requirements and infrastructure needs. Design, guide and vet systems designs within the scope of the broader area, and write product or system development code to solve ambiguous problems. Review code developed by other engineers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).", "company": "google"} |
Cloud Customer Engineer, Data Analytics, Retail, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Chicago, IL, USA ; Atlanta, GA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Chicago, IL, USA; Atlanta, GA, USA Minimum qualifications: Preferred qualifications: About the job When leading companies choose Google Cloud, it's a huge win for spreading the power of cloud computing globally. Once educational institutions, government agencies, and other businesses sign on to use Google Cloud products, you come in to facilitate making their work more productive, mobile, and collaborative. You listen and deliver what is most helpful for the customer. You assist fellow sales Googlers by problem-solving key technical issues for our customers. You liaise with the product marketing management and engineering teams to stay on top of industry trends and devise enhancements to Google Cloud products. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. The US base salary range for this full-time position is $122,000-$180,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Work with the team to identify and qualify business opportunities, understand key customer objections, and develop the strategy to resolve technical blockers in relation to aspects of the data lifecycle. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Cloud Customer Engineer, Data Analytics, Retail, Google Cloud", "level": "Mid", "location": "Chicago, IL, USA; Atlanta, GA, USA", "description": "When leading companies choose Google Cloud, it's a huge win for spreading the power of cloud computing globally. Once educational institutions, government agencies, and other businesses sign on to use Google Cloud products, you come in to facilitate making their work more productive, mobile, and collaborative. You listen and deliver what is most helpful for the customer.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 6 years of experience with cloud native architecture in a customer-facing or support role. Experience with Big Data technologies or concepts...", "preferred_qualifications": "Experience in technical sales or consulting in cloud computing, data analytics, or Big Data. Experience with architecture design, implementing, tuning, schema design and query optimization of scalable and distributed systems...", "responsibilities": "Work with the team to identify and qualify business opportunities, understand key customer objections, and develop the strategy to resolve technical blockers in relation to aspects of the data lifecycle. Share in-depth data analytics expertise to support the technical relationship with customers, including technology advocacy, supporting bid responses, product and solution briefings, proof-of-concept work, and partnering directly with product management to prioritize solutions impacting customer adoption to Google Cloud...", "company": "google"} |
Software Engineer III, Google Cloud Security and Privacy share link link Copy link email email Email a friend corporate_fare Google place Kirkland, WA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor’s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting. 2 years of experience with data structures or algorithms in either an academic or industry setting. Preferred qualifications: Master's degree or PhD in Computer Science or related technical fields. 2 years of experience with performance, large scale systems data analysis, visualization tools, and/or debugging. Experience developing accessible technologies. Proficiency in code and system health, diagnosis and resolution, and software test engineering. About the job Responsibilities Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer III, Google Cloud Security and Privacy", "level": "Mid", "location": "Kirkland, WA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting. 2 years of experience with data structures or algorithms in either an academic or industry setting.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical fields. 2 years of experience with performance, large scale systems data analysis, visualization tools, and/or debugging. Experience developing accessible technologies. Proficiency in code and system health, diagnosis and resolution, and software test engineering.", "responsibilities": "Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Software Engineer II, Chrome share link link Copy link email email Email a friend corporate_fare Google place Warsaw, Poland bar_chart bar_chart Early Early Early Experience completing work as directed, and collaborating with teammates; developing knowledge of relevant concepts and processes. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor’s degree or equivalent practical experience. Experience with software development in one or more programming languages (e.g., Python, C, C++, Java, JavaScript). Preferred qualifications: Master's degree or PhD in Computer Science or related technical field. Experience developing accessible technologies. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Responsibilities Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer II, Chrome", "level": "Early", "location": "Warsaw, Poland", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Chrome is dedicated to building a better, more open web. We\u2019re focused on making a better browser (on both desktop and mobile) to help users take advantage of all the web has to offer in a safe and secure way.Chrome is available across all major platforms \u2014 iOS, Android, Windows, Mac, Linux and Chrome OS. We also built Chrome as an open source project so the entire web ecosystem could benefit from the latest innovations in speed, simplicity and security.", "salary": "", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. Experience with software development in one or more programming languages (e.g., Python, C, C++, Java, JavaScript).", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field. Experience developing accessible technologies.", "responsibilities": "Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Software Engineer III, Site Reliability Engineering, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Raleigh, NC, USA ; Durham, NC, USA ; +4 more ; +3 more bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Raleigh, NC, USA; Durham, NC, USA; Pittsburgh, PA, USA; Mountain View, CA, USA; Sunnyvale, CA, USA Minimum qualifications: Preferred qualifications: About the job With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Users come first at Google. Nowhere is this more important than on our Advertising and Commerce team: we believe that ads and commercial information can be highly useful to our users if that information is relevant to what our users wish to find or do. Advertisers worldwide use Google Ads to promote their products; publishers use AdSense to serve relevant ads on their website; and business around the world use our products (like Google Shopping, and Google Wallet) to support their online businesses and bring users into their offline stores. We are constantly innovating to deliver the most effective advertising and commerce opportunities of tomorrow. Responsibilities Write product or system development code. Review code developed by other engineers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer III, Site Reliability Engineering, Google Cloud", "level": "Mid", "location": "Raleigh, NC, USA; Durham, NC, USA; Pittsburgh, PA, USA; Mountain View, CA, USA; Sunnyvale, CA, USA", "description": "Site Reliability Engineering (SRE) combines software and systems engineering to build and run large-scale, massively distributed, fault-tolerant systems. SRE ensures that Google Cloud's services\u2014both our internally critical and our externally-visible systems\u2014have reliability, uptime appropriate to customer's needs and a fast rate of improvement. Additionally SRE\u2019s will keep an ever-watchful eye on our systems capacity and performance. Much of our software development focuses on optimizing existing systems, building infrastructure and eliminating work through automation. On the SRE team, you\u2019ll have the opportunity to manage the complex challenges of scale which are unique to Google Cloud, while using your expertise in coding, algorithms, complexity analysis and large-scale system design. SRE's culture of diversity, intellectual curiosity, problem solving and openness is key to its success. Our organization brings together people with a wide variety of backgrounds, experiences and perspectives. We encourage them to collaborate, think big and take risks in a blame-free environment. We promote self-direction to work on meaningful projects, while we also strive to create an environment that provides the support and mentorship needed to learn and grow. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.", "salary": "$136,000-$200,000 + bonus + equity + benefits", "key_qualifications": "Bachelor\u2019s degree in Computer Science, a related field, or equivalent practical experience. 2 years of experience with data structures/algorithms and software development in one or more programming languages.", "preferred_qualifications": "Master's degree in Computer Science or Engineering. 2 years of experience designing, analyzing, and troubleshooting large-scale distributed systems.", "responsibilities": "Write product or system development code.\nReview code developed by other engineers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).\nContribute to existing documentation or educational content and adapt content based on product/program updates and user feedback.\nTriage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.\nParticipate in, or lead design reviews with peers and stakeholders to decide amongst available technologies.", "company": "google"} |
Staff Product Designer share link link Copy link email email Email a friend corporate_fare Google place São Paulo, State of São Paulo, Brazil bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Please submit your resume in English - we can only consider applications submitted in this language. Please submit your resume in English - we can only consider applications submitted in this language. Please submit your resume in English - we can only consider applications submitted in this language. Minimum qualifications: Preferred qualifications: Experience with visual design, with knowledge of typography, color, prototype, and visual storytelling. About the job At Google, Visual Designers weave iconography, typography, color, space and texture together to help our users successfully navigate our products. We believe that all of our products should be beautiful and accessible. As a Visual Designer, you’ll delight users with designs that inspire, engage and excite them. You’ll rely on user-centered design principles to produce high-quality visuals—from concept to execution—across many platforms. Like all of our UX jobs, you’ll collaborate with your fellow designers to constantly refine the Google design language and create innovative, great-looking products that people love to use. Google aims to build products that organize the world's information and make it universally accessible to our users. As a Product Manager at Google, you could be working on new technologies, platforms, consumer facing products, and/or enterprise systems. The end goal will be to match you with the team that best aligns with your interests, experience, and where you will have the most impact. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Staff Product Designer", "level": "Advanced", "location": "S\u00e3o Paulo, State of S\u00e3o Paulo, Brazil", "description": "At Google, Visual Designers weave iconography, typography, color, space and texture together to help our users successfully navigate our products. We believe that all of our products should be beautiful and accessible. As a Visual Designer, you\u2019ll delight users with designs that inspire, engage and excite them. You\u2019ll rely on user-centered design principles to produce high-quality visuals\u2014from concept to execution\u2014across many platforms. Like all of our UX jobs, you\u2019ll collaborate with your fellow designers to constantly refine the Google design language and create innovative, great-looking products that people love to use.", "key_qualifications": "Bachelor's degree in Design, Human-Computer Interaction, Computer Science, a related field, or equivalent practical experience. 8 years of experience in product design or UX. Experience in concept development for design and with design for excellence. Include a portfolio, website, or any other relevant link to your work in your resume (providing a viewable link or access instructions).", "preferred_qualifications": "10 years of experience in digital product design. Experience in system design, prototyping, and motion design to enhance usability, creating unique design language connected to the brand. Experience with visual design, with knowledge of typography, color, prototype, and visual storytelling. Ability to thrive and deliver results in an ambiguous environment and distill processes into simple user interactions. Ability to create great partnerships with UX peers and cross-functional partners communicating recommendations, rationalizing design decisions, and advocating for users\u2019 needs.", "responsibilities": "Prepare presentations, advocate for design strategy, and communicate design approach to partners, analyzing or anticipating user needs as well as identifying defined problems that may have multiple solutions. Create design deliverables and collaborate with engineers to implement designs, help create design solutions based on user insights, business needs, and industry trends creating design concepts for informing product ideation and user research/testing. Create innovative design solutions to user, product, and business problems with improvements based on UX testing and partner/stakeholder input. Apply UX design frameworks and leverage standard tools to visualize ideas and develop mock-ups/prototypes while using key data and metrics to evaluate product impact. Lead prioritization to a holistic design process and design system across teams, products, and projects.", "company": "google"} |
Senior Account Manager, Large Customer Sales share link link Copy link email email Email a friend corporate_fare Google place Chicago, IL, USA ; San Francisco, CA, USA ; +6 more ; +5 more bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Chicago, IL, USA; San Francisco, CA, USA; Atlanta, GA, USA; Seattle, WA, USA; Detroit, MI, USA; Cambridge, MA, USA; New York, NY, USA Minimum qualifications: 5 years of experience in digital advertising, consultative sales, digital media sales, business development, online media environment, or digital marketing role. Preferred qualifications: About the job Businesses that partner with Google come in all shapes, sizes and market caps, and no one Google advertising solution works for all. Your knowledge of online media combined with your communication skills and analytical abilities shapes how new and existing businesses grow. Using your relationship-building skills, you provide Google-caliber client service, research and market analysis. You anticipate how decisions are made, persistently explore and uncover the business needs of Google's key clients and understand how our range of product offerings can grow their business. Working with them, you set the vision and the strategy for how their advertising can reach thousands of users. Our Large Customer Sales teams partner closely with many of the world’s biggest advertisers and agencies to develop digital solutions that build businesses and brands. We enjoy a bird’s eye view on the massive transformation occurring as advertising shifts to mobile and online platforms. We're uniquely situated to help shape how companies grow their businesses in the digital age. We advise clients on Google's broad range of products across search, video and mobile to help them connect instantly and seamlessly with their audiences. The US base salary range for this full-time position is $101,000-$147,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Account Manager, Large Customer Sales", "level": "Mid", "location": "Chicago, IL, USA; San Francisco, CA, USA", "description": "Businesses that partner with Google come in all shapes, sizes and market caps, and no one Google advertising solution works for all. Your knowledge of online media combined with your communication skills and analytical abilities shapes how new and existing businesses grow. Using your relationship-building skills, you provide Google-caliber client service, research and market analysis. You anticipate how decisions are made, persistently explore and uncover the business needs of Google's key clients and understand how our range of product offerings can grow their business. Working with them, you set the vision and the strategy for how their advertising can reach thousands of users. Our Large Customer Sales teams partner closely with many of the world\u2019s biggest advertisers and agencies to develop digital solutions that build businesses and brands. We enjoy a bird\u2019s eye view on the massive transformation occurring as advertising shifts to mobile and online platforms. We're uniquely situated to help shape how companies grow their businesses in the digital age. We advise clients on Google's broad range of products across search, video and mobile to help them connect instantly and seamlessly with their audiences.", "salary": "$101,000-$147,000 + bonus + equity + benefits", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of experience in digital advertising, consultative sales, digital media sales, business development, online media environment, or digital marketing role.", "preferred_qualifications": "Master's degree in a business related field. 3 years of experience managing digital marketing and advertising campaigns and relationships with customers or agencies. Experience in customer-facing consulting or business. Experience optimizing and troubleshooting products or services. Experience with Google Ads, Display and Video 360, Search Ads 360, Youtube or similar digital advertising campaigns and platforms.", "responsibilities": "Build and manage relationships with clients, and develop an understanding of their business challenges, marketing objectives, and success metrics. Work proactively and cooperatively with internal and external stakeholders to ensure workflows and projects are completed on time to a high standard. Plan for, and achieve, business growth targets, through the presentation of Google advertising products/solutions that will help clients to meet their marketing objectives. Develop forward thinking, data-driven analyses and consultative recommendations that align with customer goals, and quantify high-impact opportunities with clear recommendations to present to customers. Build an in-depth knowledge of how Google Advertising products work and can be used to help meet a wide range of marketing objectives.", "company": "google"} |
Software Engineer III, Full Stack, Google Ads share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA ; Los Angeles, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Mountain View, CA, USA; Los Angeles, CA, USA Minimum qualifications: Bachelor’s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting. 2 years of experience with data structures or algorithms in either an academic or industry setting. Preferred qualifications: Master's degree or PhD in Computer Science or related technical field. 2 years of experience with performance, large scale systems data analysis, visualization tools, and/or debugging. Experience developing accessible technologies. Proficiency in code and system health, diagnosis and resolution, and software test engineering. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Responsibilities Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer III, Full Stack, Google Ads", "level": "Mid", "location": "Mountain View, CA, USA; Los Angeles, CA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another.", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience.\n2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting.\n2 years of experience with data structures or algorithms in either an academic or industry setting.\n2 years of experience with full stack development, across back-end such as Java, Python, GO, or C++ codebases, and front-end experience including JavaScript or TypeScript, HTML, CSS or equivalent.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field.\n2 years of experience with performance, large scale systems data analysis, visualization tools, and/or debugging.\nExperience developing accessible technologies.\nProficiency in code and system health, diagnosis and resolution, and software test engineering.", "responsibilities": "Write product or system development code.\nParticipate in, or lead design reviews with peers and stakeholders to decide amongst available technologies.\nReview code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).\nContribute to existing documentation or educational content and adapt content based on product/program updates and user feedback.\nTriage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Channel Account Manager, Security, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place London, UK bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Knowledge of product and solution market trends in cybersecurity, Infrastructure as a Service (IaaS), and Platform as a Service (PaaS). About the job Google Cloud teams work with schools, companies, and government agencies to make them more productive, mobile, and collaborative. On this team, you will drive Google Cloud business relationships by analyzing partner business performance, identifying methods of increasing partner and Google returns, pitching ideas to and identifying opportunities within partners, and coordinating across Google teams to deliver. You will educate our partners on the power of Google Cloud and enable them to be successful in driving innovation to our customers. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Support partners in their sales process, from lead generation to finalizing agreements. This will include driving direct customer engagement to outline the value proposition, specific benefits, and use cases that speak to their challenges and pain points; support pipeline development. Develop and execute go-to-market plans with goals and metrics, including enablement and training, marketing programs, and sales alignment with Security sales. Maintain and report an accurate sales forecast in Salesforce. Lead quarterly business reviews. Ensure partners have all technical and sales tools needed to drive success. Recruit and develop relationships with National Partners, Value Added Resellers (VARs), Global System Integrators, and local Managed Security Service Providers. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Channel Account Manager, Security, Google Cloud", "level": "Advanced", "location": "London, UK", "description": "Google Cloud teams work with schools, companies, and government agencies to make them more productive, mobile, and collaborative. On this team, you will drive Google Cloud business relationships by analyzing partner business performance, identifying methods of increasing partner and Google returns, pitching ideas to and identifying opportunities within partners, and coordinating across Google teams to deliver. You will educate our partners on the power of Google Cloud and enable them to be successful in driving innovation to our customers.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 10 years of experience in selling to partners, carrying a sales quota and selling with partners, selling to process: quoting, contracting, executing. Experience in enterprise software or cybersecurity space, driving pipeline generation initiatives with Partners in order to meet or exceed business goals. Experience in the cybersecurity field with a security software, services (MSSP), or hardware provider in a channel role.", "preferred_qualifications": "Experience with Partners, Value Added Resellers, Cloud Partners, Managed Security Service Providers, Managed Detection Response, or Global System Integrators. Experience building strong and continuous relationships, selling to C-level executives across security and IT business units; ensuring customer success and adoption, leading to customer expansion. Knowledge of product and solution market trends in cybersecurity, Infrastructure as a Service (IaaS), and Platform as a Service (PaaS). Ability to prioritize, plan, and organize business activity with attention to detail. Excellent problem-solving, communication, and presentation skills.", "responsibilities": "Support partners in their sales process, from lead generation to finalizing agreements. This will include driving direct customer engagement to outline the value proposition, specific benefits, and use cases that speak to their challenges and pain points; support pipeline development. Develop and execute go-to-market plans with goals and metrics, including enablement and training, marketing programs, and sales alignment with Security sales. Maintain and report an accurate sales forecast in Salesforce. Lead quarterly business reviews. Ensure partners have all technical and sales tools needed to drive success. Recruit and develop relationships with National Partners, Value Added Resellers (VARs), Global System Integrators, and local Managed Security Service Providers.", "company": "google"} |
Software Engineer, Full Stack share link link Copy link email email Email a friend corporate_fare Google place Warsaw, Poland bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor's degree in Computer Science, a related technical field, or equivalent practical experience. Preferred qualifications: About the job In this role, you will build innovative solutions to complex business problems from the ground up using Large Language Models (LLMs). You will also help us build a new engineering function in the Cloud CISO organization. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Work collaboratively with stakeholders to understand business problems. Build scalable and maintainable technical solutions to solve industry problems for the security and compliance domain. Partner with other teams to drive technical directions in compliance, privacy, and security areas. Contribute to strategic planning, including organizational and technical goal, values, and strategy. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer, Full Stack", "level": "Mid", "location": "Warsaw, Poland", "description": "In this role, you will build innovative solutions to complex business problems from the ground up using Large Language Models (LLMs). You will also help us build a new engineering function in the Cloud CISO organization.", "key_qualifications": "Bachelor's degree in Computer Science, a related technical field, or equivalent practical experience. Candidates will typically have 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree. Candidates will typically have 2 years of experience with data structures or algorithms.", "preferred_qualifications": "Experience in architecting and developing distributed systems. Experience in Java or TypeScript/JavaScript. Understanding of Security, Privacy, Risk, and Compliance domains. Understanding of machine learning concepts (e.g., NLP).", "responsibilities": "Work collaboratively with stakeholders to understand business problems. Build scalable and maintainable technical solutions to solve industry problems for the security and compliance domain. Partner with other teams to drive technical directions in compliance, privacy, and security areas. Contribute to strategic planning, including organizational and technical goal, values, and strategy.", "company": "google"} |
Account Executive, Google Customer Solutions (English, Bahasa Indonesia) share link link Copy link email email Email a friend corporate_fare Google place Jakarta, Indonesia bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Account Executive, Google Customer Solutions (English, Bahasa Indonesia)", "level": "Mid", "location": "Jakarta, Indonesia", "description": "Businesses that partner with Google come in all shapes, sizes and market caps, and no one Google advertising solution works for all. Your knowledge of online media combined with your communication skills and analytical abilities shapes how new and existing businesses grow.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of experience in advertising, marketing, consulting, media, business development, or advertising agencies. Experience influencing or selling to C-level stakeholders. Ability to communicate in English and Bahasa Indonesia fluently in order to interact in this customer-facing sales role.", "preferred_qualifications": "Experience managing a portfolio in an advertising or media sales context. Experience selling against traditional and digital media advertising solutions. Ability to achieve goals to drive growth. Ability to build compelling narratives and utilize storytelling as a customer engagement strategy. Knowledge of traditional and contemporary or online marketing strategy and tactics (e.g., product knowledge across all digital advertising products areas).", "responsibilities": "Build and manage relationships with C-level clients and executive partner stakeholders. Develop a comprehensive understanding of their business challenges, marketing objectives, and success metrics. Structure a clear, long-term joint strategy between Google and clients, and lead a cross-functional team within Google to collaborate on projects and drive client success. Plan for, and achieve, growth goals, through the presentation of Google advertising products/solutions that will help clients to meet their marketing objectives. Develop forward thinking, data-driven analyses and consultative recommendations that align with customer goals, and quantify high-impact opportunities with clear recommendations to present to customers. Build an in-depth knowledge of how Google advertising products work and can be used to help meet a wide range of marketing objectives.", "company": "google"} |
Information Security Engineer, Product Security Engineering, Cloud CISO share link link Copy link email email Email a friend corporate_fare Google place Málaga, Spain bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Information Security Engineer, Product Security Engineering, Cloud CISO", "level": "Mid", "location": "M\u00e1laga, Spain", "description": "Our Security team works to create and maintain the safest operating environment for Google's users and developers. Security Engineers work with network equipment and actively monitor our systems for attacks and intrusions. In this role, you will also work with software engineers to proactively identify and fix security flaws and vulnerabilities. Product Security Engineering is the team within the Cloud CISO organization responsible for helping every product Cloud ships is as secure as it can be and increasing the assurance levels of security in the infrastructure underlying all our products. This team will also focus on increasing the capabilities of each product team to develop more secure products by design and by default, from patterns, tools, and frameworks to increasing the skill level of embedded security leads. As an Information Security Engineer, you will help to ensure that our software and systems are designed and implemented to the highest security standards. You will perform technical security assessments, code reviews and vulnerability testing to highlight risk, helping Google teams and partners to improve security, and work on a wide variety of software designs and technology stacks.", "key_qualifications": "Bachelor's degree in Computer Science, a related technical field, or equivalent practical experience. Experience in security assessment and security domain. Experience in code reviews.", "preferred_qualifications": "Experience in security testing and pen testing. Experience in consulting. Excellent communication skills.", "responsibilities": "Identify security issues and implement and design security controls, tools, and services to improve security systems and processes. Perform security reviews, research and reproduce vulnerabilities, design secure protocols and systems, and write tests and fuzzers. Review and develop secure operational practices, and provide security guidance for engineers and support staff. Review designs and look for vulnerabilities, both with one-time reviews and long-term engagements, using techniques, including reverse engineering, fuzzing, and static analysis. Respond to vulnerabilities with repos, mitigations, and hardening, surface vulnerability patterns and design them out.", "company": "google"} |
Technical Program Manager, YouTube Shopping share link link Copy link email email Email a friend corporate_fare YouTube place Bengaluru, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Experience delivering cross-organizational and cross-functional software engineering projects. Ability to make technical decisions. Ability to work in ambiguity and thrive in a fast-paced, dynamic environment with excellent communication and cross-functional skills. About the job At YouTube, we believe that everyone deserves to have a voice, and that the world is a better place when we listen, share, and build community through our stories. We work together to give everyone the power to share their story, explore what they love, and connect with one another in the process. Working at the intersection of cutting-edge technology and boundless creativity, we move at the speed of culture with a shared goal to show people the world. We explore new ideas, solve real problems, and have fun — and we do it all together. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Technical Program Manager, YouTube Shopping", "level": "Mid", "location": "Bengaluru, Karnataka, India", "description": "Google's projects, like our users, span the globe and require managers to keep the big picture in focus while being able to dive into the unique engineering challenges we face daily. As a Technical Program Manager at Google, you lead complex, multi-disciplinary engineering projects using your engineering expertise. You plan requirements with internal customers and usher projects through the entire project lifecycle. This includes managing project schedules, identifying risks and clearly communicating them to project stakeholders. You're equally at home explaining your team's analyses and recommendations to executives as you are discussing the technical trade-offs in product development with engineers.\n\nUsing your extensive technical and leadership expertise, you manage projects of various size and scope, identifying future opportunities, improving processes and driving the technical directions of your programs.\n\nThe YouTube Shopping team is building experiences to make shopping content more discoverable and engaging, and to make YouTube more engaging for viewers and valuable for brands.\n\nAt YouTube, we believe that everyone deserves to have a voice, and that the world is a better place when we listen, share, and build community through our stories. We work together to give everyone the power to share their story, explore what they love, and connect with one another in the process. Working at the intersection of cutting-edge technology and boundless creativity, we move at the speed of culture with a shared goal to show people the world. We explore new ideas, solve real problems, and have fun \u2014 and we do it all together.", "salary": "", "key_qualifications": "Bachelor's degree in a relevant field, or equivalent practical experience. 5 years of experience in program management. Experience in Program Management on technical cross-functional projects. Experience building, automating, and developing distributed systems.", "preferred_qualifications": "Experience managing and enabling performing software engineering teams. Experience delivering cross-organizational and cross-functional software engineering projects. Ability to make technical decisions. Ability to work in ambiguity and thrive in a fast-paced, dynamic environment with excellent communication and cross-functional skills.", "responsibilities": "Work across functions (e.g., Product Management, UX, Engineering) and levels to define and deliver software engineering projects. Partner with leads to support the evolution of operational capabilities within the Horizontal space and wider organization. Manage dependencies and escalations, drive visibility on progress and risks to leadership, build internal and cross-functional partnerships, and bring alignment across stakeholders. Reduce process friction and build efficiencies, partner with engineering and product teams, and provide encouragement to learn and adopt project delivery best practices.", "company": "google"} |
Senior Product Manager, SAP share link link Copy link email email Email a friend corporate_fare Google place Sunnyvale, CA, USA ; Kirkland, WA, USA ; +2 more ; +1 more bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Sunnyvale, CA, USA; Kirkland, WA, USA; Seattle, WA, USA Minimum qualifications: Preferred qualifications: Experience with GTM enterprise or public sector customers, and with modern cloud services and cloud ecosystems. About the job Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. The US base salary range for this full-time position is $168,000-$252,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Product Manager, SAP", "level": "Advanced", "location": "Sunnyvale, CA, USA", "description": "At Google, we put our users first. The world is always changing, so we need Product Managers who are continuously adapting and excited to work on products that affect millions of people every day.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 8 years of experience in product management or related technical role. 3 years of experience taking technical products from conception to launch.", "preferred_qualifications": "Master's degree in a technology or business related field. 3 years of experience in software development or engineering. Experience with the SAP software ecosystem and partnership with SAP, including contracting. Experience with SAP migrations from systems to Cloud SAP deployments. Experience with GTM enterprise or public sector customers, and with modern cloud services and cloud ecosystems. Excellent communication skills, with the ability to work with senior leaders, peers, and direct reports.", "responsibilities": "Manage a partnership with SAP. Develop go-to-market material for SAP on Google Cloud, including field enablement. Work with customers in meetings, and with the broader field team. Work with customers doing initial SAP deployments to ensure customer success. Drive certification processes for SAP software and drive product roadmap for SAP support.", "company": "google"} |
Associate Technical Account Manager, Google Cloud Professional Services share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India bar_chart bar_chart Early Early Early Experience completing work as directed, and collaborating with teammates; developing knowledge of relevant concepts and processes. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job As a Technical Account Manager (TAM), you will help customers adopt Google Cloud products. You will lead the successful adoption of Google Cloud at organizations, guiding them through the strategic and technical facets of their Google Cloud transformation journey. You'll manage the successful delivery of Cloud Consulting engagements to drive customer adoption of Google Cloud services. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Accelerate customer adoption of Google Cloud by leading the implementation journey. Provide technical guidance and manage timelines, milestones, migration goal and business transformation strategies. Plan for customer events and launches, partnering with Support, Engineers, and Site Reliability Engineers to ensure customer success, and work with customers and support to guide issues/escalations to resolution. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Associate Technical Account Manager, Google Cloud Professional Services", "level": "", "location": "Bengaluru, Karnataka, India", "description": "As a Technical Account Manager (TAM), you will help customers adopt Google Cloud products. You will lead the successful adoption of Google Cloud at organizations, guiding them through the strategic and technical facets of their Google Cloud transformation journey. You'll manage the successful delivery of Cloud Consulting engagements to drive customer adoption of Google Cloud services. Google Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "key_qualifications": "Bachelor\u2019s degree in Computer Science, Engineering, a related technical field, or equivalent practical experience. 2 years of experience in a customer-facing role working with stakeholders, driving customer technical implementations or transformation programs. Experience supporting customers in cloud operations (e.g., launch or capacity planning, product release management), technical support, escalation management, or IT consulting.", "preferred_qualifications": "MBA or Master\u2019s degree in a Management, Technical, or Engineering field. Experience in application or workload migration to public cloud providers. Experience translating business requirements into technological solutions. Experience collaborating with teams, groups, business units, channel partners, systems integrators, and third-party developers to deliver high-impact solutions. Understanding of IT operations, database systems, networking, IT security, application development, service architecture, cloud-native application development, hosted services, storage systems, or content delivery networks. Excellent written and verbal communication, presentation, problem-solving, and client management skills.", "responsibilities": "Accelerate customer adoption of Google Cloud by leading the implementation journey. Provide technical guidance and manage timelines, milestones, migration goal and business transformation strategies. Advocate for customer needs in order to overcome adoption blockers and drive new feature development. Lead across multiple work streams and teams to maintain customer momentum. Develop relationships with stakeholders to understand customer\u2019s business, develop strategic roadmaps, and lead quarterly business reviews and executive sessions to better understand business and technical needs. Plan for customer events and launches, partnering with Support, Engineers, and Site Reliability Engineers to ensure customer success, and work with customers and support to guide issues/escalations to resolution. Develop best practices and assets based on learnings from customer engagements to support initiatives to scale through partners and accelerate Google Cloud adoption.", "company": "google"} |
Senior Software Engineer, Full Stack, Geo share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India ; Hyderabad, Telangana, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Bengaluru, Karnataka, India; Hyderabad, Telangana, India Minimum qualifications: Bachelor’s degree or equivalent practical experience. 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. 3 years of experience testing, maintaining, and/or launching software products, and 1 year of experience with software design and architecture. Preferred qualifications: Master's degree or PhD in Computer Science or related technical field. 1 year of experience in a technical leadership role. Experience developing accessible technologies. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Responsibilities Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Software Engineer, Full Stack, Geo", "level": "Mid", "location": "Bengaluru, Karnataka, India; Hyderabad, Telangana, India", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another.", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. 3 years of experience with full stack development, across back-end such as Java, Python, GO, and/or C++ codebases, and front-end experience including JavaScript and/or TypeScript, HTML, CSS, etc. 3 years of experience testing, maintaining, and/or launching software products, and 1 year of experience with software design and architecture.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field. 1 year of experience in a technical leadership role. Experience developing accessible technologies.", "responsibilities": "Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Embedded Senior Software Engineer, Chrome OS share link link Copy link email email Email a friend corporate_fare Google place Kraków, Poland bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Candidates will typically have 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. Preferred qualifications: Experience with internals of graphics rendering APIs. About the job Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Embedded Senior Software Engineer, Chrome OS", "level": "Mid", "location": "Krak\u00f3w, Poland", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward.ChromeOS leads the industry in the education market, and has expanded in recent years beyond schools to become the second-most popular desktop operating system. The Android on ChromeOS, allows developers to easily bring their Android apps and games to Chromebooks. It\u2019s a complex space with a range of opportunities to dive into including the Android framework, ChromeOS user experience, gaming, graphics, performance, developer tools, and more.Chrome OS delivers quality computing at scale to provide universal and unfettered access to information, entertainment, and tools. Our mission is to empower anyone to create and access information freely through fast, secure, simple, and intelligent computing.", "salary": "", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. Candidates will typically have 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. Typically 3 years of experience testing, maintaining, or launching software products, and 1 year of experience with software design and architecture. Experience in C/C++ or other script and console tooling environments.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field. Candidates will typically have 1 year of experience in a technical leadership role. Experience contributing to or customizing the Android platform. Experience in Unix/Linux environments and kernel drivers. Experience with internals of graphics rendering APIs.", "responsibilities": "Design and develop solutions to improve the performance of Android apps and games running on ChromeOS. Identify bottlenecks and opportunities for improving performance of graphics workloads in ChromeOS. Work closely with other engineers to integrate new graphics features and capabilities into ChromeOS. Build and maintain automated tests to ensure that Android apps meet performance expectations on ChromeOS.", "company": "google"} |
Software Engineer III, Machine Learning, Google Ads share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA ; Los Angeles, CA, USA ; +2 more ; +1 more bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Mountain View, CA, USA; Los Angeles, CA, USA; New York, NY, USA Minimum qualifications: Bachelor’s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting. 2 years of experience with data structures or algorithms in either an academic or industry setting. 2 years of experience with machine learning algorithms and tools (e.g., TensorFlow), artificial intelligence, deep learning or natural language processing. Preferred qualifications: Master's degree or PhD in Computer Science or related technical field. 2 years of experience with performance, large scale systems data analysis, visualization tools, or debugging. Experience developing accessible technologies. Proficiency in code and system health, diagnosis and resolution, and software test engineering. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Google Ads is helping power the open internet with the best technology that connects and creates value for people, publishers, advertisers, and Google. We’re made up of multiple teams, building Google’s Advertising products including search, display, shopping, travel and video advertising, as well as analytics. Our teams create trusted experiences between people and businesses with useful ads. We help grow businesses of all sizes from small businesses, to large brands, to YouTube creators, with effective advertiser tools that deliver measurable results. We also enable Google to engage with customers at scale. Responsibilities Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer III, Machine Learning, Google Ads", "level": "Mid", "location": "Mountain View, CA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience.\n2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting.\n2 years of experience with data structures or algorithms in either an academic or industry setting.\n2 years of experience with machine learning algorithms and tools (e.g., TensorFlow), artificial intelligence, deep learning or natural language processing.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field.\n2 years of experience with performance, large scale systems data analysis, visualization tools, or debugging.\nExperience developing accessible technologies.\nProficiency in code and system health, diagnosis and resolution, and software test engineering.", "responsibilities": "Write product or system development code.\nParticipate in, or lead design reviews with peers and stakeholders to decide amongst available technologies.\nReview code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).\nContribute to existing documentation or educational content and adapt content based on product/program updates and user feedback.\nTriage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Software Engineer III, Machine Learning, Pixel share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor’s degree or equivalent practical experience. 2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting. 2 years of experience with data structures or algorithms in either an academic or industry setting. Preferred qualifications: Master's degree or PhD in Computer Science or related technical field. 2 years of experience with performance, large scale systems data analysis, visualization tools, and/or debugging. Experience developing accessible technologies. Proficiency in code and system health, diagnosis and resolution, and software test engineering. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. The US base salary range for this full-time position is $136,000-$200,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Write product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer III, Machine Learning, Pixel", "level": "Mid", "location": "Mountain View, CA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another.", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience.\n2 years of experience with software development in one or more programming languages, or 1 year of experience with an advanced degree in an industry setting.\n2 years of experience with data structures or algorithms in either an academic or industry setting.\n2 years of experience with machine learning algorithms and tools (e.g., TensorFlow), artificial intelligence, deep learning or natural language processing.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field.\n2 years of experience with performance, large scale systems data analysis, visualization tools, and/or debugging.\nExperience developing accessible technologies.\nProficiency in code and system health, diagnosis and resolution, and software test engineering.", "responsibilities": "Write product or system development code.\nParticipate in, or lead design reviews with peers and stakeholders to decide amongst available technologies.\nReview code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency).\nContribute to existing documentation or educational content and adapt content based on product/program updates and user feedback.\nTriage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Student Researcher, PhD, Fall 2024 share link link Copy link email email Email a friend corporate_fare Google place Waterloo, ON, Canada ; Montreal, QC, Canada ; +2 more ; +1 more bar_chart Intern & Apprentice Apply share link link Copy link email email Email a friend info_outline info_outline X Waterloo, ON, Canada; Montreal, QC, Canada; Toronto, ON, Canada Minimum qualifications: Preferred qualifications: About the job The Student Researcher Program offers more opportunities for research students to work on critical research projects at Google in a less structured way. The program allows for opportunities beyond the limitations of our traditional internship program on aspects such as duration, time commitment, and working location (with options for on-site or remote). The topics student researchers work on tend to be open-ended and exploratory, and don't always have a clear deliverable like a traditional internship would. Google Research is building the next generation of intelligent systems for all Google products. To achieve this, we’re working on projects that utilize the latest computer science techniques developed by skilled software developers and research scientists. Google Research teams collaborate closely with other teams across Google, maintaining the flexibility and versatility required to adapt new projects and foci that meet the demands of the world's fast-paced business needs. Responsibilities Participate in research to develop solutions for real-world, large-scale problems. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Student Researcher, PhD, Fall 2024", "level": "Intern & Apprentice", "location": "Waterloo, ON, Canada; Montreal, QC, Canada", "description": "The Student Researcher Program\u2019s primary objective is to foster academic collaborations with students through research at Google. Join us for a paid Student Researcher position that offers the opportunity to work directly with Google research scientists and developers on cutting-edge research projects. The Student Researcher Program offers more opportunities for research students to work on critical research projects at Google in a less structured way. The program allows for opportunities beyond the limitations of our traditional internship program on aspects such as duration, time commitment, and working location (with options for on-site or remote). The topics student researchers work on tend to be open-ended and exploratory, and don't always have a clear deliverable like a traditional internship would. Google Research is building the next generation of intelligent systems for all Google products. To achieve this, we\u2019re working on projects that utilize the latest computer science techniques developed by skilled software developers and research scientists. Google Research teams collaborate closely with other teams across Google, maintaining the flexibility and versatility required to adapt new projects and foci that meet the demands of the world's fast-paced business needs.", "salary": "", "key_qualifications": "Currently enrolled in a PhD degree in Computer Science, Linguistics, Statistics, Biostatistics, Applied Mathematics, Operations Research, Economics, Natural Sciences, or related technical field. Experience in one area of computer science (e.g., Natural Language Understanding, Computer Vision, Machine Learning, Deep Learning, Algorithmic Foundations of Optimization, Quantum Information Science, Data Science, Software Development, or similar areas).", "preferred_qualifications": "Currently enrolled in a full-time degree program and returning to the program after completion of the internship. Currently attending a degree program in Canada. Experience as a researcher, including internships, full-time, or at a lab. Experience contributing research communities or efforts, including publishing papers in major conferences or journals. Experience with one or more general purpose programming languages (e.g., Python, Java, JavaScript, C/C++, etc.).", "responsibilities": "Participate in research to develop solutions for real-world, large-scale problems.", "company": "google"} |
ASIC Design Verification Engineer, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Sunnyvale, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Familiarity with ASIC standard interfaces and memory system architecture. About the job Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "ASIC Design Verification Engineer, Google Cloud", "level": "Mid", "location": "Sunnyvale, CA, USA", "description": "As a ASIC Design Verification Engineer, you will be part of a team developing ASICs used to accelerate computation in data centers. You will have dynamic, multi-faceted responsibilities in areas such as project definition, design verification, and silicon bringup. You will participate in the architecture, documentation, and verification of the next generation of data center accelerators.", "key_qualifications": "Bachelor's degree in Electrical Engineering, Computer Engineering, Computer Science, a related field, or equivalent practical experience. Experience with industry-standard tools, languages and methodologies relevant to the development of silicon-based ICs and chips. Experience with SystemVerilog (i.e. SystemVerilog Assertions or functional coverage).", "preferred_qualifications": "Master's degree or PhD in Electrical Engineering, Computer Engineering or Computer Science, with an emphasis on computer architecture, or a related field. 6 years of experience in design verification. Experience with one or more of the following: networking, switching, congestion control protocols, PCIe, TCP/IP, RDMA, NVMe, or ARM interconnect protocols. Experience in Power aware verification, Gate level simulations, and Post silicon bring-up. Experience verifying digital logic at Register-Transfer Level (RTL) using SystemVerilog for Application-Specific Integrated Circuits (ASICs). Familiarity with ASIC standard interfaces and memory system architecture.", "responsibilities": "Plan the verification of complex digital design blocks, understand the design specification, and interact with design engineers to identify important verification scenarios. Create a constrained-random verification environment using SystemVerilog and Universal Verification Methodology (UVM). Identify and write all types of coverage measures for stimulus and corner-cases. Debug tests with design engineers to deliver correct design blocks. Close coverage measures to identify verification holes and to show progress towards tape-out.", "company": "google"} |
Senior Software Engineer, Machine Learning, Commerce share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor’s degree or equivalent practical experience. 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. Preferred qualifications: Master's degree or PhD in Computer Science or related technical field. 1 year of experience in a technical leadership role. Experience developing accessible technologies. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Google is an engineering company at heart. We hire people with a broad set of technical skills who are ready to take on some of technology's greatest challenges and make an impact on users around the world. At Google, engineers not only revolutionize search, they routinely work on scalability and storage solutions, large-scale applications and entirely new platforms for developers around the world. From Google Ads to Chrome, Android to YouTube, social to local, Google engineers are changing the world one technological achievement after another. The US base salary range for this full-time position is $161,000-$239,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Software Engineer, Machine Learning, Commerce", "level": "Mid", "location": "Mountain View, CA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Google is an engineering company at heart. We hire people with a broad set of technical skills who are ready to take on some of technology's greatest challenges and make an impact on users around the world. At Google, engineers not only revolutionize search, they routinely work on scalability and storage solutions, large-scale applications and entirely new platforms for developers around the world. From Google Ads to Chrome, Android to YouTube, social to local, Google engineers are changing the world one technological achievement after another.", "salary": "$161,000-$239,000 + bonus + equity + benefits", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. 3 years of experience testing, maintaining or launching software products, and 1 year of experience with software design and architecture. 3 years of experience with ML algorithms and tools, AI, deep learning or natural language processing.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field. 1 year of experience in a technical leadership role. Experience developing accessible technologies.", "responsibilities": "Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Trust and Safety Professional share link link Copy link email email Email a friend corporate_fare Google place Washington D.C., DC, USA ; Atlanta, GA, USA ; +5 more ; +4 more bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Washington D.C., DC, USA; Atlanta, GA, USA; Austin, TX, USA; Boulder, CO, USA; Seattle, WA, USA; San Francisco, CA, USA Minimum qualifications: Preferred qualifications: About the job Trust & Safety team members are tasked with identifying and taking on the biggest problems that challenge the safety and integrity of our products. They use technical know-how, excellent problem-solving skills, user insights, and proactive communication to protect users and our partners from abuse across Google products like Search, Maps, Gmail, and Google Ads. On this team, you're a big-picture thinker and strategic team-player with a passion for doing what’s right. You work globally and cross-functionally with Google engineers and product managers to identify and fight abuse and fraud cases at Google speed - with urgency. And you take pride in knowing that every day you are working hard to promote trust in Google and ensuring the highest levels of user safety. At Google we work hard to earn our users’ trust every day. Trust & Safety is Google’s team of abuse fighting and user trust experts working daily to make the internet a safer place. We partner with teams across Google to deliver bold solutions in abuse areas such as malware, spam and account hijacking. A diverse team of Analysts, Policy Specialists, Engineers, and Program Managers, we work to reduce risk and fight abuse across all of Google’s products, protecting our users, advertisers, and publishers across the globe in over 40 languages. The US base salary range for this full-time position is $108,000-$158,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Trust and Safety Professional", "level": "Mid", "location": "Washington D.C., DC, USA; Atlanta, GA, USA", "description": "Trust & Safety team members are tasked with identifying and taking on the biggest problems that challenge the safety and integrity of our products. They use technical know-how, excellent problem-solving skills, user insights, and proactive communication to protect users and our partners from abuse across Google products like Search, Maps, Gmail, and Google Ads. On this team, you're a big-picture thinker and strategic team-player with a passion for doing what\u2019s right. You work globally and cross-functionally with Google engineers and product managers to identify and fight abuse and fraud cases at Google speed - with urgency. And you take pride in knowing that every day you are working hard to promote trust in Google and ensuring the highest levels of user safety. At Google we work hard to earn our users\u2019 trust every day. Trust & Safety is Google\u2019s team of abuse fighting and user trust experts working daily to make the internet a safer place. We partner with teams across Google to deliver bold solutions in abuse areas such as malware, spam and account hijacking. A diverse team of Analysts, Policy Specialists, Engineers, and Program Managers, we work to reduce risk and fight abuse across all of Google\u2019s products, protecting our users, advertisers, and publishers across the globe in over 40 languages.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 4 years of experience in data analytics, Trust and Safety, policy, cybersecurity, or related fields.", "preferred_qualifications": "Master's degree in relevant field. Education in, or experience with, machine learning or AI. Experience in Trust and Safety or product risk roles. Excellent communication and presentation skills (written and verbal) and the ability to influence cross-functionally at various levels.", "responsibilities": "Design and implement integrations between business objectives and business systems, including designing and building strategies that support these business systems. Conduct strategic analysis, optimize business performance, and deliver within relevant product areas. Analyze data, identify trends, and develop solutions that improve business performance. Manage projects involving multiple stakeholders, tight timelines, important organizational implications, and changing circumstances. Use Google\u2019s big data to conduct data-oriented analysis, architect metrics, synthesize information, solve problems, and influence business decision-making by presenting insights and market trends.", "company": "google"} |
Visual Designer, Early Career share link link Copy link email email Email a friend corporate_fare Google place San Francisco, CA, USA ; San Bruno, CA, USA ; +3 more ; +2 more bar_chart bar_chart Early Early Early Experience completing work as directed, and collaborating with teammates; developing knowledge of relevant concepts and processes. Apply share link link Copy link email email Email a friend info_outline info_outline X San Francisco, CA, USA; San Bruno, CA, USA; Mountain View, CA, USA; New York, NY, USA Minimum qualifications: Bachelor's degree in Design (e.g., Interaction, Graphic, Visual Communications, etc.), Human-Computer Interaction, Computer Science, or related field or equivalent practical experience. Experience collaborating with multidisciplinary UX or Product teams (e.g., Motion, Interaction, UX Research, Engineering, UX writer) on technical implementation. Experience collaborating with multidisciplinary UX or Product teams (e.g., Motion, Interaction, UX Research, Engineering, UX writer) on technical implementation. Preferred qualifications: Ability to act as a leader in communicating conceptual ideas and design rationale, all within a user-centered design process. Ability to prioritize and manage workload and meet critical project deadlines. About the job At Google, we follow a simple but vital premise: "Focus on the user and all else will follow." Google’s Visual Designers weave space, typography, color, iconography, and texture together to help people successfully navigate our products. We believe that all of our products should be beautiful and accessible. Google User Experience (UX) is made up of multi-disciplinary teams of UX Designers, Researchers, Writers, Content Strategists, Program Managers, and Engineers: we care deeply about the people who use our products. The UX team plays an integral part in gathering insights about the behaviors and perceptions of people who use our products to inspire and inform design. We collaborate closely with each other and with engineering and product management to create industry-leading products that deliver value for the people who use them, and for Google’s businesses. As a Visual Designer, you’ll rely on user-centered design methods to craft industry-leading user experiences—from concept to execution. Like all of our UX jobs, you’ll collaborate with your design partners to leverage and evolve the Google design language to build beautiful, innovative, inspired products that people love to use. User experience is at the forefront of how we create intuitive, innovative, and beautiful products that people love. We strive to learn and understand our users’ needs, behaviors, and emotions to gather insights that inform product strategy and design. Our UX teams include designers, researchers, content strategists, and engineers who are passionate about quality, usability, and simplicity. We work on collaborative teams to solve complex challenges and craft experiences that highlight our products’ unique capabilities and personalities. Our work touches billions while exemplifying a key principle that is core to Google’s philosophy: “Focus on the user and all else will follow.” The US base salary range for this full-time position is $102,000-$150,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Design one or more of Google's consumer-facing products. Communicate and present ideas and designs to a cross-functional product development team effectively. Present the user interface visually to ensure that the information is easy to find, read, and understand. Partner with peers and stakeholders across UX, Product Management, and Engineering to understand, challenge, and design features for users. Think holistically about the visual style of Google products and be a driving motivator to unify its application across multiple surfaces and platforms. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Visual Designer, Early Career", "level": " ", "location": "San Francisco, CA, USA; San Bruno, CA, USA", "description": "At Google, we follow a simple but vital premise: 'Focus on the user and all else will follow.' Google\u2019s Visual Designers weave space, typography, color, iconography, and texture together to help people successfully navigate our products. We believe that all of our products should be beautiful and accessible.", "key_qualifications": "Bachelor's degree in Design (e.g., Interaction, Graphic, Visual Communications, etc.), Human-Computer Interaction, Computer Science, or related field or equivalent practical experience. Experience collaborating with multidisciplinary UX or Product teams (e.g., Motion, Interaction, UX Research, Engineering, UX writer) on technical implementation. Portfolio highlighting experience in visual design", "preferred_qualifications": "1 year of visual design experience. Proficient with industry-standard design tools (e.g., Photoshop, Illustrator, Sketch, Figma). Ability to act as a leader in communicating conceptual ideas and design rationale, all within a user-centered design process. Ability to prioritize and manage workload and meet critical project deadlines.", "responsibilities": "Design one or more of Google's consumer-facing products. Communicate and present ideas and designs to a cross-functional product development team effectively. Present the user interface visually to ensure that the information is easy to find, read, and understand. Partner with peers and stakeholders across UX, Product Management, and Engineering to understand, challenge, and design features for users. Think holistically about the visual style of Google products and be a driving motivator to unify its application across multiple surfaces and platforms.", "company": "google"} |
Customer Engineer, Infrastructure Modernization, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Tel Aviv, Israel bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Master's degree in Computer Science or other relevant technical field. Experience in providing technical presentations and demos in both Hebrew and English. Ability to multitask, prioritize, and manage time efficiently. Ability to think strategically, with the big picture in mind. Team-oriented, communicative, and highly motivated with a can-do attitude. About the job When leading companies choose Google Cloud it's a huge win for spreading the power of cloud computing globally. Once educational institutions, government agencies, and other businesses sign on to use Google Cloud products, you come in to facilitate making their work more productive, mobile, and collaborative. You listen and deliver what is most helpful for the customer. You assist fellow sales Googlers by problem-solving key technical issues for our customers. You liaise with the product marketing management and engineering teams to stay on top of industry trends and devise enhancements to Google Cloud products. The Google Cloud Platform team helps customers transform and evolve their business through the use of Google’s global network, web-scale data centers, and software infrastructure. As part of an entrepreneurial team in this growing business, you will help shape the future of businesses of all sizes use technology to connect with customers, employees, and partners. In this role, you will work with the Sales team to introduce Google Cloud to our customers. You will help prospective, existing customers and partners understand the potential of Google Cloud, develop creative cloud solutions and architectures to solve their business challenges, and problem-solve any potential technical roadblocks. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Inspire customers and peers to solve difficult problems with innovative and novel solutions. Work with the team to identify and qualify business opportunities, identify key customer technical objections, and develop a strategy to resolve technical blockers. Manage the technical relationship with Google’s customers, including managing product and solution briefings, proof-of-concept work, and the coordination of additional technical resources. Work with customers to demonstrate and prototype Google Cloud product integrations in customer/partner environments. Recommend integration strategies, enterprise architectures, platforms, and application infrastructure required to successfully implement a complete solution using best practices on Google Cloud. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Customer Engineer, Infrastructure Modernization, Google Cloud", "level": "Mid", "location": "Tel Aviv, Israel", "description": "When leading companies choose Google Cloud it's a huge win for spreading the power of cloud computing globally. Once educational institutions, government agencies, and other businesses sign on to use Google Cloud products, you come in to facilitate making their work more productive, mobile, and collaborative. You listen and deliver what is most helpful for the customer. You assist fellow sales Googlers by problem-solving key technical issues for our customers. You liaise with the product marketing management and engineering teams to stay on top of industry trends and devise enhancements to Google Cloud products.\n\nThe Google Cloud Platform team helps customers transform and evolve their business through the use of Google\u2019s global network, web-scale data centers, and software infrastructure. As part of an entrepreneurial team in this growing business, you will help shape the future of businesses of all sizes use technology to connect with customers, employees, and partners.\n \nIn this role, you will work with the Sales team to introduce Google Cloud to our customers. You will help prospective, existing customers and partners understand the potential of Google Cloud, develop creative cloud solutions and architectures to solve their business challenges, and problem-solve any potential technical roadblocks.\n\nGoogle Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "salary": "", "key_qualifications": "Bachelor's degree in Computer Science, related Software Engineering field, or equivalent practical experience.\nExperience in cloud computing (e.g., infrastructure, storage, platforms, data), cloud market dynamics, and customer buying behavior.", "preferred_qualifications": "Master's degree in Computer Science or other relevant technical field.\nExperience in providing technical presentations and demos in both Hebrew and English.\nExperience with DevOps best practices (e.g. CI/CD) and ability to gather, analyze, debug, and fix problems that occur with live production systems.\nAbility to multitask, prioritize, and manage time efficiently.\nAbility to think strategically, with the big picture in mind.\nTeam-oriented, communicative, and highly motivated with a can-do attitude.", "responsibilities": "Inspire customers and peers to solve difficult problems with innovative and novel solutions.\nWork with the team to identify and qualify business opportunities, identify key customer technical objections, and develop a strategy to resolve technical blockers.\nManage the technical relationship with Google\u2019s customers, including managing product and solution briefings, proof-of-concept work, and the coordination of additional technical resources.\nWork with customers to demonstrate and prototype Google Cloud product integrations in customer/partner environments.\nRecommend integration strategies, enterprise architectures, platforms, and application infrastructure required to successfully implement a complete solution using best practices on Google Cloud.", "company": "google"} |
Product Manager, Developer Services share link link Copy link email email Email a friend corporate_fare Google place Mexico City, CDMX, Mexico bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Only applications of candidates with Mexican citizenship will be evaluated for this role in compliance with the provisions of Article 7 of the Federal Labor Law. Please submit your resume in English - we can only consider applications submitted in this language. Please submit your resume in English - we can only consider applications submitted in this language. Minimum qualifications: Preferred qualifications: About the job The Core team builds the technical foundation behind Google’s flagship products. We are owners and advocates for the underlying design elements, developer platforms, product components, and infrastructure at Google. These are the essential building blocks for excellent, safe, and coherent experiences for our users and drive the pace of innovation for every developer. We look across Google’s products to build central solutions, break down technical barriers and strengthen existing systems. As the Core team, we have a mandate and a unique opportunity to impact important technical decisions across the company. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Product Manager, Developer Services", "level": "Mid", "location": "Mexico City, CDMX, Mexico", "description": "At Google, we put our users first. The world is always changing, so we need Product Managers who are continuously adapting and excited to work on products that affect millions of people every day.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of experience in product management or related technical role. 2 years of experience taking technical products from conception to launch.", "preferred_qualifications": "Master's degree in a technology or business related field. 3 years of experience in a business function or role (e.g., strategic marketing, business operations, consulting). 3 years of experience in a role preparing and delivering technical presentations to senior leadership. 2 years of experience in software development or engineering. 2 years of experience working cross-functionally with engineering, UX/UI, sales finance, and other stakeholders. 1 year of experience in technical leadership.", "responsibilities": "Work with partner teams during product design and development to implement the requirements to turn PRDs into OKRs for one or more teams. Define product roadmaps by operationalizing strategy. Validate the market size and opportunity (e.g., user-based, strategic opportunity, business growth). Work with multiple engineering teams in Developer Services, Core Developer, and Product Areas to develop a unified Release and Google Production Platform capable of operating at scale. Make long-range strategic decisions on the evolution of software development.", "company": "google"} |
Senior CPU Register-Transfer Level Design Engineer, Silicon share link link Copy link email email Email a friend corporate_fare Google place New Taipei, Banqiao District, New Taipei City, Taiwan bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Google welcomes people with disabilities. Google welcomes people with disabilities. Minimum qualifications: Experience with microprocessor architecture. Preferred qualifications: About the job Responsibilities Contribute to CPU front-end designs, emphasizing on micro-architecture and RTL design for next generation CPU. Propose performance enhancing micro-architecture features with efficiency in mind. Work with architects and performance teams for trade-off studies. Deliver designs that meet power, performance, and area (PPA) goals with production quality. Interpret techniques into design constructs and languages in order to provide guidance to and participate in the performance modeling effort. Work with the functional verification team to ensure production quality designs, and with the physical design team to meet frequency, power, and area goals. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior CPU Register-Transfer Level Design Engineer, Silicon", "level": "Mid", "location": "New Taipei, Banqiao District, New Taipei City, Taiwan", "description": "Google's mission is to organize the world's information and make it universally accessible and useful. Our team combines the best of Google AI, Software, and Hardware to create radically helpful experiences. We research, design, and develop new technologies and hardware to make computing faster, seamless, and more powerful. We aim to make people's lives better through technology.", "key_qualifications": "Bachelor's degree in Electrical Engineering, Computer Engineering, Computer Science, a related field, or equivalent practical experience. 5 years of experience with digital logic design principles, RTL design concepts, and languages such as Verilog or SystemVerilog. Experience with microprocessor architecture.", "preferred_qualifications": "Master's degree or PhD in Electrical Engineering or Computer Science. Experience with modern processor micro-architecture and related technologies and algorithms. Knowledge of general purpose operating systems such as Linux or Android.", "responsibilities": "Contribute to CPU front-end designs, emphasizing on micro-architecture and RTL design for next generation CPU. Propose performance enhancing micro-architecture features with efficiency in mind. Work with architects and performance teams for trade-off studies. Deliver designs that meet power, performance, and area (PPA) goals with production quality. Interpret techniques into design constructs and languages in order to provide guidance to and participate in the performance modeling effort. Work with the functional verification team to ensure production quality designs, and with the physical design team to meet frequency, power, and area goals.", "company": "google"} |
Policy Specialist, Global Policy and Standards, Child Safety share link link Copy link email email Email a friend corporate_fare Google place Austin, TX, USA ; Washington D.C., DC, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Austin, TX, USA; Washington D.C., DC, USA Minimum qualifications: Bachelor's degree or equivalent practical experience. Preferred qualifications: Ability to translate complex issues into simple, clear language and to collaborate with cross-functional stakeholders and navigate organizational boundaries. Ability to translate complex issues into simple, clear language and to collaborate with cross-functional stakeholders and navigate organizational boundaries. About the job At Google we work hard to earn our users’ trust every day. Trust & Safety is Google’s team of abuse fighting and user trust experts working daily to make the internet a safer place. We partner with teams across Google to deliver bold solutions in abuse areas such as malware, spam and account hijacking. A diverse team of Analysts, Policy Specialists, Engineers, and Program Managers, we work to reduce risk and fight abuse across all of Google’s products, protecting our users, advertisers, and publishers across the globe in over 40 languages. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Policy Specialist, Global Policy and Standards, Child Safety", "level": "Mid", "location": "Austin, TX, USA; Washington D.C., DC, USA", "description": "We are user trust experts who think big across Google and the technology ecosystem. Join a team dedicated to safeguarding children online. You'll work on complex challenges of Child Sexual Abuse and Exploitation (CSAE) by crafting innovative policies and strategies to proactively address CSAE risks across Google's platforms and beyond. Collaborate with internal partners, industry leaders and policymakers to raise the bar for child safety in the technology ecosystem, and take safety by design into practice with strategies to ensure child safety risk is addressed and prevented.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of experience in internships, policy, legal, Trust and Safety, or technology environment directly working as a protector for children. Experience using data and technology to solve business problems. Experience with technology related policy enforcement operations, policy development, and policy maintenance.", "preferred_qualifications": "Experience with advanced product/program management. Ability to translate complex issues into simple, clear language and to collaborate with cross-functional stakeholders and navigate organizational boundaries. Distinctive ability to effectively operate in a fast-paced, constantly evolving team environment and the ability to influence/communicate cross-functionally and across levels. Excellent problem-solving and critical thinking skills with attention to detail in an ever-changing environment. Excellent written and verbal communication skills.", "responsibilities": "Develop and implement effective Child Sexual Abuse and Exploitation\u00a0(CSAE) policies, informed by research and data. Collaborate with diverse stakeholders to improve user safety, resolving high-profile policy issues, and influence product design to prioritize child safety and build trust. Provide expert policy counsel on CSAE to internal partners. Analyze CSAE issues and recommend solutions for technology platforms. Lead collaboration and set industry standards for child safety. Identify product risks and draft policies to reduce harm to children. Update executives on child safety issues on Google products. Review or be exposed to sensitive or graphic content as part of the core role.", "company": "google"} |
Program Manager, Google Cloud Business Platforms share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India ; Hyderabad, Telangana, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Bengaluru, Karnataka, India; Hyderabad, Telangana, India Minimum qualifications: Bachelor's degree or equivalent practical experience. Preferred qualifications: About the job Google Cloud accelerates every organization’s digital transformation by delivering enterprise-grade solutions that leverage Google’s cutting-edge technology and tools to help developers build more sustainably. In this role, you will be responsible for the applications and platforms that drive Cloud Marketing, Sales, Partner, Support, Finance and other critical functions and help run the Google Cloud business. You will deliver solutions that enable rapid growth. You will operate in a dynamic and fast-paced environment with significant opportunities to create impact, and manage business issues at scale and drive our continued growth. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Program Manager, Google Cloud Business Platforms", "level": "Mid", "location": "Bengaluru, Karnataka, India; Hyderabad, Telangana, India", "description": "A problem isn\u2019t truly solved until it\u2019s solved for all. That\u2019s why Googlers build products that help create opportunities for everyone, whether down the street or across the globe. ... Google Cloud accelerates every organization\u2019s digital transformation by delivering enterprise-grade solutions that leverage Google\u2019s cutting-edge technology and tools to help developers build more sustainably.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 2 years of experience in managing projects.", "preferred_qualifications": "Experience with Agile development methodology. Ability to effectively operate with flexibility in a fast-paced, constantly evolving team environment. Excellent communication skills, with the ability to represent and prepare executive level communication and articulate business or technical concepts to executive leadership. Passion for driving product innovation and excellence.", "responsibilities": "Manage a portfolio of complex projects and workstreams, and be responsible for overall program strategy, governance, operating model, and program operations. Be responsible for program scoping, planning, creating and managing integrated schedules, setting milestones, and program tracking. Lead complex and cross-functional business impacting programs. Work with Product, Engineering, and other stakeholders to drive program strategy and execution. Navigate multiple work streams, functional and technical concepts, and conversations across different areas and stakeholders to drive collaboration and consensus. Lead the creation of integrated timelines and schedules, track the progress, manage dependencies, proactively identify and communicate risks, and identify mitigations.", "company": "google"} |
Senior Software Engineer, Infrastructure, Google Cloud share link link Copy link email email Email a friend corporate_fare Google place Warsaw, Poland bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor’s degree or equivalent practical experience. Experience with software development in one or more programming languages, and with data structures/algorithms. Experience testing, maintaining, or launching software products, and with software design and architecture. Experience developing large-scale infrastructure, distributed systems or networks, and/or experience with compute technologies, storage or hardware architecture. Preferred qualifications: Master's degree or PhD in Computer Science or related technical field. Experience developing accessible technologies. Experience in a technical leadership role. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Google Cloud accelerates every organization’s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google’s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems. Responsibilities Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Software Engineer, Infrastructure, Google Cloud", "level": "Mid", "location": "Warsaw, Poland", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Google Cloud accelerates every organization\u2019s ability to digitally transform its business and industry. We deliver enterprise-grade solutions that leverage Google\u2019s cutting-edge technology, and tools that help developers build more sustainably. Customers in more than 200 countries and territories turn to Google Cloud as their trusted partner to enable growth and solve their most critical business problems.", "salary": "", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. Experience with software development in one or more programming languages, and with data structures/algorithms. Experience testing, maintaining, or launching software products, and with software design and architecture. Experience developing large-scale infrastructure, distributed systems or networks, and/or experience with compute technologies, storage or hardware architecture.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field. Experience developing accessible technologies. Experience in a technical leadership role.", "responsibilities": "Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
Account Executive, Large Customer Sales share link link Copy link email email Email a friend corporate_fare Google place New York, NY, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: About the job Businesses that partner with Google come in all shapes, sizes and market caps, and no one Google advertising solution works for all. Your knowledge of online media combined with your communication skills and analytical abilities shapes how new and existing businesses grow. Using your relationship-building skills, you provide Google-caliber client service, research and market analysis. You anticipate how decisions are made, persistently explore and uncover the business needs of Google's key clients and understand how our range of product offerings can grow their business. Working with them, you set the vision and the strategy for how their advertising can reach thousands of users. Our Large Customer Sales teams partner closely with many of the world’s biggest advertisers and agencies to develop digital solutions that build businesses and brands. We enjoy a bird’s eye view on the massive transformation occurring as advertising shifts to mobile and online platforms. We're uniquely situated to help shape how companies grow their businesses in the digital age. We advise clients on Google's broad range of products across search, video and mobile to help them connect instantly and seamlessly with their audiences. The US base salary range for this full-time position is $114,000-$167,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Account Executive, Large Customer Sales", "level": "Mid", "location": "New York, NY, USA", "description": "Businesses that partner with Google come in all shapes, sizes and market caps, and no one Google advertising solution works for all. Your knowledge of online media combined with your communication skills and analytical abilities shapes how new and existing businesses grow. Using your relationship-building skills, you provide Google-caliber client service, research and market analysis. You anticipate how decisions are made, persistently explore and uncover the business needs of Google's key clients and understand how our range of product offerings can grow their business. Working with them, you set the vision and the strategy for how their advertising can reach thousands of users.\n\nOur Large Customer Sales teams partner closely with many of the world\u2019s biggest advertisers and agencies to develop digital solutions that build businesses and brands. We enjoy a bird\u2019s eye view on the massive transformation occurring as advertising shifts to mobile and online platforms. We're uniquely situated to help shape how companies grow their businesses in the digital age. We advise clients on Google's broad range of products across search, video and mobile to help them connect instantly and seamlessly with their audiences.", "salary": "$114,000-$167,000 + bonus + equity + benefits", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of industry experience in digital advertising, consultative sales, business development, or digital marketing, or 3 years of experience with an advanced degree. Experience working with advertisers, agencies, and/or clients.", "preferred_qualifications": "Experience building trusted-advisor relationships with C-level executives. Managing multiple projects, business leads, and internal stakeholders. Experience with Google Ad Solutions, expertise in video advertising (AI-powered Performance and Video, CTV) and understanding of competitor landscape across traditional and digital media advertising. Ability to tenaciously sell/propose creative technologies across a landscape of diverse decision makers. Proven ability to develop joint business plans in complex, ambiguous environments, influence or collaborate across organizations, and drive business success for the customer and Google. Strong strategic and tactical thinking skills, systems thinking, and the ability to align strategies to deliver customer business outcomes.", "responsibilities": "Build and manage relationships with C-level clients and senior partner stakeholders. Develop a comprehensive understanding of their business challenges, marketing objectives, and success metrics. Structure a clear, long-term joint strategy between Google and clients, and lead a cross functional team within Google to collaborate on projects and drive client success. Plan for, and achieve, growth goals, through the presentation of Google advertising products/solutions that will help clients to meet their marketing objectives. Develop forward thinking, data-driven analyses and consultative recommendations that align with customer goals, and quantify high-impact opportunities with clear recommendations to present to customers. Build an in-depth knowledge of how Google Advertising products work and can be used to help meet a wide range of marketing objectives.", "company": "google"} |
Senior Software Engineer, Machine Learning, Fitbit share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Bachelor’s degree or equivalent practical experience. 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. 3 years of experience testing, maintaining and/or launching software products, and 1 year of experience with software design and architecture. 3 years of experience with ML/AI algorithms and tools, deep learning and/or natural language processing. Preferred qualifications: Master's degree or PhD in Computer Science or related technical field. 1 year of experience in a technical leadership role. Experience developing accessible technologies. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Fitbit’s wide range of innovative products, including smartwatches, trackers, and a smart scale, help people lead healthier, more active lives by empowering them with data, inspiration, and guidance to reach their health and fitness goals. Coupled with our leading software and Fitbit Premium, our immersive platform experience delivers personalized experiences, insights, and guidance to support our users’ health and wellness. Fitbit’s mission has always been to help make everyone in the world healthier and now, as part of Google we’ll be able to make health even more accessible to everyone. The US base salary range for this full-time position is $161,000-$239,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Software Engineer, Machine Learning, Fitbit", "level": "Mid", "location": "Mountain View, CA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions. Fitbit\u2019s wide range of innovative products, including smartwatches, trackers, and a smart scale, help people lead healthier, more active lives by empowering them with data, inspiration, and guidance to reach their health and fitness goals. Coupled with our leading software and Fitbit Premium, our immersive platform experience delivers personalized experiences, insights, and guidance to support our users\u2019 health and wellness. Fitbit\u2019s mission has always been to help make everyone in the world healthier and now, as part of Google we\u2019ll be able to make health even more accessible to everyone.", "salary": "$161,000-$239,000 + bonus + equity + benefits", "key_qualifications": "Bachelor\u2019s degree or equivalent practical experience. 5 years of experience with software development in one or more programming languages, and with data structures/algorithms. 3 years of experience testing, maintaining and/or launching software products, and 1 year of experience with software design and architecture. 3 years of experience with ML/AI algorithms and tools, deep learning and/or natural language processing.", "preferred_qualifications": "Master's degree or PhD in Computer Science or related technical field. 1 year of experience in a technical leadership role. Experience developing accessible technologies.", "responsibilities": "Write and test product or system development code. Participate in, or lead design reviews with peers and stakeholders to decide amongst available technologies. Review code developed by other developers and provide feedback to ensure best practices (e.g., style guidelines, checking code in, accuracy, testability, and efficiency). Contribute to existing documentation or educational content and adapt content based on product/program updates and user feedback. Triage product or system issues and debug/track/resolve by analyzing the sources of issues and the impact on hardware, network, or service operations and quality.", "company": "google"} |
CPU Design Verification Lead, Silicon share link link Copy link email email Email a friend corporate_fare Google place New Taipei, Banqiao District, New Taipei City, Taiwan bar_chart bar_chart Advanced Advanced Advanced Experience owning outcomes and decision making, solving ambiguous problems and influencing stakeholders; deep expertise in domain. Apply share link link Copy link email email Email a friend info_outline info_outline X Google welcomes people with disabilities. Google welcomes people with disabilities. Minimum qualifications: Preferred qualifications: About the job Google's mission is to organize the world's information and make it universally accessible and useful. Our team combines the best of Google AI, Software, and Hardware to create radically helpful experiences. We research, design, and develop new technologies and hardware to make computing faster, seamless, and more powerful. We aim to make people's lives better through technology. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "CPU Design Verification Lead, Silicon", "level": "Advanced", "location": "New Taipei, Banqiao District, New Taipei City, Taiwan", "description": "Be part of a diverse team that pushes boundaries, developing custom silicon solutions that power the future of Google's direct-to-consumer products. You'll contribute to the innovation behind products loved by millions worldwide. Your expertise will shape the next generation of hardware experiences, delivering unparalleled performance, efficiency, and integration.\n\nWith your technical expertise, you lead projects in multiple areas of expertise (i.e., engineering domains or systems) within a data center facility, including construction and equipment installation/troubleshooting/debugging with vendors.\n\nGoogle's mission is to organize the world's information and make it universally accessible and useful. Our team combines the best of Google AI, Software, and Hardware to create radically helpful experiences. We research, design, and develop new technologies and hardware to make computing faster, seamless, and more powerful. We aim to make people's lives better through technology.", "key_qualifications": "Bachelor's degree in Electrical Engineering, Computer Engineering, Computer Science, or a related field, or equivalent practical experience.\n10 years of experience in design verification. \nExperience with functional verification and performance validation of modern mobile processors, microarchitecture, and related technologies.\nExperience developing and maintaining verification testbenches, test cases, and test environments.", "preferred_qualifications": "Master's degree or PhD in Electrical Engineering, Computer Engineering or Computer Science.\nKnowledge of ARM Instruction Set Architecture.\nKnowledge of general purpose operating systems (e.g., Linux and Android).", "responsibilities": "Verify designs for future CPU developments.\nBuild functional verification infrastructure (e.g., unit, multi-unit, core, and subsystem level verification).\nVerify and validate performance for both pre-silicon and post-silicon.", "company": "google"} |
Data Center Technician, Third-Party Data Center (Thai, English) share link link Copy link email email Email a friend corporate_fare Google place Bangkok, Thailand bar_chart bar_chart Early Early Early Experience completing work as directed, and collaborating with teammates; developing knowledge of relevant concepts and processes. Apply share link link Copy link email email Email a friend info_outline info_outline X Google will be prioritizing applicants who have valid working rights in Thailand and do not require Google’s sponsorship of a visa. Minimum qualifications: Bachelor's degree or equivalent practical experience. Preferred qualifications: About the job Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Data Center Technician, Third-Party Data Center (Thai, English)", "level": "", "location": "Bangkok, Thailand", "description": "Behind everything our users see online is the architecture built by the Technical Infrastructure team to keep it running. From developing and maintaining our data centers to building the next generation of Google platforms, we make Google's product portfolio possible. We're proud to be our engineers' engineers and love voiding warranties by taking things apart so we can rebuild them. We keep our networks up and running, ensuring our users have the best and fastest experience possible.", "key_qualifications": "Bachelor's degree or equivalent practical experience. 3 years of experience in Hardware, Networking, Operating Systems, Linux, or Project Planning. Ability to communicate in Thai and English fluently to support client relationship management in this region.", "preferred_qualifications": "Experience working within a data center or network operation center environment. Experience in Linux/Unix desktop support, including installation, systems administration and troubleshooting. Experience in project management, leadership, collaborating and partnering with teams. Experience with troubleshooting, diagnosing, maintenance and monitoring of computer hardware and server hardware, operating systems and networking protocols. Ability to manage and accomplish tasks with minimal supervision and travel when needed.", "responsibilities": "Install, configure, test, troubleshoot and maintain hardware (e.g., servers and its components) and server software such as Google's Linux cluster. Take on the configuration of complex components such as networks, routers, hubs, bridges, switches and networking protocols. Participate in or lead small project teams on larger installations and develop project contingency plans. Work with infrastructure that is at the cutting-edge of computer technology.", "company": "google"} |
Software Engineer, Silicon share link link Copy link email email Email a friend corporate_fare Google place Bangalore, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Experience with performance analysis. Knowledge of embedded systems development, RTOS concepts, device drivers, and hardware/software integration. About the job Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google’s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. Google's mission is to organize the world's information and make it universally accessible and useful. Our team combines the best of Google AI, Software, and Hardware to create radically helpful experiences. We research, design, and develop new technologies and hardware to make computing faster, seamless, and more powerful. We aim to make people's lives better through technology. Responsibilities Design software for application developers to make use of hardware accelerators in a timely manner. Implement and validate firmware and Linux drivers for optimal power and performance. Design, develop, and test embedded software drivers for the next generation smart devices. Define architecture in collaboration with stakeholders to identify user experience characteristics and how they can be mapped onto hardware or in development. Lead a team of engineers for delivery of software for subsystems and for power and performance. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Software Engineer, Silicon", "level": "Mid", "location": "Bangalore, Karnataka, India", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward.\n\nGoogle's software engineers develop the next-generation technologies that change how users connect, explore, and interact with information and one another. As a Software Engineer, you will work on a specific project for Google\u2019s needs with opportunities to switch teams and projects as the business grows and evolves. \n\nGoogle's mission is to organize the world's information and make it universally accessible and useful. Our team combines the best of Google AI, Software, and Hardware to create radically helpful experiences. We research, design, and develop new technologies and hardware to make computing faster, seamless, and more powerful. We aim to make people's lives better through technology.", "salary": "", "key_qualifications": "Bachelor's degree in Electrical, Electronics, or Computer Engineering, or equivalent practical experience. 8 years of experience in development, test, and deployment of consumer electronics/embedded systems. Experience with embedded programming in C/C++. Experience with embedded systems, Kernel, Linux, RTOS, or firmware, device drivers.", "preferred_qualifications": "Experience with performance analysis. Experience with interface protocols (e.g., USB, SPI, I2C, UART, UFS, PCIe), power management, system integration, and drivers. Experience with ARM or other low-power SoC architectures, memory systems, or fabric. Knowledge of embedded systems development, RTOS concepts, device drivers, and hardware/software integration.", "responsibilities": "Design software for application developers to make use of hardware accelerators in a timely manner. Implement and validate firmware and Linux drivers for optimal power and performance. Design, develop, and test embedded software drivers for the next generation smart devices. Define architecture in collaboration with stakeholders to identify user experience characteristics and how they can be mapped onto hardware or in development. Lead a team of engineers for delivery of software for subsystems and for power and performance.", "company": "google"} |
Agency Relationship Manager, Large Customer Sales share link link Copy link email email Email a friend corporate_fare Google place Singapore bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Google will be prioritizing applicants who have a current right to work in Singapore, and do not require Google's sponsorship of a visa. Google will be prioritizing applicants who have a current right to work in Singapore, and do not require Google's sponsorship of a visa. Minimum qualifications: Preferred qualifications: About the job Businesses that partner with Google come in all shapes, sizes and market caps, and no one Google advertising solution works for all. Your knowledge of online media combined with your communication skills and analytical abilities shapes how new and existing businesses grow. Using your relationship-building skills, you provide Google-caliber client service, research and market analysis. You anticipate how decisions are made, persistently explore and uncover the business needs of Google's key clients and understand how our range of product offerings can grow their business. Working with them, you set the vision and the strategy for how their advertising can reach thousands of users. Our Large Customer Sales teams partner closely with many of the world’s biggest advertisers and agencies to develop digital solutions that build businesses and brands. We enjoy a bird’s eye view on the massive transformation occurring as advertising shifts to mobile and online platforms. We're uniquely situated to help shape how companies grow their businesses in the digital age. We advise clients on Google's broad range of products across search, video and mobile to help them connect instantly and seamlessly with their audiences. Responsibilities Own Google's relationship with Big Networks in Singapore as a business leader by developing and executing quality agency partnership plans, with an eye toward proactively co-developing agency capabilities grounded in Google products and platforms. Negotiate and manage commercial deals to grow or defend Google’s SOW for the portfolio. Accelerate key account growth by leading a One Google Team approach, partnering across internal stakeholders. Build clear plans for ensuring product excellence/adoption across key LCS clients (through workshops, hackathons, etc.) by continuously aligning agency priorities with our products. Lead Agency stakeholders through industry-defining moments (e.g., Privacy, Brand Safety, AI transformation), be a trusted Subject Matter Expert for Agency Leadership and the custodian of business reporting for the portfolio. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Agency Relationship Manager, Large Customer Sales", "level": "Mid", "location": "Singapore", "description": "Businesses that partner with Google come in all shapes, sizes and market caps, and no one Google advertising solution works for all. Your knowledge of online media combined with your communication skills and analytical abilities shapes how new and existing businesses grow. Using your relationship-building skills, you provide Google-caliber client service, research and market analysis. You anticipate how decisions are made, persistently explore and uncover the business needs of Google's key clients and understand how our range of product offerings can grow their business. Working with them, you set the vision and the strategy for how their advertising can reach thousands of users. As an Agency Relationship Manager, you\u2019ll lead and set the strategic direction of the Agency business within the region, working closely with all internal and external stakeholders. You\u2019ll implement execution processes and systems to operationalize the strategy, advocate new Google products and technologies throughout the agency ecosystem, identify business-development opportunities, and develop and manage relationships with agency influencers and key decision-makers. Your objectives is to develop new business, increase existing business to maximize growth, leverage research, market analysis, and other critical information, while being responsive to all agency needs. You'll also collaborate with Google Direct Sales teams to exchange knowledge regarding client needs in specific industry sectors. Our Large Customer Sales teams partner closely with many of the world\u2019s biggest advertisers and agencies to develop digital solutions that build businesses and brands. We enjoy a bird\u2019s eye view on the massive transformation occurring as advertising shifts to mobile and online platforms. We're uniquely situated to help shape how companies grow their businesses in the digital age. We advise clients on Google's broad range of products across search, video and mobile to help them connect instantly and seamlessly with their audiences.", "salary": "", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of experience with agency, media sales and business development.", "preferred_qualifications": "Experience in advertising agencies (offline and online), or sales/marketing. Knowledge of, and established relationships within, a specific region and/or the display advertising (e.g. interactive marketing) industry, traditional and contemporary/online marketing tactics and strategy. Excellent established relationships and presence within the agency marketplace.", "responsibilities": "Own Google's relationship with Big Networks in Singapore as a business leader by developing and executing quality agency partnership plans, with an eye toward proactively co-developing agency capabilities grounded in Google products and platforms. Negotiate and manage commercial deals to grow or defend Google\u2019s SOW for the portfolio. Accelerate key account growth by leading a One Google Team approach, partnering across internal stakeholders. Build clear plans for ensuring product excellence/adoption across key LCS clients (through workshops, hackathons, etc.) by continuously aligning agency priorities with our products. Lead Agency stakeholders through industry-defining moments (e.g., Privacy, Brand Safety, AI transformation), be a trusted Subject Matter Expert for Agency Leadership and the custodian of business reporting for the portfolio.", "company": "google"} |
Security Developer, Threat Analysis Group, Crime Analyst share link link Copy link email email Email a friend corporate_fare Google place Montreal, QC, Canada bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Preferred qualifications: Programming experience in Python, C/C++, Java, or Go. Programming experience in Python, C/C++, Java, or Go. Programming experience in Python, C/C++, Java, or Go. About the job TAG's Crime Team mission focuses on financially motivated threat actors. You will join a team who leverages reverse development and a deep understanding of Google products to maintain an awareness of the most serious threats and disrupt them whenever possible. Responsibilities Be able to reverse malware and unwanted software (Linux). Write detections for malware and unwanted software (Linux). Automate malware analysis tasks for various types of technologies (e.g., .Net, VM instrumentation, Javascript, etc.). Implement tracking mechanisms for activity targeting Google products and their users. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Security Developer, Threat Analysis Group, Crime Analyst", "level": "Mid", "location": "Montreal, QC, Canada", "description": "Google's Threat Analysis Group (TAG) tracks serious threat actors in order to produce the insights and direct protections to keep users safe at scale. We also provide malware analysis systems, intelligence tracking systems and other technology to meet our mission, and enable other teams at Google that are defending our infrastructure or end-users. TAG's Crime Team mission focuses on financially motivated threat actors. You will join a team who leverages reverse development and a deep understanding of Google products to maintain an awareness of the most serious threats and disrupt them whenever possible.", "key_qualifications": "3 years of experience in a threat intelligence or related security analyst role. 1 year of experience in dynamic and static malware analysis. Experience in Python, C/C++, or other scripting languages.", "preferred_qualifications": "Experience with various operating system security models including Android, OSX, etc. Programming experience in Python, C/C++, Java, or Go. Proficiency using reverse developing tools such as IDA Pro, gdb, Windbg, x64dbg, or Ollydbg. Motivated and orientation toward results.", "responsibilities": "Be able to reverse malware and unwanted software (Linux). Write detections for malware and unwanted software (Linux). Automate malware analysis tasks for various types of technologies (e.g., .Net, VM instrumentation, Javascript, etc.). Implement tracking mechanisms for activity targeting Google products and their users.", "company": "google"} |
Senior Systems Development Engineer, Silicon share link link Copy link email email Email a friend corporate_fare Google place Bengaluru, Karnataka, India bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend Minimum qualifications: Experience with Linux systems, networking, and security. Experience writing code in Python, Shell, Go, or similar languages for automating monitoring or data analysis. Preferred qualifications: About the job Google's mission is to organize the world's information and make it universally accessible and useful. Our team combines the best of Google AI, Software, and Hardware to create radically helpful experiences. We research, design, and develop new technologies and hardware to make computing faster, seamless, and more powerful. We aim to make people's lives better through technology. Responsibilities Ensure the timely delivery of silicon designs using Google Cloud Platform (GCP) within a fast-paced environment. Monitor and uphold high standards for internal services on Google Cloud. Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Senior Systems Development Engineer, Silicon", "level": "Mid", "location": "Bengaluru, Karnataka, India", "description": "Systems Development Engineering (SDE) at Google is a role where you manage services and systems at scale. SDEs creatively put their engineering discipline to use automating the mundane and reducing toil. We don\u2019t just write code to fix bugs, but emphasize the development of tools and solutions that fix classes of problems. We know it\u2019s hard to control what you can\u2019t measure \u2013 so we focus on observability: instrumenting first, then turning data into knowledge, and finally knowledge into action. We know that the operational efficiency of Google systems, services, virtual compute environments and the operating systems that power them impact the environment, not just the bottom line. We know that working together we can do more, and that community matters.\n\nGoogle brings together people with a wide variety of backgrounds, experiences and perspectives. We encourage them to collaborate, think big and take risks in a blame-free environment. We promote self-direction to work on meaningful projects, while we also strive to create an environment that provides the support and mentorship needed to learn and grow.\n\nTogether we engineer and build the infrastructure, tools, access and telemetry for systems that enable orchestration of Google-scale services. Come build things that matter.\n\nGoogle's mission is to organize the world's information and make it universally accessible and useful. Our team combines the best of Google AI, Software, and Hardware to create radically helpful experiences. We research, design, and develop new technologies and hardware to make computing faster, seamless, and more powerful. We aim to make people's lives better through technology.", "salary": "", "key_qualifications": "Bachelor's degree or equivalent practical experience. 5 years of experience in implementing, troubleshooting, and supporting high-performance computing systems. Experience with Linux systems, networking, and security. Experience writing code in Python, Shell, Go, or similar languages for automating monitoring or data analysis.", "preferred_qualifications": "Experience in designing and managing large-scale, complex, cloud-based infrastructure. Experience with HPC Schedulers such as LSF, Symphony, NC, and SLURM. Excellent communication, problem-solving, and investigative skills.", "responsibilities": "Ensure the timely delivery of silicon designs using Google Cloud Platform (GCP) within a fast-paced environment. Monitor and uphold high standards for internal services on Google Cloud. Provide support, maintain, and deploy team-supported infrastructure and documentation. Collaborate closely with engineering teams to implement and develop on Google Cloud infrastructure.", "company": "google"} |
Mobile Firmware Engineer, Pixel share link link Copy link email email Email a friend corporate_fare Google place Mountain View, CA, USA ; Kirkland, WA, USA ; +2 more ; +1 more bar_chart bar_chart Mid Mid Mid Experience driving progress, solving problems, and mentoring more junior team members; deeper expertise and applied knowledge within relevant area. Apply share link link Copy link email email Email a friend info_outline info_outline X Mountain View, CA, USA; Kirkland, WA, USA; San Diego, CA, USA Minimum qualifications: Preferred qualifications: About the job Google's mission is to organize the world's information and make it universally accessible and useful. Our Devices & Services team combines the best of Google AI, Software, and Hardware to create radically helpful experiences for users. We research, design, and develop new technologies and hardware to make our user's interaction with computing faster, seamless, and more powerful. Whether finding new ways to capture and sense the world around us, advancing form factors, or improving interaction methods, the Devices & Services team is making people's lives better through technology. The US base salary range for this full-time position is $122,000-$178,000 + bonus + equity + benefits. Our salary ranges are determined by role, level, and location. The range displayed on each job posting reflects the minimum and maximum target salaries for the position across all US locations. Within the range, individual pay is determined by work location and additional factors, including job-related skills, experience, and relevant education or training. Your recruiter can share more about the specific salary range for your preferred location during the hiring process. Responsibilities Google is a global company and, in order to facilitate efficient collaboration and communication globally, English proficiency is a requirement for all roles unless stated otherwise in the job posting. To all recruitment agencies: Google does not accept agency resumes. Please do not forward resumes to our jobs alias, Google employees, or any other organization location. Google is not responsible for any fees related to unsolicited resumes. | {"title": "Mobile Firmware Engineer, Pixel", "level": "Mid", "location": "Mountain View, CA, USA; Kirkland, WA, USA", "description": "Google's software engineers develop the next-generation technologies that change how billions of users connect, explore, and interact with information and one another. Our products need to handle information at massive scale, and extend well beyond web search. We're looking for engineers who bring fresh ideas from all areas, including information retrieval, distributed computing, large-scale system design, networking and data storage, security, artificial intelligence, natural language processing, UI design and mobile; the list goes on and is growing every day. As a software engineer, you will work on a specific project critical to Google\u2019s needs with opportunities to switch teams and projects as you and our fast-paced business grow and evolve. We need our engineers to be versatile, display leadership qualities and be enthusiastic to take on new problems across the full-stack as we continue to push technology forward. With your technical expertise you will manage project priorities, deadlines, and deliverables. You will design, develop, test, deploy, maintain, and enhance software solutions.", "key_qualifications": "Bachelor's degree in Computer Science, Electrical Engineering, Computer Engineering, a related field, or equivalent practical experience. 5 years of experience in coding with a general purpose programming language (e.g., C/C++). 5 years of experience with cellular modem features development, analysis, carrier requirement management, and mobile device certifications. Experience working with cellular carriers.", "preferred_qualifications": "Experience with firmware, modems, protocols, 3GPP, and wireless communications. Experience with code and system health, diagnosis and resolution, and software test engineering. Experience with the 5G NR/LTE PHY and protocol layer procedures, with an understanding of L2, RRC, and NAS protocol and expected behaviors from 3GPP point of view. Excellent communication skills, with the ability to take initiatives and manage expectations, drive the features and resolutions delivery, and work with customers and carriers.", "responsibilities": "Work on the feature analysis, evaluations, and compliances for the carriers and participate in engineering tasks for the development of carriers features and certifications efforts. Triage product or system issues and debug by analyzing the sources of issues and the impact on hardware, network, or service operations and quality, track and resolve bugs, and communicate blockers with various stakeholders such as Testing teams, Certification teams, Engineers, and carriers' Technical Account Managers. Work closely with cellular carriers such as the US carriers and other emerging carriers to address their technical requests, the feature requirements, and the prompt issue resolutions.", "company": "google"} |
Subsets and Splits