EP
stringlengths
7
7
Title
stringlengths
4
185
Claim Set
int64
1
5
Task
stringclasses
23 values
Description
stringlengths
1.27k
25k
Independent Claim
stringlengths
122
3.74k
Label Claims
stringlengths
84
15.5k
2837399
Liquid container for gas humidification and liquid storage device
1
Based on the following detailed description of an invention, generate the patent claims. There should be 10 claims in total. The first, independent claim is given and the remaining 9 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Embodiments are illustrated in the accompanying figures to improve understanding of concepts as presented herein. Skilled artisans appreciate that elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some elements in the figures may be exaggerated relative to others to facilitate understanding of the embodiments. Since various aspects and embodiments are merely exemplary and not limiting, after reading this specification, skilled artisans appreciate that other aspects and embodiments are possible without departing from the scope of the invention. Other features and benefits of any one or more of the embodiments will be apparent from the following detailed description and the claims. The use of "a" or "an" is employed to describe elements and components described herein. This is done merely for convenience and to give a general sense of the scope of the invention. Accordingly, this description should be read to include one or at least one and the singular also includes the plural unless it is obvious that it is meant otherwise. As used herein, the terms "comprises," "comprising," "includes," "'including," "has," "having" or any other variation thereof are intended to cover a non-exclusive inclusion. For example, a component, structure, article, or apparatus that comprises a list of elements is not necessarily limited to only those elements but may include other elements not expressly listed or inherent to such component, structure, article, or apparatus. Further, unless expressly stated to the contrary, the term "or" refers to an inclusive or and not to an exclusive or. For example, a condition "A or B" is satisfied by any one of the following: A is true (or present) and B is false (or not present), A is false (or not present) and B is true (or present), and both A and B are true (or present). As illustrated in Figure 1, an exemplary embodiment according to the present disclosure provides a liquid container 1 for humidifying gas and increasing gas humidity, wherein the gas may include air, pure gas or gas mixture, and the humidification may be, without limitation, carried out by heating, evaporation or ultrasonic atomization. The liquid container 1 mainly comprises two chambers, which are the first storage chamber 10 and the second storage chamber 20 disposed for example in the first storage chamber 10. Optionally, as shown in Figure 1, the liquid container 1 may also include a cover 30 covering the first storage chamber 10 and the second storage chamber 20. The first storage chamber 10 has a reservoir primarily for holding liquid to be humidified, such as water, normal saline or the like. If humidification is carried out by heating, the liquid to be humidified may be heated and evaporated by a heating board, a heater, a heating plate, or the like installed at a certain position (e.g. heating zone 12) of the bottom 11 of the first storage chamber 10. The second storage chamber 20 also includes a reservoir primarily for holding supplemental liquid such as water, normal saline or the like. The liquid within the second storage chamber 20 and the first storage chamber 10 may be the same or different. An opening 24 is formed on the second storage chamber 20 such as at the bottom, such that gas is allowed to enter the second storage chamber 20 via the opening 24 and cause air pressure change in the second storage chamber 20, and such that liquid in the second storage chamber 20 may flow downwardly via the opening 24 into the first storage chamber 10 so as to supplement the liquid amount in the first storage chamber 10. In addition, the second storage chamber 20 may be optionally equipped on its surface with an aerodynamic structure 28, such as fins of a certain shape, to regulate the time of gas staying in the liquid container 1 and its movement distance, or to define a path tor gas flow, for example. Moreover, in this embodiment, the liquid container 1 also includes an optional cover 30. The cover 30 may be connected with the first storage chamber 10 to situate the second storage chamber 20 therebetween. In this case, gas entering the gas humidification space of the liquid container 1 via the gas inlet 32 may move along the gas flow path defined by the aerodynamic structure 28 and, after absorbing a certain amount of moisture, leave the gas humidification space of the liquid container 1 via the gas outlet 31, to a user's respiratory tract through a duct for example connected with the gas outlet 31. As used herein, the term "connect," "connected," "connected to" or "connected with" means "combined, joined, linked or assembled together," and includes direct connection where no intermediate (e.g. a gasket or washer) exists and indirect connection where an intermediate exists between two elements to be connected. In addition, when different components are connected, these components may form an integral, one-piece structure such as by integration in which different components act as different parts of the integral structure, or these components may be distinct and separate components connected together. Unless otherwise specified, means for Joining distinct and separate components together includes interlocking, engagement, fastening, mortise and tenon joint, or any other connection means known and understood in the mechanical arts. Accordingly, depending on the preferences in use and during manufacture, the first storage chamber 10 and the second storage chamber 20 can form an integral structure, or they can be separate and distinct structures assembled together. Similarly, the second storage chamber 20 and the cover 30 can form an integral structure, or they can be separate and distinct structures assembled together. Figure 2 illustrates a cross-sectional view of a liquid storage device according to one embodiment of this invention. The liquid storage device 2 mainly comprises a first storage chamber 10, a second storage chamber 20 and a cover 30. As an outer chamber, the first storage chamber 10 defines a receiving space 13 therein, and as an inner chamber, the second storage chamber 20 is disposed within the receiving space 13, wherein the inner chamber defines inwardly a supplemental liquid storage space 27. In this embodiment, the second storage chamber 20 primarily consists of a top wall 21, a bottom wall 22 and a side wall 23 connected therebetween, preferably in an airtight manner. For example, the bottom wall 22 and side wall 23 of the second storage chamber 20 may form a unitary and integral structure, and the top wall 21 of the second storage chamber 20 may be removably covered on the side wall 23, such that the space defined collectively by the top wall 21, the bottom wall 22 and the side wall 23 is airtight with respect to and not in gas communication with the exterior except through the opening 24, as described below. To form gas communication and liquid communication between the first storage chamber 10 and the second storage chamber 20, the second storage chamber 20 is provided with at least one opening 24 for example on the bottom wall 22, such that gas can enter the second storage chamber 20 and liquid can leave the supplemental liquid storage space 27 of the second storage chamber 20. As illustrated in Figure 2, the position of the opening 24 is above the bottom 11 of the first storage chamber 10, so liquid from the second storage chamber 20 will be driven naturally to flow downwardly into the first storage chamber 10. In this exemplary embodiment, thee is only one opening 24 termed on the bottom wall 22 of the second storage chamber 20, so gas and liquid respectively enter and leave the space defined within the second storage chamber 20 via the same opening 24. In order to serve the dual purpose of gas entry and liquid exit, parameters of the opening 24 such as aperture size, shape and the so on can be designed without undue experimentation, such as in view of the cohesion force within liquid in the second storage chamber 20, hydraulic pressure, air pressure and the like. A circular opening may be used, for example, which has an aperture diameter ranging from 0.5 mm to 5 mm, but not limited thereto. In order to further explain the concepts and principles behind this invention, the operational states of various embodiments with the presence of liquid are described with the accompanying drawings. However, there is no intention to be bound by any expressed or implied theory presented in the preceding technical field, background, brief summary or the following detailed description. Figure 3 illustrates a cross-sectional view of an exemplary liquid storage device for gas humidification in an operational state. When in use, the second storage chamber 20 of the liquid storage device 2 can first be filled with liquid. The filling process may involve separating the top wall of the second storage chamber 20 from the side wall, filling the liquid into the supplemental liquid storage space 27, and then joining or connecting the top wall and the side wall in an airtight manner. Alternatively, a switchable liquid injection port may be formed on any desirable portion of the second storage chamber 20 for liquid injection, and after being filled with the liquid, the second storage chamber 20 may then be assembled between the cover 30 and the first storage chamber 10. Further alternatively, the second storage chamber 20 may be made as a disposable component, and the supplemental liquid storage space 27 is filled with the liquid In advance during production and then sealed airtight, such that the second storage chamber 20 can be mounted by uses without the additional liquid loading process. For example, the second storage chamber 20 may be placed into the receiving space of the first storage chamber 10, allowing liquid to flow into the first storage chamber 10 through the opening on the second storage chamber 20. Depending on the user's need, a predetermined amount of liquid may be loaded into the first storage chamber 10 before setting the second storage chamber 20. In this embodiment, two openings 24a and 24b are formed on the bottom of the second storage chamber 20. A liquid flow pipe 26 is formed extending from the openings 24a downwardly, and the terminal orifice 26a of the liquid flow pipe 26 is above the bottom 11 of the first storage chamber 10. In addition, a gas flow pipe 25 is formed extending from the openings 24b downwardly. Two openings are used in this embodiment for allowing liquid to move from the second storage chamber 20 into the first storage chamber 10 and allowing gas to move from the first storage chamber 10 into the second storage chamber 20. However, the liquid or gas is not limited to entering or exiting trough a specific opening. In word words, when two or more openings are formed, each opening may serve for gas and/or liquid passage. In view of several factors including aperture size, opening shape, cohesion force within liquid, hydraulic pressure, air pressure and the like, different openings may be designed either with more tendency toward allowing gas to enter the second storage chamber 20 or with more tendency toward allowing liquid to leave the second storage chamber 20, and the size or shape of different openings may be the same or different. In this embodiment, for example, the openings 24a and 24b may individually have an aperture diameter from 0.5 mm to 5 mm, but not limited thereto. As shown in Figure 3, the two openings are both extended downwardly to form the gas flow pipe 25 and the liquid flow pipe 26 respectively, and the length, the pipe diameter, and other parameters of the two pipes may be the same or different. For example, the length of the liquid flow pipe 26 may be greater than that of the gas flow pipe 25. In a situation where a plurality of pipes of differellt lengths are formed, the longer pipe sustains a greater pressure at the bottom end, so liquid has a greater tendency to flow from the longer pipe. In other words, a longer pipe, such as the liquid flow pipe 26 in this embodiment, is more suitable tor discharging liquid from the second storage chamber 20. However, as stated above, the liquid flow pipe 26 is not limited to serve as a passage for liquid discharge only but may also be useful for gas entry in some circumstances, and, similarly, the gas flow pipe 25 is not limited to serve as a passage for gas entry only but may also be useful for liquid discharge in some circumstances, both depending on various environmental parameters in operational states and the structural design of the second storage chamber 20. In this embodiment, for example, the length of the gas flow pipe 25 extending downwardly may be less than 10 mm, and the liquid flow pipe 26 may be 5 mm longer than the gas flow pipe 25, such as less man 15 mm, but not limited thereto. In an operational state, gas primarily enters the second storage chamber 20 through the gas flow pipe 25, and liquid primarily enters the first storage chamber 10 from the supplemental liquid storage space 27 through the liquid flow pipe 26, such that the liquid level in the first storage chamber 10 gradually raises and that the liquid level in the supplemental liquid storage space 27 gradually lowers at the same time. When the liquid level in the first storage chamber 10 raises to the position of the orifice at the bottom of the gas flow pipe 25, before which the terminal orifice 26a of the liquid flow pipe 26 has been submerged by the liquid, the gas flow pipe 25 is sealed by the liquid, and gas is prevented from entering the second storage chamber 20 via the gas flow pipe 25 and causing pressure change, thus achieving a substantially balanced or steady state of the system within the liquid storage device 2. Accordingly, in an embodiment where a plurality of openings are employed, the position or height of the opening(s) primarily for gas entry can determine the liquid level in the thirst storage chamber 10 under the steady state, during which the space within the first storage chamber 10 is divided into two parks - the space below the opening terming a storage space for liquid to be heated (i.e. the space occupied by the liquid, as indicated by the numeral 14 in Figure 3 ), and the space above the opening forming a gas humidification space (i.e. the space occupied by the gas, as indicated by the numeral 15 in Figure 3 ). During operation of a humidifier such as a heater or an atomiser, as shown in Figure 4, liquid in the storage space for liquid to be heated 14 will be evaporated or atomized and then be absorbed by the gas in the gas humidification space 15, which then leaves the liquid, storage device 2 from the gas outlet 31. Thus, during operation, the liquid level in the first storage chamber 10 will gradually lower, and when the gas flow pipe 25 is no longer sealed by the liquid, gas may re-enter the second storage chamber 20 via the gas flow pipe 25 and cause air pressure change in the second storage chamber 20 (i.e. air pressure increases due to the increasing gas amount), such that liquid may be driven to flow downwardly and leave the supplemental liquid storage space 27 via the liquid flow pipe 26, achieving the purpose of automatic supplement of liquid to the storage space tor liquid to be heated 14. Subsequently, the liquid level gradually raises again in the storage space for liquid to be heated 14, and the gas flow pipe 25 is sealed by the raising liquid level to block the gas entry into the second storage chamber 20 therefrom, thereby reaching the steady state mentioned above as illustrated in Figure 3. Therefore, when in use, the design of this embodiment can maintain a substantially constant amount of liquid in the first storage chamber 10. While various stages during operation are described according to their temporal sequence, however, it is understood that, when in actual use, different stages may happen one after the other or almost at the same time. Therefore, during operation, the liquid storage device 2 is substantially maintained at a dynamic equilibrium or steady state until all the liquid in the supplemental Liquid storage space 27 is used up. Figure 5 illustrates a cross-sectional view of a liquid storage device 2 according to another embodiment of this invention, in which the opening primarily for gas passage is penetrated by the gas flow pipe 25. The operational principle behind this embodiment is substantially the same as other embodiments. When the bottom opening of the gas flow pipe 25 is not sealed for example by liquid, gas enters the second storage chamber 20 via the gas flow pipe 25, and liquid in the supplemental liquid storage space 27 flows into the first storage chamber 10 through the liquid flow pipe 26. When the liquid level in the first storage chamber 10 raises to a predetermined degree, such as sealing the bottom opening of the gas flow pipe 25, gas is prevented from entering the second storage chamber 20 and inducing air pressure increase therein, and liquid flow is inhibited from the supplemental liquid storage space 27 into the first storage chamber 10. In addition, when the liquid level in the first storage chamber 10 lowers during gas humidification, gas can be allowed to enter the second storage chamber 20 via the gas flow pipe 25 again, and liquid is similarly allowed to flow from the supplemental liquid storage space 27 into the first storage chamber 10 again. The present embodiment is characterized in that the gas flow pipe 25 penetrates into the second storage chamber 20 and that the top opening thereof is above the liquid level in the second storage chamber 20, such that the gas flow pipe 25 contains substantially no liquid therein. Thus, when entering the second storage chamber 20 via the gas flow pipe 25, gas will not contact with liquid and will not form bubbles as shown in Figure 4, thereby reducing the voice or noise from the operating liquid storage device 2 caused by bursting bubbles, and making this embodiment particularly suitable for a situation which requires less noise, such as when a user is sleeping. As mentioned above, in this invention, different components or structures may be formed as one piece or individually and separately formed and then assembled together. For example, suitable molds may be used to make any part of the liquid container or the liquid storage device, such as using extrusion molding, injection molding or other molding processes known to a person skilled in the art. Furthermore, the liquid container or the liquid storage device of this invention may be made of various materials, such different plastic materials, or materials commonly used for medical grade products. The above detailed description is merely illustrative in nature and is not intended to limit the embodiments of the subject matter or the application and uses of such embodiments. As used herein, the term "exemplary" means "serving as an example, instance, or illustration." Any implementation described herein as exemplary is not necessarily to be construed as preferred or advantageous over other implementations.
1. A liquid container (1) for gas humidification, comprising: a first storage chamber (10) comprising a bottom (11); and a second storage chamber (20) comprising a top wall (21), a bottom wall (22) and a side wall (23) connected between the top wall (21) and the bottom wall (22), the second storage chamber (20) being provided with at least one opening (24, 24a, 24b) which is above the bottom (11) of the first storage chamber (10), thereby enabling liquid in the second storage chamber (20) to flow into the first storage chamber (10) in a unidirectional way.
2. The liquid container (1) for gas humidification of claim 1, wherein the opening (24, 24a, 24b) is formed on the bottom wall (22). 3. The liquid container (1) for gas humidification of claim 1, wherein a pipe (25, 26) is farmed extending outwardly from the opening (24, 24a, 24b). 4. The liquid container (1) for gas humidification of claim 1. wherein the opening (24, 24a, 24b) is a gas flow opening (24, 24a, 24b), and the bottom wall (22) is further provided with a liquid flow opening (24, 24a, 24b). 5. The liquid container (1) for gas humidification of claim 4, wherein the gas flow opening (24, 24a, 24b) is penetrated by a gas flow pipe (25). 6. The liquid container (1) for gas humidification of claim 4, wherein a liquid flow pipe (26) is formed extending outwardly from the liquid flow opening (24, 24a, 24b), and a terminal orifice (26a) of the liquid flow pipe (26) is above the bottom (11) of the first storage chamber (10). 7. The liquid container (1) for gas humidification of claim 1, wherein the side (23) wall is airtightly connected with the top wall (21) and the bottom wall (22). 8. The liquid container (1) for gas humidification of claim 1, further comprising a cover (30) connected with the first storage chamber (10) and having a gas outlet (31). 9. The liquid container (1) for gas humidification of claim 8, wherein the second storage chamber (20) is connected with the cover (30) and received between the cover (30) and the first storage chamber (10). 10. The liquid container (1) for gas humidification of claim 1, wherein the bottom (11) of the first storage chamber (10) at least partially defines at heating zone (12).
2837399
Liquid container for gas humidification and liquid storage device
2
Based on the following detailed description of an invention, generate the patent claims. There should be 4 claims in total. The first, independent claim is given and the remaining 3 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Embodiments are illustrated in the accompanying figures to improve understanding of concepts as presented herein. Skilled artisans appreciate that elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions of some elements in the figures may be exaggerated relative to others to facilitate understanding of the embodiments. Since various aspects and embodiments are merely exemplary and not limiting, after reading this specification, skilled artisans appreciate that other aspects and embodiments are possible without departing from the scope of the invention. Other features and benefits of any one or more of the embodiments will be apparent from the following detailed description and the claims. The use of "a" or "an" is employed to describe elements and components described herein. This is done merely for convenience and to give a general sense of the scope of the invention. Accordingly, this description should be read to include one or at least one and the singular also includes the plural unless it is obvious that it is meant otherwise. As used herein, the terms "comprises," "comprising," "includes," "'including," "has," "having" or any other variation thereof are intended to cover a non-exclusive inclusion. For example, a component, structure, article, or apparatus that comprises a list of elements is not necessarily limited to only those elements but may include other elements not expressly listed or inherent to such component, structure, article, or apparatus. Further, unless expressly stated to the contrary, the term "or" refers to an inclusive or and not to an exclusive or. For example, a condition "A or B" is satisfied by any one of the following: A is true (or present) and B is false (or not present), A is false (or not present) and B is true (or present), and both A and B are true (or present). As illustrated in Figure 1, an exemplary embodiment according to the present disclosure provides a liquid container 1 for humidifying gas and increasing gas humidity, wherein the gas may include air, pure gas or gas mixture, and the humidification may be, without limitation, carried out by heating, evaporation or ultrasonic atomization. The liquid container 1 mainly comprises two chambers, which are the first storage chamber 10 and the second storage chamber 20 disposed for example in the first storage chamber 10. Optionally, as shown in Figure 1, the liquid container 1 may also include a cover 30 covering the first storage chamber 10 and the second storage chamber 20. The first storage chamber 10 has a reservoir primarily for holding liquid to be humidified, such as water, normal saline or the like. If humidification is carried out by heating, the liquid to be humidified may be heated and evaporated by a heating board, a heater, a heating plate, or the like installed at a certain position (e.g. heating zone 12) of the bottom 11 of the first storage chamber 10. The second storage chamber 20 also includes a reservoir primarily for holding supplemental liquid such as water, normal saline or the like. The liquid within the second storage chamber 20 and the first storage chamber 10 may be the same or different. An opening 24 is formed on the second storage chamber 20 such as at the bottom, such that gas is allowed to enter the second storage chamber 20 via the opening 24 and cause air pressure change in the second storage chamber 20, and such that liquid in the second storage chamber 20 may flow downwardly via the opening 24 into the first storage chamber 10 so as to supplement the liquid amount in the first storage chamber 10. In addition, the second storage chamber 20 may be optionally equipped on its surface with an aerodynamic structure 28, such as fins of a certain shape, to regulate the time of gas staying in the liquid container 1 and its movement distance, or to define a path tor gas flow, for example. Moreover, in this embodiment, the liquid container 1 also includes an optional cover 30. The cover 30 may be connected with the first storage chamber 10 to situate the second storage chamber 20 therebetween. In this case, gas entering the gas humidification space of the liquid container 1 via the gas inlet 32 may move along the gas flow path defined by the aerodynamic structure 28 and, after absorbing a certain amount of moisture, leave the gas humidification space of the liquid container 1 via the gas outlet 31, to a user's respiratory tract through a duct for example connected with the gas outlet 31. As used herein, the term "connect," "connected," "connected to" or "connected with" means "combined, joined, linked or assembled together," and includes direct connection where no intermediate (e.g. a gasket or washer) exists and indirect connection where an intermediate exists between two elements to be connected. In addition, when different components are connected, these components may form an integral, one-piece structure such as by integration in which different components act as different parts of the integral structure, or these components may be distinct and separate components connected together. Unless otherwise specified, means for Joining distinct and separate components together includes interlocking, engagement, fastening, mortise and tenon joint, or any other connection means known and understood in the mechanical arts. Accordingly, depending on the preferences in use and during manufacture, the first storage chamber 10 and the second storage chamber 20 can form an integral structure, or they can be separate and distinct structures assembled together. Similarly, the second storage chamber 20 and the cover 30 can form an integral structure, or they can be separate and distinct structures assembled together. Figure 2 illustrates a cross-sectional view of a liquid storage device according to one embodiment of this invention. The liquid storage device 2 mainly comprises a first storage chamber 10, a second storage chamber 20 and a cover 30. As an outer chamber, the first storage chamber 10 defines a receiving space 13 therein, and as an inner chamber, the second storage chamber 20 is disposed within the receiving space 13, wherein the inner chamber defines inwardly a supplemental liquid storage space 27. In this embodiment, the second storage chamber 20 primarily consists of a top wall 21, a bottom wall 22 and a side wall 23 connected therebetween, preferably in an airtight manner. For example, the bottom wall 22 and side wall 23 of the second storage chamber 20 may form a unitary and integral structure, and the top wall 21 of the second storage chamber 20 may be removably covered on the side wall 23, such that the space defined collectively by the top wall 21, the bottom wall 22 and the side wall 23 is airtight with respect to and not in gas communication with the exterior except through the opening 24, as described below. To form gas communication and liquid communication between the first storage chamber 10 and the second storage chamber 20, the second storage chamber 20 is provided with at least one opening 24 for example on the bottom wall 22, such that gas can enter the second storage chamber 20 and liquid can leave the supplemental liquid storage space 27 of the second storage chamber 20. As illustrated in Figure 2, the position of the opening 24 is above the bottom 11 of the first storage chamber 10, so liquid from the second storage chamber 20 will be driven naturally to flow downwardly into the first storage chamber 10. In this exemplary embodiment, thee is only one opening 24 termed on the bottom wall 22 of the second storage chamber 20, so gas and liquid respectively enter and leave the space defined within the second storage chamber 20 via the same opening 24. In order to serve the dual purpose of gas entry and liquid exit, parameters of the opening 24 such as aperture size, shape and the so on can be designed without undue experimentation, such as in view of the cohesion force within liquid in the second storage chamber 20, hydraulic pressure, air pressure and the like. A circular opening may be used, for example, which has an aperture diameter ranging from 0.5 mm to 5 mm, but not limited thereto. In order to further explain the concepts and principles behind this invention, the operational states of various embodiments with the presence of liquid are described with the accompanying drawings. However, there is no intention to be bound by any expressed or implied theory presented in the preceding technical field, background, brief summary or the following detailed description. Figure 3 illustrates a cross-sectional view of an exemplary liquid storage device for gas humidification in an operational state. When in use, the second storage chamber 20 of the liquid storage device 2 can first be filled with liquid. The filling process may involve separating the top wall of the second storage chamber 20 from the side wall, filling the liquid into the supplemental liquid storage space 27, and then joining or connecting the top wall and the side wall in an airtight manner. Alternatively, a switchable liquid injection port may be formed on any desirable portion of the second storage chamber 20 for liquid injection, and after being filled with the liquid, the second storage chamber 20 may then be assembled between the cover 30 and the first storage chamber 10. Further alternatively, the second storage chamber 20 may be made as a disposable component, and the supplemental liquid storage space 27 is filled with the liquid In advance during production and then sealed airtight, such that the second storage chamber 20 can be mounted by uses without the additional liquid loading process. For example, the second storage chamber 20 may be placed into the receiving space of the first storage chamber 10, allowing liquid to flow into the first storage chamber 10 through the opening on the second storage chamber 20. Depending on the user's need, a predetermined amount of liquid may be loaded into the first storage chamber 10 before setting the second storage chamber 20. In this embodiment, two openings 24a and 24b are formed on the bottom of the second storage chamber 20. A liquid flow pipe 26 is formed extending from the openings 24a downwardly, and the terminal orifice 26a of the liquid flow pipe 26 is above the bottom 11 of the first storage chamber 10. In addition, a gas flow pipe 25 is formed extending from the openings 24b downwardly. Two openings are used in this embodiment for allowing liquid to move from the second storage chamber 20 into the first storage chamber 10 and allowing gas to move from the first storage chamber 10 into the second storage chamber 20. However, the liquid or gas is not limited to entering or exiting trough a specific opening. In word words, when two or more openings are formed, each opening may serve for gas and/or liquid passage. In view of several factors including aperture size, opening shape, cohesion force within liquid, hydraulic pressure, air pressure and the like, different openings may be designed either with more tendency toward allowing gas to enter the second storage chamber 20 or with more tendency toward allowing liquid to leave the second storage chamber 20, and the size or shape of different openings may be the same or different. In this embodiment, for example, the openings 24a and 24b may individually have an aperture diameter from 0.5 mm to 5 mm, but not limited thereto. As shown in Figure 3, the two openings are both extended downwardly to form the gas flow pipe 25 and the liquid flow pipe 26 respectively, and the length, the pipe diameter, and other parameters of the two pipes may be the same or different. For example, the length of the liquid flow pipe 26 may be greater than that of the gas flow pipe 25. In a situation where a plurality of pipes of differellt lengths are formed, the longer pipe sustains a greater pressure at the bottom end, so liquid has a greater tendency to flow from the longer pipe. In other words, a longer pipe, such as the liquid flow pipe 26 in this embodiment, is more suitable tor discharging liquid from the second storage chamber 20. However, as stated above, the liquid flow pipe 26 is not limited to serve as a passage for liquid discharge only but may also be useful for gas entry in some circumstances, and, similarly, the gas flow pipe 25 is not limited to serve as a passage for gas entry only but may also be useful for liquid discharge in some circumstances, both depending on various environmental parameters in operational states and the structural design of the second storage chamber 20. In this embodiment, for example, the length of the gas flow pipe 25 extending downwardly may be less than 10 mm, and the liquid flow pipe 26 may be 5 mm longer than the gas flow pipe 25, such as less man 15 mm, but not limited thereto. In an operational state, gas primarily enters the second storage chamber 20 through the gas flow pipe 25, and liquid primarily enters the first storage chamber 10 from the supplemental liquid storage space 27 through the liquid flow pipe 26, such that the liquid level in the first storage chamber 10 gradually raises and that the liquid level in the supplemental liquid storage space 27 gradually lowers at the same time. When the liquid level in the first storage chamber 10 raises to the position of the orifice at the bottom of the gas flow pipe 25, before which the terminal orifice 26a of the liquid flow pipe 26 has been submerged by the liquid, the gas flow pipe 25 is sealed by the liquid, and gas is prevented from entering the second storage chamber 20 via the gas flow pipe 25 and causing pressure change, thus achieving a substantially balanced or steady state of the system within the liquid storage device 2. Accordingly, in an embodiment where a plurality of openings are employed, the position or height of the opening(s) primarily for gas entry can determine the liquid level in the thirst storage chamber 10 under the steady state, during which the space within the first storage chamber 10 is divided into two parks - the space below the opening terming a storage space for liquid to be heated (i.e. the space occupied by the liquid, as indicated by the numeral 14 in Figure 3 ), and the space above the opening forming a gas humidification space (i.e. the space occupied by the gas, as indicated by the numeral 15 in Figure 3 ). During operation of a humidifier such as a heater or an atomiser, as shown in Figure 4, liquid in the storage space for liquid to be heated 14 will be evaporated or atomized and then be absorbed by the gas in the gas humidification space 15, which then leaves the liquid, storage device 2 from the gas outlet 31. Thus, during operation, the liquid level in the first storage chamber 10 will gradually lower, and when the gas flow pipe 25 is no longer sealed by the liquid, gas may re-enter the second storage chamber 20 via the gas flow pipe 25 and cause air pressure change in the second storage chamber 20 (i.e. air pressure increases due to the increasing gas amount), such that liquid may be driven to flow downwardly and leave the supplemental liquid storage space 27 via the liquid flow pipe 26, achieving the purpose of automatic supplement of liquid to the storage space tor liquid to be heated 14. Subsequently, the liquid level gradually raises again in the storage space for liquid to be heated 14, and the gas flow pipe 25 is sealed by the raising liquid level to block the gas entry into the second storage chamber 20 therefrom, thereby reaching the steady state mentioned above as illustrated in Figure 3. Therefore, when in use, the design of this embodiment can maintain a substantially constant amount of liquid in the first storage chamber 10. While various stages during operation are described according to their temporal sequence, however, it is understood that, when in actual use, different stages may happen one after the other or almost at the same time. Therefore, during operation, the liquid storage device 2 is substantially maintained at a dynamic equilibrium or steady state until all the liquid in the supplemental Liquid storage space 27 is used up. Figure 5 illustrates a cross-sectional view of a liquid storage device 2 according to another embodiment of this invention, in which the opening primarily for gas passage is penetrated by the gas flow pipe 25. The operational principle behind this embodiment is substantially the same as other embodiments. When the bottom opening of the gas flow pipe 25 is not sealed for example by liquid, gas enters the second storage chamber 20 via the gas flow pipe 25, and liquid in the supplemental liquid storage space 27 flows into the first storage chamber 10 through the liquid flow pipe 26. When the liquid level in the first storage chamber 10 raises to a predetermined degree, such as sealing the bottom opening of the gas flow pipe 25, gas is prevented from entering the second storage chamber 20 and inducing air pressure increase therein, and liquid flow is inhibited from the supplemental liquid storage space 27 into the first storage chamber 10. In addition, when the liquid level in the first storage chamber 10 lowers during gas humidification, gas can be allowed to enter the second storage chamber 20 via the gas flow pipe 25 again, and liquid is similarly allowed to flow from the supplemental liquid storage space 27 into the first storage chamber 10 again. The present embodiment is characterized in that the gas flow pipe 25 penetrates into the second storage chamber 20 and that the top opening thereof is above the liquid level in the second storage chamber 20, such that the gas flow pipe 25 contains substantially no liquid therein. Thus, when entering the second storage chamber 20 via the gas flow pipe 25, gas will not contact with liquid and will not form bubbles as shown in Figure 4, thereby reducing the voice or noise from the operating liquid storage device 2 caused by bursting bubbles, and making this embodiment particularly suitable for a situation which requires less noise, such as when a user is sleeping. As mentioned above, in this invention, different components or structures may be formed as one piece or individually and separately formed and then assembled together. For example, suitable molds may be used to make any part of the liquid container or the liquid storage device, such as using extrusion molding, injection molding or other molding processes known to a person skilled in the art. Furthermore, the liquid container or the liquid storage device of this invention may be made of various materials, such different plastic materials, or materials commonly used for medical grade products. The above detailed description is merely illustrative in nature and is not intended to limit the embodiments of the subject matter or the application and uses of such embodiments. As used herein, the term "exemplary" means "serving as an example, instance, or illustration." Any implementation described herein as exemplary is not necessarily to be construed as preferred or advantageous over other implementations.
11. A liquid storage device (2), comprising: an outer chamber (10) defining a receiving space (13) therein; and an inner chamber (20) received in the receiving space (13), the inner chamber (20) defining a supplemental liquid storage space (27) therein and being provided with at least one opening (24, 24a, 24b), wherein a portion of the receiving space (13) not occupied by the inner chamber (20) is divided in respect to the opening (24, 24a, 24b) into a storage space for liquid to be heated (14), which is below the opening (24, 24a, 24b), and a gas humidification space (15), which is above the opening (24, 24a, 24b).
12. The liquid storage device (2) of claim 11, wherein the opening (24, 24a, 24b) is a gas flow opening (24, 24a, 24b), and the inner chamber (20) is further provided with a liquid flow opening (24, 24a, 24b). 13. The liquid storage device (2) of claim 12, wherein the gas flow opening (24, 24a, 24b) and the liquid flow opening (24, 24a, 24b) are individually surrounded by a pipe (25, 26). 14. The liquid storage device (2) of claim 11, further comprising: a cover (30) connected with the outer chamber (10); a gas inlet (32) allowing introduction of gas into the gas humidification space (15); and a gas outlet (31) through which the gas can escape from the gas humidification space (15) after being humidified.
2866311
Method and device for controlling a carrier-envelope phase and/or an intensity of output pulses of a pulse laser device
1
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Preferred embodiments of the invention are described in the following with particular reference to the configuration of the pump laser diodes and the control thereof. Further features of the pulse laser device, like the design of the resonator cavity or features of the control loops, are not described in detail if they are known as such from prior art (see e. g. resonator cavity in [3], and control loops in [10], [11]). According to the illustrated embodiments, the invention can be implemented with multiple configurations, including e. g. one or two modulated laser diodes and/or one or more stable laser diode. Figures 1, 2 and 3 illustrate basic embodiments of the invention, wherein one single modulated laser diode or multiple, e. g. two modulated laser diodes are provided in combination with one stable laser diode or multiple, e. g. three stable laser diodes, resp.. Figures 4 to 8 illustrate preferred modifications of these embodiments, wherein the modulated laser diodes are controlled using first and/or second control loops, resp.. According to Figure 1, the pulse laser device 100 comprises a thin disk module including a thin disk laser medium 10, like an Yb-YAG disk crystal with a thickness of 220 µm and a diameter of 10 mm. The Yb-YAG disk crystal has pronounced absorption maxima at 940 nm and at 969 nm and a further absorption band at 915 nm. The thin disk module is arranged in a resonator cavity (not shown). The thin disk laser medium 10 is pumped with cw pump laser diodes, which comprise one single modulated laser diode 21 and one single stable laser diode 23, each being electrically connected with an associated current source. The modulated laser diode 21 (e. g. type: M1F2S22-968,5.[0,6]-12C-SS2.1-VBG, manufacturer: DILAS GmbH) has a cw pump light output at 969 nm. It is connected with a low power current source 31 with modulation capability (current source type e. g. LDX-32420, manufacturer: Newport), which can provide maximal electrical power of 80 W. The stable laser diode 23 (e. g. type: 500 W, coupled into the fiber with NA = 0.22 and 1 mm diameter, manufacturer: Laserline GmbH) with a cw pump light output at 940 nm is driven with a stabilized high power current source 33 (current source type e. g. LDX-36040-30, manufacturer: Newport), which can provide a maximal electrical power of 1200 W. According to the invention, the used in the practical application output power of the modulated laser diode 21 (mean output power e. g. 8 W) is smaller than the whole output power of the stabilized laser diode 23 (output power e. g. 220 W). The outputs of both laser diodes are fiber-coupled with output fibers 21.1 and 23.1 to collimating optics 21.2 and 23.2, resp.. The collimating optics 21.2 and 23.2 relay collimated pump light to the beam combiner 41 where the laser diode outputs are combined. The beam combiner 41 comprises a wavelength dependent combiner including at least one dichroic mirror. The combined pump light is directed to the laser medium 10 in the resonator cavity (not shown), where laser output pulses are created e. g. with the following parameters: power: 40 W, pulse duration 250 fs, repetition rate: 38 MHz and centre wavelength: about 1030 nm. Figure 2 shows an alternative embodiment of the pulse laser device 100, wherein the thin-disk laser medium 10, like the Yb-YAG disk crystal, is pumped with one single modulated laser diode 21 and multiple, e. g. three stable laser diodes 23, 24 and 25. The modulated laser diode 21 is operated as described with reference to Figure 1. The stable laser diodes 23, 24 and 25 are connected with one common stabilized high power current source 33. All laser diode outputs are fiber-coupled with output fibers 21.1, 23.1, 24.1 and 25.1 to a fiber beam combiner 42 where the laser diode outputs of the modulated laser diode 21 and the stable laser diodes 23, 24 and 25 are combined and subsequently directed to the laser medium 10 in the resonator cavity (not shown). The fiber beam combiner 42 comprises a monolithic all-fiber beam combiner (known from the prior art), which superimposes the outputs from the pump laser diodes by a direct connection of the output fibers 21.1, 23.1, 24.1 and 25.1, resp.. According to Figure 3, the pulse laser device 100 is configured similar to the above embodiments of Figures 1 and 2. Deviating from Figure 1, the laser medium 10, like the Yb-YAG disk crystal, is pumped with two modulated laser diodes 21, 22 and one stable laser diode 23. The modulated laser diodes 21, 22 provide the output pump light at different wavelengths, which are selected in dependency on the absorption maxima of the Yb-YAG disk crystal, e.g. at 915 nm and 969 nm. The modulated laser diodes 21, 22 and the stable laser diode 23 are connected with separate low power current sources 31, 32 with modulation capability and a stabilized high power current source 33, resp.. All laser diode outputs are fiber-coupled with output fibers 21.1, 22.1 and 23.1 to collimating optics 21.2, 22.2 and 23.2, resp.. The collimating optics 21.2 and 22.2 relay collimated pump light to a first beam combiner 41 where the laser diode outputs of the modulated laser diodes 21, 22 are combined in a first step. Again, the first beam combiner 41 comprises a wavelength dependent combiner including at least one dichroic mirror. The combined beam is combined with the laser diode output of the stable laser diode 23 at a second beam combiner 43 and subsequently directed to the laser medium 10 in the resonator cavity (not shown). For controlling an intensity noise and/or a carrier-envelope phase of laser output pulses of the pulse laser device 100, the output power of the modulated laser diode 21 (or: diodes 21 and 22) is modulated by controlling the drive current thereof, while the stable laser diode 23 has a constant output power. Depending on the application, the modulation of the modulated laser diode 21, 22 can follow a predetermined time scheme, or it is stabilized using at least one control loop as shown in Figures 4 to 8. The embodiments of Figures 4 to 8 are configured with one or multiple modulated laser diodes 21, 22 like the embodiments of Figures 1 and 2, resp.. Collimating optics 44 are used for directing the combined pump light to the laser medium 10 ( Figures 4 to 6 ). Furthermore, deviating from the remaining illustrations, Figures 4 to 6 show the pump laser diodes 21, 22 and 23 without separate current sources. With these embodiments, the current sources and diodes are provided as integrated units. The pulse laser device 100 of Figure 4 comprises one modulated laser diode 21 and one stable laser diode 23, both being operated at different wavelengths and being fibre coupled and combined with the beam combiner 41. The combined output is relayed via the collimating optics 44 to the thin-disk laser medium 10. According to Figure 4, the pulse laser device 100 further includes a first control loop 50 (CEP loop) for a CEP feedback control of the modulated laser diode 21. The first control loop 50 includes a spectral broadening and compression unit 51, an F _CEO detection unit 52, an RF reference unit 53 and phase locking electronics 54. The spectral broadening and compression unit 51 comprises a 35 µm mode field diameter photonic crystal fiber (PCF, LMA35) and a combination of chirped compression mirrors. Output pulses of the pulse laser 100 are coupled as an input to the PCF. It is possible to couple the whole power available from the resonator cavity, or a part thereof, e. g. with a coupling efficiency of 85 %. The chirped compression mirrors with GDD = - 500 fs ^2 and without compensation of higher-order dispersion are arranged for e. g. 8 reflections, leading to a pulse duration below 30 fs. The spectrally broadened and compressed pulses are sent to the F _CEO detection unit 52, which is arranged the carrier envelope offset (CEO) frequency of the pulse, which is a direct measure for the CEP of the output pulses of the pulse laser device 100. The F _CEO detection unit 52 comprises an f-to-2f-interferometer, e. g. as described in [6]. The octave spanning spectrum is generated with a PCF (SC-3.7-975, manufacturer: NKT photonics) with 3.7 µm core diameter by launching about 300 mW and 30 fs pulses. With alternative embodiments of the invention, a 2f-to-3f-interferometer or a monolithic DFG setup, as described in [7], can be used instead of the f-to-2f-interferometer. The RF reference unit 53 comprises a stabilized radiofrequency source (e. g. 10.5 MHz). The phase locking electronics 54 include a phase detector detecting a frequency difference between the reference signal from the RF reference unit 53 and the output of the F _CEO detection unit 52 and controlling the drive current of the modulated laser diode 21. In practical tests, the CEO frequency was tuned to stay close to 10.5 MHz, and then this signal was band pass filtered, amplified and sent to a first phase detector input of the phase locking electronics 54. The reference signal from the RF reference unit 53 was fed to the second phase detector input of the phase locking electronics 54. CEO frequency sensitivity due to the variation of the drive current was found to be about 4 MHz/W at 200 W pump power. Figure 9 shows an experimental result obtained with the embodiment of Figure 4. The CEP error measured with the first control loop 50 including the f-to-2f-interferometer and a 4 bit digital phase detector shows a tight locking of the CEP and demonstrates the phase noise around 250 mrad measured in the 1 Hz to 1 MHz bandwidth. The pulse laser device 100 of Figure 5 comprises one modulated laser diode 21 and one stable laser diode 23, the beam combiner 42, the collimating optics 44 and the thin-disk laser medium 10 as shown in Figure 4. Furthermore, according to Figure 5, the pulse laser device 100 includes a second control loop 60 (intensity noise loop) for an intensity feedback control of the modulated laser diode 21. The second control loop 60 includes an oscillator noise detection unit 61, a voltage reference source 63 and a PID controller 62, which is arranged for controlling the drive current of the modulated laser diode 21. The oscillator noise detection unit 61 detects intensity fluctuations of the output pulses of the pulse laser device 100 relative to a reference voltage provided by the voltage reference source 63. The error signal from the PID controller 62 is fed to the current source of the modulated laser diode 21. Advantageously, the second control loop 60 allows a reduction of intensity noise fluctuations, which could be introduced by a residual noise of the stabilized laser diode or oscillator itself. According to Figure 6, the pulse laser device 100 includes both the first control loop 50 and additionally the second control loop 60 for oscillator intensity noise compensation. As described with reference to Figure 3, the pulse laser device 100 includes first and second modulated laser diodes 21, 22 and a stable laser diode 23. The pump laser diodes 21, 22 and 23 emit at different wavelengths λ _1, λ _2 and λ _3, which are selected in dependency on the absorption maxima of the laser medium 10. The output of the pump laser diodes 21, 22 and 23 is combined with two steps using the fiber beam combiners 41, 42. The combined output is directed with collimating optics 44 to the laser medium 10. The first control loop 50 is configured with the components 51 to 54 and controls the first modulated laser diode 21 as described with reference to Figure 3. The second control loop 60 is configured with the components 61 to 63 and controls the second modulated laser diode 22 as described with reference to Figure 4. Figures 7 and 8 show further embodiments of the invention, wherein the first control loop 50 or the second control loop is provided with the embodiment of the pulse laser device 100 as shown in Figure 2. Again, the beam combiners 42 in Figures 7 and 8 comprise monolithic all-fiber beam combiners, which superimpose the output from the pump laser diodes by a direct connection of the output fibers. As a further alternative, both of the first and second control loops 50, 60 can be provided with the fibre coupled embodiment of Figure 2, preferably if two separate modulated laser diodes are provided.
1. Method of controlling output pulses of a pulse laser device (100) including a thin-disk laser medium (10), in particular controlling a carrier-envelope phase and/or an intensity of the output pulses, including the steps of - pumping the thin-disk laser medium (10) of the pulse laser device (100) with multiple pump laser diodes (21, 22, 23), which include at least one modulated laser diode (21, 22) which is powered by a current source (31, 32) with modulation capability, and - controlling the output pulses by modulating the output power of the at least one modulated laser diode (21, 22), which is modulated by controlling a drive current thereof,: characterized in that - the pump laser diodes further include at least one stable laser diode (23), which has a constant output power, and - the output power of the at least one modulated laser diode (21, 22) is smaller than the whole output power of the at least one stable laser diode (23).
2. Method according to claim 1, wherein the output of the at least one stable laser diode (23) and the output of the at least one modulated laser diode (21, 22) are combined by - a beam combiner (41, 43) which is configured for a free space beam combination, or - a fiber beam combiner (42). 3. Method according to one of the foregoing claims, including at least one of the features - a modulation depth of the output power of the at least one modulated laser diode (21, 22) is at least 2 % a pump power absorbed by the thin-disk laser medium (10), - a modulation depth of the output power of the at least one modulated laser diode (21, 22) is at most 20 % of a pump power absorbed by the thin-disk laser medium (10), - an oscillator intensity noise of the output pulses is controlled by modulating the output power of the at least one modulated laser diode (21, 22), - the carrier-envelope phase of the output pulses is controlled by modulating the output power of the at least one modulated laser diode (21, 22), - the at least one stable laser diode (23) and the at least one modulated laser diode (21, 22) are operated at different output wavelengths selected in accordance to absorption maxima of the thin-disk laser medium (10), - the at least one stable laser diode (23) and the at least one modulated laser diode (21, 22) are operated at different polarizations, and - the at least one stable laser diode and the at least one modulated laser diode are fiber coupled and combined with a fiber beam combiner (42). 4. Method according to one of the foregoing claims, including at least one of the features - the at least one modulated laser diode (21, 22) is modulated by an analogue control of the drive current thereof, and - the at least one modulated laser diode (21, 22) is modulated with a broadband control. 5. Method according to one of the foregoing claims, wherein - the carrier-envelope phase of the output pulses is controlled using a first control loop (50), wherein the drive current of the at least one modulated laser diode (21, 22) is controlled in dependency on a detected carrier-envelope offset frequency of the output pulses and a radiofrequency reference signal. 6. Method according to one of the foregoing claims, wherein - the intensity noise of the output pulses is controlled using a second control loop (60), wherein the drive current of the of the at least one modulated laser diode (21, 22) is controlled in dependency on a detected oscillator noise. 7. Method according to claims 5 and 6, wherein - the pump laser diodes include at least two modulated laser diodes (21, 22), - the first control loop (50) is used for controlling a first one (21) of the two modulated laser diodes (21, 22), and - the second control loop (60) is used for controlling a second one (22) of the at least two modulated laser diodes (21, 22). 8. Method according to claim 7, including at least one of the features - the modulated laser diodes (21, 22) are operated at different output wavelengths selected in accordance to absorption maxima of the thin-disk laser medium (10), - the modulated laser diodes (21, 22) are operated at different polarizations, and - the modulated laser diodes are fiber coupled diodes combined with a fiber beam combiner.
2866311
Method and device for controlling a carrier-envelope phase and/or an intensity of output pulses of a pulse laser device
2
Based on the following detailed description of an invention, generate the patent claims. There should be 7 claims in total. The first, independent claim is given and the remaining 6 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Preferred embodiments of the invention are described in the following with particular reference to the configuration of the pump laser diodes and the control thereof. Further features of the pulse laser device, like the design of the resonator cavity or features of the control loops, are not described in detail if they are known as such from prior art (see e. g. resonator cavity in [3], and control loops in [10], [11]). According to the illustrated embodiments, the invention can be implemented with multiple configurations, including e. g. one or two modulated laser diodes and/or one or more stable laser diode. Figures 1, 2 and 3 illustrate basic embodiments of the invention, wherein one single modulated laser diode or multiple, e. g. two modulated laser diodes are provided in combination with one stable laser diode or multiple, e. g. three stable laser diodes, resp.. Figures 4 to 8 illustrate preferred modifications of these embodiments, wherein the modulated laser diodes are controlled using first and/or second control loops, resp.. According to Figure 1, the pulse laser device 100 comprises a thin disk module including a thin disk laser medium 10, like an Yb-YAG disk crystal with a thickness of 220 µm and a diameter of 10 mm. The Yb-YAG disk crystal has pronounced absorption maxima at 940 nm and at 969 nm and a further absorption band at 915 nm. The thin disk module is arranged in a resonator cavity (not shown). The thin disk laser medium 10 is pumped with cw pump laser diodes, which comprise one single modulated laser diode 21 and one single stable laser diode 23, each being electrically connected with an associated current source. The modulated laser diode 21 (e. g. type: M1F2S22-968,5.[0,6]-12C-SS2.1-VBG, manufacturer: DILAS GmbH) has a cw pump light output at 969 nm. It is connected with a low power current source 31 with modulation capability (current source type e. g. LDX-32420, manufacturer: Newport), which can provide maximal electrical power of 80 W. The stable laser diode 23 (e. g. type: 500 W, coupled into the fiber with NA = 0.22 and 1 mm diameter, manufacturer: Laserline GmbH) with a cw pump light output at 940 nm is driven with a stabilized high power current source 33 (current source type e. g. LDX-36040-30, manufacturer: Newport), which can provide a maximal electrical power of 1200 W. According to the invention, the used in the practical application output power of the modulated laser diode 21 (mean output power e. g. 8 W) is smaller than the whole output power of the stabilized laser diode 23 (output power e. g. 220 W). The outputs of both laser diodes are fiber-coupled with output fibers 21.1 and 23.1 to collimating optics 21.2 and 23.2, resp.. The collimating optics 21.2 and 23.2 relay collimated pump light to the beam combiner 41 where the laser diode outputs are combined. The beam combiner 41 comprises a wavelength dependent combiner including at least one dichroic mirror. The combined pump light is directed to the laser medium 10 in the resonator cavity (not shown), where laser output pulses are created e. g. with the following parameters: power: 40 W, pulse duration 250 fs, repetition rate: 38 MHz and centre wavelength: about 1030 nm. Figure 2 shows an alternative embodiment of the pulse laser device 100, wherein the thin-disk laser medium 10, like the Yb-YAG disk crystal, is pumped with one single modulated laser diode 21 and multiple, e. g. three stable laser diodes 23, 24 and 25. The modulated laser diode 21 is operated as described with reference to Figure 1. The stable laser diodes 23, 24 and 25 are connected with one common stabilized high power current source 33. All laser diode outputs are fiber-coupled with output fibers 21.1, 23.1, 24.1 and 25.1 to a fiber beam combiner 42 where the laser diode outputs of the modulated laser diode 21 and the stable laser diodes 23, 24 and 25 are combined and subsequently directed to the laser medium 10 in the resonator cavity (not shown). The fiber beam combiner 42 comprises a monolithic all-fiber beam combiner (known from the prior art), which superimposes the outputs from the pump laser diodes by a direct connection of the output fibers 21.1, 23.1, 24.1 and 25.1, resp.. According to Figure 3, the pulse laser device 100 is configured similar to the above embodiments of Figures 1 and 2. Deviating from Figure 1, the laser medium 10, like the Yb-YAG disk crystal, is pumped with two modulated laser diodes 21, 22 and one stable laser diode 23. The modulated laser diodes 21, 22 provide the output pump light at different wavelengths, which are selected in dependency on the absorption maxima of the Yb-YAG disk crystal, e.g. at 915 nm and 969 nm. The modulated laser diodes 21, 22 and the stable laser diode 23 are connected with separate low power current sources 31, 32 with modulation capability and a stabilized high power current source 33, resp.. All laser diode outputs are fiber-coupled with output fibers 21.1, 22.1 and 23.1 to collimating optics 21.2, 22.2 and 23.2, resp.. The collimating optics 21.2 and 22.2 relay collimated pump light to a first beam combiner 41 where the laser diode outputs of the modulated laser diodes 21, 22 are combined in a first step. Again, the first beam combiner 41 comprises a wavelength dependent combiner including at least one dichroic mirror. The combined beam is combined with the laser diode output of the stable laser diode 23 at a second beam combiner 43 and subsequently directed to the laser medium 10 in the resonator cavity (not shown). For controlling an intensity noise and/or a carrier-envelope phase of laser output pulses of the pulse laser device 100, the output power of the modulated laser diode 21 (or: diodes 21 and 22) is modulated by controlling the drive current thereof, while the stable laser diode 23 has a constant output power. Depending on the application, the modulation of the modulated laser diode 21, 22 can follow a predetermined time scheme, or it is stabilized using at least one control loop as shown in Figures 4 to 8. The embodiments of Figures 4 to 8 are configured with one or multiple modulated laser diodes 21, 22 like the embodiments of Figures 1 and 2, resp.. Collimating optics 44 are used for directing the combined pump light to the laser medium 10 ( Figures 4 to 6 ). Furthermore, deviating from the remaining illustrations, Figures 4 to 6 show the pump laser diodes 21, 22 and 23 without separate current sources. With these embodiments, the current sources and diodes are provided as integrated units. The pulse laser device 100 of Figure 4 comprises one modulated laser diode 21 and one stable laser diode 23, both being operated at different wavelengths and being fibre coupled and combined with the beam combiner 41. The combined output is relayed via the collimating optics 44 to the thin-disk laser medium 10. According to Figure 4, the pulse laser device 100 further includes a first control loop 50 (CEP loop) for a CEP feedback control of the modulated laser diode 21. The first control loop 50 includes a spectral broadening and compression unit 51, an F _CEO detection unit 52, an RF reference unit 53 and phase locking electronics 54. The spectral broadening and compression unit 51 comprises a 35 µm mode field diameter photonic crystal fiber (PCF, LMA35) and a combination of chirped compression mirrors. Output pulses of the pulse laser 100 are coupled as an input to the PCF. It is possible to couple the whole power available from the resonator cavity, or a part thereof, e. g. with a coupling efficiency of 85 %. The chirped compression mirrors with GDD = - 500 fs ^2 and without compensation of higher-order dispersion are arranged for e. g. 8 reflections, leading to a pulse duration below 30 fs. The spectrally broadened and compressed pulses are sent to the F _CEO detection unit 52, which is arranged the carrier envelope offset (CEO) frequency of the pulse, which is a direct measure for the CEP of the output pulses of the pulse laser device 100. The F _CEO detection unit 52 comprises an f-to-2f-interferometer, e. g. as described in [6]. The octave spanning spectrum is generated with a PCF (SC-3.7-975, manufacturer: NKT photonics) with 3.7 µm core diameter by launching about 300 mW and 30 fs pulses. With alternative embodiments of the invention, a 2f-to-3f-interferometer or a monolithic DFG setup, as described in [7], can be used instead of the f-to-2f-interferometer. The RF reference unit 53 comprises a stabilized radiofrequency source (e. g. 10.5 MHz). The phase locking electronics 54 include a phase detector detecting a frequency difference between the reference signal from the RF reference unit 53 and the output of the F _CEO detection unit 52 and controlling the drive current of the modulated laser diode 21. In practical tests, the CEO frequency was tuned to stay close to 10.5 MHz, and then this signal was band pass filtered, amplified and sent to a first phase detector input of the phase locking electronics 54. The reference signal from the RF reference unit 53 was fed to the second phase detector input of the phase locking electronics 54. CEO frequency sensitivity due to the variation of the drive current was found to be about 4 MHz/W at 200 W pump power. Figure 9 shows an experimental result obtained with the embodiment of Figure 4. The CEP error measured with the first control loop 50 including the f-to-2f-interferometer and a 4 bit digital phase detector shows a tight locking of the CEP and demonstrates the phase noise around 250 mrad measured in the 1 Hz to 1 MHz bandwidth. The pulse laser device 100 of Figure 5 comprises one modulated laser diode 21 and one stable laser diode 23, the beam combiner 42, the collimating optics 44 and the thin-disk laser medium 10 as shown in Figure 4. Furthermore, according to Figure 5, the pulse laser device 100 includes a second control loop 60 (intensity noise loop) for an intensity feedback control of the modulated laser diode 21. The second control loop 60 includes an oscillator noise detection unit 61, a voltage reference source 63 and a PID controller 62, which is arranged for controlling the drive current of the modulated laser diode 21. The oscillator noise detection unit 61 detects intensity fluctuations of the output pulses of the pulse laser device 100 relative to a reference voltage provided by the voltage reference source 63. The error signal from the PID controller 62 is fed to the current source of the modulated laser diode 21. Advantageously, the second control loop 60 allows a reduction of intensity noise fluctuations, which could be introduced by a residual noise of the stabilized laser diode or oscillator itself. According to Figure 6, the pulse laser device 100 includes both the first control loop 50 and additionally the second control loop 60 for oscillator intensity noise compensation. As described with reference to Figure 3, the pulse laser device 100 includes first and second modulated laser diodes 21, 22 and a stable laser diode 23. The pump laser diodes 21, 22 and 23 emit at different wavelengths λ _1, λ _2 and λ _3, which are selected in dependency on the absorption maxima of the laser medium 10. The output of the pump laser diodes 21, 22 and 23 is combined with two steps using the fiber beam combiners 41, 42. The combined output is directed with collimating optics 44 to the laser medium 10. The first control loop 50 is configured with the components 51 to 54 and controls the first modulated laser diode 21 as described with reference to Figure 3. The second control loop 60 is configured with the components 61 to 63 and controls the second modulated laser diode 22 as described with reference to Figure 4. Figures 7 and 8 show further embodiments of the invention, wherein the first control loop 50 or the second control loop is provided with the embodiment of the pulse laser device 100 as shown in Figure 2. Again, the beam combiners 42 in Figures 7 and 8 comprise monolithic all-fiber beam combiners, which superimpose the output from the pump laser diodes by a direct connection of the output fibers. As a further alternative, both of the first and second control loops 50, 60 can be provided with the fibre coupled embodiment of Figure 2, preferably if two separate modulated laser diodes are provided.
9. Pulse laser device (100), being adapted for creating output pulses, in particular having a controlled carrier-envelope phase and/or intensity noise, comprising - a thin-disk laser medium (10), and - multiple pump laser diodes (21, 22, 23) being arranged for pumping the thin-disk laser medium (10) and including at least one modulated laser diode (21, 22), which is connected with a current source (31, 32) with modulation capability, wherein - the current source (31, 32) with modulation capability is arranged for modulating a drive current of the respective modulated laser diode (21, 22), so that the output pulses can be controlled by modulating the output power of the at least one modulated laser diode (21, 22),: characterized in that - the pump laser diodes further comprise at least one stable laser diode (23), which is connected with a stabilized current source (33), and - the at least one modulated laser diode (21, 22) and the related current source (31, 32) with modulation capability are configured such that the output power of the at least one modulated laser diode (21, 22) is smaller than the whole output power of the at least one stable laser diode (23).
10. Pulse laser device according to claim 9, including - a beam combiner (41, 43) which is configured for a free space beam combination of the output of the at least one stable laser diode and the output of the at least one modulated laser diode, or - a fiber beam combiner (42) which is configured for an integrated fiber combination of the output of the at least one stable laser diode and the output of the at least one modulated laser diode. 11. Pulse laser device according to one of the claims 9 or 10, including at least one of the features - the at least one modulated laser diode (21, 22) and the related current source (31, 32) with modulation capability are configured such that a modulation depth of the output power of the modulated laser diode (21, 22) is at least 2 % of the whole output power of the at least one stable laser diode (23), and - the at least one modulated laser diode (21, 22) and the related current source (31, 32) with modulation capability are configured such that a modulation depth of the output power of the modulated laser diode (21, 22) is at most 20 % of a pump power absorbed by the thin-disk laser medium (10), and - the current source (31, 32) with modulation capability is arranged for modulating the drive current of the at least one modulated laser diode (21, 22), so that an oscillator intensity noise of the output pulses can be controlled by modulating the output power of the at least one modulated laser diode (21, 22). 12. Pulse laser device according to one of the claims 9 to 11, including at least one of the features - the current source (31, 32) with modulation capability is adapted for an analogue control of the at least one modulated laser diode (21, 22), - the current source (31, 32) with modulation capability is adapted for a broadband control of the at least one modulated laser diode (21, 22), - the at least one stable laser diode (23) and the at least one modulated laser diode (21, 22) have different output wavelengths selected in accordance to absorption maxima of the thin-disk laser medium (10), - the at least one stable laser diode (23) and the at least one modulated laser diode (21, 22) are configured for emitting laser light with different polarizations, and - the at least one stable laser diode (23) and the at least one modulated laser diode (21, 22) are fiber coupled and combined with a fiber beam combiner (42). 13. Pulse laser device according to one of the claims 9 to 12, including - a first control loop (50) for controlling the carrier-envelope phase of the output pulses, wherein the drive current of the at least one modulated laser diode (21, 22) is controlled in dependency on a detected carrier-envelope offset frequency of the output pulses and a radiofrequency reference signal. 14. Pulse laser device according to one of the claims 9 to 13, including - a second control loop (60) for controlling the intensity noise of the output pulses, wherein the drive current of the at least one modulated laser diode (21, 22) is controlled in dependency on a detected oscillator noise. 15. Pulse laser device according to claim 13 and 14, wherein - the pump laser diodes include at least two modulated laser diodes (21, 22), - the first control loop (50) is used for controlling a first one (21) of the two modulated laser diodes (21, 22), and - the second control loop (60) is used for controlling a second one (22) of the two modulated laser diodes (21, 22).
2871507
Beam steering mirror device
1
Based on the following detailed description of an invention, generate the patent claims. There should be 9 claims in total. The first, independent claim is given and the remaining 8 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In the following, functionally similar or identical elements may have the same reference numerals. Absolute values are shown below by way of example only and should not be construed as limiting the invention. Figure 1 shows in a perspective view a beam steering mirror device 10 with a fine steering mechanism according the present invention. The device 10 is built into a very compact housing consisting of a cover 36 with an opening for the mirror, an upper housing part 38 carrying the mirror mechanism with motors and sensors and a lower housing part 40 for completing the entire device housing. The upper housing part 38 further comprises mounting structures 42 for fixing the device 10 for example at a Laser-based terminal or payload. Electrical connection cables 44 for the motors and sensors of the mirror mechanism leave the housing through openings between the upper and lower parts 38 and 40, respectively. In the center of the mechanical housing of the device 10, a lightweight mirror 12 made from beryllium and as shown in Figure 2 is suspended by a set of four flex pivots (not shown in Figure 2 ). The integral mirror 12 comprises an optical part 14 and a mirror body 18 for suspending the mirror in the housing and carrying parts of the motors for moving the mirror 12. The optical part 14 is shaped like an essentially circular disk with one disk side forming the optical or reflecting surface 16 and with the opposite side 17 having a mushroom-shaped isostatic design in order to thermally de-couple the optical part 14 from the mirror 18, as it is shown in the side view of the mirror 12 on the left side of Figure 2. In the side view of the mirror 12, also the two rotation axes 22 and 24 are shown, which are arranged essentially perpendicular with respect to each other and located in a common plane. On the right side in Figure 2, the mirror 12 is shown in a perspective view with the openings 20 and 21 for the biaxial suspension of the mirror 12 by means of flexible pivots. For each of the two rotation axes 22 and 24, two pivots on opposite sides of the mirror body 18 are provided. The openings 20 and 21 serve as seats for the flexible pivots. The mirror 12 itself is balanced so that its center of mass is located in the intersection point of the two rotation axes 22 and 24. The mirror body 18 forming the rotating part of the beam steering mechanism also carries four spherically shaped motor magnets 30 (shown in Figure 3 ) of four motors 28 for motion around the two rotation or tilt axes 22 and 24. Figure 3 shows the internal design of the beam steering mirror device 10 from Figure 1 in detail. As can be seen, the motor stators (coils) 32 of the four motors 28 of the mechanism are mounted in the upper housing part 38, for example in the housing's corners and are located opposite to the four spherically shaped motor magnets 30, which are carried by the mirror body 18. For each rotation axis, respective two motors 28 are provided and coupled in series so that no lateral forces can act during operation of the two motors on the mirror 12 and only a torque around the center of rotation is generated. Due to the concave spherical configuration of the motor stator coils 32, which complies with the shape of the convex motor rotor magnets 30, non-linearity effects due to air gap variations over the rotation angle of the mirror 12 can be avoided for nearly all combinations of rotation axes. A high resolution eddy current sensor 34 is arranged in line with each motor 28 at the base 46 of the beam steering mirror mechanism, which is fixed at the upper housing part 38. The base 46 also carries the motor stators 32 and partly the flexible pivots 26 of the biaxial suspension of the mirror body 18. The sensors 34 are temperature-compensated and can be operated in a differential mode (differential read-out) to avoid measurement errors due to thermal influences. The sensors 34 allow a differential angle measurement of the rotation or tilting of the mirror 12 around its rotation axes 22 and 24. The measurements can be processed by an electronic controller (not shown) of the device 10 for controlling the electrical motors supply. The steering mirror mechanism according to the present invention allows improving the performance of a beam steering mirror device with regard to steering precision and control bandwidth compared to other existing beams steering mirror concepts. #### Reference Numerals And Acronyms - 10: beam steering mirror device - 12: integral mirror, balanced, optical surface with isostatic support - 14: optical part of the mirror 12 - 16: optical surface of the optical part 14 - 17: mushroom-shaped isostatic side - 18: mirror body - 20, 21: biaxial suspension - 22: first rotation axis - 24: second rotation axis - 26: flexible pivot - 28: motor - 30: ball shaped (spherically shaped) motor rotor (magnet) - 32: ball shaped (convex shaped) motor stator (coil) - 34: high resolution eddy current sensor - 36: cover of the device housing with opening for mirror - 38: upper part of the device housing - 40: lower part of the device housing - 42: mounting structure of the device - 44: electrical connection cables for motors 28 and sensors 34 - 46: base of the beam steering mirror mechanism - FSM: Fine Steering Mechanism - KHz: kilohertz
1. A beam steering mirror device (10) comprising - a mirror (12) comprising an optical part (14) with a reflecting or optical surface (16) and a mirror body (18), wherein the optical part is essentially thermally de-coupled from the body, and - a biaxial suspension (20) of the mirror body having two rotation axes (22, 24) being arranged essentially perpendicular with respect to each other and being located in a common plane, wherein - the suspension comprises a set of four flexible pivots (26) with a pair of pivots assigned to each rotation axis, wherein - the mirror is arranged with regard to the biaxial suspension such that its center of mass is approximately located in the intersection point of the two rotation axes, further comprising - motors (28, 30, 32) for moving of the mirror body around the two rotation axes, - sensors (34) for determining the tilting angle of the mirror, and - a housing (36) for the mirror, the biaxial suspension, the motors and the sensors.
2. The device of claim 1, wherein the optical part (14) has a mushroom-shaped isostatic design on the side (17) opposite to the reflecting or optical surface (16) and is coupled with this side to the mirror body. 3. The device of claim 1 or 2, wherein the mirror body carries four motor magnets, each forming a part of one of four motors. 4. The device of claim 3, wherein the motor stators are mounted in the housing with each motor stator located opposite to the one of the four motor magnets. 5. The device of claim 4, wherein: the motor magnets are spherically convex shaped and the motor stators are concave shaped, and wherein the motor magnets are pivot-suspended and oriented with respect to the motor stators. 6. The device of any of the preceding claims, wherein respective two motors provided for motion of the mirror body around an axis are coupled in series. 7. The device of any of the preceding claims, wherein - four sensors are provided, - the four sensors are arranged in line with the motors in the housing, - the four sensors are eddy current sensors and temperature compensated, and - respective two sensors provided for determining the tilting angle of the mirror around an axis are operated in a differential mode. 8. The device of any of the preceding claims, wherein the mirror is made from beryllium or similar material such as AlBeMet. 9. A Laser-based terminal or payload comprising a beam steering mirror device of any of the preceding claims.
2871455
Pressure sensor
1
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The term "pressure sensor" as used herein designates any type of sensor measuring a parameter that is equal to or derived from the pressure of a fluid. In particular, the term designates relative (i.e. differential) as well as absolute pressure sensors, it also covers static as well as dynamic pressure sensors. Typical examples of applications of such sensors are e.g. in scientific instrumentation, meteorology, altitude measurement, sound recording, mobile or portable computers and phones etc. Figure 1 shows a schematic sectional view of a pressure sensor in accordance with an embodiment of the present invention. The pressure sensor includes a first substrate 1 and a cap 4 for the first substrate 1. The first substrate 1 is a semiconductor substrate, e.g. a silicon substrate, with a front side 11 and a back side 12. The semiconductor substrate 1 includes bulk material 13 such as silicon, and a stack of layers collectively referred to as 14 on the bulk material 13. These layers 14 may be arranged for CMOS processing of the substrate 1, and as such may also be denoted as CMOS layers or material layers. Specifically, the layers 14 can include for example a plurality of SiO2 layers, metal or polysilicon layers. The bulk material 13 may contain doped regions (not shown) within the silicon. These components can form active circuitry, such as amplifiers, A/D converters or other analog and/or digital signal processing units. The top layer of the stack of layers 14 may be a dielectric layer of silicon oxide and/or silicon nitride protecting the structures below it. In the present example, it is assumed that a processing circuit (not further shown) is integrated on the front side 11 of the substrate 1 by means of CMOS processing. The substrate 1 contains vias 15 reaching vertically through the substrate 1. Those vias 15 provide for an electrical connection from the front side 11 of the substrate 1 to its backside 12. Those vias 15 are manufactured by etching or drilling holes into the substrate 1 from its backside 12, by applying an oxide 151 to the hole, and by applying conducting material 152 to the oxide 151. At the back side 12 of the substrate 1, the vias 15 are electrically connected to contact pads 16 residing on an oxide layer 17 applied to the bulk material 13, which contact pads 16 serve as support for solder balls 18 for electrically connecting the pressure sensor to the outside world. Alternative to the vias 15 and the solder balls 18, there may be other ways of interconnecting the pressure sensor to the outside world, e.g. by means of wire bonds, bond pads or a conducting structures that lead from the front side 11 of the first substrate 1 along its sides to the backside 12. The electrical connection to the outside world may also be implemented via one or more of a Land Grid Array, a Pin Grid Array, or a leadframe. The cap 4 contains a container 41 and a holder 42 for the container 41. Suspension elements not shown in the present illustration are provided for suspending the container 41 from the holder 42. The holder 42 preferably encircles the container 41 in a plane of the cap 4. Parts of the container 41 and the holder 42 are made from a second substrate 2. The second substrate 2 is a semiconductor substrate, preferably a silicon substrate, and has a front side 21 and a backside 22. The second substrate 2 again contains a bulk material 23 of silicon and a stack of layers 24 on the bulk material 23. Specifically, the stack of layers 24 may include oxide layers 241 and 242, and a polysilicon layer 243. The container 41 is separated from the holder 42 by grooves 43 that alternate with the suspension elements around the container 41. Owed to the manufacturing of the container 41 and the holder 42 from the common second substrate 2, both components include bulk material 23 from the second substrate 2 as well as the layer stack 24. In the container 41, a cavity 411 is formed by omitting or removing material from one or more of the layers 24. The cavity 411 is closed by a deformable membrane 412. The membrane 412 is sufficiently thin such that it deforms depending on a pressure drop between a pressure at the top of the membrane 412 and below it. The polysilicon layer 243 in the container 41 may be used as an electrode. The membrane 412 preferably is formed by a doped, conducting silicon layer, is arranged as a sealing lid over the cavity 411, and may be used as another electrode for which reason the deformable membrane 412 may contain electrically conducting material. Hence upon a change in pressure the membrane 412 deflects and as such a distance between the two electrodes changes which results in a change of the capacitance between the two electrodes. Corresponding signals may be transmitted from the electrodes to the holder 42 via the conducting one of the layers 24 that pass through the suspension elements. In the present example, the deformable membrane 412 is built from a third substrate 3. The third substrate 3 as shown in Figure 1 may be the remainder of an SOI substrate, specifically its device layer after some manufacturing steps. The remainder of the third substrate 3 outside the membrane 412, i.e. the portion that is attached to the layer stack 24 of the holder 42 may contain contact windows 421 there through. At other locations, there may be isolation trenches 422 manufactured in the third substrate 3 for avoiding a short circuit of the membrane 412 with the contact windows 421. The assembly containing the second and the third substrate 2,3 is attached to the front side 11 of the first substrate 1. The attachment may include bonding or other fusion techniques. In the present example, spacer elements 5 are provided between the third substrate 3 and the first substrate 1. The spacer elements 5 may have different functions: On the one hand, the spacer elements 5 provide for the gap 6 between the deformable membrane 412 and the first substrate 1 which is required for supplying the pressure medium to the membrane 412. On the other hand, some of the spacer elements 5 but not necessarily all may be electrically conductive for connecting the contact windows 421 to contact pads on the front side of the first substrate 1. Other or the same spacer elements 5 may provide mechanical stability for the stacking of substrates 1,3, and / or may provide mechanical protection to the inside of the pressure sensor, and specifically to the membrane 412. For this purpose, it may be preferred, that a spacer element 51 is arranged in from of a ring at the edges of the substrates 1,3 providing mechanical stability, protection as well as an electrical connection, while spacer elements 52 are rather pillar-like and provide electrical connections. The signals provided by the two electrodes in the container 41 are supplied via suspension elements to the holder 42, via the contact windows 421 and one or more of the spacer elements 5 to the processing circuit of the first wafer 1. From the processing circuit, electrical signals may be supplied via the vias 15 to the solder balls 18. At the backside 22 of the second substrate 2 the thickness of the bulk material 23 is partially reduced in the region of the container 41. The recess 44 to the backside 22 of the second substrate 2 is preferably etched with the aid of a previously applied hard mask 7. The hard mask 7 in turn is covered by a protection membrane 8 which protects the grooves 43 and the deformable membrane 412 from fluid or particles. The protection membrane 8 preferably is permeable to the pressure medium. A port for conducting the medium to the deformable membrane 412 in the present example encompasses the recess 44, the grooves 43, and the gap 6, or at least parts of. The overall height of the pressure sensor in the present example is about 400 µm. Figure 2 illustrates another example of a pressure sensor in a top view in diagram a) and in a side cut in diagram b). The side cut in diagram 2b) is more schematic than the side cut of Figure 1 and for illustration purposes solely shows the first substrate 1 with solder balls 18 attached, the cap 4 attached to the first substrate 1, the cap 4 containing the container 41 and the holder 42, the recess 44 in the cap 4, and the protection membrane 8 covering the recess 43 in the cap 4. Diagram 2a) illustrates the corresponding top view without the protection membrane 8, and as such illustrates the suspension of the container 41 from the holder 42. Basically, all that can be seen from the top is the second substrate 2 structured for building the container 41 and the holder 42. For this purpose, grooves 43 are arranged vertically through the second substrate 2. The grooves 43 have a shape as shown in Figure 2a ) and as such build suspension elements 45 between the grooves 43 that hold the container 41. The suspension elements 45 are mechanical links between the container 41 and the holder 42, and may allow for a slight displacement of the container 41 in the plane of the cap 4, and especially in the x and y direction. Figure 3 shows in its diagrams a) to l) schematic cross-sections of a pressure sensor according an embodiment of the present invention during manufacturing thereby illustrating the individual processing steps. In Figure 3a ) a second substrate 2 is provided with a front side 21 and a back side 22 including a bulk material 23 and layers 24 stacked on the bulk material 23, which layers 24 are only schematically illustrated and may contain oxide layers 241, 242, e.g. SiO2, and a polysilicon layer 243. A cavity 411 is etched into the layers 24, and trenches 25 are etched through the layers 24 into the bulk material 23, e.g. by deep reactive ion etching. The trenches 25 and the cavity 411 may be etched in the same etching step. In a next step illustrated in Figure 3b ) a third substrate 3 in form of an SOI substrate is attached to the layers 24 of the second substrate 2 at its front side 21 e.g. by fusion bonding. The SOI substrate contains bulk material 31, an insulation layer 32 in form of a BOX layer, and a silicon layer 33 as device layer. As a result, the cavity 411 and the trenches 25 are closed. In a further step illustrated in Figure 3c ), the bulk material 31 and the insulation layer 32 of the SOI substrate are removed such that the silicon layer 33 remains covering the cavity 411, which silicon layer 33 is thin enough to deflect in response to pressure applied. In the step illustrated in Figure 3d ), contact windows 421 are etched through the third substrate 3 into the layers 24 of the second substrate 2. In Figure 3e ), the contact windows 421 are metalized and electrically conducting spacer elements 5 are applied to the third substrate 3. In the step illustrated in Figure 3f ), the silicon layer 33 representing the third substrate 3 is etched for opening the trenches 25 in the second substrate 2, and for generating trenches 422 for electrical isolation. Now, the container 41 and the holder 42 for the container 41 are prepared. The entire assembly including the second and third substrate 2,3 now is flipped and attached to a first substrate 1, see Figure 3g ). The first substrate 1 itself is prefabricated in that a processing circuit (not shown) is integrated in layers 14 stacked on a bulk material 13 at a front side 11 of the first substrate 1. In a next step as illustrated in Figure 3h ), the second substrate 2 may be thinned from its back side 22 to a reduced thickness in the range of e.g. 100 to 200 microns. This process can be performed using grinding, etching or milling. Afterwards, a hard mask 7 is applied to the backside 22 of the second substrate 2. In the step illustrated in Figure 3i ), the first substrate 1 is processed: Vias 15 are manufactured through the first substrate 1, and solder balls 18 are attached to the backside 12 of the first substrate 1. In the step illustrated in Figure 3j ), the entire assembly is placed on a BGA (Ball Grid Array) protective foil 9. In the step illustrated in Figure 3k ), the backside 22 of the second substrate 2 is etched by using the hard mask 7. The recess 44 etched therein is deep enough to lay open the trenches 25 previously formed in the second substrate 2. In the step illustrated in Figure 3l ), a protective membrane 8 is applied to cover the recess 44. Generally, instead of a protective membrane a hard layer may be applied containing an access opening contributing to the port. However, there may be alternative pressure sensors where neither a membrane nor any other protection means is required subject to the application and the design of the pressure sensor. It should further be noted that in any removal of material during manufacturing, the corresponding structures may be created using a chemical (wet) etching process, plasma etching process, laser cutting, mechanical milling or a combination of any of these processes, where suitable.
1. A pressure sensor, comprising: a first substrate (1) containing a processing circuit integrated thereon,: a cap (4) attached to the first substrate (1) wherein the cap (4) includes a container (41), a holder (42), and one or more suspension elements (45) for suspending the container (41) from the holder (42), the container (41) including a cavity (411) and a deformable membrane (412) separating the cavity (411) and a port open to an outside of the pressure sensor, the container (41) being suspended from the holder (42) such that the deformable membrane (412) faces the first substrate (1) and such that a gap (6) is provided between the deformable membrane (412) and the first substrate (1) which gap (6) contributes to the port, and: sensing means for converting a response of the deformable membrane (412) to pressure at the port into a signal capable of being processed by the processing circuit.
2. The sensor of claim 1,: wherein the cap (4) has a plane extension and wherein the holder (42) encircles the container (41) in the plane of the cap (4),: wherein between the holder (42) and the container (41) the one or more suspension elements (45) and one or more grooves (43) alternate. 3. The sensor of claim 1 or claim 2,: wherein each suspension element (45) contains a ridge between the holder (42) and the container (41), and: wherein each suspension element (45) has a shape allowing for a deviation of the container (41) in at least one direction in the plane of the cap (4). 4. The sensor of claim 2 or claim 3,: wherein a height of the container (41) is less than a height of the holder (42) orthogonal to the plane of the cap (4) thereby forming a recess (44) on a backside of the cap (4) opposite to the side of the cap (4) the deformable membrane (412) is arranged, and: in particular wherein the recess (44) is covered by a protection membrane (8). 5. The sensor of claim 2,: wherein the first substrate (1) is a semiconductor substrate,: wherein the cap (4) contains a second substrate (2) and each groove (43) is arranged orthogonal to the plane of the cap (4) in the second substrate (2) for separating the container (41) from the holder (42). 6. The sensor of claim 5,: wherein the second substrate (2) contains a bulk material (23) and layers (24) stacked on the bulk material (23),: wherein the cavity (411) is a recess exclusively arranged in one or more of the layers (24) of the second substrate (2),: wherein the deformable membrane (412) is made from a third substrate (3) attached to the layers (24) of the second substrate (2), and: wherein the one or more grooves (43) extend into the third substrate (3) for separating the deformable membrane (412) from a portion of the third substrate (3) contributing to the holder (42). 7. The sensor of claim 6,: wherein the sensing means contains a first electrode formed by the deformable membrane (412) and a second electrode formed by one of the layers (24) of the second substrate (2), and: in particular wherein the second electrode is made of polysilicon. 8. The sensor of claim 7,: : comprising at least two electrical connections between the cap (4) and the first substrate (1),: wherein the first electrode is electrically connected to the processing circuit via at least one of the suspension elements (45) and at least one of the electrical connections,: wherein the second electrode is electrically connected to the processing circuit via at least another one of the suspension elements (45) and at least another one of the electrical connections, and: in particular wherein spacer elements (5) are arranged between the first substrate (1) and the third or the second substrate (3,2) for building the gap (6), and wherein the electrical connections are provided by at least some of the spacer elements (5).
2871455
Pressure sensor
2
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The term "pressure sensor" as used herein designates any type of sensor measuring a parameter that is equal to or derived from the pressure of a fluid. In particular, the term designates relative (i.e. differential) as well as absolute pressure sensors, it also covers static as well as dynamic pressure sensors. Typical examples of applications of such sensors are e.g. in scientific instrumentation, meteorology, altitude measurement, sound recording, mobile or portable computers and phones etc. Figure 1 shows a schematic sectional view of a pressure sensor in accordance with an embodiment of the present invention. The pressure sensor includes a first substrate 1 and a cap 4 for the first substrate 1. The first substrate 1 is a semiconductor substrate, e.g. a silicon substrate, with a front side 11 and a back side 12. The semiconductor substrate 1 includes bulk material 13 such as silicon, and a stack of layers collectively referred to as 14 on the bulk material 13. These layers 14 may be arranged for CMOS processing of the substrate 1, and as such may also be denoted as CMOS layers or material layers. Specifically, the layers 14 can include for example a plurality of SiO2 layers, metal or polysilicon layers. The bulk material 13 may contain doped regions (not shown) within the silicon. These components can form active circuitry, such as amplifiers, A/D converters or other analog and/or digital signal processing units. The top layer of the stack of layers 14 may be a dielectric layer of silicon oxide and/or silicon nitride protecting the structures below it. In the present example, it is assumed that a processing circuit (not further shown) is integrated on the front side 11 of the substrate 1 by means of CMOS processing. The substrate 1 contains vias 15 reaching vertically through the substrate 1. Those vias 15 provide for an electrical connection from the front side 11 of the substrate 1 to its backside 12. Those vias 15 are manufactured by etching or drilling holes into the substrate 1 from its backside 12, by applying an oxide 151 to the hole, and by applying conducting material 152 to the oxide 151. At the back side 12 of the substrate 1, the vias 15 are electrically connected to contact pads 16 residing on an oxide layer 17 applied to the bulk material 13, which contact pads 16 serve as support for solder balls 18 for electrically connecting the pressure sensor to the outside world. Alternative to the vias 15 and the solder balls 18, there may be other ways of interconnecting the pressure sensor to the outside world, e.g. by means of wire bonds, bond pads or a conducting structures that lead from the front side 11 of the first substrate 1 along its sides to the backside 12. The electrical connection to the outside world may also be implemented via one or more of a Land Grid Array, a Pin Grid Array, or a leadframe. The cap 4 contains a container 41 and a holder 42 for the container 41. Suspension elements not shown in the present illustration are provided for suspending the container 41 from the holder 42. The holder 42 preferably encircles the container 41 in a plane of the cap 4. Parts of the container 41 and the holder 42 are made from a second substrate 2. The second substrate 2 is a semiconductor substrate, preferably a silicon substrate, and has a front side 21 and a backside 22. The second substrate 2 again contains a bulk material 23 of silicon and a stack of layers 24 on the bulk material 23. Specifically, the stack of layers 24 may include oxide layers 241 and 242, and a polysilicon layer 243. The container 41 is separated from the holder 42 by grooves 43 that alternate with the suspension elements around the container 41. Owed to the manufacturing of the container 41 and the holder 42 from the common second substrate 2, both components include bulk material 23 from the second substrate 2 as well as the layer stack 24. In the container 41, a cavity 411 is formed by omitting or removing material from one or more of the layers 24. The cavity 411 is closed by a deformable membrane 412. The membrane 412 is sufficiently thin such that it deforms depending on a pressure drop between a pressure at the top of the membrane 412 and below it. The polysilicon layer 243 in the container 41 may be used as an electrode. The membrane 412 preferably is formed by a doped, conducting silicon layer, is arranged as a sealing lid over the cavity 411, and may be used as another electrode for which reason the deformable membrane 412 may contain electrically conducting material. Hence upon a change in pressure the membrane 412 deflects and as such a distance between the two electrodes changes which results in a change of the capacitance between the two electrodes. Corresponding signals may be transmitted from the electrodes to the holder 42 via the conducting one of the layers 24 that pass through the suspension elements. In the present example, the deformable membrane 412 is built from a third substrate 3. The third substrate 3 as shown in Figure 1 may be the remainder of an SOI substrate, specifically its device layer after some manufacturing steps. The remainder of the third substrate 3 outside the membrane 412, i.e. the portion that is attached to the layer stack 24 of the holder 42 may contain contact windows 421 there through. At other locations, there may be isolation trenches 422 manufactured in the third substrate 3 for avoiding a short circuit of the membrane 412 with the contact windows 421. The assembly containing the second and the third substrate 2,3 is attached to the front side 11 of the first substrate 1. The attachment may include bonding or other fusion techniques. In the present example, spacer elements 5 are provided between the third substrate 3 and the first substrate 1. The spacer elements 5 may have different functions: On the one hand, the spacer elements 5 provide for the gap 6 between the deformable membrane 412 and the first substrate 1 which is required for supplying the pressure medium to the membrane 412. On the other hand, some of the spacer elements 5 but not necessarily all may be electrically conductive for connecting the contact windows 421 to contact pads on the front side of the first substrate 1. Other or the same spacer elements 5 may provide mechanical stability for the stacking of substrates 1,3, and / or may provide mechanical protection to the inside of the pressure sensor, and specifically to the membrane 412. For this purpose, it may be preferred, that a spacer element 51 is arranged in from of a ring at the edges of the substrates 1,3 providing mechanical stability, protection as well as an electrical connection, while spacer elements 52 are rather pillar-like and provide electrical connections. The signals provided by the two electrodes in the container 41 are supplied via suspension elements to the holder 42, via the contact windows 421 and one or more of the spacer elements 5 to the processing circuit of the first wafer 1. From the processing circuit, electrical signals may be supplied via the vias 15 to the solder balls 18. At the backside 22 of the second substrate 2 the thickness of the bulk material 23 is partially reduced in the region of the container 41. The recess 44 to the backside 22 of the second substrate 2 is preferably etched with the aid of a previously applied hard mask 7. The hard mask 7 in turn is covered by a protection membrane 8 which protects the grooves 43 and the deformable membrane 412 from fluid or particles. The protection membrane 8 preferably is permeable to the pressure medium. A port for conducting the medium to the deformable membrane 412 in the present example encompasses the recess 44, the grooves 43, and the gap 6, or at least parts of. The overall height of the pressure sensor in the present example is about 400 µm. Figure 2 illustrates another example of a pressure sensor in a top view in diagram a) and in a side cut in diagram b). The side cut in diagram 2b) is more schematic than the side cut of Figure 1 and for illustration purposes solely shows the first substrate 1 with solder balls 18 attached, the cap 4 attached to the first substrate 1, the cap 4 containing the container 41 and the holder 42, the recess 44 in the cap 4, and the protection membrane 8 covering the recess 43 in the cap 4. Diagram 2a) illustrates the corresponding top view without the protection membrane 8, and as such illustrates the suspension of the container 41 from the holder 42. Basically, all that can be seen from the top is the second substrate 2 structured for building the container 41 and the holder 42. For this purpose, grooves 43 are arranged vertically through the second substrate 2. The grooves 43 have a shape as shown in Figure 2a ) and as such build suspension elements 45 between the grooves 43 that hold the container 41. The suspension elements 45 are mechanical links between the container 41 and the holder 42, and may allow for a slight displacement of the container 41 in the plane of the cap 4, and especially in the x and y direction. Figure 3 shows in its diagrams a) to l) schematic cross-sections of a pressure sensor according an embodiment of the present invention during manufacturing thereby illustrating the individual processing steps. In Figure 3a ) a second substrate 2 is provided with a front side 21 and a back side 22 including a bulk material 23 and layers 24 stacked on the bulk material 23, which layers 24 are only schematically illustrated and may contain oxide layers 241, 242, e.g. SiO2, and a polysilicon layer 243. A cavity 411 is etched into the layers 24, and trenches 25 are etched through the layers 24 into the bulk material 23, e.g. by deep reactive ion etching. The trenches 25 and the cavity 411 may be etched in the same etching step. In a next step illustrated in Figure 3b ) a third substrate 3 in form of an SOI substrate is attached to the layers 24 of the second substrate 2 at its front side 21 e.g. by fusion bonding. The SOI substrate contains bulk material 31, an insulation layer 32 in form of a BOX layer, and a silicon layer 33 as device layer. As a result, the cavity 411 and the trenches 25 are closed. In a further step illustrated in Figure 3c ), the bulk material 31 and the insulation layer 32 of the SOI substrate are removed such that the silicon layer 33 remains covering the cavity 411, which silicon layer 33 is thin enough to deflect in response to pressure applied. In the step illustrated in Figure 3d ), contact windows 421 are etched through the third substrate 3 into the layers 24 of the second substrate 2. In Figure 3e ), the contact windows 421 are metalized and electrically conducting spacer elements 5 are applied to the third substrate 3. In the step illustrated in Figure 3f ), the silicon layer 33 representing the third substrate 3 is etched for opening the trenches 25 in the second substrate 2, and for generating trenches 422 for electrical isolation. Now, the container 41 and the holder 42 for the container 41 are prepared. The entire assembly including the second and third substrate 2,3 now is flipped and attached to a first substrate 1, see Figure 3g ). The first substrate 1 itself is prefabricated in that a processing circuit (not shown) is integrated in layers 14 stacked on a bulk material 13 at a front side 11 of the first substrate 1. In a next step as illustrated in Figure 3h ), the second substrate 2 may be thinned from its back side 22 to a reduced thickness in the range of e.g. 100 to 200 microns. This process can be performed using grinding, etching or milling. Afterwards, a hard mask 7 is applied to the backside 22 of the second substrate 2. In the step illustrated in Figure 3i ), the first substrate 1 is processed: Vias 15 are manufactured through the first substrate 1, and solder balls 18 are attached to the backside 12 of the first substrate 1. In the step illustrated in Figure 3j ), the entire assembly is placed on a BGA (Ball Grid Array) protective foil 9. In the step illustrated in Figure 3k ), the backside 22 of the second substrate 2 is etched by using the hard mask 7. The recess 44 etched therein is deep enough to lay open the trenches 25 previously formed in the second substrate 2. In the step illustrated in Figure 3l ), a protective membrane 8 is applied to cover the recess 44. Generally, instead of a protective membrane a hard layer may be applied containing an access opening contributing to the port. However, there may be alternative pressure sensors where neither a membrane nor any other protection means is required subject to the application and the design of the pressure sensor. It should further be noted that in any removal of material during manufacturing, the corresponding structures may be created using a chemical (wet) etching process, plasma etching process, laser cutting, mechanical milling or a combination of any of these processes, where suitable.
9. A method for manufacturing a pressure sensor comprising the steps of: providing a first substrate (1) with a processing circuit integrated thereon,: providing a second substrate (2),: providing a third substrate (3),: manufacturing a cavity (411)) in the second substrate (2) and one or more trenches (25) around a first portion of the second substrate (2) containing the cavity (411),: mounting said third substrate (3) to said second substrate (2) thereby covering the cavity (411) in the second substrate (2) to form a deformable membrane (412) for sensing a pressure applied to the deformable membrane (412), and: mounting the assembly of the second substrate (2) and the third substrate (3) to the first substrate (1) with the deformable membrane (412) facing the first substrate (1) and providing a gap (6) between the deformable membrane (412) and the first substrate (1).
10. The method of claim 9,: wherein manufacturing the cavity (411) in the second substrate (2) includes manufacturing the cavity (411) in one or more layers (24) stacked on a bulk material (23) of the second substrate (2), and: wherein manufacturing the one or more trenches (25) in the second substrate (2) includes manufacturing the one or more trenches (25) through the layers (24) stacked on the bulk material (23) and through at least a portion of the bulk material (23), and: in particular wherein the one or more trenches (25) are manufactured through the entire bulk material (23), and: in particular wherein the one or more trenches (25) are manufactured by etching. 11. The method of claim 9 or claim 10, wherein mounting said third substrate (3) to said second substrate (2) to form the deformable membrane (412) includes attaching a silicon-on-insulator substrate to a top layer of the second substrate (2), removing a bulk material (31) and an insulating layer (32) of the silicon-on-insulator substrate thereby leaving a silicon layer (33) as deformable membrane (412) spanning the cavity (411) in the second substrate (2). 12. The method of claim 11,: wherein mounting said third substrate (3) to said second substrate (2) includes in a portion of the third substrate (3) outside the deformable membrane - etching through the third substrate (3) for manufacturing one or more contact windows (421) in the second substrate (2), - metalizing the one or more contact windows (421), and - laying open the one or more trenches (25) in the second substrate (2) by opening the third substrate (3) at the location of the trenches (25). 13. The method of any one of the preceding claims 9 to 12,: wherein a recess (44) is manufactured in a backside (22) of the second substrate (2) opposite the side the deformable membrane (412) is attached to, which recess (44) is manufactured of a sufficient depth for laying open the one or more trenches (25) previously manufactured into a portion of the bulk material (23) thereby forming one or more grooves (43) through the second substrate (2). 14. The method of claim 13,: wherein after mounting the assembly of the second substrate (2) and the third substrate (3) to the first substrate (1) and prior to manufacturing the recess (44) in the backside (22) of the second substrate (2) the second substrate (2) is thinned and a hard mask (7) is applied to the backside (22) of the second substrate (2) omitting an area for manufacturing the recess (44), and: wherein after having manufactured the recess (44) a protection membrane (8) is applied to the hard mask (7) for covering the recess (44). 15. The method of claim 14,: wherein the gap (6) between the first substrate (1) and the assembly of the second and third substrate (2,3) is manufactured by applying spacer elements (5) between the first substrate (1) and the assembly. 16. The method of any one of the preceding claims 9 to 15,: wherein electrically conducting vias (15) are built through the first substrate (1) for electrically connecting the processing circuit to electrical contact structures on a backside (12) of the first substrate (1) opposite the side facing the deformable membrane (412), and: in particular wherein the vias (15) are built after having mounted the assembly of the second substrate (2) and the third substrate (3) to the first substrate (1) and prior to manufacturing the recess (44) in the backside (22) of the second substrate (2).
2871456
Pressure sensor
1
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The term "pressure sensor" as used herein designates any type of sensor measuring a parameter that is equal to or derived from the pressure of a fluid. In particular, the term designates relative (i.e. differential) as well as absolute pressure sensors, it also covers static as well as dynamic pressure sensors. Typical examples of applications of such sensors are e.g. in scientific instrumentation, meteorology, altitude measurement, sound recording, mobile or portable computers and phones etc. Figure 1a ) shows a schematic sectional view of a pressure sensor in accordance with an embodiment of the present invention. The pressure sensor as shown is flipped with its solder balls 18 showing upwards while the pressure sensor will be mounted to a carrier with its solder balls sitting on the carrier. The pressure sensor includes a first substrate 1 and a cap 4 for the first substrate 1. The cap 4 preferably is made from a second substrate 2 and a third substrate 3. The second substrate 2 preferably is a semiconductor substrate, preferably a silicon substrate, and has a front side 21 and a backside 22. The second substrate 2 contains a bulk material 23 of, e.g. silicon and a stack of layers 24 on the bulk material 23. These layers 24 may be arranged for CMOS processing of the second substrate 2, and as such may also be denoted as CMOS layers or material layers. Specifically, the layers 24 can include for example a plurality of SiO2 layers, metal or polysilicon layers. The bulk material 23 may contain doped regions within the silicon such as indicated by the reference sign 241. These components can form active circuitry, such as amplifiers, A/D converters or other analog and/or digital signal processing units. A top layer 246 of the stack of layers 24 may be a dielectric layer of silicon oxide and/or silicon nitride protecting the structures below it. In the present example, it is assumed that a processing circuit collectively referred to as 241 is integrated on the front side 21 of the second substrate 2 by means of CMOS processing. In the cap 4, a cavity 41 is formed by omitting or removing material from one or more of the layers 24, presently the top layer 246. The cavity 41 is closed by a deformable membrane 42. The membrane 42 is sufficiently thin such that it deforms depending on a pressure drop between a pressure at the top of the membrane 42 and below it. A metal layer 243 may be used as an electrode, and as such may be arranged at the bottom of the cavity 41. The membrane 42 preferably is formed by a doped, conducting silicon layer, is arranged as a sealing lid over the cavity 41, and may be used as another electrode for which reason the deformable membrane 42 may contain electrically conducting material. Hence upon a change in pressure the membrane 42 deflects and as such a distance between the two electrodes changes which results in a change of the capacitance between the two electrodes. In the present example, the deformable membrane 42 is built from a third substrate 3. The third substrate 3 as shown in Figure 1 may be the remainder of an SOI substrate, specifically its device layer after some manufacturing steps. The third substrate 3 not only may contribute to the deformable membrane 42. The third substrate 3 may contain contact windows 244 reaching through which may also reach into one or more of the layers 24. Corresponding signals may be transmitted from the electrodes, i.e. the deformable membrane 42 and the metal layer 243 via electrical paths 242 to the processing circuit 241 where these signals are processed. Signals processed by the processing circuit 241 may be supplied to the first substrate 1. The first substrate 1 may be a semiconductor substrate, e.g. a silicon substrate, or a glass substrate, for example, with a front side 11 and a back side 12. The semiconductor substrate 1 includes bulk material 13 such as silicon, and one or more layers 14, such as an oxide layer on the bulk material 13. The one or more layers 14 may further include for example a plurality of SiO2 layers, metal or polysilicon layers. The first substrate 1 contains vias 15 reaching vertically through the first substrate 1. Those vias 15 provide for an electrical connection from the front side 11 of the substrate 1 to its backside 12. Those vias 15 are manufactured by etching or drilling holes into the first substrate 1 from its backside 12, by applying an oxide 151 to the hole, and by applying conducting material 152 to the oxide 151. At the back side 12 of the first substrate 1, the vias 15 are electrically connected to contact pads 16 residing on an oxide layer 17 applied to the bulk material 13, which contact pads 16 serve as support for solder balls 18 or other contact means for electrically connecting the pressure sensor to the outside world, i.e. to another device. Alternative to the vias 15 and the solder balls 18, there may be other ways of interconnecting the pressure sensor to the outside world, e.g. by means of wire bonds, bond pads or conducting structures that lead from the front side 11 of the first substrate 1 along its sides to the backside 12. The electrical connection to the outside world may also be implemented via one or more of a Land Grid Array, a Pin Grid Array, or a leadframe. The assembly containing the second and the third substrate 2,3 is attached to the front side 11 of the first substrate 1. The attachment may include bonding or other fusion techniques. In the present example, spacer elements 5 are provided between the third substrate 3 and the first substrate 1. The spacer elements 5 may have different functions: On the one hand, the spacer elements 5 provide for a gap 6 between the deformable membrane 42 and the first substrate 1 which is required for supplying the pressure medium to the membrane 42. On the other hand, some of the spacer elements 5, but not necessarily all may be electrically conductive for connecting the contact windows 244 to the first substrate 1. Other or the same spacer elements 5 may provide mechanical stability for the stacking of substrates 1,3, and / or may provide mechanical protection to the inside of the pressure sensor, and specifically to the membrane 42. For this purpose, it may be preferred, that a spacer element 51 is arranged in from of a ring at the edges of the substrates 1,3 providing mechanical stability, protection as well as an electrical connection, while spacer elements 52 are rather pillar-like and provide electrical connections. The signals provided by the processing circuit 241 hence may be transferred via one or more of the electrical paths 242 and via one or more of the contact windows 244 to one or more of the spacer elements 5. As shown in Figure 1, the spacer elements 52 end at the vias 15 of the first substrate 1 and are electrically connected thereto. Hence, the signals are conducted through the vias 15 to the contact pads 16 and the solder balls 18. The first substrate 1 contains a support portion 7 and a contact portion 8. Suspension elements not shown in the present illustration are provided for suspending the support portion 7 from the contact portion 8. The support portion 7 preferably encircles the contact portion 8 in a plane of the first substrate 1. The contact portion 8 is separated from the support portion 7 by one or more grooves 10. Owed to the manufacturing of the contact portion 8 and the support portion 7 from the common first substrate 1, both portions may include bulk material 13 from the first substrate 1. The cap 4 preferably is exclusively attached to the support portion 7 of the first substrate 1 via the spacer elements 5. On the other hand, it is preferred that it is solely the contact portion that provides a mechanical and electrical contact to the outside world. Hence, the portion of the pressure sensor via which mechanical stress is induced, i.e. the contact portion 8 is mechanically decoupled from the rest of the pressure sensor and specifically from the deformable membrane 42 by way of the suspension elements. A port for conducting a medium to the deformable membrane 42 in the present example encompasses the the grooves 10 and the gap 6, or at least parts of. The overall height of the pressure sensor in the present example is about 400 µm. Figure 1b ) illustrates a representative horizontal cut of a pressure sensor, e.g. according to line A-A' in Figure 1a ) not necessarily matching all elements as provided in Figure 1a ). A mechanical support 32 holds the third substrate 3. In the third substrate 3, a plurality of contact windows 244 are provided which contain electrically conducting material 2441 in their interior. The third substrate 3 also builds the deformable membrane 42. Then, the horizontal cut switches to a different plane, i.e. the plane of the electrode 243. This electrode 243 is surrounded by the cavity 41. Figure 1c ) illustrates a bottom view onto the first substrate 1 of the pressure sensor. The first substrate 1 contains a support portion 7 and a contact portion 8 wherein the support portion 7 is suspended from the contact portion 8 by means of a suspension element 9, which is a representation of a mechanical link between the two portions 7 and 8. A groove 10 is arranged vertically through the first substrate 1. Vias 15 are arranged in the support portion 7, while the solder balls 18 are arranged in the contact portion 8. The contact portion 8 is electrically connected to the support portion 7 by means of electrically conducting structures such as the contact pads 16 which electrically conducting structures may in generally be denoted as redistribution layer. Figure 2 shows in its diagrams a) to d) schematic cross-sections of a pressure sensor according an embodiment of the present invention during manufacturing thereby illustrating the individual processing steps. In Figure 2a ) a preprocessed second substrate 2 is shown with a front side 21 and a back side 22 including a bulk material 23 and layers 24 stacked on the bulk material 23, which layers 24 are only schematically illustrated and may contain oxide layers, e.g. SiO2, metal layers, and / or polysilicon layers such as layer 243 serving as electrode, and a top layer 246 serving as passivation layer. A processing circuit 241 is integrated into the second substrate 2, e.g. by doping the bulk material 23 and / or by structuring the layer stack 24. In addition, a cavity 41 is etched into the layers 24, and preferably into the top layer 246. In a next step, the deformable membrane 42 is built on the preprocessed substrate 2. For this purpose, a third substrate 3 in form of an SOI substrate is attached to the layers 24 of the second substrate 2 at its front side 21 e.g. by fusion bonding. The SOI substrate may contain bulk material, an insulation layer in form of a BOX layer, and a silicon layer as device layer. As a result, the cavity 41 is closed. In a further step not explicitly shown in the Figures, the bulk material and the insulation layer of the SOI substrate are removed such that the silicon layer remains as third substrate 3 covering the cavity 41, which silicon layer is thin enough to deflect in response to pressure applied. In a next step, contact windows 244 are etched through the third substrate 3 into the layers 24 of the second substrate 2. The contact windows 244 are metalized and spacer elements 51 and 52 are applied to the third substrate 3. In a next step illustrated in Figure 2b ), a preprocessed first substrate 1 is attached to the assembly of the second and the third substrate 2, 3. The first substrate 1 is preprocessed, for example, according to the diagrams of Figure 3. In the diagram of Figure 3a ) a first substrate 1 is provided, e.g. a semiconductor substrate such as a silicon substrate. At its top side, one or more layers 14 are arranged, such as CMOS layers, or simply an isolation layer such as a silicon-oxide layer. In an additional step shown in Figure 3b ), spacer elements 51 and 52 are arranged at the front side 11 of the first substrate 1. In the step shown in Figure 3c ), trenches 101 are etched into the bulk material 13 of the first substrate thereby penetrating the layers 14, e.g. by deep reactive ion etching. The first substrate 1 preprocessed according to Figure 3c ) then is applied to the assembly of the preprocessed second and third substrate 2, 3 according to Figure 2a ) thereby resulting in an assembly according to Figure 2b ). In a next step as illustrated in Figure 2c ), the first substrate 1 is thinned from its backside 11 to a reduced thickness in the range of e.g. 100 to 200 microns. This process can be performed using grinding, etching or milling. In the step illustrated in Figure 2d ), the first substrate 1 is continued to be processed: Vias 15 are manufactured through the first substrate 1. Preferably in a step following the manufacturing of the vias 15, the trenches 101 in the first substrate 1 are opened from the backside 12 of the first substrate 1, e.g. by way of etching such that one or more grooves 10 are now provided reaching through the first substrate 1. In a last step, solder balls 18 or other contact structures may be attached to the backside 12 of the first substrate 1. The result is shown in Figure 1. By having manufactured the one or more grooves 10, the first substrate 1 is separated into a support portion 7 to which the cap 4 is attached, and a contact portion 8 via which the pressure sensor is electrically connected to another device. It should further be noted that in any removal of material during manufacturing, the corresponding structures may be created using a chemical (wet) etching process, plasma etching process, laser cutting, mechanical milling or a combination of any of these processes, where suitable.
1. A pressure sensor, comprising: a first substrate (1),: a cap (4) attached to the first substrate (1) wherein the cap (4) includes a processing circuit (241), a cavity (41) and a deformable membrane (42) separating the cavity (41) and a port open to an outside of the pressure sensor, and: sensing means for converting a response of the deformable membrane (42) to pressure at the port into a signal capable of being processed by the processing circuit (241),: wherein the cap (4) is attached to the first substrate (1) such that the deformable membrane (42) faces the first substrate (1) and such that a gap (6) is provided between the deformable membrane (42) and the first substrate (1) which gap (6) contributes to the port,: wherein the first substrate (1) comprises a support portion (7) the cap (4) is attached to, a contact portion (8) for electrically connecting the pressure sensor to an external device, and one or more suspension elements (9) for suspending the support portion (7) from the contact portion (8).
2. The sensor of claim 1,: wherein the first substrate (1) has a plane extension and wherein the support portion (7) encircles the contact portion (8) in the plane of the first substrate (1),: wherein the support portion (7) is separated from the contact portion (8) except for the one or more suspension elements (9) by one or more grooves (10) in the first substrate (1). 3. The sensor of claim 1 or claim 2,: wherein each suspension element (9) contains a ridge between the support portion (7) and the contact portion (8), and: wherein one or more of the suspension elements (9) includes at least one electrically conducting path for electrically connecting the support portion (7) to the contact portion (8). 4. The sensor of claim 2 or claim 3,: wherein the first substrate (1) has a front side (11) facing the deformable membrane (42), a backside (12) containing electrical contacts (16) for electrically connecting the pressure sensor to the external device, and vias (15) for electrically connecting the front side (11) of the first substrate (1) to its backside (12). 5. The sensor of claim 4,: wherein the electrical contacts (16) are arranged in the contact portion (8),: wherein the vias (15) are arranged in the support portion (7), and: wherein the vias (15) are electrically connected to the contact portion (7) through one or more of the suspension elements (9). 6. The sensor of any of the preceding claims,: wherein the cap (4) contains a second substrate (2) containing a bulk material (23) and layers (24) stacked on the bulk material (23),: wherein the cavity (41) is a recess exclusively arranged in one or more of the layers (24) of the second substrate (2),: wherein the processing circuit (241) is integrated in the second substrate (2), and: wherein the deformable membrane (42) is made from a third substrate (3) attached to the layers (24) of the second substrate (2). 7. The sensor of claim 6,: wherein the sensing means contains a first electrode formed by the deformable membrane (42) and a second electrode formed by one of the layers (24) of the second substrate (2),: wherein the first electrode and the second electrode are connected to the processing circuit (241). 8. The sensor of claim 7,: comprising spacer elements (5) between the first substrate (1) and the third or the second substrate (3,2) for building the gap (6), and: wherein at least some of the spacer elements (5) are used as electrical connections between the cap (4) and the first substrate (1).
2871456
Pressure sensor
2
Based on the following detailed description of an invention, generate the patent claims. There should be 7 claims in total. The first, independent claim is given and the remaining 6 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The term "pressure sensor" as used herein designates any type of sensor measuring a parameter that is equal to or derived from the pressure of a fluid. In particular, the term designates relative (i.e. differential) as well as absolute pressure sensors, it also covers static as well as dynamic pressure sensors. Typical examples of applications of such sensors are e.g. in scientific instrumentation, meteorology, altitude measurement, sound recording, mobile or portable computers and phones etc. Figure 1a ) shows a schematic sectional view of a pressure sensor in accordance with an embodiment of the present invention. The pressure sensor as shown is flipped with its solder balls 18 showing upwards while the pressure sensor will be mounted to a carrier with its solder balls sitting on the carrier. The pressure sensor includes a first substrate 1 and a cap 4 for the first substrate 1. The cap 4 preferably is made from a second substrate 2 and a third substrate 3. The second substrate 2 preferably is a semiconductor substrate, preferably a silicon substrate, and has a front side 21 and a backside 22. The second substrate 2 contains a bulk material 23 of, e.g. silicon and a stack of layers 24 on the bulk material 23. These layers 24 may be arranged for CMOS processing of the second substrate 2, and as such may also be denoted as CMOS layers or material layers. Specifically, the layers 24 can include for example a plurality of SiO2 layers, metal or polysilicon layers. The bulk material 23 may contain doped regions within the silicon such as indicated by the reference sign 241. These components can form active circuitry, such as amplifiers, A/D converters or other analog and/or digital signal processing units. A top layer 246 of the stack of layers 24 may be a dielectric layer of silicon oxide and/or silicon nitride protecting the structures below it. In the present example, it is assumed that a processing circuit collectively referred to as 241 is integrated on the front side 21 of the second substrate 2 by means of CMOS processing. In the cap 4, a cavity 41 is formed by omitting or removing material from one or more of the layers 24, presently the top layer 246. The cavity 41 is closed by a deformable membrane 42. The membrane 42 is sufficiently thin such that it deforms depending on a pressure drop between a pressure at the top of the membrane 42 and below it. A metal layer 243 may be used as an electrode, and as such may be arranged at the bottom of the cavity 41. The membrane 42 preferably is formed by a doped, conducting silicon layer, is arranged as a sealing lid over the cavity 41, and may be used as another electrode for which reason the deformable membrane 42 may contain electrically conducting material. Hence upon a change in pressure the membrane 42 deflects and as such a distance between the two electrodes changes which results in a change of the capacitance between the two electrodes. In the present example, the deformable membrane 42 is built from a third substrate 3. The third substrate 3 as shown in Figure 1 may be the remainder of an SOI substrate, specifically its device layer after some manufacturing steps. The third substrate 3 not only may contribute to the deformable membrane 42. The third substrate 3 may contain contact windows 244 reaching through which may also reach into one or more of the layers 24. Corresponding signals may be transmitted from the electrodes, i.e. the deformable membrane 42 and the metal layer 243 via electrical paths 242 to the processing circuit 241 where these signals are processed. Signals processed by the processing circuit 241 may be supplied to the first substrate 1. The first substrate 1 may be a semiconductor substrate, e.g. a silicon substrate, or a glass substrate, for example, with a front side 11 and a back side 12. The semiconductor substrate 1 includes bulk material 13 such as silicon, and one or more layers 14, such as an oxide layer on the bulk material 13. The one or more layers 14 may further include for example a plurality of SiO2 layers, metal or polysilicon layers. The first substrate 1 contains vias 15 reaching vertically through the first substrate 1. Those vias 15 provide for an electrical connection from the front side 11 of the substrate 1 to its backside 12. Those vias 15 are manufactured by etching or drilling holes into the first substrate 1 from its backside 12, by applying an oxide 151 to the hole, and by applying conducting material 152 to the oxide 151. At the back side 12 of the first substrate 1, the vias 15 are electrically connected to contact pads 16 residing on an oxide layer 17 applied to the bulk material 13, which contact pads 16 serve as support for solder balls 18 or other contact means for electrically connecting the pressure sensor to the outside world, i.e. to another device. Alternative to the vias 15 and the solder balls 18, there may be other ways of interconnecting the pressure sensor to the outside world, e.g. by means of wire bonds, bond pads or conducting structures that lead from the front side 11 of the first substrate 1 along its sides to the backside 12. The electrical connection to the outside world may also be implemented via one or more of a Land Grid Array, a Pin Grid Array, or a leadframe. The assembly containing the second and the third substrate 2,3 is attached to the front side 11 of the first substrate 1. The attachment may include bonding or other fusion techniques. In the present example, spacer elements 5 are provided between the third substrate 3 and the first substrate 1. The spacer elements 5 may have different functions: On the one hand, the spacer elements 5 provide for a gap 6 between the deformable membrane 42 and the first substrate 1 which is required for supplying the pressure medium to the membrane 42. On the other hand, some of the spacer elements 5, but not necessarily all may be electrically conductive for connecting the contact windows 244 to the first substrate 1. Other or the same spacer elements 5 may provide mechanical stability for the stacking of substrates 1,3, and / or may provide mechanical protection to the inside of the pressure sensor, and specifically to the membrane 42. For this purpose, it may be preferred, that a spacer element 51 is arranged in from of a ring at the edges of the substrates 1,3 providing mechanical stability, protection as well as an electrical connection, while spacer elements 52 are rather pillar-like and provide electrical connections. The signals provided by the processing circuit 241 hence may be transferred via one or more of the electrical paths 242 and via one or more of the contact windows 244 to one or more of the spacer elements 5. As shown in Figure 1, the spacer elements 52 end at the vias 15 of the first substrate 1 and are electrically connected thereto. Hence, the signals are conducted through the vias 15 to the contact pads 16 and the solder balls 18. The first substrate 1 contains a support portion 7 and a contact portion 8. Suspension elements not shown in the present illustration are provided for suspending the support portion 7 from the contact portion 8. The support portion 7 preferably encircles the contact portion 8 in a plane of the first substrate 1. The contact portion 8 is separated from the support portion 7 by one or more grooves 10. Owed to the manufacturing of the contact portion 8 and the support portion 7 from the common first substrate 1, both portions may include bulk material 13 from the first substrate 1. The cap 4 preferably is exclusively attached to the support portion 7 of the first substrate 1 via the spacer elements 5. On the other hand, it is preferred that it is solely the contact portion that provides a mechanical and electrical contact to the outside world. Hence, the portion of the pressure sensor via which mechanical stress is induced, i.e. the contact portion 8 is mechanically decoupled from the rest of the pressure sensor and specifically from the deformable membrane 42 by way of the suspension elements. A port for conducting a medium to the deformable membrane 42 in the present example encompasses the the grooves 10 and the gap 6, or at least parts of. The overall height of the pressure sensor in the present example is about 400 µm. Figure 1b ) illustrates a representative horizontal cut of a pressure sensor, e.g. according to line A-A' in Figure 1a ) not necessarily matching all elements as provided in Figure 1a ). A mechanical support 32 holds the third substrate 3. In the third substrate 3, a plurality of contact windows 244 are provided which contain electrically conducting material 2441 in their interior. The third substrate 3 also builds the deformable membrane 42. Then, the horizontal cut switches to a different plane, i.e. the plane of the electrode 243. This electrode 243 is surrounded by the cavity 41. Figure 1c ) illustrates a bottom view onto the first substrate 1 of the pressure sensor. The first substrate 1 contains a support portion 7 and a contact portion 8 wherein the support portion 7 is suspended from the contact portion 8 by means of a suspension element 9, which is a representation of a mechanical link between the two portions 7 and 8. A groove 10 is arranged vertically through the first substrate 1. Vias 15 are arranged in the support portion 7, while the solder balls 18 are arranged in the contact portion 8. The contact portion 8 is electrically connected to the support portion 7 by means of electrically conducting structures such as the contact pads 16 which electrically conducting structures may in generally be denoted as redistribution layer. Figure 2 shows in its diagrams a) to d) schematic cross-sections of a pressure sensor according an embodiment of the present invention during manufacturing thereby illustrating the individual processing steps. In Figure 2a ) a preprocessed second substrate 2 is shown with a front side 21 and a back side 22 including a bulk material 23 and layers 24 stacked on the bulk material 23, which layers 24 are only schematically illustrated and may contain oxide layers, e.g. SiO2, metal layers, and / or polysilicon layers such as layer 243 serving as electrode, and a top layer 246 serving as passivation layer. A processing circuit 241 is integrated into the second substrate 2, e.g. by doping the bulk material 23 and / or by structuring the layer stack 24. In addition, a cavity 41 is etched into the layers 24, and preferably into the top layer 246. In a next step, the deformable membrane 42 is built on the preprocessed substrate 2. For this purpose, a third substrate 3 in form of an SOI substrate is attached to the layers 24 of the second substrate 2 at its front side 21 e.g. by fusion bonding. The SOI substrate may contain bulk material, an insulation layer in form of a BOX layer, and a silicon layer as device layer. As a result, the cavity 41 is closed. In a further step not explicitly shown in the Figures, the bulk material and the insulation layer of the SOI substrate are removed such that the silicon layer remains as third substrate 3 covering the cavity 41, which silicon layer is thin enough to deflect in response to pressure applied. In a next step, contact windows 244 are etched through the third substrate 3 into the layers 24 of the second substrate 2. The contact windows 244 are metalized and spacer elements 51 and 52 are applied to the third substrate 3. In a next step illustrated in Figure 2b ), a preprocessed first substrate 1 is attached to the assembly of the second and the third substrate 2, 3. The first substrate 1 is preprocessed, for example, according to the diagrams of Figure 3. In the diagram of Figure 3a ) a first substrate 1 is provided, e.g. a semiconductor substrate such as a silicon substrate. At its top side, one or more layers 14 are arranged, such as CMOS layers, or simply an isolation layer such as a silicon-oxide layer. In an additional step shown in Figure 3b ), spacer elements 51 and 52 are arranged at the front side 11 of the first substrate 1. In the step shown in Figure 3c ), trenches 101 are etched into the bulk material 13 of the first substrate thereby penetrating the layers 14, e.g. by deep reactive ion etching. The first substrate 1 preprocessed according to Figure 3c ) then is applied to the assembly of the preprocessed second and third substrate 2, 3 according to Figure 2a ) thereby resulting in an assembly according to Figure 2b ). In a next step as illustrated in Figure 2c ), the first substrate 1 is thinned from its backside 11 to a reduced thickness in the range of e.g. 100 to 200 microns. This process can be performed using grinding, etching or milling. In the step illustrated in Figure 2d ), the first substrate 1 is continued to be processed: Vias 15 are manufactured through the first substrate 1. Preferably in a step following the manufacturing of the vias 15, the trenches 101 in the first substrate 1 are opened from the backside 12 of the first substrate 1, e.g. by way of etching such that one or more grooves 10 are now provided reaching through the first substrate 1. In a last step, solder balls 18 or other contact structures may be attached to the backside 12 of the first substrate 1. The result is shown in Figure 1. By having manufactured the one or more grooves 10, the first substrate 1 is separated into a support portion 7 to which the cap 4 is attached, and a contact portion 8 via which the pressure sensor is electrically connected to another device. It should further be noted that in any removal of material during manufacturing, the corresponding structures may be created using a chemical (wet) etching process, plasma etching process, laser cutting, mechanical milling or a combination of any of these processes, where suitable.
9. A method for manufacturing a pressure sensor, comprising the steps of: providing a first substrate (1),: providing a second substrate (2),: providing a third substrate (3),: manufacturing a cavity (41)) in the second substrate (2),: mounting the third substrate (3) to the second substrate (2) thereby covering the cavity (41) in the second substrate (2) to form a deformable membrane (42) for sensing a pressure applied to the deformable membrane (42),: mounting the assembly of the second substrate (2) and the third substrate (3) to a support portion (7) of the first substrate (1) with the deformable membrane (42) facing the first substrate (1) and providing a gap (6) between the deformable membrane (42) and the first substrate (1), and: manufacturing grooves (10) into the first substrate (1) around a contact portion (8) for electrically connecting the pressure sensor to an external device, thereby making the support portion (7) suspend from the contact portion (8) by means of suspension elements (9).
10. The method of claim 9,: wherein manufacturing the cavity (41) in the second substrate (2) includes manufacturing the cavity (41) in one or more layers (24) stacked on a bulk material (23) of the second substrate (2), and: wherein mounting the third substrate (3) to the second substrate (2) to form the deformable membrane (42) includes attaching a silicon-on-insulator substrate to a top layer of the second substrate (2), removing a bulk material and an insulating layer of the silicon-on-insulator substrate thereby leaving a silicon layer as deformable membrane (42) spanning the cavity (41) in the second substrate (2). 11. The method of claim 9 or claim 10, wherein manufacturing the grooves (10) into the first substrate (1) includes manufacturing trenches (101) reaching at least partly into the first substrate (1) prior to attaching the assembly to the first substrate (1), and: in particular wherein the trenches (101) are manufactured by etching. 12. The method of claim 11,: wherein the trenches (101) that reach partially into the first substrate (1) are manufactured from its front side (11) prior to attaching the assembly to the first substrate (1), and: wherein the trenches (101) in the first substrate (1) are laid open from its backside (12) after having attached the assembly of the second substrate (2) and the third substrate (3) to the first substrate (1). 13. The method of any of the preceding claims 9 to 12,: wherein electrically conducting vias (15) are built through the first substrate (1) for electrically connecting the processing circuit (241) to electrical contacts (16) arranged on a backside (12) of the first substrate (1) opposite a front side (11) facing the deformable membrane (42), and: in particular wherein the vias (15) are built after having mounted the assembly of the second substrate (2) and the third substrate (3) to the first substrate (1). 14. The method of any one of the preceding claims 9 to 13,: wherein mounting the third substrate (3) to the second substrate (2) includes in a portion of the third substrate (3) outside the deformable membrane - etching through the third substrate (3) for manufacturing one or more contact windows (244) in the second substrate (2), and - metalizing the one or more contact windows (222). 15. The method of any one of the preceding claims 9 to 14,: wherein the gap (6) between the first substrate (1) and the assembly of the second and third substrate (2,3) is manufactured by applying spacer elements (5) between the first substrate (1) and the assembly of the second and third substrate (2,3).
2871152
Sensor device
1
Based on the following detailed description of an invention, generate the patent claims. There should be 9 claims in total. The first, independent claim is given and the remaining 8 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 a) shows a schematic sectional view of a sensor device in accordance with an embodiment of the present invention. The sensor device includes a sensitive element 1, which is integrated in a support 2. In this embodiment, the support 2 is a semiconductor substrate, e.g. a silicon substrate, and it may include additional features, such as a heater structure, a suspended membrane, an integrated processing circuit, through silicon vias and solder balls. The gas to be sensed can enter the sensitive element 1 via the access opening 4 which is located in a surface 3 of the support 2. Parts of the surface 3 are covered by a layer of adhesive material 5. A venting medium 6 extends over the entire surface 3 of the support 2 and the access opening 4 and is attached to the support 2 by the layer of adhesive material 5. Figure 1 b) shows another embodiment of a sensor device in accordance with the present invention. In this embodiment, the sensitive element 1 is located in a cavity 7 in the support 2. The cavity 7 opens out into the surface 3 and thereby defines the access opening 4. Figure 1 c) illustrates another embodiment of a sensor device in accordance with the present invention. In this embodiment, the support 2 of the sensor device contains a spacer material 8 on top of a silicon substrate 13, for example. Figure 1 d) shows another embodiment of a sensor device in accordance with the present invention. In this embodiment, the sensor device comprises a top element 9 on a part of the venting medium 6. The top element 9 may serve as protection for the venting medium. Also, it may contain labels and/or alignment marks. The top element 9 may be made from silicon, glass, polymer or any other material that serves one or several of the aforementioned purposes. Figure 2 a) illustrates another embodiment of a sensor device in accordance with the present invention. In this embodiment, the sensor device comprises a die 10 with the sensing element 1. The die 10 may include additional features, such as a heater structure, a suspended membrane, an integrated processing circuit. The die 10 is partly covered by a mold 11 and a lead frame 12 serves for outside contacting. A cavity 7 is formed by the die 10 and the mold 11. The cavity 7 opens out into the surface 3 and thereby defines the access opening 4. A venting medium 6 extends over the entire surface 3 of the support 2 and the access opening 4 and is attached to the support 2 by the layer of adhesive material 5. Figure 2 b) illustrates another embodiment of a sensor device in accordance with the present invention. In this embodiment, the sensor device comprises a silicon substrate 13 with the sensitive element 1. The silicon substrate 13 may include additional features, such as a heater structure, a suspended membrane, an integrated processing circuit, through silicon vias and solder balls. The silicon substrate 13 is partly covered by a silicon cap 14. A cavity 7 is formed by the silicon substrate 13 and the silicon cap 14. The cavity 7 opens out into the surface 3 and thereby defines the access opening 4. A venting medium 6 extends over the entire surface 3 of the support 2 and the access opening 4 and is attached to the support 2 by the layer of adhesive material 5. Figure 2c ) illustrates another embodiment of the sensor device in accordance with the present invention. The support 2 contains a substrate, and in particular a silicon substrate 13. A sensitive element 1 is arranged on a suspended membrane portion of the silicon substrate 13 which suspended membrane, for example, is prepared by etching substrate material from a backside of the silicon substrate 13. Hence, a cavity 7 is generated which opens out to the backside of the silicon substrate 13. As a result, the support 2 provides an access opening 4 at its backside. For this reason, the relevant surface 3 of the support 2 is at its backside such that the venting medium 6 is attached to the surface 3 at the backside of the support 2 by means of the layer of adhesive material 5. Figure 3 illustrates in its diagrams a) to d) steps of manufacturing a sensor device in accordance with an example of the invention. In Figure 3 a) a sensor support assembly 22 containing an array of sensitive elements 1 for manufacturing a plurality of sensor devices is provided. In this embodiment, the sensor support assembly 22 comprises a plurality of dies 10 which are partly covered by a mold 11. The dies 10 may include additional features, such as a heater structure, a suspended membrane, an integrated processing circuit. A lead frame 12 serves for outside contacting. The sensor support assembly 22 has a surface 3 with access openings 4 to the sensitive elements 1. In Figure 3 b) a layer of adhesive material 5 is applied to parts of the surface 3 of the sensor support assembly 22 which surface 3 contains the access openings 4. In Figure 3 c) a venting medium 6 is arranged over the entire surface 3 of the sensor support assembly 22 and the access openings 4. The venting medium 6 is attached to the sensor support assembly 22 by the layer of adhesive material 5. In this embodiment, the venting medium is not pre-structured and especially not pre-patterned to match the patterning of the surface of the sensor support assembly. The venting medium is a complete, unstructured venting layer that covers the plurality of access openings and the related surface. For this step it may be helpful that the venting medium is attached during the transfer to a transfer substrate. This may facilitate the handling of the venting medium and protect it against damage. Here, in this embodiment, the transfer layer is removed after the transfer. In Figure 3 d) the sensor support assembly 22 is separated 23 into individual sensor devices or groups of sensor devices. Sensor device singulation may e.g. be implemented by dicing or laser cutting or any other singulation technique. In this embodiment, a top element 9 was placed on the venting medium before sensor device singulation 23. This may serve as protection for the venting medium, especially during the singulation and to ease the singulation process itself. The top element 9 may also contain separation marks and/or alignment marks facilitating the separation process. It may also contain labels and/or identification marks which may provide information on the sensor device, e.g. a device number, or sensor device type, e.g. a product number or type. Figure 4 illustrates in its diagrams a) to d) steps of manufacturing a sensor device in accordance with another example of the invention. In Figure 4 a) a sensor support assembly 22 containing an array of sensitive elements 1 for manufacturing a plurality of sensor devices is provided. In this embodiment, the sensor support assembly 22 comprises a semiconductor substrate 13 which is partly covered by a silicon cap 14. Instead of the silicon cap 14, a mold structure may be provided, too. The sensor support assembly 22 has a surface 3 with access openings 4 to the sensitive elements 1. In Figure 3 b) a layer of adhesive material 5 is applied to parts of the surface 3 of the sensor support assembly 22 which surface 3 contains the access openings 4. In Figure 3 c) a venting medium 6 is arranged over the entire surface 3 of the sensor support assembly 22 and the access openings 4. The venting medium 6 is attached to the sensor support assembly 22 by the layer of adhesive material 5. In this embodiment, the venting medium 6 is not pre-structured and especially not pre-patterned to match the patterning of the surface of the sensor support assembly. The venting medium is a complete, unstructured venting layer that covers the plurality of access openings and the related surface. For this step it may be helpful that the venting medium is attached during to a transfer substrate. This may facilitate the handling of the venting medium and protect it against damage. The transfer substrate can remain entirely or in parts on the membrane. In Figure 3 d) the sensor support assembly 22 is separated 23 into individual sensor devices or groups of sensor devices. Sensor device singulation may e.g. be implemented by dicing or laser cutting or any other singulation technique. In this embodiment a top element 9 was placed on the venting medium before sensor device singulation 23. This may serve as protection for the venting medium, especially during the singulation. The top element 9 may also contain separation marks and/or alignment marks facilitating the separation process. It may also contain labels and/or identification marks which may provide information on the sensor device, e.g. a device number, or sensor device type, e.g. a product number or type. It should further be noted that in any removal of material during manufacturing, the corresponding structures may be created using a chemical (wet) etching process, plasma etching process, laser cutting, mechanical milling or a combination of any of these processes, where suitable.
1. A sensor device, comprising: - a sensitive element (1), - a support (2) for the sensitive element (1), the support (2) having a surface (3) with an access opening (4) to the sensitive element (1), - a layer of adhesive material (5) covering at least parts of the surface (3), - a venting medium (6) extending over the entire surface (3) of the support (2) and the access opening (4) and being attached to the support (2) by the layer of adhesive material (5).
2. The sensor device of claim 1,: wherein the sensitive element (1) is sensitive to one or more of: - pressure - gas - humidity - gas flow - differential pressure. 3. The sensor device of claim 1 or 2,: wherein the sensitive element (1) is located in a cavity (7) in the support (2),: and wherein the cavity (7) opens out into the surface (3) and thereby defines the access opening (4). 4. The sensor device of any one of the preceding claims,: wherein the support (2) comprises one or more of a substrate (13) and a carrier, and a spacer material (8) between the layer of adhesive material (5) and the substrate (13) or the carrier respectively. 5. The sensor device of any one of the preceding claims,: comprising a top element (9) on a part of the venting medium (6) and in particular wherein the top element (9) comprises one or more of: - an element for protecting the venting medium - a label - an identification mark - an alignment mark. 6. The sensor device of claim 5,: wherein the top element (9) includes one or more of: - polymer - filled polymer - mold compound - silicon - glass - metal. 7. The sensor device of any one of the preceding claims,: wherein the support (2) contains one or more of: - a die (10) - a mold (11) - a lead frame (12) - a silicon substrate (13) - a silicon cap (14) - a semiconductor substrate - a ceramic substrate - a glass substrate - a printed circuit board - a ball grid array - a land grid array - through-silicion vias - wire-bonds - T-contacts - a silicon interposer - a heater structure - a suspended membrane - an integrated processing circuit. 8. The sensor device of any one of the preceding claims,: wherein the venting medium (6) contains one or more of: - a polymer - a fluoropolymer - PTFE - an acrylic copolymer - a polyethersulfone polymer - glass fiber - porous organic material - porous inorganic material. 9. The sensor device of any one of the preceding claims,: wherein the venting medium (6) extends over the entire surface (3) and the access opening (4) and wherein another venting medium is attached to another surface of the support (2) opposite the surface (3) containing the access opening (4).
2871152
Sensor device
2
Based on the following detailed description of an invention, generate the patent claims. There should be 6 claims in total. The first, independent claim is given and the remaining 5 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 a) shows a schematic sectional view of a sensor device in accordance with an embodiment of the present invention. The sensor device includes a sensitive element 1, which is integrated in a support 2. In this embodiment, the support 2 is a semiconductor substrate, e.g. a silicon substrate, and it may include additional features, such as a heater structure, a suspended membrane, an integrated processing circuit, through silicon vias and solder balls. The gas to be sensed can enter the sensitive element 1 via the access opening 4 which is located in a surface 3 of the support 2. Parts of the surface 3 are covered by a layer of adhesive material 5. A venting medium 6 extends over the entire surface 3 of the support 2 and the access opening 4 and is attached to the support 2 by the layer of adhesive material 5. Figure 1 b) shows another embodiment of a sensor device in accordance with the present invention. In this embodiment, the sensitive element 1 is located in a cavity 7 in the support 2. The cavity 7 opens out into the surface 3 and thereby defines the access opening 4. Figure 1 c) illustrates another embodiment of a sensor device in accordance with the present invention. In this embodiment, the support 2 of the sensor device contains a spacer material 8 on top of a silicon substrate 13, for example. Figure 1 d) shows another embodiment of a sensor device in accordance with the present invention. In this embodiment, the sensor device comprises a top element 9 on a part of the venting medium 6. The top element 9 may serve as protection for the venting medium. Also, it may contain labels and/or alignment marks. The top element 9 may be made from silicon, glass, polymer or any other material that serves one or several of the aforementioned purposes. Figure 2 a) illustrates another embodiment of a sensor device in accordance with the present invention. In this embodiment, the sensor device comprises a die 10 with the sensing element 1. The die 10 may include additional features, such as a heater structure, a suspended membrane, an integrated processing circuit. The die 10 is partly covered by a mold 11 and a lead frame 12 serves for outside contacting. A cavity 7 is formed by the die 10 and the mold 11. The cavity 7 opens out into the surface 3 and thereby defines the access opening 4. A venting medium 6 extends over the entire surface 3 of the support 2 and the access opening 4 and is attached to the support 2 by the layer of adhesive material 5. Figure 2 b) illustrates another embodiment of a sensor device in accordance with the present invention. In this embodiment, the sensor device comprises a silicon substrate 13 with the sensitive element 1. The silicon substrate 13 may include additional features, such as a heater structure, a suspended membrane, an integrated processing circuit, through silicon vias and solder balls. The silicon substrate 13 is partly covered by a silicon cap 14. A cavity 7 is formed by the silicon substrate 13 and the silicon cap 14. The cavity 7 opens out into the surface 3 and thereby defines the access opening 4. A venting medium 6 extends over the entire surface 3 of the support 2 and the access opening 4 and is attached to the support 2 by the layer of adhesive material 5. Figure 2c ) illustrates another embodiment of the sensor device in accordance with the present invention. The support 2 contains a substrate, and in particular a silicon substrate 13. A sensitive element 1 is arranged on a suspended membrane portion of the silicon substrate 13 which suspended membrane, for example, is prepared by etching substrate material from a backside of the silicon substrate 13. Hence, a cavity 7 is generated which opens out to the backside of the silicon substrate 13. As a result, the support 2 provides an access opening 4 at its backside. For this reason, the relevant surface 3 of the support 2 is at its backside such that the venting medium 6 is attached to the surface 3 at the backside of the support 2 by means of the layer of adhesive material 5. Figure 3 illustrates in its diagrams a) to d) steps of manufacturing a sensor device in accordance with an example of the invention. In Figure 3 a) a sensor support assembly 22 containing an array of sensitive elements 1 for manufacturing a plurality of sensor devices is provided. In this embodiment, the sensor support assembly 22 comprises a plurality of dies 10 which are partly covered by a mold 11. The dies 10 may include additional features, such as a heater structure, a suspended membrane, an integrated processing circuit. A lead frame 12 serves for outside contacting. The sensor support assembly 22 has a surface 3 with access openings 4 to the sensitive elements 1. In Figure 3 b) a layer of adhesive material 5 is applied to parts of the surface 3 of the sensor support assembly 22 which surface 3 contains the access openings 4. In Figure 3 c) a venting medium 6 is arranged over the entire surface 3 of the sensor support assembly 22 and the access openings 4. The venting medium 6 is attached to the sensor support assembly 22 by the layer of adhesive material 5. In this embodiment, the venting medium is not pre-structured and especially not pre-patterned to match the patterning of the surface of the sensor support assembly. The venting medium is a complete, unstructured venting layer that covers the plurality of access openings and the related surface. For this step it may be helpful that the venting medium is attached during the transfer to a transfer substrate. This may facilitate the handling of the venting medium and protect it against damage. Here, in this embodiment, the transfer layer is removed after the transfer. In Figure 3 d) the sensor support assembly 22 is separated 23 into individual sensor devices or groups of sensor devices. Sensor device singulation may e.g. be implemented by dicing or laser cutting or any other singulation technique. In this embodiment, a top element 9 was placed on the venting medium before sensor device singulation 23. This may serve as protection for the venting medium, especially during the singulation and to ease the singulation process itself. The top element 9 may also contain separation marks and/or alignment marks facilitating the separation process. It may also contain labels and/or identification marks which may provide information on the sensor device, e.g. a device number, or sensor device type, e.g. a product number or type. Figure 4 illustrates in its diagrams a) to d) steps of manufacturing a sensor device in accordance with another example of the invention. In Figure 4 a) a sensor support assembly 22 containing an array of sensitive elements 1 for manufacturing a plurality of sensor devices is provided. In this embodiment, the sensor support assembly 22 comprises a semiconductor substrate 13 which is partly covered by a silicon cap 14. Instead of the silicon cap 14, a mold structure may be provided, too. The sensor support assembly 22 has a surface 3 with access openings 4 to the sensitive elements 1. In Figure 3 b) a layer of adhesive material 5 is applied to parts of the surface 3 of the sensor support assembly 22 which surface 3 contains the access openings 4. In Figure 3 c) a venting medium 6 is arranged over the entire surface 3 of the sensor support assembly 22 and the access openings 4. The venting medium 6 is attached to the sensor support assembly 22 by the layer of adhesive material 5. In this embodiment, the venting medium 6 is not pre-structured and especially not pre-patterned to match the patterning of the surface of the sensor support assembly. The venting medium is a complete, unstructured venting layer that covers the plurality of access openings and the related surface. For this step it may be helpful that the venting medium is attached during to a transfer substrate. This may facilitate the handling of the venting medium and protect it against damage. The transfer substrate can remain entirely or in parts on the membrane. In Figure 3 d) the sensor support assembly 22 is separated 23 into individual sensor devices or groups of sensor devices. Sensor device singulation may e.g. be implemented by dicing or laser cutting or any other singulation technique. In this embodiment a top element 9 was placed on the venting medium before sensor device singulation 23. This may serve as protection for the venting medium, especially during the singulation. The top element 9 may also contain separation marks and/or alignment marks facilitating the separation process. It may also contain labels and/or identification marks which may provide information on the sensor device, e.g. a device number, or sensor device type, e.g. a product number or type. It should further be noted that in any removal of material during manufacturing, the corresponding structures may be created using a chemical (wet) etching process, plasma etching process, laser cutting, mechanical milling or a combination of any of these processes, where suitable.
10. A method for manufacturing a sensor device, comprising: - providing a sensor support assembly (22) containing an array of sensitive elements (1) for manufacturing a plurality of sensor devices, the sensor support assembly (22) having a surface (3) with access openings (4) to the sensitive elements (1), - depositing a layer of adhesive material (5) on at least parts of the surface (3) of the sensor support assembly (22) which surface (3) contains the access openings (4), - arranging a venting medium (6) over the entire surface (3) of the sensor support assembly (22) and the access openings (4), wherein the venting medium (6) is attached to the sensor support assembly (22) by the layer of adhesive material (5) - separating (23) the sensor support assembly (22) into individual sensor devices or groups of sensor devices.
11. The method of claim 10,: wherein the venting medium (6) is not pre-structured. 12. The method of claim 10 or 11,: wherein prior to separating the sensor support assembly (22), top elements (9) are deposited on parts of the venting medium (6) and in particular wherein the top elements (9) include one or more of: - a protection for the venting medium (6) - a means to ease singulation - a label - an identification mark - a separation mark - an alignment mark. 13. The method of any one of claims 10 to 12,: wherein the venting medium (6) is fixed on a transfer support, which is entirely or partly removed after attachment of the venting medium (6) to the sensor support assembly (22), before or after separating the sensor support assembly (22) into individual sensor devices or groups of sensor devices. 14. The method of any one of claims 10 to 13,: wherein the sensor support assembly (22) contains one or a more of: - a die (10) - a mold (11) - a lead frame (12) - a silicon substrate (13) - a silicon cap (14) - a semiconductor substrate - a ceramic substrate - a glass substrate - a temporary carrier - a printed circuit board - a ball grid array - a land grid array - wire-bonds - through-silicion vias - T-contacts - a silicon interposer - a heater structure - a suspended membrane - an integrated processing circuit. 15. The method of any one of claims 10 to 14,: wherein the layer of adhesive material (5) is deposited on at least parts of the surface (3) of the sensor support assembly (22) by one or more of: - printing - dispensing - stamping - spin coating - lamination - a structuring process - photo-lithography.
2871315
Supporting device for doors
1
Based on the following detailed description of an invention, generate the patent claims. There should be 26 claims in total. The first, independent claim is given and the remaining 25 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In the following a solution is described with reference to the enclosed drawings to be considered as a non-exhaustive example of the present invention in which: - Figure 1 shows a schematic perspective view of a piece of furniture integrating the supporting device for sliding doors made according to the present invention in the condition in which the doors are closed. - Figure 2 shows a schematic perspective view of the piece of furniture of Figure 1 integrating the supporting device for sliding doors made according to the present invention in the condition in which one of the doors is in the opening phase. - Figure 3 shows a schematic perspective view of the piece of furniture of Figure 2 integrating the supporting device for sliding doors made according to the present invention in the condition in which one of the doors is open. - Figure 4 shows an exploded schematic perspective view of the supporting device for sliding doors made according to the present invention. - Figure 5 shows an exploded schematic perspective view of the guiding means of the supporting device for sliding doors of Figure 4 and made according to the present invention. - Figure 6 shows a partially exploded schematic perspective view of the supporting device for sliding doors of Figure 4 in which the guiding means are reciprocally assembled and represented partially in section and showing the insertion of the supporting trolley of the door within the guiding means. - Figure 7 shows a partially exploded schematic perspective view of the supporting device for sliding doors of Figure 6 in which the guiding means are reciprocally assembled and represented partially in section and showing the supporting trolley of the door inserted in the guiding means. - Figure 8 shows a schematic perspective view of the supporting device for sliding doors of - Figure 7 in an assembled condition in which the guiding means are shown according to a partially sectional view. - Figure 9 shows a schematic sectional view of the guiding means of the supporting device for sliding doors according to the present invention. - Figure 10 shows a schematic perspective view of the supporting trolley of the door of the supporting device for sliding doors according to the present invention, which is shown in a first position of use that is a retracted position. - Figure 11 shows a schematic perspective view of the supporting trolley of the door of the supporting device for sliding doors according to the present invention, which is shown in a first position of use that is an extracted position. - Figure 12 shows a schematic perspective view of the supporting trolley of the door of the supporting device for sliding doors according to the present invention, which is shown in a first position of use that is an extracted position and showing the addressing means of a device for locking the movement between the extracted position and the retracted position of the trolley. - Figure 13 shows a schematic perspective view of the supporting trolley of the door in the extracted condition and during the coupling with the corresponding guiding means. - Figure 14 shows a schematic perspective view of the supporting trolley of the door showing the coupling of the shock-absorber in correspondence of the retracted position of the trolley. - Figure 15 shows a schematic perspective view of the supporting trolley of the door showing the coupling of the shock-absorber in correspondence of the extracted position of the trolley. - Figure 16 shows a schematic perspective view of the supporting trolley of the door showing the coupling of the sliding wheels with the corresponding guides. - Figure 17 shows a schematic perspective view of the exploded supporting trolley according to a view from the bottom. - Figure 18 shows a schematic perspective view of the exploded supporting trolley according to a top view. - Figure 19 shows a schematic plan view of the supporting device for sliding doors made according to the present invention comprising driving means of the doors in which the supporting device is installed on the piece of furniture and furthermore in which the frame of the piece of furniture and the bottom of the piece of furniture have been partially removed to let catch sight of the supporting device installed at the bottom of the piece of furniture. - Figure 20 schematically shows an embodiment of the driving means of the doors of Figure 19. - Figure 21 schematically shows a perspective view from the bottom of the supporting device for sliding doors made according to the present invention according to a different embodiment of the device for locking the movement between the extracted position and the retracted position of the trolley in which the trolley is in an extracted position. - Figure 22 schematically shows a perspective view from the bottom of the supporting device for sliding doors made according to the present invention according to the embodiment of Figure 21 in which the trolley is in a retracted position.
1. Supporting device (36) for at least one sliding door (2) for closing at least one space (38), wherein said supporting device (36) includes guiding means (4) essentially parallel to the width extension of said space, said guiding means (4) being intended to guide the sliding of at least one trolley (1) supporting said door (2) and wherein the sliding of said trolley (1) occurs according to a sliding direction (37) essentially parallel to the width extension of the body of said space and wherein said trolley (1) includes a plate (14) provided with wheels (15, 16, 17, 18) intended for sliding in a condition of coupling with said guiding means (4), said plate (14) supporting a bracket (13) intended to support said door (2), said bracket (13) being mobile with respect to said plate (14) according to a direction of extraction and/or insertion (40) at least partially inclined with respect to said sliding direction (37), the movement of said bracket (13) with respect to said plate (14) being guided by means of coupling devices (25, 26, 27, 28) between at least two positions of which: - a first position is a closed position of said space (38) in which said bracket (13) is in an essentially retracted condition and in a condition of approach with respect to the body of said space with said door (2) in a closed condition of said space (38); - a second position is a sliding position of said door (2) in which said bracket (13) is in an essentially extracted condition and in a condition of moving away with respect to the body of said space with said door (2) placed essentially in front of said space (38) and spaced with respect to said space (38) by a distance (39);: wherein said coupling devices (25, 26, 27, 28) guiding the movement of said bracket (13) with respect to said plate (14) include at least one slot (25, 26) and at least one pin (27, 28), in which said at least one slot (25, 26) constitutes a guiding profile within which the movement of said at least one pin (27, 28) is guided, said at least one slot (25, 26) being of an oblong shape with a longitudinal extension essentially parallel with respect to said direction of extraction and/or insertion (40), said at least one slot (25, 26) being obtained in correspondence of said plate (14) and said at least one pin (27, 28) being fastened to said bracket (13), said supporting device (36) being characterised in that said coupling devices guiding the movement of said bracket (13) with respect to said plate (14) further include at least one circular plate (19, 20), said at least one circular plate (19, 20) being rotationally fastened to said plate (14) at a corresponding hinging point (21, 22) and said bracket (13) being fastened to and integral with said at least one circular plate (19, 20), and further characterised in that said at least one slot (25, 26) is shaped as an arc of a circle with the centre corresponding to said hinging point (21, 22), the rotation of said at least one circular plate (19, 20) involving the movement of said bracket (13) with respect to said plate (14) between said at least two positions, that is to say, said first position in which said bracket (13) is in an essentially retracted condition and said second position in which said bracket (13) is in an essentially extracted condition.
2. Supporting device (36) for at least one sliding door (2) according to the previous claim, characterised in that the movement of said bracket (14) with respect to said plate (14) occurs according to said direction of extraction and/or insertion (40) that is a direction essentially transverse to said sliding direction (37). 3. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 2, characterised in that in said second position said door (2) is placed essentially in front of said space (38) and spaced with respect to said space (38) by a distance (39) greater than the thickness of said door (2). 4. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 3, characterised in that said coupling devices (25, 26, 27, 28) guiding the movement of said bracket (13) with respect to said plate (14) include a first slot (25) and a second slot (26) coupling with a first pin (27) and a second pin (28) respectively, wherein said first slot (25) constitutes the guiding profile within which the movement of said first pin (27) is guided and wherein said second slot (26) constitutes the guiding profile within which the movement of said second pin (28) is guided, said first slot (25) and said second slot (26) constituting a pair of reciprocally parallel guiding sections. 5. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 4, characterised in that said at least one pin (27, 28) is made in the form of a bearing whose perimeter is intended to slide with the rotation of the bearing itself along the inner edge of the corresponding slot (25, 26). 6. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 5, characterised in that said at least one slot (25, 26) is obtained in correspondence of said bracket (13) and said at least one pin (27, 28) is fastened to said plate (14). 7. Supporting device (36) for at least one sliding door (2) according to any of the claims 1 to 3 and according to claims 4 and 5, characterised in that said coupling devices guiding the movement of said bracket (13) with respect to said plate (14) include two of said circular plates (19, 20), a first circular plate (19) being rotationally fastened to said plate (14) at a corresponding first hinging point (21) and a second circular plate (20) being rotationally fastened to said plate (14) at a corresponding second hinging point (22), said bracket (13) being fastened on said circular plates (19, 20) in correspondence of said at least one pin (27, 28) made in the form of bearings, that is to say, said bracket (13) being fastened in correspondence of said first pin (27) in the form of a bearing sliding within said first slot (25) and said bracket (13) being fastened in correspondence of said second pin (28) in the form of a bearing sliding within said second slot (26). 8. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 7, characterised in that at least two of said circular plates (19, 20) are connected to each other by means of a rod (23) hinged on said circular plates (19, 20), said rod (23) coordinating the rotation of the corresponding circular plates (19, 20) reciprocally restraining the rotation of the latter according to corresponding angles of rotation. 9. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 8, characterised in that at least one of said circular plates (19, 20) includes a notch (51) in correspondence of at least one perimeter portion of the circular shape and further characterised in that a lever (50) is rotationally fastened by means of hinging on said plate (14), a first elastic means or first spring (52) acting on said lever (50), said lever (50) comprising an end shaped to interact and couple with said notch (51), said first elastic means or first spring (52) exerting a force on said lever (50) intended to stop the rotation of said circular plate (19, 20) by means of the coupling between said end of said lever (50) and said notch (51), the stopping of the rotation of said circular plate (19, 20) occurring in correspondence of said second position in which said bracket (13) is in an essentially extracted condition. 10. Supporting device (36) for at least one sliding door (2) according to the previous claim, characterised in that: it includes an abutment element (49) constituting a disengagement means of said lever (50) intended to control the rotation of said lever (50) with the consequent disengagement of the coupling between said end of said lever (50) and said notch (51), said abutment element (49) being fastened on said guiding means (4) in correspondence of the position of said trolley (1) along the sliding on said guiding means that is the position in which said bracket (13) passes from said second position in which said bracket (13) is in an essentially extracted condition to said first position in which said bracket (13) is in an essentially retracted condition. 11. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 10, characterised in that said guiding means (4) include at least one first guide (5) and at least one second guide (6) that are guides parallel to each other and extending essentially parallel to the width extension of the body of said space, said first guide (5) being intended to guide said wheels made up of corresponding first wheels (15) and/or second wheels (16) fastened on said trolley (1), said second guide (6) being provided with a sliding seat (12) intended to guide said wheels made up of corresponding third wheels (17) and/or fourth wheels (18) fastened on said trolley (1), said first wheels (15) and/or second wheels (16) being placed on the opposite side of said trolley (1) with respect to the side on which said third wheels (17) and/or fourth wheels (18) are placed. 12. Supporting device (36) for at least one sliding door (2) according to the previous claim, characterised in that said first guide (5) is shaped in section with an essentially "C"-shaped profile, said first wheels (15) and/or said second wheels (16) being respectively made up of a pair of first wheels (15) and/or of a pair of second wheels (16) whose centres of rotation are placed on a conjunction line (41) inclined with respect to said sliding direction (37), the centre of rotation of one of the wheels of said pair of wheels being placed on the opposite side with respect to the position of the centre of the other wheel of said pair of wheels, opposite referring to the line parallel to said sliding direction (37) and passing through the centre of the segment joining the centres of said pair of wheels, so that one wheel of said pair of wheels slides in correspondence of an end of said "C"-shaped profile of said first guide (5) constituting a first sliding profile (9) and the other wheel of said pair of wheels slides in correspondence of the other end of said "C"-shaped profile of said first guide (5) constituting a second sliding profile (10). 13. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 12, characterised in that said guiding means (4) include a third guide (7) that is a guide extending in a direction essentially parallel to the width extension of the body of said space, said third guide (7) being intended to guide at least one locking device (24) wherein said locking device (24) is integral with one of said coupling devices (25, 26, 27, 28) and said locking device (24) is mobile between said at least two positions, that is to say, said first position in which said bracket (13) is in an essentially retracted condition and said second position in which said bracket (13) is in an essentially extracted condition, in said second position said locking device (24) entering a locking seat (11) obtained on said third guide (7) and the sliding of said trolley (1) on said guiding means (4) involving the sliding of said locking device (24) within said locking seat (11) with the corresponding locking of said bracket (13) in said second position in which said bracket (13) is in an essentially extracted condition preventing the movement of said bracket (13) towards said first position during the sliding of said trolley (1) on said guiding means (4). 14. Supporting device (36) for at least one sliding door (2) according to the previous claim, characterised in that said locking device is a fifth wheel (24) intended to slide within said third guide (7). 15. Supporting device (36) for at least one sliding door (2) according to the previous claim and according to claim 4, characterised in that said fifth wheel (24) is pivotally applied in correspondence of an end of one of said first pin (27) and second pin (28). 16. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 13 to 15, characterised in that it includes an addressing lock (29) provided with an addressing channel (31), said addressing lock (29) being placed in correspondence of said first position in which said bracket (13) is in an essentially retracted condition and being placed in a condition in which said locking device (24) is inserted within said addressing channel (31), said addressing channel (31) guiding the movement of said locking device (24) between said at least two positions and said addressing channel (31) being open in correspondence of a surface of coupling with said third guide (7) so that said third guide (7) constitutes the extension of said addressing channel (31) and vice-versa said addressing channel (31) constitutes the extension of said third guide (7). 17. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 16, characterised in that it includes a shock-absorber (32) applying a pushing force (42) on said trolley (1) in correspondence of said first position in which said bracket (13) is in an essentially retracted condition, said pushing force (42) opposing the movement of said bracket (13) with respect to said plate (14) between said first position in which said bracket (13) is in an essentially retracted condition and said second position in which said bracket (13) is in an essentially extracted condition, said shock-absorber (42) constituting a slowdown means of the bracket in the return phase from said second position to said first position and/or constituting a locking means. 18. Supporting device (36) for at least one sliding door (2) according to the previous claim, characterised in that said shock-absorber (42) is made up of an oblong pushing arm (33) that is hinged at a fulcrum point (34) placed between the ends of said pushing arm (33), in correspondence of a first end of said pushing arm (33) there being a pushing means (35) preferably in the form of a piston applying said pushing force (42) on said arm (33) which transmits it to said trolley (1). 19. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 18, characterised in that said guiding means (4) are selected from the group consisting of: - guiding means (4) essentially curvilinear according to a bending radius of a size much greater than the lengthwise extension of said guiding means (4), the sliding of said door (2) occurring along said curvilinear guiding means; - essentially rectilinear guiding means (4), the sliding of said door (2) occurring along said rectilinear guiding means; - guiding means (4) made up of the joining of essentially curvilinear portions in which each portion is essentially curved according to a bending radius of a size much greater than the lengthwise extension of the corresponding portion of said guiding means (4), the sliding of said door (2) occurring along said joined portions of said guiding means. 20. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 19, characterised in tha said trolley (1) is supported by said guiding means (4) in an inclined position that is inclined by an angle (a) with respect to the sliding plane of said trolley (1), said angle (a) being preferably between 0 degrees and 45 degrees, even more preferably between 0 degrees and 30 degrees, the preferred value being between 0 degrees and 20 degrees. 21. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 20, characterised in that said trolley (1) includes a second elastic means or second spring (47) of return of said bracket (13), the second elastic means or second spring (47) being intended to withdraw said bracket (13) from said second position to said first position, that is to say, from said second position in which said bracket (13) is in an essentially extracted condition to said first position in which said bracket (13) is in an essentially retracted condition. 22. Supporting device (36) for at least one sliding door (2) according to any of the previous claims 1 to 21, characterised in that: said trolley (1) includes driving means (43) of said door (2) exerting a tensile force on said door (2) and/or on said trolley (1), said tensile force being intended for the movement of said trolley (1) when said trolley (1) is in said second position that is a sliding position of said door from the closed condition to the open condition of said space. 23. Supporting device (36) for at least one sliding door (2) according to the previous claim, characterised in that: said driving means (43) are made in the form of a case (44), a mechanical energy storage means (48) being helically wound within said case (44), preferably in the form of a helically wound metal tape, a traction means (45) being wound outside said casing (44) and connected to another trolley (1) or to the walls of said space, said energy storage means (48) being wound on itself in a charged condition when said bracket of said trolley (1) is in said first position and progressively unwinding discharging the energy stored in said spiral when said bracket of said trolley (1) is brought in said second position, the discharge of the energy of said spiral putting in rotation said case (44) with the consequent winding action of said traction means (45) on said case (44) giving rise to a pulling action of said supporting device (36) along said guiding means (4). 24. Supporting device (36) for at least one sliding door (2) according to the previous claim, characterised in that said driving means (43) include a slowdown means (46) intended to slow down the movement of said trolley (1) during the traction action exerted by said energy storage means (48) and by said traction means (45), said slowdown means (46) being provided with one rotor that is put in rotation within a viscous fluid that slows down its rotation, said rotor being put in rotation by said energy storage means (48) during said traction action. 25. Sliding door (2) for closing at least one of said spaces (38), characterised in that said door (2) is supported by a supporting device (36) made in accordance with any of the previous claims 1 to 24. 26. Piece of furniture (3) provided with at least one of said sliding doors (2) for closing at least one of said spaces (38), characterised in that said door (2) is supported by a supporting device (36) made in accordance with any of the previous claims 1 to 24.
2871129
Aircraft supplementary cooling system by evaporating liquid nitrogen
1
Based on the following detailed description of an invention, generate the patent claims. There should be 10 claims in total. The first, independent claim is given and the remaining 9 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a general setup of the cooling system 2 according to the invention. A main cooling system 4 comprises an air inlet 6 and a main duct 8, which routes air 10 from the air intake 6 to various interior spaces 12, 14, 16, 18 and 20 of the vehicle. From the main duct 8, several ducts 22, 24 and 26 branch off and constitute an air distribution system. This type of main cooling system 4 is the most simple cooling system, which only requires a sufficient flow of air, which ventilates and thereby cools the interior spaces 12 to 20 and the components installed therein, respectively. Used air exits the respective interior spaces 12 to 20, as indicated by arrows. The cooling capacity of the main cooling system 4 depends on a number of parameters. In case the interior spaces 12 to 20 contain heat generating components, such as in avionics bays, heat needs to be extracted from these components. In an air-based cooling process, usually heat exchangers in the form of plate-shaped members with a number of cooling fins extending into the airflow are used, which are thermally coupled to electronic or other devices that are responsible for the heat generation. Based on a given maximum core temperature for these components, the required cooling power is determined. For at least maintaining this maximum core temperature, a minimum temperature difference between the heat exchanger and the air flowing into the interior spaces 12 to 20 at a given flow rate is determined. Consequently, the cooling capacity of the main cooling system 4 may either be increased through increasing the flow rate of the ram air flow 10 or the temperature difference mentioned above. However, the flow rate of the ram air flow 10 can hardly be increased. Furthermore, in case the vehicle is an aircraft, air flowing into the intake 6 on ground may only be generated by at least one fan 50 and 52, which needs to be integrated into the ram air duct or a region close thereto, such that the dimensions of the fan(s) and therefore the available power are also limited. Still further, the ambient temperature on ground may clearly exceed the maximum air temperatures in flight. For providing an additional cooling function, a supplementary cooling system 28 is proposed. A main component of the supplementary cooling system 28 is a nitrogen reservoir 30, which is adapted for storing a predetermined amount of liquid nitrogen at approximately -196°C. The nitrogen reservoir 30 comprises a nitrogen inlet 32, which allows refilling of the nitrogen reservoir 30, as well as a nitrogen outlet 34, through which nitrogen can be tapped. A nitrogen shutoff valve 36 connects the outlet 34 of the nitrogen reservoir 30 with an injection port 38 of the main air duct 8. The nitrogen shutoff valve 36 basically comprises two operation states, which include the isolation of the nitrogen reservoir 30 and a through-flow of nitrogen. The nitrogen shutoff valve 36 is controllable through control ports 40, which initiate a transition between the two operating states. By providing a signal or a voltage, the nitrogen shutoff valve 36 initiates a flow from the nitrogen outlet 34 to the injection port 38 or initiates the interruption of flow. By injecting liquid nitrogen into the injection port 38 of the main air duct 8, nitrogen evaporates, which then mixes with the air in the main air duct 8 and clearly reduces the temperature of the mixed gas flowing to the interior spaces 12 to 20. Hence, in case the cooling capacity provided through the air intake 4 is not sufficient, nitrogen may simply be injected into the main air duct 8, which efficiently supports the cooling process. The initiation of the supplementary cooling system 28 may be conducted through a control unit 42, which may connectable to the signal ports 40 of the nitrogen shutoff valve 36. The supplementary cooling system 28 may then be operated alternatingly or in a continuous manner. Initiation of the supplementary cooling 28 system may be made depending on several conditions. For example, the cooling system 2 comprises a first control means 44, which is exemplarily realized as a temperature sensor switch. The first control means 44 is adapted for sensing a temperature upstream of the nitrogen injection port 38 and is connected to the signal ports 40 of the nitrogen shutoff valve 36. Hence, if a first temperature sensed by the first control means 44 exceeds a predetermined maximum, the nitrogen shutoff valve 36 is opened. For example, the predetermined temperature may be 32°C, which equals the ISA standard day temperature plus 17°C. Still further, the interior spaces 12, 14 and 16 comprise second control means 46, 48 and 50, which are all connected to the inlet ports 40 and are all adapted for sensing a temperature in the interior spaces 12, 14 and 16 and for initiating the injection of nitrogen, if a predetermined maximum temperature is exceeded. For example, if the interior spaces 12, 14 and 16 comprise avionics racks, the temperatures therein may be measured, while supplementary cooling is activated e.g. when a temperature of 65°C is a exceeded. As mentioned above, it is necessary to provide an airflow into the main air duct 8 through fans 50 and 52, which are coupled with the main air duct 8 through associated non-return valves 54 and 56. In case the vehicle is an aircraft, for example, the fans 50 and 52 may be coupled to a control unit, which delivers a signal, in case the wheels of a landing gear are touching the ground or the aircraft speed falls below a predefined limit or the aircraft attitude exceeds predefined limits as for example the angle of attack during landing. The fans 50 and 52 may exemplarily be integrated into a nose landing gear bay. In case the fans 50 and 52 are operated, a ram air shutoff valve 58 directly downstream of the air inlet 6 may be closed, in order to not lead the fresh air from the fans 50 and 52 out of the air inlet 6 into the surrounding of the aircraft. Also, the ram air shutoff valve 58 should be continuously monitored, such that an unintended closing state during flight may initiate the operation of the fans 50 and 52, which should be dimensioned to be able to supply sufficient air flow for the interior spaces 12-20 to allow a safe return flight. To exclude logical errors, e.g. in the control unit 42, the ram air shutoff valve 58 may be hard wired to a switch 59 of the fans 50 and 52. Further, the operation of the fans 50 and 52 may be initiated in case the ram air shutoff valve 58 is not completely open or if a "Weight-on-Wheel" signal is present or if an external power supply is attached to the aircraft. Figure 2 depicts another cooling system 60, which is based on an alternative cooling concept including active components. For the sake of simplicity, a main cooling system 62 is shown as a block, which is provided with air 10 from the air inlet 6 through the main air duct 8. The main cooling system 62 may be an evaporative cooling system or a cooling system based on an air cycle process. The main difference to the cooling system 2 shown in Figure 1 is the fact that a nitrogen injection port 64 is positioned clearly upstream of the main cooling system 62 downstream of the air inlet 4. Here, either a heat exchanger, through which excessive heat is disposed of the vehicle, or the incoming airflow are supplied with injected nitrogen. A first control means 66, which may equal the first control means 44 of Figure 1, may be positioned downstream of the main cooling system 62. Hence, in case the main cooling system does not provide a sufficiently cooled air flow, the first control means 66 may initiate the supplementary cooling function. Altogether, the cooling systems 2 and 60 have a clear advantage of known cooling systems, as they may easily be retrofitted through the addition of the supplementary cooling system and may easily be sized to the requirements of the actual vehicle.
1. A cooling system (2, 60) for an interior space of a vehicle, comprising - a main cooling system (4, 62) coupled with an air inlet (6) for receiving air (10) and coupled with the interior space (12, 14, 16, 18, 20) for providing cooled air to the interior space (12, 14, 16, 18, 20), - at least one air duct (8, 22, 24, 26) arranged between the air inlet and the interior space (12, 14, 16, 18, 20), - a reservoir (30) for liquid nitrogen having a nitrogen outlet (34) and - a valve (36) arranged between the nitrogen outlet (34) of the reservoir (30) and an injection port (38, 64) of the at least one air duct (8, 22, 24, 26),: wherein the reservoir (30) is couplable with the injection port (38, 64) of the at least one air duct (8, 22, 24, 26) via the valve (36) on demand for evaporating nitrogen in the at least one air duct (8, 22, 24, 26).
2. The cooling system (2, 60) of claim 1, further comprising an injection nozzle at the injection port (38, 64) for spraying the nitrogen into air flowing through the at least one air duct (8, 22, 24, 26). 3. The cooling system (2, 60) of claim 1 or 2, wherein the valve (36) is a flow control valve. 4. The cooling system (2, 60) of any of the previous claims, further comprising a first control means (44), which is adapted for sensing a temperature at the air inlet (6) and for inducing an injection of liquid nitrogen into the injection port (38, 64) if a predetermined maximum temperature at the air inlet (6) is exceeded. 5. The cooling system (2, 60) of any of the previous claims, further comprising at least one second control means (46, 48, 50), which is adapted for sensing a temperature in the interior space (12, 14, 16, 18, 20) and for inducing an injection of liquid nitrogen into the injection port (38, 64) if a predetermined maximum temperature in the interior space (12, 14, 16, 18, 20) is exceeded. 6. The cooling system (2, 60) of claim 4 or 5,: wherein a control means comprises a temperature sensor and a control unit connected to the temperature sensor. 7. The cooling system (2, 60) of any of the previous claims,: further comprising a third control means, which is adapted for sensing an operating state of the vehicle and for inducing an injection of liquid nitrogen into the injection port (38, 64) if a given operating state is present. 8. The cooling system (2, 60) of any of the previous claims, comprising a ram air inlet (6) and a main air duct (8) for routing ram air to the interior space (12, 14, 16, 18, 20). 9. The cooling system (2, 60) of any of the previous claims, wherein the main cooling system (4, 62) is an evaporative cooling system, which comprises at least one heat exchanger arranged in the air inlet (6). 10. The cooling system (2, 60) of one of the claims 1 to 8, wherein the main cooling system (4, 62) is an air cycle cooling system.
2871129
Aircraft supplementary cooling system by evaporating liquid nitrogen
2
Based on the following detailed description of an invention, generate the patent claims. There should be 3 claims in total. The first, independent claim is given and the remaining 2 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a general setup of the cooling system 2 according to the invention. A main cooling system 4 comprises an air inlet 6 and a main duct 8, which routes air 10 from the air intake 6 to various interior spaces 12, 14, 16, 18 and 20 of the vehicle. From the main duct 8, several ducts 22, 24 and 26 branch off and constitute an air distribution system. This type of main cooling system 4 is the most simple cooling system, which only requires a sufficient flow of air, which ventilates and thereby cools the interior spaces 12 to 20 and the components installed therein, respectively. Used air exits the respective interior spaces 12 to 20, as indicated by arrows. The cooling capacity of the main cooling system 4 depends on a number of parameters. In case the interior spaces 12 to 20 contain heat generating components, such as in avionics bays, heat needs to be extracted from these components. In an air-based cooling process, usually heat exchangers in the form of plate-shaped members with a number of cooling fins extending into the airflow are used, which are thermally coupled to electronic or other devices that are responsible for the heat generation. Based on a given maximum core temperature for these components, the required cooling power is determined. For at least maintaining this maximum core temperature, a minimum temperature difference between the heat exchanger and the air flowing into the interior spaces 12 to 20 at a given flow rate is determined. Consequently, the cooling capacity of the main cooling system 4 may either be increased through increasing the flow rate of the ram air flow 10 or the temperature difference mentioned above. However, the flow rate of the ram air flow 10 can hardly be increased. Furthermore, in case the vehicle is an aircraft, air flowing into the intake 6 on ground may only be generated by at least one fan 50 and 52, which needs to be integrated into the ram air duct or a region close thereto, such that the dimensions of the fan(s) and therefore the available power are also limited. Still further, the ambient temperature on ground may clearly exceed the maximum air temperatures in flight. For providing an additional cooling function, a supplementary cooling system 28 is proposed. A main component of the supplementary cooling system 28 is a nitrogen reservoir 30, which is adapted for storing a predetermined amount of liquid nitrogen at approximately -196°C. The nitrogen reservoir 30 comprises a nitrogen inlet 32, which allows refilling of the nitrogen reservoir 30, as well as a nitrogen outlet 34, through which nitrogen can be tapped. A nitrogen shutoff valve 36 connects the outlet 34 of the nitrogen reservoir 30 with an injection port 38 of the main air duct 8. The nitrogen shutoff valve 36 basically comprises two operation states, which include the isolation of the nitrogen reservoir 30 and a through-flow of nitrogen. The nitrogen shutoff valve 36 is controllable through control ports 40, which initiate a transition between the two operating states. By providing a signal or a voltage, the nitrogen shutoff valve 36 initiates a flow from the nitrogen outlet 34 to the injection port 38 or initiates the interruption of flow. By injecting liquid nitrogen into the injection port 38 of the main air duct 8, nitrogen evaporates, which then mixes with the air in the main air duct 8 and clearly reduces the temperature of the mixed gas flowing to the interior spaces 12 to 20. Hence, in case the cooling capacity provided through the air intake 4 is not sufficient, nitrogen may simply be injected into the main air duct 8, which efficiently supports the cooling process. The initiation of the supplementary cooling system 28 may be conducted through a control unit 42, which may connectable to the signal ports 40 of the nitrogen shutoff valve 36. The supplementary cooling system 28 may then be operated alternatingly or in a continuous manner. Initiation of the supplementary cooling 28 system may be made depending on several conditions. For example, the cooling system 2 comprises a first control means 44, which is exemplarily realized as a temperature sensor switch. The first control means 44 is adapted for sensing a temperature upstream of the nitrogen injection port 38 and is connected to the signal ports 40 of the nitrogen shutoff valve 36. Hence, if a first temperature sensed by the first control means 44 exceeds a predetermined maximum, the nitrogen shutoff valve 36 is opened. For example, the predetermined temperature may be 32°C, which equals the ISA standard day temperature plus 17°C. Still further, the interior spaces 12, 14 and 16 comprise second control means 46, 48 and 50, which are all connected to the inlet ports 40 and are all adapted for sensing a temperature in the interior spaces 12, 14 and 16 and for initiating the injection of nitrogen, if a predetermined maximum temperature is exceeded. For example, if the interior spaces 12, 14 and 16 comprise avionics racks, the temperatures therein may be measured, while supplementary cooling is activated e.g. when a temperature of 65°C is a exceeded. As mentioned above, it is necessary to provide an airflow into the main air duct 8 through fans 50 and 52, which are coupled with the main air duct 8 through associated non-return valves 54 and 56. In case the vehicle is an aircraft, for example, the fans 50 and 52 may be coupled to a control unit, which delivers a signal, in case the wheels of a landing gear are touching the ground or the aircraft speed falls below a predefined limit or the aircraft attitude exceeds predefined limits as for example the angle of attack during landing. The fans 50 and 52 may exemplarily be integrated into a nose landing gear bay. In case the fans 50 and 52 are operated, a ram air shutoff valve 58 directly downstream of the air inlet 6 may be closed, in order to not lead the fresh air from the fans 50 and 52 out of the air inlet 6 into the surrounding of the aircraft. Also, the ram air shutoff valve 58 should be continuously monitored, such that an unintended closing state during flight may initiate the operation of the fans 50 and 52, which should be dimensioned to be able to supply sufficient air flow for the interior spaces 12-20 to allow a safe return flight. To exclude logical errors, e.g. in the control unit 42, the ram air shutoff valve 58 may be hard wired to a switch 59 of the fans 50 and 52. Further, the operation of the fans 50 and 52 may be initiated in case the ram air shutoff valve 58 is not completely open or if a "Weight-on-Wheel" signal is present or if an external power supply is attached to the aircraft. Figure 2 depicts another cooling system 60, which is based on an alternative cooling concept including active components. For the sake of simplicity, a main cooling system 62 is shown as a block, which is provided with air 10 from the air inlet 6 through the main air duct 8. The main cooling system 62 may be an evaporative cooling system or a cooling system based on an air cycle process. The main difference to the cooling system 2 shown in Figure 1 is the fact that a nitrogen injection port 64 is positioned clearly upstream of the main cooling system 62 downstream of the air inlet 4. Here, either a heat exchanger, through which excessive heat is disposed of the vehicle, or the incoming airflow are supplied with injected nitrogen. A first control means 66, which may equal the first control means 44 of Figure 1, may be positioned downstream of the main cooling system 62. Hence, in case the main cooling system does not provide a sufficiently cooled air flow, the first control means 66 may initiate the supplementary cooling function. Altogether, the cooling systems 2 and 60 have a clear advantage of known cooling systems, as they may easily be retrofitted through the addition of the supplementary cooling system and may easily be sized to the requirements of the actual vehicle.
11. A method for cooling an interior space (12, 14, 16, 18, 20) of a vehicle, comprising the steps of receiving air from an air inlet (6), cooling the air through a main cooling system (4), directing the cooled air to the interior space (12, 14, 16, 18, 20) and injecting liquid nitrogen into at least one air duct (8, 22, 24, 26) between the air inlet (6) and the interior space (12, 14, 16, 18, 20) on demand.
12. The method of claim 11, furthermore comprising the step of sensing at least one temperature in the vehicle and initiating the injection of liquid nitrogen into the at least one air duct (8, 22, 24, 26). 13. The method of claim 11 or 12, wherein the sensing may be conducted in at least one of the at least one air duct (8, 22, 24, 26), the air inlet (6) and the interior space (12, 14, 16, 18, 20).
2889153
Tip body, applicator, and method for making the same
1
Based on the following detailed description of an invention, generate the patent claims. There should be 3 claims in total. The first, independent claim is given and the remaining 2 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
An ultra-high molecular weight polyethylene for forming a tip main body of the present invention may be a powder having an average molecular weight of 3,900,000 to 5,000,000, preferably about 4,500,000, and having an average particle size of 85 µm to 150 µm, preferably about 115 µm. Examples of a high molecular weight polyethylene include those having an average molecular weight of 450,000 to 750,000, preferably about 610,000, and having an average particle size of 100 µm to 140 µm, preferably about 120 µm. Specific examples include the ultra-high molecular weight polyethylene having a trade name of GUR (registered trademark), and the high molecular weight polyethylene having a trade name of GHR (registered trademark) manufactured by Ticona. The shape of the polyethylene powder may be spherical, or may be used a confetti-shaped GUR2126, a botryoidal GUR2122, or a potato-like GUR4120, manufactured by Ticona. These powders may be used alone or in combination. The weight ratio between the ultra-high molecular weight polyethylene and the high molecular weight polyethylene is preferably 1: 0.5 to 1. The percentage of voids in the tip main body is from 75% to 85%, and preferably about 80%, though the value depends on the ink and reserver to be used. The shape of tip body may be a cylindrical body having a point shape suitable for application, more specifically, a cylindrical body having a generally hemispherical point, a cylindrical body having a conical point, and a cylindrical body having a flat wedge-shaped point. According to the present invention, as shown in Figures 1 and 2, a tip body 3 includes a tip main body 1 and a reserver (or a padding) 2. The tip main body 1 is a tubular porous body having open cells, which is made by sintering particulates of an ultra-high molecular weight polyethylene. The point 1a of the tip main body 1 has a shape suitable for application, such as a hemispherical shape. The back end has a columnar shape with a reduced diameter, and an approximately tubular-shaped hole 1c is formed from the rear end surface 1b toward the point 1a. The hole 1c is as deep as almost the full length of the inside of the tip main body. The hole 1c of the tip main body 1 contains the approximately rod-shaped reserver 2, which is composed of randomly oriented many fibers (including crimp fibers) and has a percentage of voids of about 80% to 90%, in such a manner that the end of the reserver 2 reaches the lower part of the hole 1c. The back end of the reserver 2 has almost the same length as the back end of the tip main body 1, so that it faces the opening of the hole 1c. The back end of the reserver 2 may be slightly protruded from the rear end surface 1b of the tip main body 1. The reserver 2 is a similar material to the ink storage body used in conventional writing instruments, the reserver 2 having a percentage of voids of about 80% to 90%, and being able to hold the sufficient amount of coating liquid. Here, for making handling of the reserver 2 easier at production stages, a binder may be applied to only the outer periphery of the reserver 2, or synthetic resin fibers (if used) may be slightly heated so as to weld some of the fibers of the outer periphery to form a rod-like body. When the reserver 2 is formed into a rod form, closure of the gaps formed around the rod by a binder or welding should be minimized. The tip body 3 shown in Figure 2 is integrally formed with the reserver 2 and the tip main body 1, so that the boundary therebetween is tangled to each other. Alternatively, as shown in Figure 3, it is possible in advance to have the tip main body 1 with the above structure, and then the reserver 2 can be inserted through the hole 1c opened in the rear end surface 1b of the tip main body 1. The reserver 2 is thus placed in the tip main body 1. The method for producing the tip body 3 with the structure shown in Figure 2 is explained based on Figures 5A to 5F. In Figure 5A, a pin 12 stuck at the top surface of a mount 11 is directed upward on a lower plate 10 of a die, and a rod-like reserver 2 is stuck to the pin 12 in an upright position thereby being fixed on the mount 11. The diameter of the mount 11 is larger than the one of the reserver 2. A recess 11A with a frusto-conical shape is formed on the top surface of the mount 11, and the diameter of the reserver 2 is equal to or slightly smaller than the flat area of the recess, so that the reserver 2 is fixed in the recess 11A. As shown in Figure 5B, a middle plate 13 with a through hole 13A is laid over the lower plate 10 of the die in such a manner that the reserver 2 mounted on the lower plate 10 is inserted through the through hole 13A. The inside diameter of the through hole 13A formed in the middle plate 13 is larger than the outer diameter of the reserver 2, and the upper surface of the through hole 13A is high enough to exceed the top end of the reserver 2 mounted. Accordingly, a space 15 to be filled with a synthetic resin powder for sintering is formed between the reserver 2 and the through hole 13A, more specifically at the upper circumferential area (or around and on the top surface) of the reserver 2. In the figures, the reference numeral 14 indicates a guide pin for aligning the upper plate 18 and lower plate 10 of the die. As shown in Figure 5C, a powder mixture 16 composed of an ultra-high molecular weight polyethylene powder or a mixture of an ultra-high molecular weight polyethylene powder and a polymer polyethylene powder and 75 wt% to 85 wt% of sodium hydrogen carbonate is filled into the space 15 formed around the reserver 2. Subsequently, the upper plate 18 of the die, which holds a push pin 17 in a certain position, is descended along a guide pin 14 from top of the middle plate 13 filled with the powder mixture 16, the powder mixture 16 is pressurized by the push pin 17, and the die (10, 13, and 18) is heated by an appropriate means 20 while keeping this condition (see Figure 5E ). After completion of sintering of the powder mixture 16, the die is opened, and the tip body 3, which is molded together with the reserver 2 to be a sintered body, is taken out (see Figure 5F ). As shown in Figure 4, when producing a marking pen, a coating liquid storage body 23 impregnated with coating liquid such as ink is housed in a shaft tube 21, and the tip holder 22 having the tip body 3 is mounted on the connector of the shaft tube 21 by a screw means. In this mounting operation, it is preferred that the back end of the tip body 3 be connected to and embedded in the front end of the coating liquid storage body 23. #### Test Example The tip body of the present invention composed of the tip main body and the reserver is based on the following findings through experiments. The ultra-high or high molecular weight polyethylene powders manufactured by Ticona used in this test were as follows. (1) GUR2126 has an average molecular weight of 4,500,000, an average particle size of 35 µm, an average bulk specific gravity of 0.23 g/cm^3, and a confetti-shaped form. (2) GUR2122 has an average molecular weight of 4,500,000, an average particle size of 115 µm, an average bulk specific gravity of 0.22 g/cm^3, and a botryoidal form. (3) GUR4120 has an average molecular weight of 5,000,000, and average particle size of 120 µm, an average bulk specific gravity of 0.47 g/cm^3, and a potato-like form. (4) GHRJ8110 has an average molecular weight of 610,000, an average particle size of 120 µm, an average bulk specific gravity of 0.45 g/cm^3, and a potato-like form. The polyethylene powders of (1) to (4) were mixed as appropriate with 70 wt% of a soluble inorganic substance (sodium hydrogen carbonate) to obtain a sintered porous body having a percentage of voids of 75% to 85%. The ink consumption and bending strength, which varied according to the type of the polyethylene composing the tip main body and the tip body content to be combined, were compared. [[TABLE 1]] In the (6) and (7), the excellent tracking property of the ink (good flowability of ink) was observed. #### (1) Materials Of Tip Main Body As the materials of the tip main body, the powder of GUR2122 (Ticona Japan) having an average particle size of 115 µm and an average bulk specific gravity of 0.23 (g/cm ^3 ) as ultra-high molecular weight polyethylene, the powder of GHRJ8110 (Ticona Japan) having a particle size of 120 µm and an average bulk specific gravity of 0.45(g/cm ^3 ) as high molecular weight polyethylene, and a water-soluble inorganic substance (sodium hydrogen carbonate) having an average particle size 25 µm, which has been ground so as to increase the percentage of voids of the sintered tip main body, were provided. #### Example 1 GUR2122 and GHRJ8110 are mixed at a weight ratio of 1: 1, and the water-soluble inorganic substance (sodium hydrogen carbonate) is added to the mixture at 70 wt%. The mixture is stirred at a room temperature. #### (2) Material Of Reserver The reserver contained in the tip main body is an aggregate of polyester fibers having a percentage of voids of 80% to 90%. The reserver may be an aggregate of fibers or crimp fibers such as acryl or nylon. #### (3) Soluble Inorganic Substance The water-soluble inorganic substance is preferably sodium hydrogen carbonate, and added in an amount of 70 wt%. If the ultra-high molecular weight polyethylene and/or high molecular weight polyethylene is used without the addition of the water-soluble inorganic substance as a filler, the upper limit of the percentage of voids of the sintering tip main body is about 50%. #### (4) Production Method Reserver (percentage of voids 85%) having a diameter of 8 mm and a length of 30 mm is fixed in a die (in a cavity), and a powder mixture, which has been sieved to pass 40 mesh, is filled in the die. After mounting the upper plate of the die, the die is heated in an electric furnace at 200 °C for 20 minutes, thereby sintering the powder mixture. The sintering temperature for the powder mixture containing the water-soluble filler (sodium hydrogen carbonate) must be higher than the sintering temperature for the ordinary material composed of 100% polyethylene powder, thereby ensuring the bond strength between the particles. #### (5) Washing After completion of sintering, the object is boiled for washing, thereby eluting the water-soluble filler (sodium hydrogen carbonate) from the sintered tip main body. The percentage of voids in the sintering tip main body was about 80%. #### Example 2 As the materials of the tip main body, the powder of GUR2122 (Ticona Japan) having an average particle size of 115 µm and an average bulk specific gravity of 0.23 (g/cm ^3 ) as ultra-high molecular weight polyethylene, the powder of GHRJ8110 (Ticona Japan) having an average particle size of 120 µm and an average bulk specific gravity of 0.45(g/cm ^3 ) as high molecular weight polyethylene, and a water-soluble inorganic substance (sodium hydrogen carbonate) having an average particle size of 25 µm, which has been ground so as to increase the percentage of voids of the sintered tip main body, were provided. GUR2122 and GHRJ8110 were mixed at a weight ratio of 1: 0.5, and the water-soluble inorganic substance (sodium hydrogen carbonate) was added to the mixture at 70 wt%. The mixture is stirred at a room temperature. The subsequent procedure was carried out in the same manner as in Example 1, and a sintered tip body having a percentage of voids about 80% was obtained. #### Example 3 As the materials of the tip main body, the powder of GUR2122 (Ticona Japan) having an average particle size of 115 µm and an average bulk specific gravity of 0.23 (g/cm ^3 ) as ultra-high molecular weight polyethylene, and a water-soluble inorganic substance (sodium hydrogen carbonate) having an average particle size 25 µm, which has been ground so as to increase the percentage of voids of the sintered tip main body, were provided. The water-soluble inorganic substance (sodium hydrogen carbonate) were added to GUR2122 at 70 wt%. The mixture is stirred at a room temperature. The subsequent procedure was carried out in the same manner as in Example 1, and a sintered tip body having a percentage of voids about 80% was obtained.
1. A tip body (3) characterized by comprising a tip main body (1) with a hole (1c) opened toward the rear, and a reserver (2) housed in the hole (1c) of the tip main body (1), the tip main body (1) being configured to have a cylindrical body with a point shape suitable for application, and being made through sintering of an ultra-high molecular weight polyethylene powder having a percentage of voids of about 75% to 85%, specifically about 80%.
2. The tip body (3) according to claim 1, characterized in that the tip body (3) is formed by sintering a powder mixture composed of an ultra-high molecular weight polyethylene powder and a high molecular weight polyethylene powder, the ultra-high molecular weight polyethylene powder having a molecular weight of 3,900,000 to 5,000,000 and having an average particle size of 85 µm to 150 µm, the high molecular weight polyethylene powder having a molecular weight of 450,000 to 750,000 and having an average particle size of 100 µm to 140 µm, a weight ratio between the ultra-high molecular weight polyethylene powder and the high molecular weight polyethylene powder being 1: 0.5 to 1, and the percentage of voids in the tip body (3) being from 75% to 85%. 3. An applicator characterized by comprising the tip body (3) according to claim 1 or 2, the back end of the tip body (3) being connected to and embedded into a coating liquid storage body (23) which is housed in a shaft of the applicator.
2819162
Method for producing contact areas on a semiconductor substrate
1
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 illustrates the method of the invention by showing a sequence of steps. A substrate 1 is provided ( fig. 1a ), comprising an upper metallization layer 2, and a dielectric layer 3 on and in contact with the metallization layer 2. The substrate 1 may be a silicon carrier wafer comprising further metallization layers (M1 and M2 etc) underneath and connected to the top metallization layer 2, the carrier being designed for controlling a plurality of ICs which are to be bonded to the carrier. The metallization layer 2 comprises metal lines 4 or areas 5, preferably copper lines or areas. The dielectric 3 may be any suitable material, for example a stack of silicon carbide and silicon oxide. A resist layer 6 is then deposited onto the dielectric 3 and patterned to form openings 7 through the complete thickness of the resist ( fig. 1b ), i.e. exposing the dielectric layer 3 at the bottom of said openings. The openings 7 are positioned above contact areas 5 of the top metallization layer 2. The openings 7 are formed by lithography, i.e. exposing the resist to a light source through a mask, developing the resist and removing it from the openings, which is a process known as such in the art. The type of resist and the lithography parameters are chosen so that the openings 7 have essentially vertical side-walls (when the surface of the dielectric layer 3 is regarded as the horizontal direction). No heat treatment is performed to round off said sidewalls. The substrate is then subjected to plasma etching, to thereby produce openings 8 in the dielectric layer 3, until the contact areas 5 are exposed ( fig. 1c ). The openings 8 have a circular bottom area 15 and sloped sidewalls 16 forming a conical circumferential area. The plasma parameters and type of resist material are selected so that during plasma etching, polymerisation takes place, and a polymer layer is formed, covering the side walls of the openings 7 in the resist layer 6 and progressively covering the sidewalls of the openings 8 in the dielectric layer 3, as the latter openings are being etched. This polymer layer 9 is shown in the detail of Figure 2 which shows only the dielectric and resist layers 3 and 6. The polymerisation takes place due to reactive species resulting from the etching process which react with components at the surface of the resist layer, to form polymers which in turn deposit on the sidewalls. This is a known side-effect from the plasma etch which is usually not wanted as it causes the sidewalls of the etched openings to have a sloped orientation. In the present invention however, sloped sidewalls are needed in the openings 8, and the inventors have found that it is possible to control the slope of these openings by judicially selecting the plasma conditions, in combination with the application of essentially vertical sidewalls of the openings 7 in the resist, and with a suitable selection of the resist type. According to an embodiment, a plating resist is used. According to another embodiment, a DNQ type resist is used (DNQ= Diazo Naphto Quinone). According to a further embodiment, a resist is used that does not belong to the so-called 'High etch rate resistant' resist types, which comprise specific additives that are working against the formation of polymer-forming components in the resist. An example of a resist type that is suitable for use in the method of the invention is the AZ®10XT resist from AZ Electronic Materials. The thickness of the resist layer is preferably lower than 15 µm, more preferably between 5 and 10 µm. Higher resist thicknesses may pose a problem as it becomes difficult to control the slope during the subsequent plasma etch. Also, when the resist thickness is too high, the production of too much polymer formation on the di-electric surface of the side-walls may lead to an etch-stop (the full thickness of the dielectric will not be etched). Lower resist thicknesses are not excluded from the scope of the invention, but are generally difficult to apply by existing techniques, such as a spin-on technique applicable in the case of AZ®10XT, which is typically applicable in layer thicknesses ranging between 5 and 18 µm. The resist type and plasma etch parameters must furthermore be chosen so that no outgassing occurs during the plasma etch, i.e. no gases are released from the resist, as these gases would otherwise interfere with the etching process and would deteriorate the controllability of the sloped surfaces. This condition (no outgassing) is mainly reached by an appropriate choice of the plasma power applied during the etching step, as illustrated further in the example. When the above conditions have been met, notably: - the openings 7 in the resist layer have essentially vertical sidewalls, - the openings 8 in the dielectric layer 3 are produced by plasma etching, - the resist material and the plasma process are configured so that essentially no outgassing occurs during the formation of the openings 8 in the dielectric layer 3, - the resist type and plasma process are configured so as to form a polymer layer 9 on the sidewalls of the resist openings 7 and on the sidewalls of the openings 8 formed by the plasma etch, the openings 8 are formed with sloped sidewalls, the slope of said walls being essentially pre-defined. In other words, the slope of said openings is controlled during the etching process. As seen in figure 2, the polymerisation furthermore ensures that the top diameter D of the openings 8 is essentially equal to the diameter of the holes 7 in the resist, i.e. no or little widening of the holes takes place, so that the holes 8 can be placed closer together compared to holes produced with the standard techniques referred above. As the holes are not necessarily circular in cross section, it can be said more generally that the upper cross-section of the openings 8 in the dielectric is essentially equal to the cross-section of the openings 7 in the resist. Preferably, the etching of said openings 8 takes place in a single etching step. In the next step ( fig. 1d ), the resist 6 and the polymer layer 9 are stripped, for example by a dry etching process known as such in the art, and a metal layer 10 is conformally deposited on the upper surface of the dielectric layer 3 and on the bottom and side walls of the openings 8 ( fig. 1e ). The metal layer 10 may for example be obtained by deposition of tantalum and copper by subsequent PVD (Physical Vapour Deposition) steps to form a copper seed layer, followed by a copper plating step. Finally and according to the preferred embodiment illustrated in the drawings, a CMP (Chemical Mechanical Polishing) step is performed ( fig. 1e ), to remove the metal layer 10 from the surface of the dielectric layer 3, leaving only said metal layer on the bottom and on the sloped sidewalls of the openings 8, where they form hollow contact areas 11, electrically connected to the underlying metallization layers ( fig. 1f ). The CMP step is performed to the effect that the metal is completely removed from the upper surface of the dielectric layer 3, and preferably until also a top layer of said dielectric itself is removed, so as to ensure that the contact areas are electrically isolated from each other. The CMP can take place according to known CMP technology, see the example for suitable CMP parameters. This itself was not a self-evident point, given that CMP had not been used for this particular application, i.e. the planarizing of an array of cavities filled with a metal layer, in order to isolate these cavities from each other. The fact that it is possible to do this without negatively affecting the metal layers in the cavities defines the inventive step of this embodiment. The CMP step is followed by a standard cleaning step to remove all remaining loose particles from the surface after the CMP. Instead of the CMP step, the contact areas may be isolated from each other by other suitable techniques, known as such in the art. The present invention allows to produce an array of hollow contact areas (i.e. a regular pattern of equal-sized contact areas) with a smaller pitch compared to arrays produced by presently existing methods. This is true for the method in its broadest definition, wherein the isolation of the contact areas is not necessarily done by CMP, because of the fact that no or little widening of the openings 8 in the dielectric occurs due to the formation of the polymer layer 9. A further optimization of the pitch is due to the use of the CMP: as all of the metal is removed from the top surface of the dielectric layer, the contact areas can be placed closer together compared to the contact areas produced by presently known methods. According to a preferred embodiment, the pitch of an array of contact areas produced according to the invention is between 3 and 10 µm as opposed to at least 10 µm when applying the prior art methods. According to an embodiment, a solder layer (not shown) may be deposited on the metal layer 10 before or after the CMP step. For example a Sn layer may be deposited on the top Cu layer of metal layer 10 by an additional plating step before CMP, or by an electroless deposition step after CMP. When deposited after CMP, the Sn layer must be designed so that no or very little Sn is deposited on top of the edges of the holes 8. The Sn layer is helpful in establishing a strong bond between the contact areas and Cu contact structures (e.g. TSVs) on the other component, through the formation of Cu-Sn alloys. Figure 3 shows an example of the other component that is to be bonded to the contact areas 11 produced according to the method of the invention. This may be an integrated circuit comprising a Si-wafer 20, said wafer comprising a metallization layer 21, contacted by a set of copper TSVs 22, which extend outward from the surface opposite to the metallization layer. A dielectric layer 23 (e.g. SiN) surrounds the TSVs and isolates them from each other. Optionally a layer of adhesive 24 (e.g. BCB - Benzocyclobutene) is applied on parts of the surface for strengthening the bond between the components in areas where no TSV connections are present. The height of the TSVs extending outward from the surface is tuned to the depth of the contact areas 11 produced according to the invention. The invention is also related to a semiconductor component, for example an integrated circuit or an interposer chip, provided with hollow contact areas 11 obtainable by the method according to the invention. As stated, one of the advantages of the method of the invention is that it allows to produce the sloped sidewalls so that the slope angle of said walls is essentially pre-defined, i.e. equal to a pre-defined value, for example 70°, within a very small error margin. When applied to the production of an array of hollow contact areas, the method is thus capable of producing such an array wherein the slope of the corresponding sidewalls of all the openings is essentially the same, i.e. equal to each other within a very small error margin. For example the difference in the slope angle between any two contact areas in the array is smaller than 2°, preferably smaller than 1°, more preferably smaller than 0.5°. As stated also, the method of the invention, especially when it includes a CMP step, allows to produce an array of contact areas 11 with a smaller pitch than compared to the prior art. The invention is thus related to a component provided with such an array, having a pitch between 3 and 10 µm. When the CMP step is included, a component can be produced with an array of hollow contact areas 11 formed in a plane dielectric layer, the bottom and sloped sidewalls of the openings being lined with a metal layer, but wherein no metal is present on the upper surface of the dielectric layer. The invention is also related to such a component. Finally, the invention is related to a package comprising a first component comprising an array of hollow contact areas 11 as described in any one of the previous three paragraphs, and a second component bonded to the first component, the second component provided with contact structures bonded to said contact areas by insertion bonding. Said contact structures may be copper TSVs or bumps. Hereafter an example is given of a suitable set of process parameters for performing the method of the invention. - layer 3 is a layer of SiO_2 of 6 µm thick, applied on the upper metallization layer 2, by Chemical Vapour Deposition (CVD), - a 7 µm thick layer of AZ®10X is applied by spinning on the SiO_2 layer, - lithography is performed to produce the openings 7 in the resist layer. Litho parameters: exposure energy 1600mJ, focus -3 µm. The focus of -3 µm is preferred above the standard zero focus setting, for obtaining essentially vertical side walls. - plasma etch is performed in a plasma etch tool, equipped with a plasma chamber between two electrodes, means for supplying plasma gas to the chamber, and two RF power sources, operating respectively at 2MHz and 27MHz. the following conditions were met in the example : - pressure in chamber: 55mTorr - temperature: 20°C - 2MHz RF power: 0 W - 27MHz RF power: 1000W - atmosphere consisting of Ar, O_2 and C_4F_6 : - Ar-flow: 200 sccm (Standard Cubic Centimetre per minute, standard referring to 0°C and 1 atm) - O_2 flow: 14,5 sccm - C_4F_6 flow: 14 sccm - etch time: 1800 s - the resist 6 and formed polymer layer 9 are stripped in two subsequent strip steps, performed in the same process chamber as the etch step : - step 1: pressure 350mTorr, Temperature 20°C, 2MHz power 0 W, 27MHz power 1000W, atmosphere O_2+CF_4 (2000 sccm O_2, 200 sccm CF_4), time = 300s - step 2: pressure 350 mTorr, Temperature 20°C, 2MHz power 0W, 27MHz power 650 W, atmosphere O_2 (2000 sccm O_2), time 600 s - finally, a rinsing is done in a 0.5% HF solution at 25°C, - this results in a set of well-defined openings 8 with dimensions as illustrated in figure 4 (dimension 'um' is to be read as micrometer, i.e. µm). - Then a copper seed layer is deposited by PVD. The seed layer consists of a Ta layer of about 15 nm thick and a Cu-layer with a thickness between 600 nm and 800 nm. This is followed by electrodeposition of a copper layer of between 250 nm and 1 µm thick, forming the metal layer 10 of figure 1e. - Finally, the CMP step is performed with following parameters : - Step 1: platen 1 polish process: Cu bulk removal on endpoint: pressure 2.62 psi with R&H®RL3100 slurry; slurry rate 400 ml/min; time: max 500s - Step 2: platen 2 polish process: Cu clear, which consists of a main polish step on end point + over-polish using the RL3100 slurry ; pressure 1.5 psi ; slurry rate 300 ml/min; time = max. 100 s - Step 3: platen 3 polish process: barrier clear and over-polish: pressure 0.8 psi; slurry rate 200ml/min; slurry used is Dow® ACuplane™6325 slurry; time = 20s, followed by a BTA (Benzotriazole) rinse step to avoid corrosion. - Step 4: standard post-CMP clean in an integrated Desica® cleaner. Variations on the above parameters are possible depending on the type and thickness of the resist. Some general indications are: a limitation of the low-frequency power during the plasma etch, in order to avoid heavy bombardment of the resist with ions which may cause outgassing. The 2MHz power is preferably not higher than 100W. The pressure in the chamber should not be too high, and preferably remains below 80mTorr. Above this value, the top edges of the openings 8 may become more rounded. The foregoing description details certain embodiments of the invention. It will be appreciated, however, that no matter how detailed the foregoing appears in text, the invention may be practiced in many ways, and is therefore not limited to the embodiments disclosed. It should be noted that the use of particular terminology when describing certain features or aspects of the invention should not be taken to imply that the terminology is being re-defined herein to be restricted to include any specific characteristics of the features or aspects of the invention with which that terminology is associated. Unless specifically specified, the description of a layer being deposited or produced 'on' another layer or substrate, includes the options of - said layer being produced or deposited directly on, i.e. in contact with, said other layer or substrate, and - said layer being produced on one or a stack of intermediate layers between said layer and said other layer or substrate.
1. A method for producing hollow contact areas on a semiconductor component comprising a stack of one or more metallization layers on a semiconductor substrate (1), the method comprising the steps of: - Depositing a dielectric layer (3) on and in contact with one or more metal areas (5) of the upper metallization layer (2) of said stack, - Depositing a resist layer (6) on and in contact with said dielectric layer (3), - Producing one or more openings (7) in said resist layer, said openings being located above said one or more metal areas (5), thereby exposing areas of said dielectric layer (3), - removing said dielectric layer in said areas of the dielectric layer (3), thereby forming openings (8) in said dielectric layer (3) and exposing at the bottom of said openings at least portions of said metal areas (5), - stripping said resist layer (6), - depositing a metal layer (10) conformally on said dielectric layer (3) and in said openings (8) in the dielectric layer, - removing said metal layer (10) from at least a portion of the flat upper surface of said dielectric layer (3), a metal layer remaining on the bottom and side walls of said openings (8) in the dielectric layer (3),: wherein: - the openings (7) in said resist layer (6) have essentially vertical sidewalls, - the openings (8) in said dielectric layer are produced by plasma etching, - the resist material and the plasma process are configured so that: essentially no outgassing occurs during the formation of said openings (8) in the dielectric layer (3), a polymer layer (9) is continuously formed on the sidewalls of the resist openings (7) and on the sidewalls of the openings (8) in the dielectric layer (3), as said openings (8) are being etched, so that said openings (8) in the dielectric layer (3) have sloped sidewalls, the slope of said walls being essentially pre-defined, the polymer layer (9) being removed during the resist stripping step.
2. Method according to claim 1, wherein said resist layer (6) is a plating resist layer. 3. Method according to claim 1, wherein said resist layer (6) is a DNQ resist layer. 4. Method according to any one of claims 1 to 3, wherein the upper cross section of said openings (8) in the dielectric layer (3), obtained after stripping the resist and the polymer layer, is essentially equal to the cross section of the openings (7) in said resist layer. 5. Method according to any one of the preceding claims, wherein the thickness of said resist layer (6) is at least 5 µm. 6. Method according to any one of the preceding claims, wherein at least a portion of said openings (8) in the dielectric layer are produced as an array of openings with a pitch between 3 and 10 µm. 7. Method according to claim any one of the preceding claims, wherein the step of removing said metal layer (10) is performed by Chemical Mechanical Polishing. 8. Method according to claim 7, further comprising the step of depositing a solder material on said metal layer (10) in said openings (8) made in the dielectric layer (3), before or after the CMP step.
2819162
Method for producing contact areas on a semiconductor substrate
2
Based on the following detailed description of an invention, generate the patent claims. There should be 5 claims in total. The first, independent claim is given and the remaining 4 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 illustrates the method of the invention by showing a sequence of steps. A substrate 1 is provided ( fig. 1a ), comprising an upper metallization layer 2, and a dielectric layer 3 on and in contact with the metallization layer 2. The substrate 1 may be a silicon carrier wafer comprising further metallization layers (M1 and M2 etc) underneath and connected to the top metallization layer 2, the carrier being designed for controlling a plurality of ICs which are to be bonded to the carrier. The metallization layer 2 comprises metal lines 4 or areas 5, preferably copper lines or areas. The dielectric 3 may be any suitable material, for example a stack of silicon carbide and silicon oxide. A resist layer 6 is then deposited onto the dielectric 3 and patterned to form openings 7 through the complete thickness of the resist ( fig. 1b ), i.e. exposing the dielectric layer 3 at the bottom of said openings. The openings 7 are positioned above contact areas 5 of the top metallization layer 2. The openings 7 are formed by lithography, i.e. exposing the resist to a light source through a mask, developing the resist and removing it from the openings, which is a process known as such in the art. The type of resist and the lithography parameters are chosen so that the openings 7 have essentially vertical side-walls (when the surface of the dielectric layer 3 is regarded as the horizontal direction). No heat treatment is performed to round off said sidewalls. The substrate is then subjected to plasma etching, to thereby produce openings 8 in the dielectric layer 3, until the contact areas 5 are exposed ( fig. 1c ). The openings 8 have a circular bottom area 15 and sloped sidewalls 16 forming a conical circumferential area. The plasma parameters and type of resist material are selected so that during plasma etching, polymerisation takes place, and a polymer layer is formed, covering the side walls of the openings 7 in the resist layer 6 and progressively covering the sidewalls of the openings 8 in the dielectric layer 3, as the latter openings are being etched. This polymer layer 9 is shown in the detail of Figure 2 which shows only the dielectric and resist layers 3 and 6. The polymerisation takes place due to reactive species resulting from the etching process which react with components at the surface of the resist layer, to form polymers which in turn deposit on the sidewalls. This is a known side-effect from the plasma etch which is usually not wanted as it causes the sidewalls of the etched openings to have a sloped orientation. In the present invention however, sloped sidewalls are needed in the openings 8, and the inventors have found that it is possible to control the slope of these openings by judicially selecting the plasma conditions, in combination with the application of essentially vertical sidewalls of the openings 7 in the resist, and with a suitable selection of the resist type. According to an embodiment, a plating resist is used. According to another embodiment, a DNQ type resist is used (DNQ= Diazo Naphto Quinone). According to a further embodiment, a resist is used that does not belong to the so-called 'High etch rate resistant' resist types, which comprise specific additives that are working against the formation of polymer-forming components in the resist. An example of a resist type that is suitable for use in the method of the invention is the AZ®10XT resist from AZ Electronic Materials. The thickness of the resist layer is preferably lower than 15 µm, more preferably between 5 and 10 µm. Higher resist thicknesses may pose a problem as it becomes difficult to control the slope during the subsequent plasma etch. Also, when the resist thickness is too high, the production of too much polymer formation on the di-electric surface of the side-walls may lead to an etch-stop (the full thickness of the dielectric will not be etched). Lower resist thicknesses are not excluded from the scope of the invention, but are generally difficult to apply by existing techniques, such as a spin-on technique applicable in the case of AZ®10XT, which is typically applicable in layer thicknesses ranging between 5 and 18 µm. The resist type and plasma etch parameters must furthermore be chosen so that no outgassing occurs during the plasma etch, i.e. no gases are released from the resist, as these gases would otherwise interfere with the etching process and would deteriorate the controllability of the sloped surfaces. This condition (no outgassing) is mainly reached by an appropriate choice of the plasma power applied during the etching step, as illustrated further in the example. When the above conditions have been met, notably: - the openings 7 in the resist layer have essentially vertical sidewalls, - the openings 8 in the dielectric layer 3 are produced by plasma etching, - the resist material and the plasma process are configured so that essentially no outgassing occurs during the formation of the openings 8 in the dielectric layer 3, - the resist type and plasma process are configured so as to form a polymer layer 9 on the sidewalls of the resist openings 7 and on the sidewalls of the openings 8 formed by the plasma etch, the openings 8 are formed with sloped sidewalls, the slope of said walls being essentially pre-defined. In other words, the slope of said openings is controlled during the etching process. As seen in figure 2, the polymerisation furthermore ensures that the top diameter D of the openings 8 is essentially equal to the diameter of the holes 7 in the resist, i.e. no or little widening of the holes takes place, so that the holes 8 can be placed closer together compared to holes produced with the standard techniques referred above. As the holes are not necessarily circular in cross section, it can be said more generally that the upper cross-section of the openings 8 in the dielectric is essentially equal to the cross-section of the openings 7 in the resist. Preferably, the etching of said openings 8 takes place in a single etching step. In the next step ( fig. 1d ), the resist 6 and the polymer layer 9 are stripped, for example by a dry etching process known as such in the art, and a metal layer 10 is conformally deposited on the upper surface of the dielectric layer 3 and on the bottom and side walls of the openings 8 ( fig. 1e ). The metal layer 10 may for example be obtained by deposition of tantalum and copper by subsequent PVD (Physical Vapour Deposition) steps to form a copper seed layer, followed by a copper plating step. Finally and according to the preferred embodiment illustrated in the drawings, a CMP (Chemical Mechanical Polishing) step is performed ( fig. 1e ), to remove the metal layer 10 from the surface of the dielectric layer 3, leaving only said metal layer on the bottom and on the sloped sidewalls of the openings 8, where they form hollow contact areas 11, electrically connected to the underlying metallization layers ( fig. 1f ). The CMP step is performed to the effect that the metal is completely removed from the upper surface of the dielectric layer 3, and preferably until also a top layer of said dielectric itself is removed, so as to ensure that the contact areas are electrically isolated from each other. The CMP can take place according to known CMP technology, see the example for suitable CMP parameters. This itself was not a self-evident point, given that CMP had not been used for this particular application, i.e. the planarizing of an array of cavities filled with a metal layer, in order to isolate these cavities from each other. The fact that it is possible to do this without negatively affecting the metal layers in the cavities defines the inventive step of this embodiment. The CMP step is followed by a standard cleaning step to remove all remaining loose particles from the surface after the CMP. Instead of the CMP step, the contact areas may be isolated from each other by other suitable techniques, known as such in the art. The present invention allows to produce an array of hollow contact areas (i.e. a regular pattern of equal-sized contact areas) with a smaller pitch compared to arrays produced by presently existing methods. This is true for the method in its broadest definition, wherein the isolation of the contact areas is not necessarily done by CMP, because of the fact that no or little widening of the openings 8 in the dielectric occurs due to the formation of the polymer layer 9. A further optimization of the pitch is due to the use of the CMP: as all of the metal is removed from the top surface of the dielectric layer, the contact areas can be placed closer together compared to the contact areas produced by presently known methods. According to a preferred embodiment, the pitch of an array of contact areas produced according to the invention is between 3 and 10 µm as opposed to at least 10 µm when applying the prior art methods. According to an embodiment, a solder layer (not shown) may be deposited on the metal layer 10 before or after the CMP step. For example a Sn layer may be deposited on the top Cu layer of metal layer 10 by an additional plating step before CMP, or by an electroless deposition step after CMP. When deposited after CMP, the Sn layer must be designed so that no or very little Sn is deposited on top of the edges of the holes 8. The Sn layer is helpful in establishing a strong bond between the contact areas and Cu contact structures (e.g. TSVs) on the other component, through the formation of Cu-Sn alloys. Figure 3 shows an example of the other component that is to be bonded to the contact areas 11 produced according to the method of the invention. This may be an integrated circuit comprising a Si-wafer 20, said wafer comprising a metallization layer 21, contacted by a set of copper TSVs 22, which extend outward from the surface opposite to the metallization layer. A dielectric layer 23 (e.g. SiN) surrounds the TSVs and isolates them from each other. Optionally a layer of adhesive 24 (e.g. BCB - Benzocyclobutene) is applied on parts of the surface for strengthening the bond between the components in areas where no TSV connections are present. The height of the TSVs extending outward from the surface is tuned to the depth of the contact areas 11 produced according to the invention. The invention is also related to a semiconductor component, for example an integrated circuit or an interposer chip, provided with hollow contact areas 11 obtainable by the method according to the invention. As stated, one of the advantages of the method of the invention is that it allows to produce the sloped sidewalls so that the slope angle of said walls is essentially pre-defined, i.e. equal to a pre-defined value, for example 70°, within a very small error margin. When applied to the production of an array of hollow contact areas, the method is thus capable of producing such an array wherein the slope of the corresponding sidewalls of all the openings is essentially the same, i.e. equal to each other within a very small error margin. For example the difference in the slope angle between any two contact areas in the array is smaller than 2°, preferably smaller than 1°, more preferably smaller than 0.5°. As stated also, the method of the invention, especially when it includes a CMP step, allows to produce an array of contact areas 11 with a smaller pitch than compared to the prior art. The invention is thus related to a component provided with such an array, having a pitch between 3 and 10 µm. When the CMP step is included, a component can be produced with an array of hollow contact areas 11 formed in a plane dielectric layer, the bottom and sloped sidewalls of the openings being lined with a metal layer, but wherein no metal is present on the upper surface of the dielectric layer. The invention is also related to such a component. Finally, the invention is related to a package comprising a first component comprising an array of hollow contact areas 11 as described in any one of the previous three paragraphs, and a second component bonded to the first component, the second component provided with contact structures bonded to said contact areas by insertion bonding. Said contact structures may be copper TSVs or bumps. Hereafter an example is given of a suitable set of process parameters for performing the method of the invention. - layer 3 is a layer of SiO_2 of 6 µm thick, applied on the upper metallization layer 2, by Chemical Vapour Deposition (CVD), - a 7 µm thick layer of AZ®10X is applied by spinning on the SiO_2 layer, - lithography is performed to produce the openings 7 in the resist layer. Litho parameters: exposure energy 1600mJ, focus -3 µm. The focus of -3 µm is preferred above the standard zero focus setting, for obtaining essentially vertical side walls. - plasma etch is performed in a plasma etch tool, equipped with a plasma chamber between two electrodes, means for supplying plasma gas to the chamber, and two RF power sources, operating respectively at 2MHz and 27MHz. the following conditions were met in the example : - pressure in chamber: 55mTorr - temperature: 20°C - 2MHz RF power: 0 W - 27MHz RF power: 1000W - atmosphere consisting of Ar, O_2 and C_4F_6 : - Ar-flow: 200 sccm (Standard Cubic Centimetre per minute, standard referring to 0°C and 1 atm) - O_2 flow: 14,5 sccm - C_4F_6 flow: 14 sccm - etch time: 1800 s - the resist 6 and formed polymer layer 9 are stripped in two subsequent strip steps, performed in the same process chamber as the etch step : - step 1: pressure 350mTorr, Temperature 20°C, 2MHz power 0 W, 27MHz power 1000W, atmosphere O_2+CF_4 (2000 sccm O_2, 200 sccm CF_4), time = 300s - step 2: pressure 350 mTorr, Temperature 20°C, 2MHz power 0W, 27MHz power 650 W, atmosphere O_2 (2000 sccm O_2), time 600 s - finally, a rinsing is done in a 0.5% HF solution at 25°C, - this results in a set of well-defined openings 8 with dimensions as illustrated in figure 4 (dimension 'um' is to be read as micrometer, i.e. µm). - Then a copper seed layer is deposited by PVD. The seed layer consists of a Ta layer of about 15 nm thick and a Cu-layer with a thickness between 600 nm and 800 nm. This is followed by electrodeposition of a copper layer of between 250 nm and 1 µm thick, forming the metal layer 10 of figure 1e. - Finally, the CMP step is performed with following parameters : - Step 1: platen 1 polish process: Cu bulk removal on endpoint: pressure 2.62 psi with R&H®RL3100 slurry; slurry rate 400 ml/min; time: max 500s - Step 2: platen 2 polish process: Cu clear, which consists of a main polish step on end point + over-polish using the RL3100 slurry ; pressure 1.5 psi ; slurry rate 300 ml/min; time = max. 100 s - Step 3: platen 3 polish process: barrier clear and over-polish: pressure 0.8 psi; slurry rate 200ml/min; slurry used is Dow® ACuplane™6325 slurry; time = 20s, followed by a BTA (Benzotriazole) rinse step to avoid corrosion. - Step 4: standard post-CMP clean in an integrated Desica® cleaner. Variations on the above parameters are possible depending on the type and thickness of the resist. Some general indications are: a limitation of the low-frequency power during the plasma etch, in order to avoid heavy bombardment of the resist with ions which may cause outgassing. The 2MHz power is preferably not higher than 100W. The pressure in the chamber should not be too high, and preferably remains below 80mTorr. Above this value, the top edges of the openings 8 may become more rounded. The foregoing description details certain embodiments of the invention. It will be appreciated, however, that no matter how detailed the foregoing appears in text, the invention may be practiced in many ways, and is therefore not limited to the embodiments disclosed. It should be noted that the use of particular terminology when describing certain features or aspects of the invention should not be taken to imply that the terminology is being re-defined herein to be restricted to include any specific characteristics of the features or aspects of the invention with which that terminology is associated. Unless specifically specified, the description of a layer being deposited or produced 'on' another layer or substrate, includes the options of - said layer being produced or deposited directly on, i.e. in contact with, said other layer or substrate, and - said layer being produced on one or a stack of intermediate layers between said layer and said other layer or substrate.
9. A semiconductor component comprising an array of hollow contact areas having sloped sidewalls, in a dielectric layer (3), with a metal contact layer (10) lining the surface of said hollow contact areas, the slope angles of the respective sidewalls of any two of said contact areas of said array being essentially equal.
10. A semiconductor component according to claim 9, wherein the pitch of said array is between 3 and 10 µm. 11. A semiconductor component according to claim 9 or 10, wherein said metal layer does not extend onto the flat upper surface of said dielectric layer (3). 12. A semiconductor component according to any one of claims 9 to 11, comprising a solder material on said metal contact layer (10). 13. A semiconductor package, comprising: - a first semiconductor component according to any one of claims 9 to 12, - a second semiconductor component provided with contact structures bonded to said hollow contact areas by insertion bonding.
2819174
A thyristor, a method of triggering a thyristor, and thyristor circuits
1
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a generic thyristor depicted in several different ways. A thyristor is a PNPN device, and as shown at figure 1(a) comprises four regions 110, 120, 130, and 140, which are doped to have p, n, p and n conductivity respectively. Conventionally region 110 is called the first emitter, regions 120 and 130 are known as first and second base regions respectively, and region 140 is described as the second emitter region. The first emitter 110 is adjacent to an anode contact 112, and the second emitter 140 is adjacent to a cathode contact 142. A thyristor has a gate, or trigger, contact 132, which for conventional positively triggered thyristors typically is connected to the second base region 130. As can be seen from figure 1(b), it is possible to consider a thyristor as a pair of two interacting PNP and NPN bipolar transistors. The first, PNP, transistor comprises regions 110, 120 and 130 acting as emitter, base and collector respectively, whilst the second, NPN, transistor comprises regions 120, 130 and 140 acting as collector, base and emitter respectively. Figure 1(c) shows a thyristor as a combination of two bipolar transistors as just described, depicted by the conventional circuit signal for the bipolar transistors, and figure 1(d) shows the same device using the conventional circuit symbol for a thyristor. It may be observed that the gate contact 132 appears as an external contact, whereas the interconnection 122 between the collector of the second transistor and the base of the first transistor is not shown as an external contact to the device. Figure 2 shows a schematic cross-section through a conventional thyristor device. Figure 3 shows the electrical fields across the same device in its off-state and close to breakdown. The first and second emitter regions are shown as 210 and 240 respectively, and the first and second base regions are shown at 220 and 230 respectively. Contacts are provided to each of these regions at 212, 222, 232, and 242 respectively. Also shown is a field plate 224. Structurally, the device is similar to standard high-voltage NDMOS devices, with an extended, very low-doped n- drift region forming the base of the pnp transistor. A high degree of electrical isolation is provided by the oxide structure 250 beneath the active device; the n- drift region provides electrical isolation across the PNP transistor. In contrast with the length of the base region of the PNP transistor which includes the n- draft region, the base region of the NPN transistor is provided by a relatively narrow body diffusion region 230. Typically the length of this region may be less than 1 µm whilst the length of the n- drift region is typically 40 to 60 µm. Figure 3 shows the same structure, with lines of equi-potential, 310, 312, 314 etc superimposed thereon. The conditions shown correspond to 450V highside at a 231V anode-cathode bias, that is, there is a total of 681V across the device. Figure 4 shows a current voltage characteristic of a thyristor in a nonconducting state (bold dotted line 410), and a conducting state (bold dashed line 420). In non-conducting state the thyristor exhibits a very high resistance (very shallow IV curve) between its reverse breakdown voltage V _BR and a forward breakdown voltage V _BO. In its conducting state the thyristor exhibits a very low resistance (a very steep IV curve) in forward bias (that is to say, the first quadrant). The nonconducting state corresponds to a gate or trigger current I _G. The figure shows the transition as I _G is increased from 0 (I _G =0) to a high current (I _G >>0). Triggering is typically effected by forcing a positive base current I _G into the NPN transistor (at the gate node). Figure 5 shows known methods for triggering a thyristor, the thyristor being shown as a pair of interconnected PNP and NPN transistors 510 and 520. Triggering by means of forcing the positive base current I _G into the NPN transistor at the gate node is shown in figure 5(a). The triggering current is shown in bold dotted line 530. In some applications, examples of which will be described in more detail hereinunder, it may be preferable to trigger the thyristor using a negative current rather than a positive current. Two known mechanisms for such triggering are shown in figures 5(b) and 5(c) respectively. Figure 5(b) depicts so-called PNP triggering. In this triggering method, a negative current is drawn from the base of the PNP resistor. So in this structure, the gate contact of the thyristor is connected to the base of the PNP transistor. This structure suffers from the fact that the PNP is generally a very poor bipolar due to its very wide base region. As a result, only a very small fraction of the trigger current results in holes that reach the cathode. Since these holes are needed to switch on the NPN, the device may be difficult to trigger, particularly at low-side conditions - that is to say, when there is not a large voltage across the device. Typically, the amount of holes may be so low that a floating body is needed to get the device to trigger at all at low side conditions. Floating bodies however are notorious for resulting in unexpected and non-reproducible behaviour. Nonetheless, this may result in high trigger currents at low-side conditions. Figure 5(c) depicts emitter triggering. In this configuration the NPN base-emitter junction is brought into forward bias by drawing a (trigger) current 540 (shown as a bold dotted line) from the cathode. This structure requires at least two additional components: (1) an additional diode 550 to allow the cathode to be pulled to a negative bias and (2) a resistor 555 connected to the base to provide the necessary base current. Using the full cathode as a trigger emitter again results in high trigger currents. Further, the added diode in the main current path results in an additional -0.7V voltage drop across the circuit. This additional voltage significantly increases the dissipation. This dissipation is shown pictorially in figure 6. Figure 6 shows the measured on-state anode hold current (on the ordinate or Y-axis) for a 300µm wide emitter triggered thyristor, against voltage Vak (on the abscissa or x-axis), at 610 according to conventional emitter-triggered structure and at 620 according to embodiments as will be described below. The typical voltage drop in a conventional emitter-triggered device is greater than 3V, due to the extra 0.7V voltage drop in the added diode. The design according to embodiments, having a separate trigger gate as will be described in more detail hereinunder, shows a significantly lower voltage drop as well as a lower hold current. In embodiments, the n+ emitter in the NPN is split up into at least two segments, or regions, that are routed to two different bondpads. Typically the larger emitter will act as the original cathode whereas the smaller emitter now becomes the gate. The segments or regions are generally spaced-apart, in order that they can be separately electrically biased at different biases. In a typical physical configuration, this electrical isolation may be achieved by separately locating the segments, with sufficient spacing between them, in a pwell. The structure is shown schematically in Figure 7(a). The figure shows a thyristor, which may typically be a PNPN structure, with four regions. Three of these regions, being the first emitter 715 connected to an anode contact 705 and first and second base regions 725 and 735, are - at least generally - unchanged compared with a conventional thyristor structure (110, 120 and 130 respectively). However the fourth region is split into two separate regions 742 and 744. The first region or segment 742 acts as the conventional emitter and is connected to a cathode contact 795; the second region or segment 744 acts as the gate, and is electrically connected to a trigger or gate contact 745. In terms of circuit implementation, an equivalent circuit according to embodiments is shown in figure 7(b). Effectively, the segmented emitter splits up the original NPN 520 into two parallel NPNs 720 and 720', the first one carrying the main current (bold dashed line 540) whereas the second is solely for triggering and only takes the triggering current (bold dotted line 540'). A resistance Rn,anode is shown, between regions 715 and 725. Such a resistance may ensure that the bases is not floating. A further resistance Rn,drift, is shown, in a simplified schematic way, between the regions 725 and 735. This represents the resistance of the - relatively long - drift region. In embodiments, the base of the NPN transistor is connected to ground by a resistor 755. A relatively high resistance value, which may in practice be between about 100 Ohms and several hundred kOhms, may generally be used in order to achieve a particularly low hold current. If this resistance is too low the hold current may become too high, which is generally not desirable. Conversely, if the resistance is too high - and in particular if it is open circuit - the device could become semi-floating, which may result, as the skilled person will appreciate, in problems with voltage spike and dV/dt. Further, using a too high resistance may result in the body being pulled down to excessive negative bias during triggering, which may not be desirable. In some embodiments, such as that shown in figure 8, a parallel diode 765 is included in parallel with the cathode-base resistor. This may provide a more robust integration, and in particular may limit the base voltage to approximately -0.7V without affecting the hold currents. In other respects the embodiment shown in figure 8 is similar to that shown in figure 7(b). An example partially stripped away plan view of a thyristor structure according to embodiments is shown in figure 9. This view shows the conventional large area bond pad 905 for the anode, and a conventional bond pad 995 for the cathode. The cathode bond pad 995 is connected, by means of track 995' which may typically be a metal track, to segments 942 of the emitter. Although as shown in figure 9, the segments are discontinuous, in practice, they are connected by means of a metal connection. The emitter segments, or sections, 942 are enclosed in a PWELL region 937 that is connected by a p+ body contact region 935. The PWELL region 937 thus corresponds to PW in figure 2 - that is to say, the second base region 230. p+ body contact region 935 may be connected to a contact pad 930 by means of track 930' which may typically be a metal track. Contact pad 930 may be an external contact pad, or may be used internally within a device. Contact pad 930 thus corresponds to the KP contact 232 in figure 2. In particular, a resistive element R _k,shunt 955 may connect base contact pad 932 to cathode bond pad 995. The resistive element 955 may be provided as a separate component, or may be the result of parasitics. The resistive element 955 may have a value of several hundred Ohms. In addition, a diode 965 may be connected between the base contact pad 930 and the cathode bond pad 995. The diode 965 may be provided as an integrated diode. In the case that both diode 965 and resistive element 955 are provided as integrated components, there may not be any requirement to contact base contact pad 930, in which case this pad may be omitted. Also surrounded by the PWELL region 937, in addition to emitter segment or segments 942 connected to cathode bond pad 995, is a separate emitter segment 944. Emitter segment 944 is spaced apart from the emitter segment 942, such that, in general, it will be electrically isolated therefrom. Emitter segment 944 is connected, by means of track 945' which may be a metal track, to trigger contact pad 945. Trigger contact pad 945 may be connected to cathode bond pad 995 by a resistive element R _G,shunt. The resistive element R _G,shunt may be a separate component or may be formed from parasitics. In the embodiments shown in figure 9, the emitter is separated into just two segments 942 and 944. In other embodiments, the emitter may include further segments. One or more of these further segments may be connected by a metal track to the cathode contact pad 995; in other embodiments separate contact pads may be provided for two or more of the emitter segments. Thus in embodiments, there may be provided two or more trigger contact pads 945. In particular, in the case of a very high current thyristor, it may be appropriate or convenient to simply replicate the two segments 942 and 944. This may enhance uniform triggering to the on-state, across the complete device. Thus in a high current device, there may be two or more associated trigger contact pads. It should be noted that Figure 9 is schematic only, and the relative spacing and dimensions of the regions of Figure 9 have been adjusted to aid understanding. In particular, in an illustrative embodiment the added body region may be much more tightly wrapped around the emitter areas 942 and 944 than shown, and the second base width (that is, the distance between regions 942 and 944 to the edge of the body implant) may be much smaller than the first base width - that is, the distance from region 935 to regions 942 and 944. Without limitations, thyristors according to embodiments may be convenient for use in high-voltage applications. Thyristors are convenient for very high current applications, due to their relatively low on-state resistance. Typical applications may be high-voltage DC applications handling currents of several hundreds of amperes and up to or above 1 kV. However, thyristor applications are not limited to such high currents. An example lower current application is a LED lighting circuit, which may typically operate at or below 1A. Thus Thyristors according to embodiments may operate particular effectively under so-called highside conditions for power switching, where it may be desirable to drive the thyristor by a negative trigger current. An example of such an application is a multi-output LED driver circuit, such as that shown in figure 10. An early example of such an embodiment, under development by NXP Semiconductors B.V., may be usable to drive 120mA at a breakdown voltage of 650V. Figure 10 shows an LED lighting circuit 1000 comprising a system driver 1010 for driving a plurality of LED strings 1022, 1024, 1026 and 1028. The strings are arranged in a "direct to mains" configuration, such that they are connected and disconnected in sequence, in response to the instantaneous mains voltage. As shown, the LED strings are arranged in series. The system driver 1010 includes current sources 1032, 1034, 1036, and 1038, for providing string currents STR1... STR4 as shown. However the system driver 1010 also includes three thyristors 1042,1044 and 1046 as shown. The thyristors are arranged so as to be able to short-circuit individual ones of the LED strings, respectively 1022, 1024 and 1026. The current sources and the thyristors are controlled by a controller 1050. The system controller also includes a high-voltage supply unit 1064 providing a rectified power supply, from the mains 1070. As a simplified example of operation, consider the case that the LED strings each require a voltage of 60V to operate, and the lighting circuit is connected to a 230V 50Hz mains supply with peak voltage of 325V. At a zero crossing of the mains supply, none of the strings are operational. After about 5 ms the mains voltage reaches approximately 60V, and then the first LED string 1028 is connected. At this point, it is required to short, or bypass, the remaining three LED strings, which is done by means of closing the thyristors 1042, 1044 and 1046. After about 12 ms, the mains voltage reaches approximately 120V, at which point thyristor 146 is opened, such that LED string to 1026 is no longer bypassed, and is switched on. Once the mains voltage reaches 180V, the thyristor 1044 bypassing the LED string 1024 is switched off to remove that short, and finally once the mains voltage reaches 240V, the thyristor 1042 bypassing the LED string 1022 is opened. All LED strings are now operational, and continue to operate during the highest voltage part of the main cycle. As the voltage starts to fall, the thyristors are closed, in the opposite sequence - that is to say 1042, 1044 and then 1046, in order to sequentially bypass increasing numbers of the strings. Such application is a - non-limiting - example of a relatively high current application, in which the thyristors operate on the highside, and benefit from a negative trigger current. From reading the present disclosure, other variations and modifications will be apparent to the skilled person. Such variations and modifications may involve equivalent and other features which are already known in the art of thyristors, and which may be used instead of, or in addition to, features already described herein. Although the appended claims are directed to particular combinations of features, it should be understood that the scope of the disclosure of the present invention also includes any novel feature or any novel combination of features disclosed herein either explicitly or implicitly or any generalisation thereof, whether or not it relates to the same invention as presently claimed in any claim and whether or not it mitigates any or all of the same technical problems as does the present invention. Features which are described in the context of separate embodiments may also be provided in combination in a single embodiment. Conversely, various features which are, for brevity, described in the context of a single embodiment, may also be provided separately or in any suitable sub-combination.
1. A thyristor comprising: a first region of a first conductivity type; a second region of a second conductivity type and adjoining the first region; a third region of the first conductivity type and adjoining the second region; a fourth region of the second conductivity type and comprising a first segment and a second segment separate from the first segment, the first segment and second segment each adjoining the third region; a resistive element electrically connecting the first segment and the third region; a first contact adjoining the first region; a second contact adjoining the first segment; and a trigger contact adjoining the second segment and separate from the second contact.
2. A thyristor as claimed in claim 1, wherein the first segment and the second segment are each enclosed by the fourth region. 3. A thyristor as claimed in any preceding claim, further comprising a diode electrically in parallel with the resistive element and connected between the second segment and the third region. 4. A thyristor as claimed in any preceding claim, in which the first conductivity type is p-type and the second conductivity type is n-type. 5. A thyristor as claimed in any preceding claim, wherein the first contact is an anode and the second contact is a cathode. 6. A thyristor as claimed in claim 5, wherein the second region is a first base region and the third region is a second base region, the first base region comprises a relatively lightly doped drift region, the first based region is relatively heavily doped, and the first region has a length which is greater than that of the second base region. 7. A driver comprising a thyristor as claimed in any proceeding claims and a controller configured to provide a negative trigger current to the trigger contact of the thyristor. 8. An LED lighting circuit, comprising a driver as claimed in claim 7 and a plurality of LED strings, with a switched LED string arranged in parallel with the thyristor, the thyristor being configured to, in use, provide a bypass circuit for the switched LED string.
2819174
A thyristor, a method of triggering a thyristor, and thyristor circuits
2
Based on the following detailed description of an invention, generate the patent claims. There should be 2 claims in total. The first, independent claim is given and the remaining 1 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a generic thyristor depicted in several different ways. A thyristor is a PNPN device, and as shown at figure 1(a) comprises four regions 110, 120, 130, and 140, which are doped to have p, n, p and n conductivity respectively. Conventionally region 110 is called the first emitter, regions 120 and 130 are known as first and second base regions respectively, and region 140 is described as the second emitter region. The first emitter 110 is adjacent to an anode contact 112, and the second emitter 140 is adjacent to a cathode contact 142. A thyristor has a gate, or trigger, contact 132, which for conventional positively triggered thyristors typically is connected to the second base region 130. As can be seen from figure 1(b), it is possible to consider a thyristor as a pair of two interacting PNP and NPN bipolar transistors. The first, PNP, transistor comprises regions 110, 120 and 130 acting as emitter, base and collector respectively, whilst the second, NPN, transistor comprises regions 120, 130 and 140 acting as collector, base and emitter respectively. Figure 1(c) shows a thyristor as a combination of two bipolar transistors as just described, depicted by the conventional circuit signal for the bipolar transistors, and figure 1(d) shows the same device using the conventional circuit symbol for a thyristor. It may be observed that the gate contact 132 appears as an external contact, whereas the interconnection 122 between the collector of the second transistor and the base of the first transistor is not shown as an external contact to the device. Figure 2 shows a schematic cross-section through a conventional thyristor device. Figure 3 shows the electrical fields across the same device in its off-state and close to breakdown. The first and second emitter regions are shown as 210 and 240 respectively, and the first and second base regions are shown at 220 and 230 respectively. Contacts are provided to each of these regions at 212, 222, 232, and 242 respectively. Also shown is a field plate 224. Structurally, the device is similar to standard high-voltage NDMOS devices, with an extended, very low-doped n- drift region forming the base of the pnp transistor. A high degree of electrical isolation is provided by the oxide structure 250 beneath the active device; the n- drift region provides electrical isolation across the PNP transistor. In contrast with the length of the base region of the PNP transistor which includes the n- draft region, the base region of the NPN transistor is provided by a relatively narrow body diffusion region 230. Typically the length of this region may be less than 1 µm whilst the length of the n- drift region is typically 40 to 60 µm. Figure 3 shows the same structure, with lines of equi-potential, 310, 312, 314 etc superimposed thereon. The conditions shown correspond to 450V highside at a 231V anode-cathode bias, that is, there is a total of 681V across the device. Figure 4 shows a current voltage characteristic of a thyristor in a nonconducting state (bold dotted line 410), and a conducting state (bold dashed line 420). In non-conducting state the thyristor exhibits a very high resistance (very shallow IV curve) between its reverse breakdown voltage V _BR and a forward breakdown voltage V _BO. In its conducting state the thyristor exhibits a very low resistance (a very steep IV curve) in forward bias (that is to say, the first quadrant). The nonconducting state corresponds to a gate or trigger current I _G. The figure shows the transition as I _G is increased from 0 (I _G =0) to a high current (I _G >>0). Triggering is typically effected by forcing a positive base current I _G into the NPN transistor (at the gate node). Figure 5 shows known methods for triggering a thyristor, the thyristor being shown as a pair of interconnected PNP and NPN transistors 510 and 520. Triggering by means of forcing the positive base current I _G into the NPN transistor at the gate node is shown in figure 5(a). The triggering current is shown in bold dotted line 530. In some applications, examples of which will be described in more detail hereinunder, it may be preferable to trigger the thyristor using a negative current rather than a positive current. Two known mechanisms for such triggering are shown in figures 5(b) and 5(c) respectively. Figure 5(b) depicts so-called PNP triggering. In this triggering method, a negative current is drawn from the base of the PNP resistor. So in this structure, the gate contact of the thyristor is connected to the base of the PNP transistor. This structure suffers from the fact that the PNP is generally a very poor bipolar due to its very wide base region. As a result, only a very small fraction of the trigger current results in holes that reach the cathode. Since these holes are needed to switch on the NPN, the device may be difficult to trigger, particularly at low-side conditions - that is to say, when there is not a large voltage across the device. Typically, the amount of holes may be so low that a floating body is needed to get the device to trigger at all at low side conditions. Floating bodies however are notorious for resulting in unexpected and non-reproducible behaviour. Nonetheless, this may result in high trigger currents at low-side conditions. Figure 5(c) depicts emitter triggering. In this configuration the NPN base-emitter junction is brought into forward bias by drawing a (trigger) current 540 (shown as a bold dotted line) from the cathode. This structure requires at least two additional components: (1) an additional diode 550 to allow the cathode to be pulled to a negative bias and (2) a resistor 555 connected to the base to provide the necessary base current. Using the full cathode as a trigger emitter again results in high trigger currents. Further, the added diode in the main current path results in an additional -0.7V voltage drop across the circuit. This additional voltage significantly increases the dissipation. This dissipation is shown pictorially in figure 6. Figure 6 shows the measured on-state anode hold current (on the ordinate or Y-axis) for a 300µm wide emitter triggered thyristor, against voltage Vak (on the abscissa or x-axis), at 610 according to conventional emitter-triggered structure and at 620 according to embodiments as will be described below. The typical voltage drop in a conventional emitter-triggered device is greater than 3V, due to the extra 0.7V voltage drop in the added diode. The design according to embodiments, having a separate trigger gate as will be described in more detail hereinunder, shows a significantly lower voltage drop as well as a lower hold current. In embodiments, the n+ emitter in the NPN is split up into at least two segments, or regions, that are routed to two different bondpads. Typically the larger emitter will act as the original cathode whereas the smaller emitter now becomes the gate. The segments or regions are generally spaced-apart, in order that they can be separately electrically biased at different biases. In a typical physical configuration, this electrical isolation may be achieved by separately locating the segments, with sufficient spacing between them, in a pwell. The structure is shown schematically in Figure 7(a). The figure shows a thyristor, which may typically be a PNPN structure, with four regions. Three of these regions, being the first emitter 715 connected to an anode contact 705 and first and second base regions 725 and 735, are - at least generally - unchanged compared with a conventional thyristor structure (110, 120 and 130 respectively). However the fourth region is split into two separate regions 742 and 744. The first region or segment 742 acts as the conventional emitter and is connected to a cathode contact 795; the second region or segment 744 acts as the gate, and is electrically connected to a trigger or gate contact 745. In terms of circuit implementation, an equivalent circuit according to embodiments is shown in figure 7(b). Effectively, the segmented emitter splits up the original NPN 520 into two parallel NPNs 720 and 720', the first one carrying the main current (bold dashed line 540) whereas the second is solely for triggering and only takes the triggering current (bold dotted line 540'). A resistance Rn,anode is shown, between regions 715 and 725. Such a resistance may ensure that the bases is not floating. A further resistance Rn,drift, is shown, in a simplified schematic way, between the regions 725 and 735. This represents the resistance of the - relatively long - drift region. In embodiments, the base of the NPN transistor is connected to ground by a resistor 755. A relatively high resistance value, which may in practice be between about 100 Ohms and several hundred kOhms, may generally be used in order to achieve a particularly low hold current. If this resistance is too low the hold current may become too high, which is generally not desirable. Conversely, if the resistance is too high - and in particular if it is open circuit - the device could become semi-floating, which may result, as the skilled person will appreciate, in problems with voltage spike and dV/dt. Further, using a too high resistance may result in the body being pulled down to excessive negative bias during triggering, which may not be desirable. In some embodiments, such as that shown in figure 8, a parallel diode 765 is included in parallel with the cathode-base resistor. This may provide a more robust integration, and in particular may limit the base voltage to approximately -0.7V without affecting the hold currents. In other respects the embodiment shown in figure 8 is similar to that shown in figure 7(b). An example partially stripped away plan view of a thyristor structure according to embodiments is shown in figure 9. This view shows the conventional large area bond pad 905 for the anode, and a conventional bond pad 995 for the cathode. The cathode bond pad 995 is connected, by means of track 995' which may typically be a metal track, to segments 942 of the emitter. Although as shown in figure 9, the segments are discontinuous, in practice, they are connected by means of a metal connection. The emitter segments, or sections, 942 are enclosed in a PWELL region 937 that is connected by a p+ body contact region 935. The PWELL region 937 thus corresponds to PW in figure 2 - that is to say, the second base region 230. p+ body contact region 935 may be connected to a contact pad 930 by means of track 930' which may typically be a metal track. Contact pad 930 may be an external contact pad, or may be used internally within a device. Contact pad 930 thus corresponds to the KP contact 232 in figure 2. In particular, a resistive element R _k,shunt 955 may connect base contact pad 932 to cathode bond pad 995. The resistive element 955 may be provided as a separate component, or may be the result of parasitics. The resistive element 955 may have a value of several hundred Ohms. In addition, a diode 965 may be connected between the base contact pad 930 and the cathode bond pad 995. The diode 965 may be provided as an integrated diode. In the case that both diode 965 and resistive element 955 are provided as integrated components, there may not be any requirement to contact base contact pad 930, in which case this pad may be omitted. Also surrounded by the PWELL region 937, in addition to emitter segment or segments 942 connected to cathode bond pad 995, is a separate emitter segment 944. Emitter segment 944 is spaced apart from the emitter segment 942, such that, in general, it will be electrically isolated therefrom. Emitter segment 944 is connected, by means of track 945' which may be a metal track, to trigger contact pad 945. Trigger contact pad 945 may be connected to cathode bond pad 995 by a resistive element R _G,shunt. The resistive element R _G,shunt may be a separate component or may be formed from parasitics. In the embodiments shown in figure 9, the emitter is separated into just two segments 942 and 944. In other embodiments, the emitter may include further segments. One or more of these further segments may be connected by a metal track to the cathode contact pad 995; in other embodiments separate contact pads may be provided for two or more of the emitter segments. Thus in embodiments, there may be provided two or more trigger contact pads 945. In particular, in the case of a very high current thyristor, it may be appropriate or convenient to simply replicate the two segments 942 and 944. This may enhance uniform triggering to the on-state, across the complete device. Thus in a high current device, there may be two or more associated trigger contact pads. It should be noted that Figure 9 is schematic only, and the relative spacing and dimensions of the regions of Figure 9 have been adjusted to aid understanding. In particular, in an illustrative embodiment the added body region may be much more tightly wrapped around the emitter areas 942 and 944 than shown, and the second base width (that is, the distance between regions 942 and 944 to the edge of the body implant) may be much smaller than the first base width - that is, the distance from region 935 to regions 942 and 944. Without limitations, thyristors according to embodiments may be convenient for use in high-voltage applications. Thyristors are convenient for very high current applications, due to their relatively low on-state resistance. Typical applications may be high-voltage DC applications handling currents of several hundreds of amperes and up to or above 1 kV. However, thyristor applications are not limited to such high currents. An example lower current application is a LED lighting circuit, which may typically operate at or below 1A. Thus Thyristors according to embodiments may operate particular effectively under so-called highside conditions for power switching, where it may be desirable to drive the thyristor by a negative trigger current. An example of such an application is a multi-output LED driver circuit, such as that shown in figure 10. An early example of such an embodiment, under development by NXP Semiconductors B.V., may be usable to drive 120mA at a breakdown voltage of 650V. Figure 10 shows an LED lighting circuit 1000 comprising a system driver 1010 for driving a plurality of LED strings 1022, 1024, 1026 and 1028. The strings are arranged in a "direct to mains" configuration, such that they are connected and disconnected in sequence, in response to the instantaneous mains voltage. As shown, the LED strings are arranged in series. The system driver 1010 includes current sources 1032, 1034, 1036, and 1038, for providing string currents STR1... STR4 as shown. However the system driver 1010 also includes three thyristors 1042,1044 and 1046 as shown. The thyristors are arranged so as to be able to short-circuit individual ones of the LED strings, respectively 1022, 1024 and 1026. The current sources and the thyristors are controlled by a controller 1050. The system controller also includes a high-voltage supply unit 1064 providing a rectified power supply, from the mains 1070. As a simplified example of operation, consider the case that the LED strings each require a voltage of 60V to operate, and the lighting circuit is connected to a 230V 50Hz mains supply with peak voltage of 325V. At a zero crossing of the mains supply, none of the strings are operational. After about 5 ms the mains voltage reaches approximately 60V, and then the first LED string 1028 is connected. At this point, it is required to short, or bypass, the remaining three LED strings, which is done by means of closing the thyristors 1042, 1044 and 1046. After about 12 ms, the mains voltage reaches approximately 120V, at which point thyristor 146 is opened, such that LED string to 1026 is no longer bypassed, and is switched on. Once the mains voltage reaches 180V, the thyristor 1044 bypassing the LED string 1024 is switched off to remove that short, and finally once the mains voltage reaches 240V, the thyristor 1042 bypassing the LED string 1022 is opened. All LED strings are now operational, and continue to operate during the highest voltage part of the main cycle. As the voltage starts to fall, the thyristors are closed, in the opposite sequence - that is to say 1042, 1044 and then 1046, in order to sequentially bypass increasing numbers of the strings. Such application is a - non-limiting - example of a relatively high current application, in which the thyristors operate on the highside, and benefit from a negative trigger current. From reading the present disclosure, other variations and modifications will be apparent to the skilled person. Such variations and modifications may involve equivalent and other features which are already known in the art of thyristors, and which may be used instead of, or in addition to, features already described herein. Although the appended claims are directed to particular combinations of features, it should be understood that the scope of the disclosure of the present invention also includes any novel feature or any novel combination of features disclosed herein either explicitly or implicitly or any generalisation thereof, whether or not it relates to the same invention as presently claimed in any claim and whether or not it mitigates any or all of the same technical problems as does the present invention. Features which are described in the context of separate embodiments may also be provided in combination in a single embodiment. Conversely, various features which are, for brevity, described in the context of a single embodiment, may also be provided separately or in any suitable sub-combination.
9. A method of operating a thyristor having a first region adjacent an anode, second and third regions being first and second base regions respectively, and a fourth region adjacent a cathode and comprising separate first and second segments, the method comprising: triggering the thyristor by drawing a negative current from a trigger contact in electrical connection with the second segment.
10. The method of claim 9, further comprising switching off the thyristor by electrically connecting the second segment to the third region.
2818148
Wheelchair lift
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows an embodiment of a wheelchair lift 1 to raise a wheelchair from a ground level into a vehicle. The wheelchair lift comprises a platform assembly 10 to receive and load the wheelchair. The platform assembly 10 comprises a plurality of profiles made from aluminium. The platform assembly comprises at least one plate having a platform to support the wheelchair and a first and second side panel. The side panels may be arranged at different sides of the platform. The platform assembly may comprise a single plate being constructed as a component in one piece. In the exemplified embodiment of the wheelchair lift 1 illustrated in Figure 1 the platform assembly 10 comprises an inner plate 11 and an outer plate 12. The inner plate 11 may comprise a platform 110 to support the wheelchair and side panels 111, 112 for preventing the wheelchair from rolling off the sides of the platform 110. The outer plate 12 may comprise a platform 120 to load the wheelchair and side panels 121, 122 for preventing the wheelchair from rolling off the sides of the outer plate 12. The outer and inner plates are pivotably coupled by a hinge unit 13 which is arranged at the bottom side of the platform assembly 10 between the inner and outer plate. The inner plate 11 has an end 1101 distal to the hinge unit 13 and an end 1102 proximal to the hinge unit 13. The outer plate 12 has an end 1201 distal to the hinge unit 13 and an end 1202 proximal to the hinge unit 13. An outer roll stop means 14 is mounted to the end 1201 of the outer plate 13, and an inner roll stop means 15 is mounted to the end 1101 of the inner plate 11. In a retracted position of the roll stop means 14, 15 the roll stop means prevent a wheelchair from rolling-off the front end and back end of the platform assembly when the platform assembly is lowered from the entry level position to the ground level position. The roll stop means 14 may be hinged down in the ground level position so that a wheelchair can roll-off or enter the platform assembly. The roll stop means 15 may be hinged down in the entry level position so that a wheelchair can roll-off or enter the platform assembly, for example, in a vehicle. The wheelchair lift further comprises a power control assembly 80 to control a movement of the platform assembly 10 among a stowed position in which the inner and outer plates 11, 12 of the platform assembly are collapsed as shown in Figure 2, an entry level position in which the inner and outer plates 11, 12 of the platform assembly are in an unfolded configuration and a ground level position in which the inner and outer plates 11, 12 of the platform assembly are unfolded and are moved to a level below the entry level. The wheelchair lift further comprises a supporting assembly 20 to moveably hold the platform assembly 10, and a lifting assembly 90 to lift and lower the supporting assembly 20. The supporting assembly 20 and the lifting assembly 90 are made from aluminium. The lifting assembly 90 comprises parallelogram actuating linkage structure 91 and a parallelogram actuating linkage structure 92. Each of the parallelogram actuating linkage structures 91, 92 have a top actuating arm 911, 921 and a bottom actuating arm 912, 922 which are located substantially parallel to each other. The respective top actuating arms 911, 921 and the respective bottom actuating arms 912, 922 may be coupled by a respective hydraulic cylinder 913, 923. A respective rear end of the top actuating arms 911, 921 is pivotably coupled to a bearing unit 210, and a respective rear end of the bottom actuating arms 912, 922 is pivotably coupled to a bearing unit 220. The bearing unit 210 is coupled to the power control assembly 80 to control a movement of the platform assembly. The wheelchair lift further comprises a mounting assembly 200 to mount the wheelchair lift 1 on a floor, for example a floor inside a vehicle. The mounting assembly may comprise a mounting plate 200 which may be fixed by screws to the floor of a vehicle. The mounting assembly, especially the mounting plate, may be made of aluminium. The supporting assembly 20 comprises a holding arm 21 and a holding 22 being arranged in a distance to each other. Each of the holding arms 21, 22 comprises an upper and a lower portion which may be formed as a unique part. The respective upper portion of the holding arms 21, 22 is pivotably coupled to the lifting assembly 90. The respective lower portion of the holding arms 21, 22 which is formed as a substantially vertical arm is pivotably coupled to the platform assembly 10, particularly to the inner plate 11 of the platform assembly 10. The holding arms 21, 22 may pivotably coupled to the platform assembly 10 by a coupling device 30. The coupling device 30 is configured to pivotably couple the platform assembly 10, particularly the inner plate 11 of the platform assembly 10, to the supporting assembly 20. The coupling device 30 can be made from one of steel or high strength aluminium, such as aluminium alloy 7075, or titanium or magnesium or combinations thereof. The coupling device 30 forms a rotational axis for the inner plate 11. The wheelchair lift comprises an elbow assembly 50 comprising an elbow device 51 and an elbow device 52. The elbow devices 51, 52 respectively comprise a first arm 511, 521 coupled to the inner plate 11, a second arm 512, 522 coupled to the supporting assembly 20, and a hinge element 513, 523 at which the respective first arm 511, 521 and the respective second arm 512, 522 are pivotably connected. The elbow device 51, particularly the arm 511 of the elbow device 51, is pivotably coupled to the side panel 111 of the inner plate 11 by a coupling device 60. The elbow device 52, particularly the arm 521 of the elbow device 52, is pivotably coupled to the side panel 112 of the inner plate 11 by another coupling device 60. The coupling devices 60 can be made from one of steel or high strength aluminium, for example aluminum alloy 7075, or titanium or magnesium or combinations thereof. The wheelchair lift further comprises a linkage device 71 being arranged between the platform assembly 10 and the elbow device 51, and a linkage device 72 being arranged between the platform assembly 10 and the elbow device 52. The linkage devices 71, 72 may comprise a steel rope or a chain or some rigid elements. The rigid elements may be formed as rods. The rigid elements may pivotably be connected by hinge elements. The linkage devices 71, 72 are partially guided inside the side panels 111, 112. A respective one of the ends of the linkage devices 71, 72 may be coupled to the outer plate 12. A respective other one of the ends of the linkage devices 71, 72 may be coupled to the respective arm 511, 521 of the elbow devices 51, 52. Figure 2 shows the wheelchair lift 1 in a folded/stowed configuration in which the inner and outer plates of the platform assembly as well as the other components of the wheelchair lift, such as the holding arms of the supporting assembly 20 and the lifting assembly 90 are folded together. The bottom surfaces of the inner and outer plates 11, 12 abut each other. Figure 3 shows the wheelchair lift 1 in an unfolded configuration between the entry level position 2, for example inside of a vehicle, to load/unload a wheelchair onto the inner and outer plates of the platform assembly 10 and the ground level position 3, for example the level outside the vehicle, to load/unload the wheelchair onto the inner and outer plates of the platform assembly. In the entry level position the inner and outer plates 11, 12 are unfolded and the upper surfaces of the platforms 110, 120 forms a continuous area to contact a floor, for example a floor in a vehicle, to receive the wheelchair. In order to unfold the wheelchair lift from the stowed configuration to the unfolded configuration in the entry level position, the power control assembly 80 moves the lifting assembly 90 such that the arms 912, 922 of the parallelogram actuating linkage structures 91, 92 decline from the vertical position shown in Figure 2 to a substantially horizontal position. The lower arm 911, 921 of the parallelogram actuating linkage structures 91, 92 are pressed to the elbow devices 51, 52 and particularly to the hinge elements 513, 523 so that the angle between the upper and lower arms 512, 522 and 511, 521 of the elbow devices 51, 52 changes. The respective lower arm 511, 521 of the elbow devices 51, 52 which is coupled to the end 1101 of the inner plate 11 pushes against the end 1101 of the inner plate 11 which causes that the inner plate 11 is swung down around a rotational axis formed by the coupling device 30 at which the inner plate is pivotably coupled to the holding arms 21, 22. The inner plate 11 of the platform assembly 10 declines and causes an unfolding of the inner and outer plates 11, 12. The inner and outer plates 11, 12 of the platform assembly swing open until the entry level position 2 shown in Figure 3 is reached. In order to put down the wheelchair to the ground level position 3, for example outside a vehicle, the power control assembly 80 slants the lifting assembly 90 anymore so that the unfolded platform assembly 10 is moved by the supporting assembly 20 from the entry level position 2 to the ground level position 3 which is below the entry level position as shown in Figure 3. The linkage devices 71, 72 facilitate the swing-down deployment motion of the inner and outer plates 11, 12. In the stowed configuration of the wheelchair lift the linkage devices 71, 72 are loosely guided between the elbow devices 51, 52 and the outer plate 12. During the swinging-down movement of the platform assembly the linkage devices 71, 72 are strained so that the linkage devices enable a low and continuous movement of the inner and outer plates 11, 12 during the unfolding procedure. Figure 4 shows an embodiment of the platform assembly 10 of the wheelchair lift 1. The platform assembly 10 is configured in a two-piece embodiment comprising the inner plate 11 and the outer plate 12 to support the wheelchair. The inner plate 11 comprises the platform 110 and side panels 111, 112 arranged at both sides of the platform 110. The outer plate 12 comprises the platform 120 and the side panels 121, 122 arranged at both sides of the platform 120. The outer plate 12 is pivotably coupled to the inner plate 11 by the hinge unit 13 arranged at the bottom side of the platform assembly 10. The inner plate 11 is pivotably coupled to the supporting assembly 20 shown in Figure 1 by the coupling device 30. The platform assembly is made from aluminium. The platform assembly 10 may comprise a plurality of profiles 101,...,107 of aluminium. The profiles may be configured as hollow profiles, such as hollow bars. The hollow profiles may include inner strut members to strengthen the profiles. The profiles may be formed by an extrusion or a laser cutting process. According to a possible embodiment, the inner plate 11 and the outer plate 12 may be formed by profiles 102, 103 and 104 of aluminium. The profile 102 may be formed as a hollow bar to form the respective platform 110, 120 of the inner and outer plate 11, 12. The profile 103 of aluminium forms the side panels 111, 121 of the inner and outer plates 11, 12, and the profile 104 of aluminium forms the side panels 112, 122 of the inner and outer plates 11, 12. The profiles 103 and 104 which forms the side panels 121 and 122 may be belately formed with the slanted front edge shown in Figure 5. The outer roll stop means 14 may be formed by a profile 106 of aluminium, and the roll stop means 15 may be formed by a profile 107 of aluminium. The profiles may be welded together to form the platform assembly 10. The coupling device 30 is configured to pivotably couple the platform assembly 10, particularly the inner plate 110, to the supporting assembly 20. The coupling device 30 is fixed to the inner plate 11 and pivotably coupled to the supporting assembly 20. The coupling device 30 may be made from one of steel or high strength aluminium, for example aluminum alloy 7075, or titanium or magnesium or combinations thereof which enables a secure and stable coupling between the platform assembly 10 made from aluminium and the supporting assembly 20 made from aluminium. In order to fix the coupling device 30 to the inner plate 11 of the platform assembly 10, the platform assembly 10 comprises a profile 101 of aluminium. The profile 101 of the platform assembly 10 is disposed at the end 1101 of the platform 110 of the inner plate 11 between the side panels 111, 112 of the inner plate 11. In order to securely and firmly connect the coupling device 30 with the platform assembly 10, the coupling device 30 is fixed to the profile 101 of the platform assembly 10. The coupling device 30 comprises a pivot pin 31 made from one of steel or high strength aluminium, for example aluminum alloy 7075, or titanium or magnesium or combinations thereof to pivotably couple the platform assembly 10 to the holding arm 21 and a pivot pin 32 made from one of steel or high strength aluminium, for example aluminum alloy 7075, or titanium or magnesium or combinations thereof to pivotably couple the platform assembly 10 to the holding arm 22. The coupling device 60 comprises a pivot pin 61 made from one of steel or high strength aluminium, for example aluminum alloy 7075, or titanium or magnesium or combinations thereof and a pivot pin 62 made from one of steel or high strength aluminium, for example aluminum alloy 7075, or titanium or magnesium or combinations thereof. The pivot pin 61 of the coupling device 60 is configured to pivotably couple the inner plate 11 to the arm 511 of the elbow device 51. The pivot pin 62 of the coupling device 60 is configured to pivotably couple the inner plate 11 to the first arm 521 of the elbow device 52. The pivot pin 61 may be screwed in or glued on the side panel 111 of the inner plate 11. The pivot pin 62 may be screwed in or glued on the side panel 112 of the inner plate 11. Figure 5A shows a cross section of the profile 103 of the side panel 111, and Figure 5B shows a cross section of the profile 104 of the side panel 112. Each of the side panels 111 and 112 may be made of a hollow profile. The profiles 103, 104 are made of aluminium and may be formed by an extrusion or a laser cutting process. Each of the profiles comprises a vertical part 1031, 1041 forming the actual side panel and a horizontal part 1032, 1042 being configured to support a tread 16 to load the wheelchair. The tread 16 to load the wheelchair may be formed as a grating of aluminium. Figure 6 shows a cross section of the profile 101 to fix the coupling device 30, for example the pivot pins 31, 32, to the platform assembly 10. The profile 101 is made from aluminium and may be formed by an extrusion process. The profile is formed as a hollow profile comprising a plurality of bars or strut elements. The profile 101 comprises a fixing element 1010 to fix the coupling device 30 or the pivot pins 31, 32 to the platform assembly 10. Figure 7 shows a cross section of the platform assembly 10 from a backside of the wheelchair lift with the roll stop means 15. Figure 8 shows an area illustrated as an encircled zone in Figure 7 in an enlarged view. The profile 101 of the platform assembly 10 extends between the holding arms 21, 22 and may comprise an end section 1011 being arranged proximal to the holding arm 21 and distal to the holding arm 22, and an end section 1012 being arranged proximal to the holding arm 22 and distal to the holding arm 21. The pivot pin 31 is fixed to the end section 1011 of the profile 101 of the platform assembly 10 and the pivot pin 32 is fixed to the end section 1012 of the profile 101 of the platform assembly 10. The end sections 1011, 1012 of the profile 101 of the platform assembly 10 may include a respective cavity 1013, 1014. According to a possible embodiment of the wheelchair lift the pivot pin 31 is screwed in the cavity 1013 of the end section 1011 of the profile 101 of the platform assembly 10, and the pivot pin 32 is screwed in the cavity 1014 of the end section 1012 of the profile 101 of the platform assembly 10. According to another embodiment of the wheelchair lift the pivot pin 31 is glued in the cavity 1013 of the end section 1011 of the profile 101 of the platform assembly 10, and the pivot pin 32 is glued in the cavity 1014 of the end section 1012 of the profile 101 of the platform assembly 10. According to another embodiment of the wheelchair lift each of the pivot pins 31, 32 has a first portion 311, 321, a second portion 312, 322 and a third portion 313, 323. The respective second portion 312, 322 is arranged between the respective first portion 311, 321 and the respective third portion 313, 323. The respective second portion 312, 322 of each of the pivot pins 31, 32 has a larger diameter than the respective first portion 311, 321 and the respective third portion 313, 323 of each of the pivot pins 31, 32. The first portion 311 of the pivot pin 31 is pivotably coupled to the holding arm 21. The first portion 321 of the pivot pin 32 is pivotably coupled to the holding arm 22. According to a possible embodiment of the wheelchair lift, the wheelchair lift may comprise a first bushing element 41 and a second bushing element 42. The first bushing element 41 may be arranged between the holding arm 21 and the first portion 311 of the pivot pin 31. The bushing element 41 enables that the pivot pin 31 and the holding arm 21 are pivotably coupled without any friction there between. The bushing element 42 may be arranged between the holding arm 22 and the first portion 321 of the pivot pin 32. The bushing element 42 enables that the pivot pin 32 and the holding arm 22 are pivotably coupled without any friction there between. According to an embodiment of the wheelchair lift the third portion 313 of the pivot pin 31 is formed with a thread 3130 to screw the third portion 313 of the pivot pin 31 in the cavity 1013 of the end section 1011 of the profile 101 of the platform assembly 10. The third portion 323 of the pivot pin 32 may also formed with a thread 3230 to screw the third portion 323 of the pivot pin 32 in the cavity 1014 of the end section 1012 of the profile 101 of the platform assembly 10. According to another embodiment of the wheelchair lift the third portion 313 of the pivot pin 31 may be glued in the cavity 1013 of the end section 1011 of the profile 101 of the platform assembly. The third portion 323 of the pivot pin 32 may be glued in the cavity 1014 of the end section 1012 of the profile 101 of the platform assembly 10. According to a possible embodiment of the wheelchair lift the side panel 111 may include a hole 1110. The pivot pin 31 may be inserted in the hole 1110 of the side panel 111 such that the second portion 312 of the pivot pin 31 is disposed in the hole 1110 of the side panel 111. The side panel 112 may include a hole 1120, and the pivot pin 32 may be inserted in the hole 1120 of the side panel 122 such that the second portion 322 of the pivot pin 32 is disposed in the hole 1120 of the side panel 122. Figure 9 shows rear side of the platform assembly 10 comprising the inner plate 11 and the outer plate 12. The inner and the outer plate are foldably coupled by the hinge unit 13. The hinge unit 13 may be made from aluminium, and may be formed as a profile which is made by an extrusion process. The hinge unit 13 is fixed to the end 1102 of the inner plate 11 and the end 1201 of the outer plate 12. Figure 10 shows an embodiment of the platform assembly 10 made from aluminium with a linkage device 71. Figure 10 shows only the linkage device 71 guided within the side panels 111, 121 of the inner and outer plate 11, 12. The linkage device 72 is guided in the same manner within the side panels 112 and 122 of the inner and outer plate 11, 12. The side panels 111, 112 of the inner plate 11 respectively have an opening 1110, 1120. The linkage device 71 is guided from the arm 511 of the elbow device 51 through the opening 1110 of the side panel 111 of the inner plate 11 inside the side panel 111 of the inner plate 11 and covered by the side panel 111 of the inner plate 11 to the outer plate 12. An end of the linkage assembly 71 may be fixed to the outer plate 12 of the platform assembly, for example to the side panel 121, and the other end of the linkage device 71 may be fixed to the arm 511 of the elbow device 51. The linkage device 72 is guided from the arm 521 of the elbow device 52 through the opening 1120 of the side panel 112 of the inner plate 11 inside the side panel 112 of the inner plate 11 and covered by the side panel 112 of the inner plate 11 to the outer plate 12. An end of the linkage assembly 72 may be fixed to the outer plate 12 of the platform assembly 10, for example to the side panel 122, and the other end of the linkage device 72 may be fixed to the arm 521 of the elbow device 52. The wheelchair lift may comprise a first deflection unit 230 to deflect the linkage device 71 inside the side panel 111 to the side panel 121 of the outer plate 12. The first deflection unit 230 may be disposed inside the side panel 111. The linkage device 72 is deflected in the same manner by a second deflecting unit 230 disposed inside the side panel 112 to the side panel 122 of the outer plate 12. The first and second deflecting units 230 may be formed as a pulley. The wheelchair lift may further comprise a first and second arrestor element 240. A first part 241 of the first arrestor element 240 is movably connected inside the side panel 121 of the outer plate 12. A first part 241 of second arrestor element 240 is movably connected inside the side panel 122 of the outer plate 12. In the stowed configuration of the platform assembly the second part of the first arrestor element abuts on the holding arm 21 and the second part of the second arrestor element abuts on the holding arm 22 so that the foldable platform assembly is firmly held at the holding arms of the supporting assembly 20 in the stowed configuration of the wheelchair lift. In the unfolded configuration the respective first and second parts 241, 242 of the arrestor element 240 are covered inside the side panels 111, 112 or 121, 122. The wheelchair lift 1 is shown in the Figures 1 to 4 and 9 and 10 with a foldable platform assembly 10 comprising the inner and outer plate 11, 12. The wheelchair lift may also be provided with a single, one-piece platform or a split platform which is separated along a longitudinal direction, wherein one half of the split platform swings towards the holding arm 21 and the other half of the split platform swings towards the holding arm 22 when the wheelchair lift is moved from the entry position to the stowed configuration. The coupling device 30 may also be used to pivotably couple the single, one-piece platform or the split platform to the supporting assembly 20. The wheelchair lift may be used to lift any load or may operate without being operated with a load. Furthermore, the wheelchair lift can be mounted to any location where it can be moved from the stowed to the unfolded configuration which does not necessarily require mounting to a vehicle.
1. A wheelchair lift, comprising: - a platform assembly (10) to receive a wheelchair, said platform assembly (10) being made from aluminium, - a supporting assembly (20) to moveably hold the platform assembly (10), said supporting assembly (20) being made from aluminium, - a coupling device (30) to pivotably couple the platform assembly (10) to the supporting assembly (20), said coupling device (30) being made from one of steel or high strength aluminium or titanium or magnesium or combinations thereof, - wherein the platform assembly (10) comprises a plurality of profiles (101,..., 107) of aluminium, - wherein the coupling device (30) is fixed to a first one of the plurality of profiles (101) of the platform assembly (10), - wherein the coupling device (30) is pivotably coupled to the supporting assembly (20).
2. The wheelchair lift as claimed in claim 1, - wherein the supporting assembly (20) comprises a first and a second holding arm (21, 22) being arranged in a distance to each other, - wherein the coupling device (30) comprises a first pivot pin (31) made from one of steel or high strength aluminium or titanium or magnesium or combinations thereof to pivotably couple the platform assembly (10) to the first holding arm (21) and a second pivot pin (32) made from one of steel or high strength aluminium or titanium or magnesium or combinations thereof to pivotably couple the platform assembly (10) to the second holding arm (22), - wherein the first profile (101) of the platform assembly (10) comprises a first end section (1011) being arranged proximal to the first holding arm (21) and distal to the second holding arm (22), and a second end section (1012) being arranged proximal to the second holding arm (22) and distal to the first holding arm (21), - wherein the first pivot pin (31) is fixed to the first end section (1011) of the first profile (101) of the platform assembly (10) and the second pivot pin (32) is fixed to the second end section (1012) of the first profile (101) of the platform assembly (10). 3. The wheelchair lift as claimed in claim 2,: wherein the first profile (101) of the platform assembly (10) extends between the first and second holding arm (21, 22). 4. The wheelchair lift as claimed in claims 2 or 3, - wherein the first and second end section (1011, 1012) of the first profile (101) of the platform assembly (10) respectively includes a cavity (1013, 1014), - wherein the first pivot pin (31) is screwed in the cavity (1013) of the first end section (1011) of the first profile (101) of the platform assembly (10), - wherein the second pivot pin (32) is screwed in the cavity (1014) of the second end section (112) of the first profile (101) of the platform assembly (10). 5. The wheelchair lift as claimed in claims 2 or 3, - wherein the first and second end section (1011, 1012) of the first profile (101) of the platform assembly (10) respectively includes a cavity (1013, 1014), - wherein the first pivot pin (31) is glued in the cavity (1013) of the first end section (1011) of the first profile (101) of the platform assembly (10), - wherein the second pivot pin (32) is glued in the cavity (1014) of the second end section (1012) of the first profile (101) of the platform assembly (10). 6. The wheelchair lift as claimed in any of claims 3 to 5, - wherein each of the first and second pivot pin (31, 32) has a first portion (311, 321), a second portion (312, 322) and a third portion (313, 323), wherein the second portion (312, 322) is arranged between the first portion (311, 321) and third portion (313, 323), - wherein the second portion (312, 322) of each of the first and second pivot pin (31, 32) has a larger diameter than the first and third portion (311, 321, 313, 323) of each of the first and second pivot pin (31, 32), - wherein the first portion (311) of the first pivot pin (31) is pivotably coupled to the first holding arm (21) and the first portion (321) of the second pivot pin (32) is pivotably coupled to the second holding arm (22). 7. The wheelchair lift as claimed in claim 6, comprising: - a first bushing element (41) and a second bushing element (42), - wherein the first bushing element (41) is arranged between the first holding arm (21) and the first portion (311) of the first pivot pin (31), - wherein the second bushing element (42) is arranged between the second holding arm (22) and the first portion (321) of the second pivot pin (32). 8. The wheelchair lift as claimed in any of claims 6 or 7, - wherein the third portion (313) of the first pivot pin (31) is formed with a thread (3130) to screw the third portion (313) of the first pivot pin (31) in the cavity (1013) of the first end section (1011) of the first profile (101) of the platform assembly (10), - wherein the third portion (323) of the second pivot pin (32) is formed with a thread (3230) to screw the third portion (323) of the second pivot pin (32) in the cavity (1014) of the second end section (1012) of the first profile (101) of the platform assembly (10). 9. The wheelchair lift as claimed in any of claims 6 or 7, - wherein the third portion (313) of the first pivot pin (11) is glued in the cavity (1013) of the first end section (1011) of the first profile (101) of the platform assembly (10), - wherein the third portion (323) of the second pivot pin (32) is glued in the cavity (1014) of the second end section (1012) of the first profile (101) of the platform assembly (10). 10. The wheelchair lift as claimed in any of claims 6 to 9, - wherein the platform assembly (10) comprises at least one plate (11) having a platform (110) to support the wheelchair and a first and second side panel (111, 112) respectively being arranged at different sides of the platform (110), - wherein the first side panel (111) includes a hole (1110), wherein the first pivot pin (31) is inserted in the hole (1110) of the first side panel (111) such that the second portion (312) of the first pivot pin (31) is disposed in the hole (1110) of the first side panel (111), - wherein the second side panel (112) includes a hole (1120), wherein the second pivot pin (32) is inserted in the hole (1120) of the second side panel (112) such that the second portion (322) of the second pivot pin (32) is disposed in the hole (1120) of the second side panel (122). 11. The wheelchair lift as claimed in any of claims 1 to 10, - wherein the platform assembly (10) comprises an inner plate (11) and an outer plate (12) to support the wheelchair and a hinge unit (13), - wherein the inner plate (11) is pivotably coupled to the supporting assembly (20) by the coupling device (30) and the outer plate (12) is pivotably coupled to the inner plate (11) by the hinge unit (13), - wherein the inner and outer plate (11, 12) respectively comprise a platform (110, 120), a first side panel (111, 121) and a second side panel (112, 122), - wherein the respective platform (110, 120) is made of at least a second one of the plurality of profiles (102) of the platform assembly (10) made from aluminium, - wherein the respective first side panel (111, 121) is made of at least a third one of the plurality of profiles (103) of the platform assembly (10) made from aluminium, - wherein the respective second side panel (112, 122) is made of at least a fourth one of the plurality of profiles (104) of the platform assembly (10) made from aluminium, - wherein the hinge unit (13) is made of at least a fifth one of the plurality of profiles (105) of the platform assembly (10) made from aluminium, - wherein the platform (110) of the inner plate (11) has a first end (1101) distal to the hinge unit (13) and a second end (1102) proximal to the hinge unit (13), - wherein the first profile (101) of the platform assembly (10) is disposed at the first end (1101) of the platform (110) of the inner plate (11) between the first and second side panels (111, 112) of the inner plate (11). 12. The wheelchair lift as claimed in claim 11, wherein the first and the second and the third and the fourth and the fifth of the plurality of profiles (101, 102, 103, 104, 105) of the platform assembly (10) are formed by an extrusion process or a laser cutting process. 13. The wheelchair lift as claimed in claims 11 or 12, comprising: - an elbow assembly (50) comprising a first and a second elbow device (51, 52) respectively comprising a first arm (511, 521) coupled to the inner plate (11), a second arm (512, 522) coupled to the supporting assembly (20), and a hinge element (513, 523) at which the respective first arm (511, 521) and the respective second arm (512, 522) are pivotably connected, - another coupling device (60) comprising a first pivot pin (61) made from one of steel or high strength aluminium or titanium or magnesium or combinations thereof and a second pivot pin (62) made from one of steel or high strength aluminium or titanium or magnesium or combinations thereof, - wherein the first pivot pin (61) of the other coupling device (60) is configured to pivotably couple the inner plate (11) to the first arm (511) of the first elbow device (51), - wherein the second pivot pin (62) of the other coupling device (60) is configured to pivotably couple the inner plate (11) to the first arm (521) of the second elbow device (52), - wherein the first pivot pin (61) of the other coupling device (60) is screwed in or glued on the first side panel (111) of the inner plate (11), - wherein the second pivot pin (62) of the other coupling device (60) is screwed in or glued on the second side panel (112) of the inner plate (11). 14. The wheelchair lift as claimed in claim 13, comprising: - a first linkage device (71) being arranged between the platform assembly (10) and the first elbow device (51), - a second linkage device (72) being arranged between the platform assembly (10) and the second elbow device (52), - wherein the first and second side panel (111, 112) of the inner plate (11) respectively has an opening (1110, 1120), - wherein the first linkage device (71) is guided from the first arm (511) of the first elbow device (51) through the opening (1110) of the first side panel (111) of the inner plate (11) inside the first side panel (111) of the inner plate (11) and covered by the first side panel (111) of the inner plate (11) to the outer plate (12), - wherein the second linkage device (72) is guided from the first arm (521) of the second elbow device (52) through the opening (1120) of the second side panel (112) of the inner plate (11) inside the second side panel (112) of the inner plate (11) and covered by the second side panel (112) of the inner plate (11) to the outer plate (12). 15. The wheelchair lift as claimed in any of claims 1 to 14, comprising: - a power control assembly (80) to control a movement of the platform assembly (10), - a lifting assembly (90) being connected to the power control assembly (80) and the supporting assembly (20) to lift and lower the supporting assembly (20), said lifting assembly (90) being made from aluminium, - a mounting assembly (200) to mount the wheelchair lift on a floor, said mounting assembly (200) being made from aluminium.
2819279
Cooling apparatus
1
Based on the following detailed description of an invention, generate the patent claims. There should be 9 claims in total. The first, independent claim is given and the remaining 8 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 illustrates a first embodiment of an apparatus. The illustrated electric apparatus 1 may be a motor drive providing an electrical motor with electric power, such as a frequency converter for instance. In the illustrated example the electric components 2 are attached via base plates 3 to cooling elements 4. Base plates are, however, not necessary in all implementations. Heat produced by the electric components during their use is conducted to the cooling elements 4. In the illustrated example, the first ends of the substantially parallel cooling elements 4 are provided with electric components 2, while the opposite, second ends of the cooling elements are arranged in an air flow. The cooling elements 4 may be manufactured of aluminum or of another suitable material with excellent heat conducting properties, for instance. In their simplest form the cooling elements 4 may consist of heat sinks whose metal material (for instance) conducts heat from the electric components 2 to the air flow. However, as an alternative, it is possible to utilize more sophisticated and efficient cooling elements. Such cooling elements may include an internal fluid circulation, for instance. It is also possible to utilize pulsating heat pipes or two-phase thermosyphons, as illustrated in Figures 2 and 3. In the example according to Figure 1, the apparatus comprises a first fan arrangement 5 and a second fan arrangement 6 including separately a first fan 7 and a second fan 8. The first fan 7 generates a first air flow from a first inlet 9 to a first outlet 10. This first airflow 11 cools a first part 13 of the cooling elements 4, which in the illustrated example is the uppermost ends of the cooling elements. The first airflow 11 entering the housing or component space 14 via the first inlet 9 has a temperature Tin,1 and the airflow 11 exiting the housing via the first outlet 10 has a temperature Tout,1. The second fan 8 generates a second air flow 12 from a second inlet 15 to a second outlet 16. The second airflow 12 cools a second part 17 of the cooling elements 4, which in the illustrated example is located in the middle of the cooling elements 4. The second airflow 12 entering the housing or component space 14 via the second inlet 15 is Tin,2 and the airflow 12 exiting the housing or component space 14 via the second outlet 16 is Tout,2. One or more intermediate walls 18 may extend between the cooling elements 4 to direct the first and second air flows to different parts of the cooling elements 4. Such intermediate walls are not necessary in all embodiments. If intermediate walls are used, tightness is not important but a reasonable amount of leakage may be allowed. The object is, however, to ensure that the first 11 and second 12 air flows, which have different flow directions, do not mix up to an significant extent, but instead the flows occur generally as has been illustrated and explained to cool different parts of the cooling elements. In Figure 1 two intermediate walls 18 have been illustrated by way of example. The intermediate wall 18, which is located lower in Figure 1, prevents the second air flow from reaching the lower ends of the cooling elements 4, where the electric components 2 are located, and the upper intermediate wall 18 keeps the first airflow 11 and second airflow 12 apart from each other. Though the electric apparatus in Figure 1 is arranged in a housing 14, such a housing is not necessary in all embodiments. In Figure 1 the cooling elements 4 are arranged in a series configuration with a distance (air gap) between the cooling elements 4 and the respective electric components 2 attached to them. However, as an alternative, the cooling elements in Figure 1 could be arranged in a stacked configuration, where the cooling elements 4 and/or the electric components 2 contact (thermal contact) each other. As explained above, the flow direction of the second air flow is different than the flow direction of the first air flow. In many implementations, the most efficient solution is to have opposite flow directions. However, exactly opposite flow directions are not necessary in all embodiments, as a sufficiently efficient cooling is also accomplished when the flow directions are different, in other words, not exactly opposite to each other. Figures 2 and 3 illustrate an embodiment of a cooling element. The cooling element 4' of Figures 2 and 3 is very similar to the cooling elements 4 explained in connection with Figure 1. Therefore, the cooling element of Figures 2 and 3 will mainly be explained by pointing out the differences. The cooling element 4' of Figures 2 and 3 may be utilized in the electric apparatus of Figure 1. The cooling element 4' is a two-phased thermosyphon with an internal fluid circulation. The cooling element 4' comprises a plurality of pipes 20' arranged side by side, preferably in parallel. Each pipe is divided by internal walls 21' into a plurality of flow channels. In the illustrated example, the two flow channels located most to the left in Figure 2 are evaporator channels 22' receiving a heat load from the electric components 2 via the base plate 3. Consequently, the fluid evaporates and moves upwards. A manifold 23' in the second upper end of the cooling element returns the fluid via condenser channels 24' to a manifold 25' in a first lower end of the cooling element 4'. Between the condenser channels 24', fins 26' are arranged in order to transfer heat from the condenser channels 24' to the passing airflow. Therefore, the fluid condensates and returns for a new cycle in the evaporator channels 25'. In order to increase the fluid circulation, some of the channels may have capillary dimensions. Figures 4 and 5 illustrate the temperature behaviour in the first embodiment of the apparatus as illustrated in Figure 1. In this example, it is by way of example assumed that the flow directions of the first and second air flows are opposite (counter-current flows), as illustrated in Figure 1. In Figure 4 the temperature T is plotted as a function of the coordinate X across the cooling elements 4 in Figure 1. As can be seen in Figure 4, the temperature Tin,1 of the first air flow 11 is low when the first air flow enters the first inlet 9. After having passed the cooling elements 4, the temperature Tout,1 of the first air flow 11 is much higher, when it exits via the first outlet 10. The second air flow 12 similarly has a low temperature Tin,2 at the second inlet 15 and a much higher temperature Tout,2 at the second outlet 16. The illustrated temperature profile is obtained as both air flows 11 and 12 have the same flow volume and as it is assumed that the power losses for the electric components of all cooling elements are the same. As can be seen in Figure 4, due to the opposite flow directions, each cooling element 4, irrespective of its location on the flow path of the first and second air flow, will "feel" an average temperature Tm of the surrounding air. Therefore, thermal stacking can be avoided. Figure 5 illustrates the situation in more detail. The white bars represent the top channel in Figure 1 and the relative temperature evolution, and the black bars represent the bottom channel in Figure 1. Assuming the same volumetric flow rate and same inlet temperature for both streams, the condenser will "feel" an average operation air temperature Tm as represented in Figure 4, and the condenser will operate at an almost constant saturation temperature represented in the graph of Figure 5 as Tc. To better understand the process, we proceed across the coolers series from left to right and we place ourselves at position Xa. The white and black bars are proportional to the heat exchanged in each subsection (top and bottom one respectively). If now we proceed further across the device starting from Xa, we can identify at each position Xn the heat exchanged by each part of the condenser from the color bars. The total heat exchanged at each point (sum of the heat exchanged by each subsections) is almost constant. Figure 6 illustrates a second embodiment of an apparatus. The embodiment of Figure 6 is very similar to the one of Figure 1. Therefore, the embodiment of Figure 6 will be explained mainly by pointing out the differences between these embodiments. In Figure 6 the cooling elements 4 are arranged in a stacked configuration, where the cooling elements 4 with their respective electric components 2 contact (thermal contact) each other. However, this is only by way of example. In practice, it is also possible to utilize the series configuration illustrated in Figure 1 in the embodiment of Figure 6. In Figure 6 the first 5" and second 6" fan arrangements comprise one single fan 30 only generating one air flow 31. The first 5" and second 6" fan arrangements also comprises a flow channel 32 and 33 splitting the air flow into the first and second air flows 11 and 12 with different flow directions that in the illustrated example are opposite. Figure 7 illustrates a third embodiment of an apparatus 41. The embodiment of Figure 7 is similar as the one explained in connection with Figure 1 except for the location of the second fan 8. In the embodiment of Figure 7 the second fan is arranged close to the second outlet 16 where it sucks air through the second inlet 15 and pushes it further through the second outlet. Figure 8 illustrates a third embodiment of an apparatus 51. The embodiment of Figure 8 is similar as the one explained in connection with Figure 1 except for the location of the first fan 7. In the embodiment of Figure 8 the first fan is arranged close to the first outlet 10 where it sucks air through the first inlet 9 and pushes it further through the first outlet 10. In the illustrated examples and in the above explanations, two air flows cooling different parts of the same cooling elements are illustrated. However, more than two air streams cooling different parts of the same cooling elements can naturally be utilized. Also in this case the flow directions of the different air flows are advantageously different. It is to be understood that the above description and the accompanying figures are only intended to illustrate the present invention. It will be obvious to a person skilled in the art that the invention can be varied and modified without departing from the scope of the invention.
1. An electric apparatus (1, 1 ", 41, 51) comprising: at least two cooling elements (4, 4') for cooling electric components (2) by receiving a heat load produced by the electric components (2), and a first fan arrangement (5, 5") for cooling the at least two cooling elements (4) with a first air flow (11), characterized in that the electric apparatus (1, 1 ") comprises a second fan arrangement (6, 6") for cooling the at least two cooling elements (4, 4') with a second air flow (12), the second fan arrangement (6, 6") passes the second air flow (12) in a different flow direction as compared to the first air flow (11), and the first (11) and second air flows (12) are arranged to cool different parts (13, 17) of the at least two cooling elements (4, 4').
2. An electric apparatus (1) according to claim 1, characterized in that the first fan arrangement (5) comprises a first fan (7) and the second fan arrangement (6) comprises a second fan (8). 3. An electric apparatus (1 ") according to claim 1, characterized in that the first and second fan arrangements (5", 6") comprise one single fan (30) generating an air flow (31) and a flow channel (32, 33) splitting the air flow (31) into the first and second air flows (11, 12). 4. An electric apparatus (1, 1 ") according to one of claims 1 to 3, characterized in that one or more intermediate walls (18) extend between the at least two cooling elements (4, 4') for directing the first and second air flows (11, 12) to different parts (13, 15) of the at least two cooling elements (4, 4'). 5. An electric apparatus (1, 1 ") according to one of claims 1 to 4, characterized in that the at least two cooling elements (3) with the respective electric components (2) attached to them are arranged in a series configuration with a distance between the at least two cooling elements (4, 4') and the respective electric components (2) attached to them. 6. An electric apparatus (1, 1 ") according to one of claims 1 to 4, characterized in that the at least two cooling elements (4, 4') with the respective electric components (2) attached to them are arranged in a stacked configuration to contact each other. 7. An electric apparatus (1, 1 ") according to one of claims 1 to 6, characterized in that the at least two cooling elements (4, 4') with the respective electric components (2) are arranged in a component space (14) which is surrounded by walls, and the walls are provided with a first and second inlet (9, 15) and with a first and second outlet (10, 16) for passing the first and second air flows (11, 12) through the component space (14). 8. An electric apparatus (1, 1 ") according to one of claims 1 to 7, characterized in that the at least two cooling elements (4') are two-phase thermosyphons or pulsating heat pipes. 9. An electric apparatus according to one of claims 1 to 8, characterized in that the second fan arrangement (6, 6') passes the second air flow (12) in an opposite flow direction as compared to the first air flow (11).
2818266
A metal cutting turning insert and a turning tool
1
Based on the following detailed description of an invention, generate the patent claims. There should be 16 claims in total. The first, independent claim is given and the remaining 15 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1-3 show a cutting insert 100 according to a first embodiment of the present invention. The cutting insert comprises an insert body 101 which is formed with an upper side 102 defining an upper extension plane U _1 and a lower side 103 defining a lower extension plane L _1, which is parallel to the upper extension plane U _1. A centre axis Z _1 extends perpendicularly through the upper extension plane U _1 and the lower extension plane L _1, with a positive direction defined from the lower extension plane L _1 to the upper extension plane U _1. The terms "higher" and lower" are hereinafter used in relation to the upper extension plane U _1 along the centre axis Z _1. A centre hole 104 extends through the upper side 102 and the lower side 103 in parallel and concentrically with the centre axis Z _1 The upper side 102 and the lower side 103 are formed identically since the cutting insert 100 is double sided and indexable to different index positions. In one index position, an upper cutting edge 106 is cutting, and the upper side 102 forms a chip surface 126. In another index position, a lower cutting edge 127 formed around the lower side 103 is cutting, and the lower side 103 forms a chip surface. The upper side 102 includes corner support surfaces 130 as well as edge support surfaces 131 configured for resting on the support surface of the seat. The support surfaces 130, 131 extends in the upper extension plane U _1. The upper side 102 and the lower side 103 are formed identically, so that also the lower side 103 is formed with corresponding support surfaces extending in the lower extension plane L _1. Thus, in the following, only the upper side 102 will be described in detail, but it is to be understood that the lower side 103 includes all the features of the upper side 102. A clearance surface 105 extends around the periphery of the insert body 101 and connects the upper side 102 and the lower side 103. Between the clearance surface 105 and the upper side 102 the upper cutting edge 106 is formed, extending around the upper side 102. On the upper side 102 extending along the upper cutting edge 106, a land 107 in the form of a bevel is formed. The cutting insert 100 has the general shape of a rhomb with four rounded corners 108, 109, 110, 111 when seen in a top view, i.e. in a direction along the centre axis Z _1, such as in fig. 2. Each corner is designed as cutting corners for machining of a workpiece by means of turning. The cutting insert is formed symmetrically with respect to a plane parallel to and including the centre axis Z _1 and two opposite corners 108, 110. The two opposite corners 108, 110 are formed with an acute nose angle while the two opposite corners 109, 111 are formed with an obtuse nose angle. Only one of the corners 108 will be described in further detail below, since all corners have the same design apart from the nose angle. Reference is now made to fig. 4a and 4b, showing the corner 108 in greater detail, and fig 5a-e, showing cross sections along the lines shown in fig. 4b. In the area of the corner 108, the upper cutting edge 106 forms a primary edge portion 112 and a secondary edge portion 113 formed at an angle towards the primary edge portion 112. The primary edge portion 112 and the secondary edge portion 113 are connected by a nose edge portion 114 with a nose radius r, i.e. a radius of curvature. A bisector Y _1 crossing the centre axis Z _1 cuts the nose edge portion 114 in two symmetrical portions. The corner 108 includes on the upper side 102 a chip former 115, which chip former comprises a nose bottom surface 116, a primary main bottom surface 117, and a secondary main bottom surface 129. The nose bottom surface 116 is formed as a continuous surface with a lowest point lower than the level of the nose edge portion 114, as is clear from fig. 5b. It is formed in the area behind the nose edge portion 114 and the land 107 along the bisector Y1. The primary main bottom surface 117 extends on the inside of the land 107 along the primary edge portion 112. It is formed as a ditch with a lowest level being lower than the level of the primary edge portion 112 as shown in fig. 5e and approximately at the same level as the nose bottom surface 116. In the region between the nose bottom surface 116 and the primary main bottom surface 117, separated from the bisector Y _1 and extending along the primary edge portion 112 on the inside of the land 107, a primary elevated bottom surface 118 is formed. The primary elevated bottom surface 118 has, as shown in fig. 5a and 5c, its lowest level lower than the primary edge portion 112 and the nose edge portion 114, but higher than the lowest point of each of the nose bottom surface 116 and the primary bottom surface 117. In the first embodiment, the primary elevated bottom surface 118 is in the form of a substantially planar surface extending in a main extension plane parallel to the upper extension plane U _1. It has two borderlines 118a, 118b being essentially parallel to the primary edge portion 112. The primary elevated bottom surface 118 thus has an extension in a direction perpendicular to the primary edge portion 112. The primary elevated bottom surface 118 extends from within a nose region 119 of the cutting insert, wherein the nose region 119 is defined as a circular sector with a central nose region angle Φ, centred on the bisector Y _1 and with the nose edge portion 114 as its arc. The nose radius r of the nose edge portion 114 is thus the same as the radius of the circular sector defining the nose region 119. The primary elevated bottom surface 118 extends from within the nose region 119 and to a region outside of the nose region 119 along the primary edge portion 112. The extension of the primary elevated bottom surface 118 is larger in a direction parallel to the primary edge portion 112 than in a direction perpendicular to the primary edge portion 112. Connecting the primary elevated bottom surface 118 and the primary main bottom surface 117 there is a primary intermediate bottom surface 120. The primary intermediate bottom surface 120 is formed as a tapered surface with a width in a direction perpendicular to the primary edge portion 112, which decreases in a direction towards the primary main bottom surface 117. The primary intermediate bottom surface 120 extends in an inclined plane extending from the primary elevated bottom surface 118 to the primary main bottom surface 117. Connecting the nose bottom surface 116 and the primary elevated bottom surface 118 there is a primary connection surface 121. The primary connection surface 121 is here in the form of a curved surface. The chip former 115 further includes a chip forming wall 122 extending in the region between the said bottom surfaces 116, 117, 118, 120, 121 and a chip surface 126 formed at a level slightly below the the upper extension plane U _1. In this embodiment, the upper cutting edge 106 is at the level of the chip surface 126 and the chip forming wall 122 is in the form of a sloping surface. The chip forming wall 122 does therefore not rise above the upper cutting edge 106, as can be seen in fig.5b-e. The chip former 115 is symmetrical with respect to the bisector Y _1. It is thus formed with a secondary main bottom surface 129, a secondary elevated bottom surface 123 as well as a secondary intermediate bottom surface 124 and a secondary connection surface 125 configured in the same way as the primary elevated bottom surface 118, the primary intermediate bottom surface 120 and the primary connection surface 121. A corner 208 of a cutting insert 200 according to a second embodiment of the present invention is shown in fig. 6a-b and fig. 7a-e. The cutting insert 200 according to this second embodiment differs from the cutting insert 100 according to the first embodiment in that it is provided with a chip former 215 with a different design than the chip former 115. The cutting insert 200 is formed with an insert body 201 with an upper cutting edge 206, a clearance surface 205 and a land 207 extending on an upper side 202. An upper extension plane of the cutting insert 200 is defined as the plane in which support surfaces 230 of the cutting insert extends. In the area of the corner 208, the upper cutting edge 206 forms a primary edge portion 212 and a secondary edge portion 213 formed at an angle towards the primary edge portion 212. The primary edge portion 212 and the secondary edge portion 213 are connected by a nose edge portion 214 with a radius of curvature r. A bisector Y _2 crossing a centre axis of the cutting insert 200 cuts the nose edge portion 214 in two symmetrical portions. The corner 208 includes on the upper side 202 the chip former 215, which chip former comprises a nose bottom surface 216, a primary main bottom surface 217, and a secondary main bottom surface 229. The nose bottom surface 216 is formed as a continuous surface with a lowest point lower than the level of the nose edge portion 214, as is clear from fig. 7b. It is formed in the area behind the nose edge portion 214 and the land 207 along the bisector Y _2. The primary main bottom surface 217 extends on the inside of the land 207 along the primary edge portion 212. It is formed as a ditch with a lowest level being lower than the level of the primary edge portion 212 as shown in fig. 7e and approximately at the same level as the nose bottom surface 216. In the region between the nose bottom surface 216 and the primary main bottom surface 217, separated from the bisector Y _2 and extending along the primary edge portion 212 on the inside of the land 207, a primary elevated bottom surface 218 is formed. The primary elevated bottom surface 218 has, as shown in fig. 7a and 7c, its lowest level lower than the primary edge portion 212 and the nose edge portion 214, but higher than the lowest point of each of the nose bottom surface 216 and the primary bottom surface 217. The chip former 215 is symmetrical with respect to the bisector Y _2. It is thus formed with a secondary main bottom surface 229, a secondary elevated bottom surface 223 as well as a secondary intermediate bottom surface 224 and a secondary connection surface 225. In this embodiment, the primary elevated bottom surface 218 is in the form of a substantially planar surface extending in a main extension plane parallel to the upper extension plane. It has two borderlines 218a, 218b being essentially parallel to the primary edge portion 212. The primary elevated bottom surface 218 thus has an extension in a direction perpendicular to the primary edge portion 212. The primary elevated bottom surface 218 is located entirely outside of a nose region 219 of the cutting insert, wherein the nose region 219 is defined in analogy with the nose region 119 previously described. The extension of the primary elevated bottom surface 218 is larger in a direction parallel to the primary edge portion 212 than in a direction perpendicular to the primary edge portion 212. Connecting the primary elevated bottom surface 218 and the primary main bottom surface 217 there is a primary intermediate bottom surface 220 formed in the same way as the primary intermediate bottom surface 120 in the first embodiment. Connecting the nose bottom surface 216 and the primary elevated bottom surface 218 there is a primary connection surface 221 in the form of a curved surface. The chip former 215 further includes a chip forming wall 222 extending in the region between the primary elevated bottom surface 218 and the primary main bottom surface 217 on the one hand, and a chip surface 226 formed at a level slightly below the upper extension plane on the other hand. In this embodiment, the upper cutting edge 206 is at the level of the chip surface 226 and the chip forming wall 222 is in the form of a sloping surface. The chip forming wall 222 does therefore not rise above the upper cutting edge 206, as can be seen in fig. 7c-e. However, in the region behind the nose bottom surface 216 along the bisector Y _2, a protrusion 228 is formed for chip forming at small cutting depths. The protrusion 228 extends above the chip surface 226. In both the shown embodiments, the nose edge portion 114, 214 is located at the same level as the primary edge portion 112, 212 and the secondary edge portion 113, 213 with respect to the upper extension plane. Furthermore, the nose bottom surface 116, 216 and the primary main bottom surface 117, 217 are located at approximately the same level. It is of course also possible to design the cutting insert with e.g. a nose edge portion at a higher level than the primary edge portion. The primary elevated bottom surface 118, 218 is in the shown embodiments located at approximately half the distance between the level of the nose edge portion 114, 214 and the level of the nose bottom surface 116, 216 and the primary main bottom surface 117, 217. A distance d _1 measured in a direction parallel to the centre axis from the lowest point of the elevated bottom surface 118, 218 to the level of the primary edge portion 112, 212 in both shown embodiments coincides with a distance d _3 from the highest point of the elevated bottom surface 118, 218 to the level of the primary edge portion 112, 212. A distance d _2 measured in a direction parallel to the centre axis from the lowest point of the nose bottom surface 116, 216 to the level of the primary edge portion 112, 212 is approximately twice the distance d _1, d _3. It is in both shown embodiments possible to define a circular sector in a plane parallel to the upper extension plane, wherein the circular sector is centred on the bisector Y _1, Y _2 and has the nose radius r of the nose edge portion 114, 214 as its radius and a central angle θ. The central angle θ is chosen such that the nose bottom surface 116, 216 is at least partly located within the circular sector when seen in a top view as in fig. 4b and 6b respectively. The primary elevated bottom surface 118, 218 is in both shown embodiments located in a region outside of this circular sector. The bisector Y _1, Y _2 does thus not cut the primary elevated bottom surface 118, 218 and the secondary elevated bottom surface 123, 223 is separated from the primary elevated bottom surface 118, 218. In the first embodiment, the nose bottom surface 116 is entirely located within the circular sector, since it is located at a distance less than the nose radius r from the nose edge portion 114. In the second embodiment, the nose bottom surface 216 is only partly located within the circular sector. The cutting inserts according to the invention are configured for chip-removing machining of a metallic workpiece 4 by means of turning when mounted in a turning tool 1 such as shown in fig. 8. The tool includes a tool body 2 in the form of a bar and a replaceable cutting insert 3 according to the invention mounted in an insert seat of the tool. The workpiece 4 is rotatable around an axis C and is shown to rotate in a direction R of rotation. A machined, cylindrical surface 5 of the workpiece is schematically shown, with considerable exaggerated wave formations. The distance between the crests of the wave formation corresponds to the feed f of the cutting insert 3. The cutting depth a _p is the cutting depths, i.e. the difference in radius between the machined surface 5 and the unmachined surface 6 as measured perpendicularly to the feeding direction A of the tool. The angle K° is the so-called setting angle, which is the angle between the primary edge portion of the cutting insert and the feeding direction A. The cutting inserts 100, 200 are manufactured from harder material than the tool body, such as hard metal, cemented carbide, ceramics, etc. The tool body may e.g. be manufactured from steel.
1. A cutting insert (100, 200) for turning comprising: an insert body (101, 201), comprising: an upper side (102, 202) defining an upper extension plane (U_1),: a lower side (103) defining a lower extension plane (L_1) being parallel with the upper extension plane (U_1), wherein a centre axis (Z_1) extends perpendicularly through the upper extension plane (U_1) and the lower extension plane (L_1),: a clearance surface (105) extending around the periphery of the insert body (101, 201) connecting the upper side (102, 202) and the lower side (103),: an upper cutting edge (106, 206) formed between the clearance surface (105) and the upper side (102, 202), said upper cutting edge extending around the upper side (102, 202) and forming at least a primary edge portion (112, 212) and a secondary edge portion (113, 213) connected by a nose edge portion (114, 214) such that the secondary edge portion (113, 213) is formed at an angle towards the primary edge portion (112, 212),: a chip former (115, 215) formed on the upper side, said chip former comprising: a nose bottom surface (116, 216) formed behind the nose edge portion (114, 214) along a bisector (Y_1, Y_2) between the primary edge portion (112, 212) and the secondary edge portion (113, 213), said nose bottom surface (116, 216) having a lowest point lower than the level of the nose edge portion (114, 214),: a primary main bottom surface (117, 217) extending along the primary edge portion (112, 212), said primary main bottom surface (117, 217) having a lowest point lower than the level of the primary edge portion (112, 212),: characterised in: that the chip former (115, 215) further comprises a continuous primary elevated bottom surface (118, 218) formed between the nose bottom surface (116, 216) and the primary main bottom surface (117, 217), said primary elevated bottom surface (118, 218) extending along the primary edge portion (112, 212), and said primary elevated bottom surface (118, 218) having a lowest point lower than the level of the primary edge portion (112, 212) but higher than the lowest point of each of the nose bottom surface (116, 216) and the primary main bottom surface (117, 217).
2. The cutting insert according to claim 1, wherein the primary elevated bottom surface (118, 218) is a planar or substantially planar surface. 3. The cutting insert according to claim 1, wherein the primary elevated bottom surface (118, 218) includes chip forming surface structure such as ridges, grooves, protrusions, pits, or the like. 4. The cutting insert according to any of the preceding claims, wherein the primary elevated bottom surface (118, 218) has a main extension plane which is parallel to the upper extension plane (U_1). 5. The cutting insert according to any of claims 1-3, wherein the primary elevated bottom surface (118, 218) has a main extension plane which is inclined with respect to the upper extension plane (U_1). 6. The cutting insert according to any of the preceding claims, wherein the primary elevated bottom surface (118, 218) has at least one borderline (118a, 118b, 218a, 218b) oriented parallel or substantially parallel to the primary edge portion (112, 212), preferably two borderlines (118a, 118b, 218a, 218b) oriented parallel or substantially parallel to the primary edge portion (112, 212). 7. The cutting insert according to any of the preceding claims, wherein the primary elevated bottom surface (118, 218) is located in a region outside of a circular sector centred on the bisector (Y_1, Y_2), the circular sector having the nose radius r of the nose edge portion (114, 214) as its radius and a central angle θ, wherein the central angle θ is chosen such that the nose bottom surface (116, 216) is located at least partly within the circular sector. 8. The cutting insert according to any of the preceding claims, wherein, in a direction parallel to the centre axis (Z_1), the distance d_1 from the level of the primary edge portion (112, 212) to the lowest point of the primary elevated bottom surface (118, 218) is 0.2-0.8 times the distance d_2 from the level of the primary edge portion (112, 212) to the lowest point of the nose bottom surface (116, 216), preferably 0.3-0.6 times and even more preferably 0.4-0.6 times. 9. The cutting insert according to any of the preceding claims, wherein, in a direction parallel to the centre axis (Z_1), the distance d_3 from the level of the primary edge portion (112, 212) to the highest point of the primary elevated bottom surface (118, 218) is 0.2-0.8 times the distance d_2 from the primary edge portion (112, 212) to the lowest point of the nose bottom surface (116, 216), preferably 0.3-0.6 times and even more preferably 0.4-0.6 times. 10. The cutting insert according to any of the preceding claims, wherein the primary elevated bottom surface (118, 218) has its largest extension in a direction parallel to the primary edge portion (112, 212). 11. The cutting insert according to any of the preceding claims, wherein, in a plane parallel to the upper extension plane (U_1) the shortest distance from the primary edge portion (112, 212) to the primary elevated bottom surface (118, 218) is shorter than the shortest distance from the primary edge portion (112, 212) to the nose bottom surface (116, 216). 12. The cutting insert according to any of the preceding claims, wherein the chip former (115, 215) further comprises a chip forming wall (122, 222) extending along the primary edge portion (112, 212) and wherein the primary elevated bottom surface (118, 218) is located between the primary edge portion (112, 212) and the chip forming wall (122, 222). 13. The cutting insert according to any of the preceding claims, wherein the primary elevated bottom surface (118, 218) and the primary main bottom surface (117, 217) are connected by a primary intermediate bottom surface (120, 220) extending between the primary elevated bottom surface (118, 218) and the primary main bottom surface (117, 217) in a plane which is inclined relatively the upper extension plane (U_1). 14. The cutting insert according to any of the preceding claims, wherein the primary elevated bottom surface (118) extends from within a nose region (119) in the form of a circular sector centred on the bisector (Y_1) and with the nose edge portion (114) as its arc. 15. The cutting insert according to any of the preceding claims, wherein the nose bottom surface (116, 216) is located at least partly within a nose region (119, 219) in the form of a circular sector centred on the bisector (Y_1, Y_2) and with the nose edge portion (114, 214) as its arc. 16. A turning tool (1) comprising a tool body (2) and at least one cutting insert (3) according to any of the preceding claims, wherein each of the at least one cutting inserts (3) is detachably mounted in an insert seat of the tool body (2).
2818246
Crushing shell with profiled crushing surface
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Referring to figure 1, a crusher comprises a frame 100 having an upper frame 101 and a lower frame 102. A crushing head 103 is mounted upon an elongate main shaft 107. A first (inner) crushing shell 105 is fixably mounted on crushing head 103 and a second (outer) crushing shell 106 is fixably mounted indirectly at upper frame 101 via an intermediate filler ring 114. A crushing zone 104 is formed between the opposed crushing shells 105, 106. A discharge zone 109 is positioned immediately below crushing zone 104 and is defined, in part, by lower frame 102. A drive (not shown) is coupled to main shaft 107 via a drive shaft 108 and suitable gearing 131 so as to rotate shaft 107 eccentrically about longitudinal axis 115 and to cause head 103 to perform a gyratory pendulum movement and crush material introduced into crushing zone 104. An upper end region 128 of shaft 107 is maintained in an axially rotatable position by a top-end bearing assembly 112 positioned intermediate between main shaft 107 and a central boss. Similarly, a bottom end 129 of shaft 107 is supported by a bottom-end bearing assembly 130. Upper frame 101 is divided into a topshell 111, mounted upon lower frame 102 (alternatively termed a bottom shell), and a spider assembly 113 that extends from topshell 111 and represents an upper portion of the crusher. Shell 106 comprises an annular upper end 121 and opposed lower annular end 122 with a wall 110 extending axially between ends 121, 122. Shell 106 further comprises a radially outward facing mount surface 132 and an opposed radially inward facing crushing surface 125. Similarly, inner crushing shell 105 comprises a radially outward facing crushing surface 117 and an opposed radially inward facing mount surface 118. Crushing zone 104 is defined between the crushing surface 125, 117 of the opposed shells 106, 105 respectively. Outer shell 106 further comprises a first raised upper contact region 126 and a second raised lower contact region 124, the contact regions 126, 124 projecting radially outward from the wall 110 of shell 106 so as to be axially separated and define an annular channel 123 extending circumferentially around shell 106 between upper and lower regions 126, 124. Shell 106 is configured to contact the spacer ring 114 at regions 126, 124. Similarly, inner shell 105 comprises an annular upper end 119 and an opposed annular lower end 120 with a wall 116 extending axially between ends 119, 120. Shell 105 is mounted at head 103 via contact with an axially lower region of mount surface 118 that is seated upon a radially outward facing surface 133 of head 103. Shell 105 further comprises a plurality of wedges 127 that projects radially outward from wall 116 to represent raised ridges at the crushing surface 117. Wedges 127 project radially into crushing zone 104 from crushing surface 117 so as to reduce the volume of the crushing zone 104 at an axially upper region of shell 105 and 106. As illustrated in figure 1, a radial extension of each wedge 127 from axis 115 decreases in the axial direction such that the wedges 127 taper radially inward so as to diminish and effectively terminate approximately axially mid-way between upper and lower ends 119, 120. Referring to figures 2 to 5 inner crushing shell 105 comprises a generally annular configuration extending around axis 115 from upper to lower annular end 119, 120 Shell 106 may be considered to be divided axially into an upper half 201 starting at upper end 119 and a lower half 202 terminating at lower end 120. An axially lowermost region of crushing surface 117 is terminated by an annular edge 215. A lowermost chamfered surface 216 extends axially between edge 215 and the lowermost annular end 120 to allow crushed material to exit crushing zone 104. Wedges 127 are positioned within upper half 201 and extend axially downward from region 212 positioned immediately below upper end 119. Each wedge 127 terminates at a lowermost region 204 at the junction between the upper and lower halves 201, 202. As illustrated in figure 3, shell 106 comprises, according to the specific implementation, five wedges distributed circumferentially around axis 115 and projecting radially outward from wall 116. Each wedge 127 projects radially outward at region 212 to define an upper end surface 203 extending a short distance in a circumferential direction around axis 115. Surface 203 extends a short radial distance from axis 115 and is terminated at its circumferential ends by radial edges 213. Surface 203 is defined at its radially outermost end by curved edge 209 that extends in the circumferential direction around axis 115 where a radius of curvature of edge 209 corresponds to that of upper annular end 119. Each wedge 127 is further defined by a pair of opposed axially extending lengthwise side edges 205. Each side edge 205 extends from each end of edge 209 to terminate at lowermost region 204. A side surface 207 projects rearwardly from each side edge 205 to provide a transition to a channel 200 positioned circumferentially between each neighbouring wedge 127. The edges 205, 213 and side surface 207 collectively define a shoulder extending axially along the lengthwise side of each wedge 127. Each shoulder therefore defines the termination regions of each wedge 127 in a circumferential direction around axis 115. The shoulders 218 of neighbouring wedges 126 accordingly define each channel 200 that is recessed radially relative to each wedge 127. Each shoulder 218 and accordingly each side surface 207 of each wedge 127 are substantially identical such that each channel 200 is substantially identical in shape and configuration at both its lengthwise sides 206. Each side surface 207 comprises a concave curvature so as to provide a smooth transition between the crushing surface 208 of each wedge 127 and the crushing surface 214 of each channel 200. According to the specific implementation, a radial thickness of each wedge 127 is greatest at its axially uppermost region corresponding to an axial position at edge 209. The ' radial thickness' of each wedge 127 is defined with reference to the radial position of the crushing surface 218 at each wedge relative to the radial position of crushing surface 214 at each channel 200. The radial thickness then decreases in the axial direction towards lowermost region 204. That is, a radial distance of surface region 204 is substantially equal to the radial distance at a lowermost surface region 211 of channel 200 (relative to axis 115) where regions 204, 211 are at the same axial position. Additionally, shell 106 comprises a plurality of recesses 219 embedded within the mount surface 118 having a position corresponding to the position directly behind wedges 127. These recesses 219 provides that the shell wall thickness is substantially uniform in the circumferentially direction around the axis. This is advantageous to moderate the cooling rate at the shell wall and eliminate material porosity of the cast shell. Referring to figure 4, the radial distance of the crushing surface 117 relative to central axis 115 of the shell 106 increases and decreases according to an alternating profile in a circumferential direction around the axis at its uppermost half 201. That is, a radial position of the crushing surface 208 at each wedge 127 is greater than a corresponding radial position of the crushing surface 214 at each channel 200 (at the same axial position). According to the specific implementation, a width of each wedge in a circumferential direction around axis 115 is approximately equal to a corresponding width of each channel 200 at the same axial position. As illustrated in figure 5, each wedge 127 represents a raised ridge projecting radially from the radially outward facing surface 214 of each channel 200 within the axially upper half 201 of shell 106. The radially outward facing surface 208 of each wedge 127 represents a component part of the collective crushing surface 117 of shell 106 within region 201. The corresponding surface 214 of each channel 200 also forms a component part of the crushing surface 117 within upper half 201. Surface 208 is substantially concave in the axial direction so as to provide a smooth transition of the radial position of the crushing surface 208 at the lowermost region 204 of each wedge 127 and the lower half 202. Additionally, and as illustrated in figure 5, the radial thickness of each wedge 127 (relative to surface 214) decreases from the region of edge 209 to the lowermost region 204. As stated, this radial thickness of each wedge 127 is represented by the radial difference between the channel surface 214 and wedge surface 208. That is, a radial extension of each wedge 127 from axis 115 is independent of a thickness of the shell wall 116. In particular, the shell wall thickness is substantially uniform in the circumferential direction around axis 115 within the upper region 201. As illustrated, a width in a circumferential direction of surface 208 increases axially downward from upper region 212 to lowermost region 204. Accordingly, an area of side surfaces 207 decreases axially downward from edge 213 to lowermost end 204. Each wedge 127 is substantially symmetrical about a vertically extending plane represented as B-B. That is, a radial extension of each wedge 127 is symmetrical about the plane of B-B. Similarly, a radial extension of the shell wall 116 at the region of each channel 200 is symmetrical about a corresponding vertical plane represented by C-C. Wedges 127 reduce the available volume of the crushing zone 104 between shells 105, 106 above the lower region 202 of shell 106. Wedges 127 are effective to guide material to be crushed into channels 200 and in contact with side surfaces 207 and channel surface 214 being positioned opposed to the crushing surface 125 of outer shell 106. In particular, wedges 127 are effective to control the delivery of the material to be crushed to the lower region of the crushing zone 104 corresponding to the lower region 202 of shell 106. Figure 6 illustrates schematically a section of the crushing zone 104 where line 600 represents the shape profile of crushing surface 125 of shell 106 and line 601 represents the shape profile of the crushing surface 117 of shell 105. Line 602 represents the position of minimum separation between shells 105, 106 as head 103 oscillates about axis 115 according to the gyroscopic procession induced by shaft 108 whilst line 601 illustrates the maximum separation distance. The separation distances in the x and y axes corresponding to directions A and B of figure 1 and are illustrated in 100 mm intervals. An area function at each axial position between surfaces 125, 117 is represented by line 605. A minimum 606 in the area function represents the ' choke point' of conventional crushing shells without directing wedges 127 and this is represented by line 608. According to this conventional configuration, a horizontal bisecting line 607 defines an upper crushing region 603 above choke point 607 and a lower crushing region 604 below choke point 607. The effect of configuring shell 106 with a plurality of circumferentially spaced wedges 127 at upper region 201 is to reduce the area function and this represented by line 609. As will be noted, the choke point is accordingly displaced axially upward in direction A of figure 1. In particular, the upper crushing zone 603 is moved axially upward to extend the axial length of lower crushing zone 604 below the displaced choke zone 611. The inventors have determined via assessment of the crusher dynamics and comparisons with field testing that the crusher capacity is determined by the volume of the choke zone. Importantly, the crusher dynamics assessment has confirmed that most of the crushing in the crusher within zone 104 is due to attrition (being inter-particle crushing). Additionally, material crushed within upper zone 603 is transferred to lower zone 602 by gravity and accordingly there is a mass balance between the crushing zones 603, 604. Consequently, the inventors have identified that the volume of material that is required to be crushed within lower zone 604 is controlled by the choke zone 607. If a compression ratio of material in zone 604 yields a higher force than a predetermined value of the crusher control system, the system will open the crushing zone 104 by effective separation of the shells 105, 106. Accordingly, there are two mechanisms to increase the compression, firstly, the crushing force between regions 600, 601, 602 must be increased or secondly, the volume of material within the lower crushing zone 604 must be decreased. Accordingly, the inventors have identified that the problem of accomplishing reduction within a crusher is due to the fact that as the crusher reduces the crushing gap during gyroscopic procession, the size of the choke zone 607 and the size of the closed crushing zone 604 do not decrease by the same amounts. The result is that a conventional crusher will eventually allow transfer of more material from upper zone 603 to lower zone 604 than can be crushed in the lower zone 604 due to limitations in the available crushing force at this zone 604. The present wedge 127 and channel 200 configuration of shell 106 is effective to decrease the amount of material within upper crushing zone 603 being available to be fed to the lower crushing zone 604. Accordingly, the present shell configuration restricts the volume of material to be crushed at the crushing zone 603 and effectively moves the choke zone 610, 611 axially upward. Accordingly, the choke zone 611 of the subject invention is proportionally smaller than zone 607 of conventional shells so as to balance crushing capacity with an effective increase in reduction. Importantly, wedges 127 do not extend into lower half 202 of crushing surface 117 such that the volume of the lower crushing zone 604 is unchanged relative to a conventional crusher arrangement. Wedges 127 are therefore effective to allow the crusher to be operated at a smaller CSS without having to increase the crushing force. Where the crusher is operated according to a closed crushing circuit (coupled to a downstream screen) an increase in the process capacity is achieved as the size distribution of material exiting the crusher is substantially uniform and within the predetermined reduction range. That is, the need to purge the crusher due to choking is avoided together with the creation of very ' fine ' particulates (due to over crushing within the lower crushing zone 604) being resultant from crusher choking. Figures 7 and 8 illustrate a further embodiment of the subject invention in which the outer crushing shell 106 comprises a plurality of axially extending wedges 127 projecting radially inward from the crushing surface 125. As will be noted wedges 127, shoulders 218 and channels 200 comprise the same geometry and general configuration as described with reference to figures 2 to 5. That is, a radial extension of the wedges 127 decreases from the axially upper region corresponding to upper edge 209 to the axially lowermost region 204. The crushing surface 208 of each wedge 127 is therefore inclined at a greater angle than a corresponding crushing surface 214 of the channels 200 that extend circumferentially between the wedges 127. As detailed previously the wedges 127, in combination with the regions of the channels 200, provide that shell 106 comprises a crushing surface having a radial position relative to axis 115 that increases and decreases according to a uniform alternating profile in the circumferential direction around axis 115. In particular, each wedge 127 is defined by a pair of axially extending side surfaces 207 that represent shoulders 218 defining each channel 200. Each of the left hand and right hand side surfaces 207 are identical to one another such that each wedge 127 is symmetrical about a vertical plane B-B extending axially through the shell wall 110. Similarly, each channel 200 is substantially symmetrical about a vertical plane C-C extending axially through shell wall 110. Each channel 200 is accordingly defined by the pair of opposed side surfaces 207 of the shoulders 218 neighbouring wedges 127. Each side surface 207 comprises a generally wedge-shaped profile having a pointed lowermost end 217 and an uppermost end defined by the leading radial edge 213. As each wedge surface 208 tapers towards the radial position of each channel surface 214 in the axial direction from circumferential edge 209 to the lowermost region 204, side surfaces 207 also decrease in area from the uppermost radial edge 213 to the lowermost and thinnest end 217. Accordingly, a surface area of each side surface 207 that defines, in part, each channel 200 is substantially identical such that each channel 200 is symmetrical about plane C-C. Accordingly, material is directed to flow axially within each channel 200 and is prevented from passing circumferentially outward from each channel 200 by the axially extending shoulders 218. Each wedge 127 therefore acts to guide material to pass axially downward through each channel 200 by representing an obstruction to any circumferential flow of material within each channel 200. In particular, shoulders 218 ensure an axially downward flow of material is maintained and provide a means of guiding and retaining the material flow along each channel 200 from upper end 210 to lower end 211.
1. A gyratory crusher crushing shell (105, 106) comprising: a main body mountable within a crushing zone (104) defined by a frame (111) of a gyratory crusher, the main body extending around a central longitudinal axis (115); the main body having a mount surface (118) for positioning opposed to the frame (111) or a crusher head (103) movably mounted within the crushing zone (104) and a crushing surface (117) to contact material to be crushed, a wall (116) defined by and extending between the mount (118) and crushing surfaces (117), the wall (116) having an axially upper first end (119) and an axially lower second end (120); a plurality of wedges (127) projecting radially at the crushing surface (117) and distributed in the circumferential direction around the axis (115), each wedge (127) extending axially downward from a region of the first end (119); characterised in that: each wedge (127) is terminated in the circumferential direction by a pair of lengthwise shoulders (218); and the shell (105, 106) further comprising a plurality of axially extending channels (200) defined by and positioned in the circumferential direction between the shoulders (218) of opposed wedges (127).
2. The shell as claimed in claim 1 wherein a radial distance of the crushing surface (117) relative to the axis (115) at the axial position of the wedges (127) and channels (200) increases and decreases according to an alternating profile in a circumferential direction around the axis (115). 3. The shell as claimed in claim 2 wherein the wedges (127) extend axially to a region (204) substantially halfway between the first (119) and second (120) ends. 4. The shell as claimed in any preceding claim wherein a radial distance between the crushing surface (117) of each wedge (127) and the crushing surface (117) of each channel (200) decreases in an axially downward direction from the region of the first end (119) to the second end (120). 5. The shell as claimed in any preceding claim wherein the wall comprises a radial thickness that is substantially uniform at a region of each wedge (127) in the axial direction from the region of the first end (119) to the second end (120). 6. The shell as claimed in any preceding claim wherein the crushing surface (117) at the region of each wedge (127) comprises a concave shape profile in the axial direction. 7. The shell as claimed in any preceding claim wherein a radial thickness of each wedge (127) or the wall (116) is substantially uniform in the circumferential direction between the shoulders (218). 8. The shell as claimed in any preceding claim wherein a radial thickness of the wall (116) at each channel (200) is substantially uniform in the circumferential direction between the shoulders (218). 9. The shell as claimed in claim 8 wherein each shoulder (218) comprises an axially extending lengthwise side surfaces (207), each side surface (207) having a tapered shape profile in the circumferential direction to provide a smooth transition with a respective channel (200). 10. The shell as claimed in any preceding claim wherein a width of each channel (200) in the circumferential direction around the axis (115) is substantially equal to a width of each wedge (127) in the circumferential direction around the axis (115). 11. The shell as claimed in any preceding claim wherein a width of each wedge (127) in a circumferential direction around the axis (115) increases in the axial direction from the region of the first (119) to the second (120) end. 12. The shell as claimed in any preceding claim comprising between three to ten wedges (127) distributed circumferentially around the axis (115). 13. The shell as claimed in any preceding claim wherein the shell is an outer crushing shell (106) for positioning opposed to the frame (111) such that the wedges (127) are provided at a radially inward facing surface (125) of the shell (106). 14. The shell as claimed in any preceding claim wherein the shell is an inner crushing shell (105) for positioning opposed to the crushing head (103) and the wedges (127) are provided at a radially outward facing surface (117) of the shell (105). 15. A gyratory crusher comprising at least one crushing shell (105, 106) as claimed in any preceding claim.
2818267
Twist drill for metal machining
1
Based on the following detailed description of an invention, generate the patent claims. There should be 14 claims in total. The first, independent claim is given and the remaining 13 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The present invention relates to a twist drill 10 comprising a shank 12 and a drill body 14 having a drill tip 16 as seen in Figures 1A, 1B, 1C and 1D. The twist drill 10 is preferably a coated twist drill comprising a substrate body, preferably of cemented carbide or high speed steel, and a coating comprising at least one layer or coating 11, depicted by a line in Figure 1C. The number of wear resistant coatings may be one or more. The layer is deposited on a tip area, defined as the area reaching from the tip of the twist drill up to a distance, towards the shank 12, of about 5 to 20 mm, preferably about 10 mm. In one embodiment of the present invention, a first layer is a multilayered structure varying (Ti,Al)N layer. The individual layers of the first and/or second layers comprises metalnitrides where one or more of the metal elements are selected from titanium (Ti), aluminum (Al), silicon (Si), chromium (Cr), niobium (Nb), hafnium (Hf), vanadium (V), tantalum (Ta), molybdenum (Mo), zirconium (Zr), tungsten (W), preferably from titanium (Ti), aluminum (Al), silicon (Si), chromium (Cr). The suitable total thickness of the first and second layer respectively is determined by the chemical composition of the coating as well as by the exact geometry of the drill. It may be advantageous to provide the first and second layers with different colors. The shank and the twist drill body are preferably, at least partly, cylindrical about a longitudinal centre axis CL. The twist drill preferably has a maximum diameter D of from about 3 to about 12 mm, preferably from about 6.0 to about 8 mm. The shank 12 is suitable for insertion into a holder. The twist drill has a total length L. A quota L/D may be chosen within a range of 30 to 50. The drill body 14 has a length which can be divided into two lengths L1 and L2, see Figure 1B. The total length of the twist drill is the shank length plus the lengths L1 and L2. The length L2 may be 2 to 7 times longer than the length L1. The twist drill tip or forward end 16 may have two identical cutting segments 18 and may have two identical flutes 20. The cutting segments 18 and flutes 20 are equally spaced circumferentially about the longitudinal centre axis or axis of rotation CL. The axis of rotation thus defines a forward-to-rear direction of the twist drill 10, with the twist drill tip 16 being at the forward end. Two flutes 20 extend helically from the tip 16 to an end 20A in the vicinity of the shank 12. A typical value for the helix angle 24 in the axial mid region of the twist drill body 14 is 20° to 30°. Two lands 22 are created when the flutes 20 are produced in the drill blank, which lands also extend helically. The lands 22 bridge the flutes 20 in the circumferential direction of the twist drill. Each cutting segment 18 has a first segment relief surface 26, which extends radially outwardly, away from the axis of rotation CL to a peripheral surface of the land 22 and may have a second segment relief surface 27. The first segment relief surface 26 forms a first relief surface and the second segment relief surface 27 forms a second relief surface. A chisel edge 28 is located at the front end of the twist drill 10. The chisel edge 28 has a length of 0.25 to 0.40 mm. The chisel edge 28 is defined by two chisel sub-edges 30. Each chisel sub-edge 30 is formed by intersection of an adjacent segment relief surface 26 and a gash 32. The gash 32 extends axially about halfway to a line intersecting the radially outer ends of the main cutting edges 34 in a view like Figure 1C. The flute 20 meets the relief surface 26 at a main cutting edge 34. The main cutting edge is shown partly concave but may be straight or convex, and the main cutting edges may approximately be parallel with each other. The two main cutting edges form an obtuse point angle 39 of 120 to 150°, preferably about 130 to 140°. An intermediate cutting edge 35 may form a transition area between the chisel sub-edge 30 and the main cutting edge 34. The intermediate cutting edge 35 may be substantially straight and connects to the chisel sub-edge 30 and the main cutting edge 34 via radii. An extension of the intermediate cutting edge 35 may intersect the periphery of the twist drill at or close to the first margin 46 at an opposed side of the chisel edge 28 when viewed in an end view like in Figure 1D. The gash 32 has first and second gash surfaces 36, 38. The first and second gash surfaces 36, 38 may be perpendicular to each other, or form an obtuse angle of preferably 91 to 93°. The first gash surface 36 may be planar. The first gash surface 36 meets the first segment relief surface 26 at the chisel sub-edge 30. The second gash surface 38 may connect to the second segment relief surface 27, and may be planar or concave, and may connect to a chip flute 20. The first and second gash surfaces 36, 38 meet at a line or curve FGR which substantially forms an axial web thinning angle GAA with the centre axis CL. In accordance with some embodiments, the angle GAA may be in the range of 40 to 50°, preferably 42 to 46°, and most preferably about 44°. In case the line is a curve FGR, it is approximated by a line intersecting the end points thereof to define the angle GAA together with the centre axis CL. The angle GAA of for example about 44° together with a web or core diameter of 0.4xD at the tip 16 creates a small, easy movable chip. The web or core diameter of 0.4xD is measured closest to the tip 16 without being affected by the gashes 32. The web thickness is here defined as the thickness of the web, in an end view, being affected by the gashes 32. The web thickness may be 0.12 to 0.18 mm. In the twist drill, a back taper of web or core diameter may be applied. The web or core is the metal column, shown in phantom in Figure 1A, which separates the flutes 20. The web diameter DW may contract continuously in direction from the tip 16 or a tip side 40 towards the shank within an axial distance L1. The web diameter DW may contract continuously as 0.33 to 0.35 mm per 100 mm. The web diameter DW changes according to the formula -0.0047 x X, wherein X equals the distance from the tip side 40. The web diameter DW at the tip side 40 maybe for example, for twist drill diameter of 6.5 mm, 0.4xDW= 2.6 mm which changes to 0.364DW mm (0.4x6.5 - 0.0047x50=0.364DW mm), i.e. about 2.37 mm at 50 mm from the tip side 40. For a 30xD, for a twist drill diameter of 6.5 mm, it means 0.25xD at length L1. The cut chips will therefore have more space in the chip flutes 20 as they travel away from the tip side 40. This provides less hole wall wear and less cutting forces. The back taper ends at length L1 and transfers into a cylindrical core or web with a constant diameter DC along most of the length L2. The axially inner end 20A of the flute 20 may be shallower than the rest of the flute 20 to avoid sudden dimensional changes. In the twist drill, a back taper of the twist drill outer diameter D may also be applied. Back taper of the twist drill diameter D may be 0.33 to 0.35 per 100 mm within the range of the length L1, such as for the 50 first mm from the tip side 40. The twist drill outer diameter D is substantially constant axially rear of the discussed outer back taper. Each land 22 comprises a helical recess 44 extending within the range of the length L1, such as for the 50 first mm from the tip side 40. Each recess 44 creates a first margin 46 and a second margin 48 in the land 22 for supporting the drill in the hole. The terms "leading" and "trailing" refer to a rotational direction R of the twist drill. All margins are preferably located on a common circle in a given axial cross-section and are preferably coaxial with the longitudinal centre axis CL. The new drill has four-facet point geometry for good centering capability. The double margins of the twist drill not only have influence on the guiding functions, but may also reduce the cutting torque. The chip flutes 20 are preferably polished to a surface fineness Ra of more than 0.001 but less than 0.1 µm, preferably to the range of 0.030 to 0.055 µm along at least at 80% of the axial extension of the flute from the tip end. Such surface fineness makes flow of chips and liquid uninterrupted. The twist drill 10 is provided with holes 42 for fluid opening in the tip 16 or in the relief segments 27 for transport of fluid to wash away chips and to cool and lubricate the twist drill. Figure 2 is a graph comparing a long twist drill according to an aspect of the present invention with a prior long twist drill ("Old generation"). Two drills of each drill type were tested in a drilling operation during the following cutting conditions: Work piece material: SS-2541 SECO group 5, axial drilling in a rod with a diameter of 140mm. Operation: Drilling with drill diameter of 6.5 mm and hole depth of not more than 225mm. Cutting conditions: - Cutting speed Vc [m/min] = 80 - Feed per revolution [mm/rev]= 0.15 - Wet conditions with coolant emulsion ∼12%, internal coolant. The total length L of the new twist drill, or the twist drill according to an aspect of the present invention, is 279 mm and the old generation twist drill length is 279 mm. The test set-up was identical for both drill types. The drills drilled 34.6xD deep. Both drill types were coated by ten layers of TiAIN and then one layer of TiN within the L1 area. Relevant features of the two different drill types are shown in the table below. [TABLE 1] Drilling cycle: All test drillings were made using predrilled holes or pilot holes with diameter 6.6 mm and 20 mm hole depth to reduce risks for drill breakage. One drilling cycle: 1. Spindle start n=100 rpm 2. Go down to 16mm with f=295 [mm/min] (which is 50% of full drilling feed) 3. Start spindle n=3920 rpm 4. Internal coolant start 5. Full depth drilling 6. Go back -1mm 7. Reduce spindle speed to 100 rpm 8. Stop coolant 9. Go back to 5mm above material The results can be seen in Figure 2. The spindle power needed for drilling as shown is the average of 10 holes drilled by each type of drill. The power requirement average at depths of more than (>) 16xD was 1320 W for the new twist drill and 1580 W for the old generation drill, i.e. the new twist drill required about 16% less spindle power. The provision of a largest web diameter DW at the tip 16 compacts the chips more than old generation drills do, such that the spring back or rebound of each chip will require less space to travel in the flutes. On the other hand, the new twist drill will require relatively more spindle power initially as can be seen in Figure 2 before reaching breakeven with the old generation drills at about 50 mm in drilled depth. The required spindle power is substantially constant, between 1300 and 1350 W, for the new twist drill to a large extent due to favourable chip transport. The old generation twist drills exhibit varying spindle power, between 1440 and 1900 W, probably due to chip flow disturbances at depths of more than about 50 mm. It is evident that the combination of features in a twist drill according to the present invention provides numerous advantages such as excellent hole quality, lower power requirements, less noise, long consistent tool life and smaller chips than old generation twist drills.
1. A twist drill comprising a shank (12) and a drill body (14) having a drill tip (16), and having a length (L) and an outer diameter (D), and comprising a cemented carbide or high speed steel substrate, and a coating comprising at least one layer (11), wherein the quota L/D is at least 16, the twist drill having two cutting segments (18) at the tip (16) and two chip flutes (20), the twist drill 10 being provided with holes (42) for fluid opening in the tip (16), wherein the twist drill has an axial web thinning angle (GAA), characterized in that the axial web thinning angle (GAA) is 40 to 50°, preferably 42 to 46°, and most preferably about 44°.
2. The twist drill of claim 1, wherein the twist drill has a web which back tapers such that a web diameter (DW) gets smaller in direction away from the tip (16) towards the shank (12) within an axial distance (L1). 3. The twist drill of claim 2, wherein the web diameter (DW) contracts continuously as 0.33 to 0.35 mm per 100 mm. 4. The twist drill of claim 1, 2 or 3, wherein the chip flute (20) has a surface fineness Ra of more than 0.001 but less than 0.1 µm, preferably in the range of 0.03 to 0.055 µm, along at least at 80% of the axial extension of the flute. 5. The twist drill of claim 1, 2, 3 or 4, wherein the layer (11) is deposited on a tip area, defined as the area reaching from the tip of the twist drill up to a distance, towards the shank (12), of about 5 to 20 mm, preferably about 10 mm. 6. The twist drill of claim 2 or 3, wherein the back taper ends at the axial distance L1 and transfers into a cylindrical web with a constant diameter (DC). 7. The twist drill of anyone of claims 1-6, wherein the outer diameter (D) back tapers such that it is smaller in direction away from the tip (16) towards the shank (12) within the axial distance (L1). 8. The twist drill of claim 7, wherein the outer diameter (D) contracts continuously as 0.33 to 0.35 mm per 100 mm. 9. The twist drill of claim 8, wherein the back taper ends at the axial distance L1 and transfers into a cylinder with a constant diameter (DC). 10. The twist drill of claim 5, wherein a first layer having a multilayered structure covers only a tip area of the drill, and a second layer having a multilayered structure covering the first layer at only a tip area of the drill. 11. The twist drill of claim 5 or 10, wherein the first layer and second layers are comprised of metalnitrides where metal elements are selected from titanium (Ti), aluminum (Al), silicon (Si), chromium (Cr), niobium (Nb), hafnium (Hf), vanadium (V), tantalum (Ta), molybdenum (Mo), zirconium (Zr), tungsten (W), and mixtures thereof. 12. The twist drill of claim 1, wherein the quota L/D is chosen within the range of 30 to 50. 13. The twist drill of anyone of claims 1-12, wherein a chisel edge (28) is located at the front end of the twist drill (10), said chisel edge having a length of 0.25 to 0.40 mm. 14. The twist drill of anyone of claims 1-13, wherein the web thickness is 0.12 to 0.18 mm.
2818033
Cutter and rotary support head
1
Based on the following detailed description of an invention, generate the patent claims. There should be 7 claims in total. The first, independent claim is given and the remaining 6 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows as a lawn trimmer as one embodiment of a vegetation cutter 10. Alternative vegetation cutters can be brush cutters or lawn mowers. The vegetation cutter 10 can be used to cut vegetation such as brushes but also to trim or mow lawn. The vegetation cutter 10 comprises a drive unit 12. A vegetation cutting assembly 14 is mounted to the drive unit 12 of the vegetation cutter 10. The vegetation cutting assembly 14 is detachably attached to the vegetation cutter 10 but can also be non-detachably attached. The vegetation cutting assembly 14 comprises one cutter 16 and a rotary support head 18. The cutter 16 is pivotally and detachably mounted to the rotary support head 18. Instead of one cutter 16 there can also be two, three, four, five, six or more cutters 18 mounted to the rotary support head 18. Referring to Figure 2-4, the main portions of the cutter 10 are a mounting portion 20 for mounting the cutter to the rotary support head 18 of the vegetation cutting tool 10 and a blade portion 22 for cutting vegetation. The mounting portion 20 has a longitudinal axis 24. The longitudinal axis 24 is also a pivot axis for the cutter 16. The thickness of the blade portion 22 in the longitudinal direction - which means in the direction along the longitudinal axis 24 - is between 1,5 and 2,5 mm, preferably 2 mm. The blade portion 22 extends radially away from the longitudinal axis 24 and has a first side 26. When the vegetation cutter 10 is used the first side 26 is normally facing the vegetation to be cut. Opposite from the first side 26 the cutter has a second side 28. The first and second side 26, 28 are at least substantially at a right angle to the longitudinal axis 24. The first and second side 26, 28 are generally flat but can also be rounded. The blade portion 22 has a cutting edge 30 and a trailing edge 32. The cutting edge 30 has a convex radius 34 of between 250 and 270 mm, preferably 260 mm. The trailing edge 32 has a concave radius 36 of between 240 and 260 mm, preferably 250 mm. Alternatively, the convex radius 34 can be of between 195 and 215 mm, preferably 206 mm, and the concave radius 36 of between 190 and 210 mm, preferably 200 mm. One or both edges 30, 32 can be serrated. One or both edges 30, 32 can also be straight or have a different shape such as a wave shape. The shape with the radiuses however is preferred. The mounting portion 20 comprises a first mounting section 38 and a second mounting section 40 for radially supporting the cutter 16 when mounted to the rotary head 18. The first mounting section 38 has the shape of a cylinder. Therefore, a first outer circumference 42 of the first mounting section 38 extends axially away from first side 26 of the blade portion 22. The first mounting section 38 has a first outer diameter 44. The first outer diameter 44 has a maximum of 14,1 mm and is preferably between 13,7 and 14 mm. The second mounting section 40 also the shape of a cylinder. It has a second outer circumference 46 which axially extends away from the second side 28 of the blade portion 22 and has a second outer diameter 48. The second diameter 48 has a maximum of 9,4 mm and is preferably between 9 and 9,3 mm. According to the invention the first diameter 44 is bigger than the second diameter 48. It is preferred if the first diameter 44 is between 30% and 70% bigger than the second diameter 46. It is yet further preferred if the first diameter 44 is between 45% and 55% bigger than the second diameter 46. The height of the first and second mounting sections 38, 40 above the first and second side 26 and 28 respectively is preferably 3 mm. A range of between 2,5 and 3,5 mm is also sufficient. Instead of the shape of a cylinder the first and second mounting sections 38, 40 can also have the shape of cones or one section can have the shape of a cone and the other the shape of a cylinder. It is also possible that at least one of the mounting seats 28, 40 has an outer circumference with one or more stepped diameters. Therefore it is according to the invention that one diameter of one mounting seat is bigger than the diameter of another mounting seat. The mounting portion 20 has radially outwardly extending areas 50 on the first and second side 26, 28 of the blade portion 22 for axially supporting the cutter 16 when mounted to the rotary support head 18. Preferably, the areas 28 extend at least 2 mm beyond the first diameter 44 of the first mounting section 38. Referring to Figure 4, the first mounting section 38 has a recess 52 extending from the end 54 of the first mounting section 38 which faces away from the cutter 16 into the direction of the second mounting section 40. The recess 52 has an inner diameter 56 of between 5 and 7 mm. In addition the recess 52 can comprise radially and axially extending slots 58 that are arranged on the circumference of the recess 52. Finally, the maximum extension of the cutter 16 in a plane perpendicular to the longitudinal axis of the cutter is between 80 and 110 mm, preferably between 95 and 100 mm. Alternatively the maximum extension is between 110 and 130 mm, preferably between and 115 and 125 mm. The cutter 16 is preferably made from plastic. Referring to Figure 5-9, the rotary support head 18, which in the following description may only be referred to as the support head 18 or head 18, has generally the shape of a round and flat disk. The diameter is bigger than the height. However, the head 18 can have the shape of a cylinder with a height bigger than the diameter. It can have a shape different from the round shape shown. The head 18 comprises a mounting seat 60 for mounting the mounting portion 20 of the cutter 16. The mounting seat 60 has a longitudinal axis 62. When mounted to the head 18 the longitudinal axis 62 of the mounting seat 18 and the longitudinal axis 24 of the cutter 16 are aligned, thus enabling the cutter 16 to pivot when the vegetation cutter 10 is used. The head 18 further comprises one radial blade opening 64 for the blade portion 22 of the cutter 16. The blade opening 64 radially extends away from the mounting seat 60 and the longitudinal axis 62 towards the outer circumference 66 of the head 18. The blade opening 64 has a first side 68 and a second side 70 opposite from the first side 68. The distance 72 between the two sides 64, 70 corresponds to the thickness of the blade portion 22. This means the distance is approximately between 1,5 and 2,5 mm, preferably 2 mm, however preferably with a small gab between the sides 68, 70 and the blade portion 22 of the mounted cutter 16. The longitudinal axis 62 is at least substantially at a right angle to the first side 68 and second side 70. The radial blade opening 64 is formed in a blade pocket 73 with radially extending first and second side walls portions 74, 76. The first wall portion 74 corresponds with the first side 68. The first wall portion 74 is flush with an outer ring section 78 of the head 18. The outer ring 78 is adjacent the outer circumference 66. The fist wall portion 74 can also be raised from the outer ring section 78. The second wall portion 76 corresponds with the second side 70. It is raised above the first wall portion 74 and the outer ring section 78. The mounting seat 60 which is arranged in the walls 74, 76 comprises a first mounting seat section 80 and a second mounting seat section 82 for radially supporting the cutter 18 when mounted to the head 18. The first mounting seat 80 is arranged in the first wall 74 and the second mounting seat section 82 is arranged in the second wall 76. The distance between the two mounting seat sections 80, 82 is at least equal to the distance 72. The first mounting seat section 80 has a first inner circumference 84 which axially extends away from the blade opening 64. It is parallel to the longitudinal axis 62 and has a first inner diameter 86 ( Figure 7 ). The first diameter 86 has a minimum of 14 mm and is preferably between 14,5 and 15,5 mm. The second mounting seat section 82 has a second inner circumference 88 which axially extends away from the blade portion 64. It is parallel to the longitudinal axis 62 and has a second inner diameter 90 ( Figure 6 ). The second diameter 90 has a minimum of 9,4 mm and is preferably between 9,5 and 10,5 mm. According to the invention, the first inner diameter 86 is bigger than the second inner diameter 90. It is preferred if the first diameter 86 is between 30% and 70% bigger than the second diameter 90. It is yet further preferred if the first diameter 86 is between 45% and 55% bigger than the second diameter 90. Instead of the diameters 86, 90 being parallel to the longitudinal axis 62 the inner circumference 84 and 88 can have steps or a conical shape. But it is according to the invention that one diameter of one mounting seat section is bigger than the diameter of another mounting seat section. Circumference 84 is reinforced with a first ring segment 92. Circumference 88 is reinforced with a second ring segment 94. In the present embodiment the ring segments 92, 94 are made from metal. It is sufficient if they are a made from a more durable material than the material of the head. At least parts of the circumferences 84, 88 should be reinforced. The ring segments 94, 94 cover an angle of 180°. An angle of at least 90° would be sufficient. But 180° are preferred. The ring segments 92, 94 are non-detachably attached to the head 18. The ring segments 92, 94 are preferably inserts around which the head 18 is injection-moulded. Although one ring segment 92 or 94 can be sufficient, two are preferred. The ring segments 92, 94 are arranged in the wall portions 74, 76 between the longitudinal axis 62 and the outer circumference 66. The mounting seat section 80 has a first opening 96 towards the centre 100 of the head 18. The width of the opening 96 is smaller than the diameter 86 of the first mounting seat section 80. The mounting seat section 82 also has a second opening 98 towards the rotational axis 100 of the head 18. The width of the opening 98 is smaller than the diameter 86, 90 of the respective mounting seat section 80, 82. The second opening 98 of the second mounting seat section 82 opens into an axially extending hole 102 in the second wall section 76 or the head 18 respectively. The hole 102 is wider than the second mounting seat section 82. The first opening 96 of the first mounting seat section 80 opens into an axial recess 104 of the head 18 via an insertion recess 106 for inserting a cutter 16 from the centre of the head 18 radially outwardly. The insertion recess 106 is wider than the first mounting seat section 80. The insertion recess 106 comprises two insertion ramps 108, 110 whose height over the bottom of the insertion recess 106 increases towards the centre of the head 18. The ramps 108, 110 form a radially extending gap 112 which widens towards the centre of the head 18. The width 114 of the gap 112 corresponds to the second diameter 90. The gap 112 therefore corresponds to the second outer diameter 48 of the second mounting section 40. In this way, the cutter 16 can only be inserted with the second mounting section 40 inserted through the gap 112. The head 18 comprises a central mounting section 116 around the rotational axis 100 with a mounting area 118 for mounting the head 18 to a vegetation cutter 10. The head 18 also comprises the outer ring section 78 around the central mounting section 116. The first mounting seat section 80 is arranged in the outer ring section 78. The second mounting seat section 82 is arranged in a radially extending wall section 76 of the central mounting section 116. The central mounting section 116 is raised above the outer ring section 78 and an intermediate ring section 120 arranged between the central mounting section 116 and the outer ring section 78. The central mounting section 116 and the intermediate ring section 120 form the axial recess 104. The intermediate ring section 116 forms shoulder 122 on the side of the head 18 where the second seat section 82 or the second wall 76 is located. The shoulder 122 is preferably bent. The blade portion 22 of the cutter 16 can be pivoted into a position in which it does not extend beyond the outer radial circumference 66 of the head 18. The shoulder 122 is axially open in one longitudinal direction so as not to form a pocket where vegetation can get stuck and block so that the blade portion 22 cannot retract behind the outer circumference 66.
1. Cutter for a vegetation cutting tool comprising a mounting portion for mounting the cutter to a rotary support head of the vegetation cutting tool, wherein the mounting portion has at least one longitudinal axis, and at least one blade portion for cutting vegetation, wherein the blade portion radially extends away from the longitudinal axis and has a first side and a second side opposite from the first side, wherein the longitudinal axis is at least substantially at a right angle to the first side and second side, wherein the mounting portion further comprises a first mounting section and a second mounting section for radially supporting the cutter when mounted to the rotary support head, wherein the first mounting section has a first outer circumference which axially extends away from first side of the blade portion and has at least a first outer diameter and wherein the second mounting section has a second outer circumference which axially extends away from the second side of the blade portion and has at least a second outer diameter, characterised in that the first diameter is bigger than the second diameter.
2. Cutter according to claim 1, characterised in that the first diameter is between 30% and 70%, in particular between 45% and 55% bigger than the second diameter, wherein in particular the first diameter has a maximum of 14,1 mm and is preferably between 13,7 and 14 mm, and that the second diameter has a maximum of 9,4 mm and is preferably between 9 and 9,3 mm. 3. Cutter according to one of the preceding claims, characterised in that the mounting portion has radially outwardly extending areas on the first and second side of the blade portion for axially supporting the cutter when mounted to the rotary support head, wherein the areas extend at least 2 mm beyond the diameter of the first mounting section. 4. Cutter according to one of the preceding claims, characterised in that at least the first mounting section has a recess extending from the end of the first mounting section which faces away from the cutter into the direction of the second mounting section and having an inner diameter of between 5 and 7 mm or that at least the first mounting section has a recess extending from the end of the first mounting section which faces away from the cutter into the direction of the second mounting section and having an inner diameter of between 5 and 7 mm and comprising radially and axially extending slots are arranged on the circumference of the recess. 5. Cutter according to one of the preceding claims, characterised in that the height of the first and second mounting sections is between 2,5 and 3,5 mm, preferably 3 mm, and/or that the thickness of the blade in the longitudinal direction is between 1,5 and 2,5 mm, preferably 2 mm. 6. Cutter according to one of the preceding claims, characterised in that the maximum extension of the cutter in a direction perpendicular to the longitudinal axis of the cutter is between 80 and 110 mm, preferably between 95 and 100 mm, or that the maximum extension is between 110 and 130 mm, preferably between and 115 and 125 mm. 7. Cutter according to one of the preceding claims, characterised in that the blade portion has a cutting edge with a convex radius of between 250 and 270 mm, preferably 260 mm, and a trailing edge with a concave radius of between 240 and 260 mm, preferably 250 mm, or that the blade portion has a cutting edge with a convex radius of between 195 and 215 mm, preferably 206 mm, and a trailing edge with a concave radius of between 190 and 210 mm, preferably 200 mm.
2818033
Cutter and rotary support head
2
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows as a lawn trimmer as one embodiment of a vegetation cutter 10. Alternative vegetation cutters can be brush cutters or lawn mowers. The vegetation cutter 10 can be used to cut vegetation such as brushes but also to trim or mow lawn. The vegetation cutter 10 comprises a drive unit 12. A vegetation cutting assembly 14 is mounted to the drive unit 12 of the vegetation cutter 10. The vegetation cutting assembly 14 is detachably attached to the vegetation cutter 10 but can also be non-detachably attached. The vegetation cutting assembly 14 comprises one cutter 16 and a rotary support head 18. The cutter 16 is pivotally and detachably mounted to the rotary support head 18. Instead of one cutter 16 there can also be two, three, four, five, six or more cutters 18 mounted to the rotary support head 18. Referring to Figure 2-4, the main portions of the cutter 10 are a mounting portion 20 for mounting the cutter to the rotary support head 18 of the vegetation cutting tool 10 and a blade portion 22 for cutting vegetation. The mounting portion 20 has a longitudinal axis 24. The longitudinal axis 24 is also a pivot axis for the cutter 16. The thickness of the blade portion 22 in the longitudinal direction - which means in the direction along the longitudinal axis 24 - is between 1,5 and 2,5 mm, preferably 2 mm. The blade portion 22 extends radially away from the longitudinal axis 24 and has a first side 26. When the vegetation cutter 10 is used the first side 26 is normally facing the vegetation to be cut. Opposite from the first side 26 the cutter has a second side 28. The first and second side 26, 28 are at least substantially at a right angle to the longitudinal axis 24. The first and second side 26, 28 are generally flat but can also be rounded. The blade portion 22 has a cutting edge 30 and a trailing edge 32. The cutting edge 30 has a convex radius 34 of between 250 and 270 mm, preferably 260 mm. The trailing edge 32 has a concave radius 36 of between 240 and 260 mm, preferably 250 mm. Alternatively, the convex radius 34 can be of between 195 and 215 mm, preferably 206 mm, and the concave radius 36 of between 190 and 210 mm, preferably 200 mm. One or both edges 30, 32 can be serrated. One or both edges 30, 32 can also be straight or have a different shape such as a wave shape. The shape with the radiuses however is preferred. The mounting portion 20 comprises a first mounting section 38 and a second mounting section 40 for radially supporting the cutter 16 when mounted to the rotary head 18. The first mounting section 38 has the shape of a cylinder. Therefore, a first outer circumference 42 of the first mounting section 38 extends axially away from first side 26 of the blade portion 22. The first mounting section 38 has a first outer diameter 44. The first outer diameter 44 has a maximum of 14,1 mm and is preferably between 13,7 and 14 mm. The second mounting section 40 also the shape of a cylinder. It has a second outer circumference 46 which axially extends away from the second side 28 of the blade portion 22 and has a second outer diameter 48. The second diameter 48 has a maximum of 9,4 mm and is preferably between 9 and 9,3 mm. According to the invention the first diameter 44 is bigger than the second diameter 48. It is preferred if the first diameter 44 is between 30% and 70% bigger than the second diameter 46. It is yet further preferred if the first diameter 44 is between 45% and 55% bigger than the second diameter 46. The height of the first and second mounting sections 38, 40 above the first and second side 26 and 28 respectively is preferably 3 mm. A range of between 2,5 and 3,5 mm is also sufficient. Instead of the shape of a cylinder the first and second mounting sections 38, 40 can also have the shape of cones or one section can have the shape of a cone and the other the shape of a cylinder. It is also possible that at least one of the mounting seats 28, 40 has an outer circumference with one or more stepped diameters. Therefore it is according to the invention that one diameter of one mounting seat is bigger than the diameter of another mounting seat. The mounting portion 20 has radially outwardly extending areas 50 on the first and second side 26, 28 of the blade portion 22 for axially supporting the cutter 16 when mounted to the rotary support head 18. Preferably, the areas 28 extend at least 2 mm beyond the first diameter 44 of the first mounting section 38. Referring to Figure 4, the first mounting section 38 has a recess 52 extending from the end 54 of the first mounting section 38 which faces away from the cutter 16 into the direction of the second mounting section 40. The recess 52 has an inner diameter 56 of between 5 and 7 mm. In addition the recess 52 can comprise radially and axially extending slots 58 that are arranged on the circumference of the recess 52. Finally, the maximum extension of the cutter 16 in a plane perpendicular to the longitudinal axis of the cutter is between 80 and 110 mm, preferably between 95 and 100 mm. Alternatively the maximum extension is between 110 and 130 mm, preferably between and 115 and 125 mm. The cutter 16 is preferably made from plastic. Referring to Figure 5-9, the rotary support head 18, which in the following description may only be referred to as the support head 18 or head 18, has generally the shape of a round and flat disk. The diameter is bigger than the height. However, the head 18 can have the shape of a cylinder with a height bigger than the diameter. It can have a shape different from the round shape shown. The head 18 comprises a mounting seat 60 for mounting the mounting portion 20 of the cutter 16. The mounting seat 60 has a longitudinal axis 62. When mounted to the head 18 the longitudinal axis 62 of the mounting seat 18 and the longitudinal axis 24 of the cutter 16 are aligned, thus enabling the cutter 16 to pivot when the vegetation cutter 10 is used. The head 18 further comprises one radial blade opening 64 for the blade portion 22 of the cutter 16. The blade opening 64 radially extends away from the mounting seat 60 and the longitudinal axis 62 towards the outer circumference 66 of the head 18. The blade opening 64 has a first side 68 and a second side 70 opposite from the first side 68. The distance 72 between the two sides 64, 70 corresponds to the thickness of the blade portion 22. This means the distance is approximately between 1,5 and 2,5 mm, preferably 2 mm, however preferably with a small gab between the sides 68, 70 and the blade portion 22 of the mounted cutter 16. The longitudinal axis 62 is at least substantially at a right angle to the first side 68 and second side 70. The radial blade opening 64 is formed in a blade pocket 73 with radially extending first and second side walls portions 74, 76. The first wall portion 74 corresponds with the first side 68. The first wall portion 74 is flush with an outer ring section 78 of the head 18. The outer ring 78 is adjacent the outer circumference 66. The fist wall portion 74 can also be raised from the outer ring section 78. The second wall portion 76 corresponds with the second side 70. It is raised above the first wall portion 74 and the outer ring section 78. The mounting seat 60 which is arranged in the walls 74, 76 comprises a first mounting seat section 80 and a second mounting seat section 82 for radially supporting the cutter 18 when mounted to the head 18. The first mounting seat 80 is arranged in the first wall 74 and the second mounting seat section 82 is arranged in the second wall 76. The distance between the two mounting seat sections 80, 82 is at least equal to the distance 72. The first mounting seat section 80 has a first inner circumference 84 which axially extends away from the blade opening 64. It is parallel to the longitudinal axis 62 and has a first inner diameter 86 ( Figure 7 ). The first diameter 86 has a minimum of 14 mm and is preferably between 14,5 and 15,5 mm. The second mounting seat section 82 has a second inner circumference 88 which axially extends away from the blade portion 64. It is parallel to the longitudinal axis 62 and has a second inner diameter 90 ( Figure 6 ). The second diameter 90 has a minimum of 9,4 mm and is preferably between 9,5 and 10,5 mm. According to the invention, the first inner diameter 86 is bigger than the second inner diameter 90. It is preferred if the first diameter 86 is between 30% and 70% bigger than the second diameter 90. It is yet further preferred if the first diameter 86 is between 45% and 55% bigger than the second diameter 90. Instead of the diameters 86, 90 being parallel to the longitudinal axis 62 the inner circumference 84 and 88 can have steps or a conical shape. But it is according to the invention that one diameter of one mounting seat section is bigger than the diameter of another mounting seat section. Circumference 84 is reinforced with a first ring segment 92. Circumference 88 is reinforced with a second ring segment 94. In the present embodiment the ring segments 92, 94 are made from metal. It is sufficient if they are a made from a more durable material than the material of the head. At least parts of the circumferences 84, 88 should be reinforced. The ring segments 94, 94 cover an angle of 180°. An angle of at least 90° would be sufficient. But 180° are preferred. The ring segments 92, 94 are non-detachably attached to the head 18. The ring segments 92, 94 are preferably inserts around which the head 18 is injection-moulded. Although one ring segment 92 or 94 can be sufficient, two are preferred. The ring segments 92, 94 are arranged in the wall portions 74, 76 between the longitudinal axis 62 and the outer circumference 66. The mounting seat section 80 has a first opening 96 towards the centre 100 of the head 18. The width of the opening 96 is smaller than the diameter 86 of the first mounting seat section 80. The mounting seat section 82 also has a second opening 98 towards the rotational axis 100 of the head 18. The width of the opening 98 is smaller than the diameter 86, 90 of the respective mounting seat section 80, 82. The second opening 98 of the second mounting seat section 82 opens into an axially extending hole 102 in the second wall section 76 or the head 18 respectively. The hole 102 is wider than the second mounting seat section 82. The first opening 96 of the first mounting seat section 80 opens into an axial recess 104 of the head 18 via an insertion recess 106 for inserting a cutter 16 from the centre of the head 18 radially outwardly. The insertion recess 106 is wider than the first mounting seat section 80. The insertion recess 106 comprises two insertion ramps 108, 110 whose height over the bottom of the insertion recess 106 increases towards the centre of the head 18. The ramps 108, 110 form a radially extending gap 112 which widens towards the centre of the head 18. The width 114 of the gap 112 corresponds to the second diameter 90. The gap 112 therefore corresponds to the second outer diameter 48 of the second mounting section 40. In this way, the cutter 16 can only be inserted with the second mounting section 40 inserted through the gap 112. The head 18 comprises a central mounting section 116 around the rotational axis 100 with a mounting area 118 for mounting the head 18 to a vegetation cutter 10. The head 18 also comprises the outer ring section 78 around the central mounting section 116. The first mounting seat section 80 is arranged in the outer ring section 78. The second mounting seat section 82 is arranged in a radially extending wall section 76 of the central mounting section 116. The central mounting section 116 is raised above the outer ring section 78 and an intermediate ring section 120 arranged between the central mounting section 116 and the outer ring section 78. The central mounting section 116 and the intermediate ring section 120 form the axial recess 104. The intermediate ring section 116 forms shoulder 122 on the side of the head 18 where the second seat section 82 or the second wall 76 is located. The shoulder 122 is preferably bent. The blade portion 22 of the cutter 16 can be pivoted into a position in which it does not extend beyond the outer radial circumference 66 of the head 18. The shoulder 122 is axially open in one longitudinal direction so as not to form a pocket where vegetation can get stuck and block so that the blade portion 22 cannot retract behind the outer circumference 66.
8. Rotary support head for the cutter of a vegetation cutting tool comprising a mounting seat for mounting the mounting portion of a cutter, wherein the mounting seat has at least one longitudinal axis, and at least one radial blade opening for a blade portion of the cutter wherein the blade opening radially extends away from the mounting seat and the longitudinal axis towards the outer circumference of the rotary support head and has a first side and a second side opposite from the first side, wherein the longitudinal axis is at least substantially at a right angle to the first side and second side, the mounting seat further comprising a first mounting seat section and a second mounting seat section for radially supporting the cutter when mounted to the rotary support head, wherein the first mounting seat section has a first inner circumference which axially extends away from the blade opening, is parallel to the longitudinal axis and has a first inner diameter and wherein the second mounting seat section has a second inner circumference which axially extends away from the blade opening, is parallel to the longitudinal axis and has a second inner diameter, characterised in that the first diameter is bigger than the second diameter.
9. Rotary support head according to claim 8, characterised in that the first diameter is between 30% and 70%, in particular between 45% and 55%, bigger than the second diameter, wherein in particular the first diameter has a minimum of 14 mm and is preferably between 14,5 and 15,5 mm, and that the second diameter has a minimum of 9,4 mm and is preferably between 9,5 and 10,5 mm. 10. Rotary support head according to one of claims 8 or 9, characterised in that at least part of the circumferences of at least one of the mounting seat sections is reinforced with a ring segment made from a more durable material than the material of the rotary support head, wherein the ring segment in particularly covers an angle of at least 90°, preferably 180°, and/or wherein the ring segment is non-detachably attached to the rotary support head, wherein the ring segment is preferably an insert around which the rotary support head is injection-moulded. 11. Rotary support head according to one of claims 8 to 10, characterised in that the mounting seat sections each have an opening towards the centre of the rotary support head and that the width of at least one opening is smaller than the diameter of the respective mounting seat section and/or that the opening of the second mounting seat section opens into an axially extending hole in the rotary support head which is preferably wider than the second mounting seat section, and/or that the opening of the first mounting seat section opens into an axial recess of the rotary support head via an insertion recess for radially outwardly inserting a cutter, wherein the insertion recess is wider than the first mounting seat section, wherein the insertion recess preferably comprises two insertion ramps whose height over the bottom of the insertion recess increases towards the centre of the rotary support head and that form a radially extending gap which widens towards the centre of the rotary support head and/or wherein the width of the gap corresponds to the second diameter so that a cutter can only be inserted with the second mounting section inserted through the gap. 12. Rotary support head according to one of claims 8 to 11, characterised in that the rotary support head comprises a central mounting section with a mounting area for mounting the rotary support head to a vegetation cutter, and an outer ring section around the central mounting section, wherein the first mounting seat is arranged in the outer ring section, wherein the second mounting seat is arranged in a radially extending wall section of the central mounting section. 13. Rotary support head according to claim 12, characterised in that the central mounting section is raised above the outer ring section and that an intermediate ring section arranged between the central mounting section and the outer ring section, wherein the central mounting section and the intermediate ring section form an axial recess and/or wherein at least the intermediate ring section forms a in particularly bent, shoulder on the side of the rotary support head where the second seat is located so that the blade portion of a cutter can be pivoted into a position in which it does not to extend beyond the outer radial circumference of the rotary support head, wherein the shoulder is at least partially axially open. 14. A vegetation cutting assembly comprising at least one cutter according to one of claims 1 to 7 and a rotary support head according to one of claims 8 to 13, characterised in that the cutter is pivotally and detachably mounted to the rotary support head. 15. A vegetation cutter comprising at least one vegetation cutting assembly according to claim 14, characterised in that the vegetation cutting assembly is detachably attachable to the vegetation cutter.
2818980
Method and apparatus pertaining to a stylus having a multi-level force-sensitive user interface
1
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The following describes an apparatus and method pertaining to a stylus that is configured for use with a scribing surface. By one approach the stylus comprises a barrel having a scribing nib disposed at one end thereof. The barrel supports at least one multi-level force-sensitive user interface. A control circuit that operably couples to this control surface can be configured to respond differently to each of at least two different levels of applied force as applied to that control surface. So configured, for example, the control circuit can respond to one level of applied force by causing the transmission of a first signal to influence in a first way the scribing interaction between the stylus and the scribing surface and can respond to a second, different level of applied force by causing the transmission of a second, different signal to influence in a second, different way the scribing interaction between the stylus and the scribing surface. A user can manipulate the multi-level force-sensitive user interface (by applying different levels of force thereto) to thereby influence how, for example, the stylus applies electronic ink to the scribing surface. These teachings are highly flexible in practice and will accommodate, for example, a variety of modifications and combinations. As one example, these teachings will readily serve in combination with a stylus having a multi-level force-sensitive scribing nib. In such a case and by one approach the aforementioned control circuit can be configured to temporarily freeze responding to changes in applied force as applied to the multi-level force-sensitive scribing nib while responding to changing levels of applied force to the aforementioned multi-level force-sensitive user interface. So configured, a user can employ a stylus to enter/apply electronic ink to a corresponding scribing surface (such as a stylus-sensing/tracking display) while accommodating and facilitating, for example, dynamic variations to the electronic ink as regards such things as line width, color, texture, pattern, transparency, hue, chroma, and various other stylistic formats and renderings as may be desired. Many users can successfully and creatively employ such a capability with little or no training. For simplicity and clarity of illustration, reference numerals may be repeated among the figures to indicate corresponding or analogous elements. Numerous details are set forth to provide an understanding of the embodiments described herein. The embodiments may be practiced without these details. In other instances, well-known methods, procedures, and components have not been described in detail to avoid obscuring the embodiments described. The description is not to be considered as limited to the scope of the embodiments described herein. Figures 1 and 2 present an example of a stylus 100 that accords at least in part with at least some of these teachings. This stylus 100 includes a barrel 101 that is shaped and sized to be readily grasped and manipulated by at least the average-sized person. This barrel 101 supports (in at least some cases by at least partially containing) a variety of stylus components. In this illustrative example the stylus components include a control circuit 102. Such a control circuit 102 can comprise a fixed-purpose hard-wired platform or can comprise a partially or wholly programmable platform. These architectural options are well known and understood in the art and require no further description here. This control circuit 102 is configured (for example, by using corresponding programming as will be well understood by those skilled in the art) to carry out one or more of the steps, actions, and/or functions described herein. By when approach (when, for example, the control circuit 102 comprises a wholly or partially-programmable component) the control circuit 102 can optionally operably couple to a memory 103. The memory 103 may be integral to the control circuit 102 or can be physically discrete (in whole or in part) from the control circuit 102 as desired. This memory 103 can serve, for example, to non-transitorily store the computer instructions that, when executed by the control circuit 102, cause the control circuit 102 to behave as described herein. (As used herein, this reference to "non-transitorily" will be understood to refer to a non-ephemeral state for the stored contents (and hence excludes when the stored contents merely constitute signals or waves) rather than volatility of the storage media itself and hence includes both non-volatile memory (such as read-only memory (ROM) as well as volatile memory (such as an erasable programmable read-only memory (EPROM).) This stylus 100 has a scribing nib 104 disposed at one end of the barrel 101. (These teachings will readily accommodate having a scribing nib at both ends of the barrel. For the sake of simplicity, however, this description presumes only one scribing nib.) Scribing nibs are well known in the art and include a variety of passive and active assemblies. Generally speaking, the scribing nib physically interacts with a scribing surface. By tracking the location and movement of the scribing nib with respect to the scribing surface, the user can enter a line of rendered electronic ink on a corresponding display. (The display is often one with the scribing surface but other paradigms are known in the art in these regards.) By one optional approach the stylus 100 can further include a force sensor 105 that operably couples to the scribing nib 104 and that serves to detect force being applied to the scribing nib 104 (for example, when applying the scribing nib 104 against the scribing surface during use). Such a force sensor 105 can comprise, if desired, a multi-level force-sensitive sensor 105. So configured, the scribing nib 104 comprises a multi-level force-sensitive scribing nib. In this case, and at least within some corresponding operating range, the force sensor 105 senses the amount of force being applied by the user when scribing with the stylus 100. This sensed force can serve, in turn, to characterize in some predetermined manner the scribing data provided by the stylus 100 to the scribing surface. As one simple example, the force applied by the user when scribing with the stylus 100 can cause the thickness of the corresponding line of rendered electronic ink to vary in a corresponding manner. Scribing nibs and force sensors as employed with scribing nibs comprise a well-understood area of prior art endeavor. Therefore, for the sake of brevity further details in these regards are not presented here. The stylus 100 also includes a wireless transmitter 106 that operably couples to the control circuit 102. This wireless transmitter 106 can serve, for example, to transmit wireless signals 107 representing data developed by the stylus 100 during scribing use. This data can include, for example, nib-force data, stylus-tilt data, and other data as described herein as may be desired. For many application settings it will serve for the wireless transmitter 106 to utilize a radio-frequency carrier. Various short-range methodologies, such as Bluetooth ^™, are well known in the art and will serve well in these regards. These teachings will accommodate other approaches in these regards, however, including but not limited to the use of optical carriers (including infrared light carriers), ultrasonic carriers, and so forth. These teachings also provide for one or more multi-level force-sensitive user interfaces 108. As illustrated in Figure 2, by one approach such a multi-level force-sensitive user interface can comprise a push button if desired. These teachings will readily accommodate numerous other possibilities in these regards as well as desired. As used herein, the expression multi-level force-sensitive user interface will be understood to refer to a control surface that can discretely detect at least two different amounts of applied force (in addition to and beyond detecting the absence of any applied force). Accordingly, the control surface can discretely and separately detect and distinguish between at least a first amount of force by which the user presses such a button and a second, greater amount of force by which the user presses such a button. The number of discrete levels (including both discrete values as well as ranges of discrete values) of applied force that a given control surface will accommodate can vary as desired with the needs and/or opportunities provided by a given application setting. For many application settings, for example, it may suffice to differentiate only between applied forces that are less than a given threshold amount of applied force and applied forces that are greater than that given threshold amount of applied force. In other cases it can be useful to distinguish between, say, three discrete ranges of applied force, five discrete ranges of applied force, and so on. Such a multi-level force-sensitive user interface 108 can be realized via any of a variety of technologies and/or mechanisms/assemblies. By one approach, for example, such a multi-level force-sensitive user interface 108 can comprise a button that is biased away from the barrel 101 and which bears against a piezoelectric component when asserted. The piezoelectric component, in turn, provides an electrical output that varies with the amount of force being applied thereto via the button. Such a multi-level force-sensitive user interface 108 can be located where desired on the barrel 101. In many cases it will serve well if the multi-level force-sensitive user interface 108 is located where a user's finger can readily engage the multi-level force-sensitive user interface 108 even when otherwise holding and scribing with the stylus 100 in ordinary course of usage. Such a stylus 100 can serve in a variety of ways. Figure 3 presents a few illustrative examples in these regards. It will be understood that no particular limitations are intended by way of the specificity of these examples. Per this process 300 the aforementioned control circuit 102 detects, at block 301, various levels of applied force as applied to at least one multi-level force-sensitive user-asserted control surface 108 as described above. As shown in Figure 4, when there is no applied force being applied to the multi-level force-sensitive user-asserted control surface 108 the control circuit 102 will not respond differently to or otherwise modify or influence, for example, a line 401 of electronic ink being then rendered in response to scribing use of the stylus 100. By one approach the aforementioned wireless transmitter 106 may not transmit any date, information, or signal that specifically represents such a lack of an applied force to the multi-level force-sensitive user-asserted control surface 108. By an optional approach, however, and if desired, the control circuit 102 employs the wireless transmitter 106 to transmit a signal (represented in Figure 4 by the number "0") to specifically represent and signify the lack of applied force to the multi-level force-sensitive user-asserted control surface 108. As noted above, if desired the stylus 100 can include a multi-level force-sensitive scribing nib 104. In such a case, this process 300 can provide, at optional block 302 for temporarily freezing transmitting any signals or otherwise responding to changes as regards force being currently applied to that multi-level force-sensitive scribing nib 104. By another approach, the control circuit 102 can multiplex monitoring and/or transmitting data regarding changes in applied force to both the multi-level force-sensitive scribing nib 104 and the multi-level force-sensitive user-asserted control surface 108. Choices in these regards can depend, for example, upon processing capabilities of the control circuit 102, available transmission bandwidth and/or payload capacity of the wireless transmitter 106, user preferences, and so forth as desired. As is further illustrated in Figure 3, per this process 300 the control circuit 102 responds differently to each of at least two different levels of applied force as is applied to the multi-level force-sensitive user-asserted control surface 108. (More than two different levels of applied force, each having a corresponding different response, are represented in Figure 3 by blocks 307 and 308 where "N" represents an integer greater than "2.") For example, upon detecting a first force level at block 303 (which first force level may comprise a discrete amount of force or can, if desired, comprise a range of contiguous discrete force values) the control circuit 102 can respond using response "A" (as denoted at block 304). As a simple illustrative example, and referring to Figure 5, upon detecting a first force level (denoted by reference numeral 501) the control circuit 102 can cause the corresponding transmission of a corresponding signal (represented here by the value "1"). The receiving scribing surface can use that signal to then influence in a corresponding way a currently-rendered line 502 of electronic ink. In particular, per this illustrative example, the line 502 of electronic ink increases in thickness as a direct response to the control circuit 102 detecting the first force level 501 via the multi-level force-sensitive user-asserted control surface 108. Upon detecting a second force level at block 305, the control circuit 102 responds at block 306 using response "B," As a further illustrative example and referring to Figure 6, upon detecting this second force level (represented by reference numeral 601) the control circuit 102 now responds by causing the transmission of a different corresponding signal (in this case the number "2") that the receiving scribing surface employs to further thicken the rendered line 602 of electronic ink. So configured, one or more simple finger-based buttons, sliders, faders, scroll wheels, trackballs, or other multi-level control surfaces readily facilitate the user exerting greater intuitive control over the rendering of a scribed line of electronic ink. By one approach these teachings can serve as a substitute for a force-sensitive scribing nib. Dispensing with a force-sensitive scribing nib, in turn, can help the user avoid applying undue force to the scribing surface itself in a possibly harmful manner. By another approach, these teachings are readily employed in conjunction with a force-sensitive scribing nib. In such a case, forces applied to one user-interface modality can serve to modify changes made in response to forces applied to the other user-interface modality. As one simple example in these regards, force applied to a force-sensitive scribing nib can serve to vary the thickness of a corresponding scribed line of electronic ink while force applied via a multi-level force-sensitive user-asserted control surface can serve to vary the color of a currently-rendered portion of that same scribed line of electronic ink. These teachings are also highly flexible in practice and will accommodate a variety of multi-level force-sensitive user-asserted control surfaces, different number of multi-level force-sensitive user-asserted control surfaces, multi-level force-sensitive user-asserted control surfaces having various shapes and form factors, and so forth.
1. A stylus configured for use with a scribing surface, the stylus comprising: a barrel; a scribing nib disposed at one end of the barrel; at least one multi-level force-sensitive user interface supported by the barrel.
2. The stylus of claim 1 further comprising: a control circuit operably coupled to the multi-level force-sensitive user interface. 3. The stylus of claim 2 wherein the control circuit is configured to respond differently to each of at least two different levels of applied force as applied to the multi-level force-sensitive user interface. 4. The stylus of claim 3 wherein the scribing nib comprises a multi-level force-sensitive scribing nib and wherein the control circuit is configured to temporarily freeze responding to changes in applied force as applied to the multi-level force-sensitive scribing nib while responding to changing levels of applied force to the multi-level force-sensitive user interface. 5. The stylus of claim 2 further comprising: a wireless transmitter operably coupled to the control circuit and at least partially disposed within the barrel. 6. The stylus of claim 5 wherein the control circuit is configured to transmit, via the wireless transmitter, a first signal in response to detecting a first level of applied force via the multi-level force-sensitive user interface and transmitting a second signal that is different from the first signal in response to detecting a second level of applied force via the multi-level force-sensitive user interface, wherein the second level of applied force is different from the first level of applied force. 7. The stylus of claim 6 wherein the scribing nib comprises a multi-level force-sensitive scribing nib and wherein the control circuit is configured to temporarily freeze transmitting signals in response to changes in applied force as applied to the multi-level force-sensitive scribing nib while responding to changing levels of applied force to the multi-level force-sensitive user interface. 8. The stylus of claim 1 wherein the multi-level force-sensitive user interface comprises a button.
2818980
Method and apparatus pertaining to a stylus having a multi-level force-sensitive user interface
2
Based on the following detailed description of an invention, generate the patent claims. There should be 2 claims in total. The first, independent claim is given and the remaining 1 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The following describes an apparatus and method pertaining to a stylus that is configured for use with a scribing surface. By one approach the stylus comprises a barrel having a scribing nib disposed at one end thereof. The barrel supports at least one multi-level force-sensitive user interface. A control circuit that operably couples to this control surface can be configured to respond differently to each of at least two different levels of applied force as applied to that control surface. So configured, for example, the control circuit can respond to one level of applied force by causing the transmission of a first signal to influence in a first way the scribing interaction between the stylus and the scribing surface and can respond to a second, different level of applied force by causing the transmission of a second, different signal to influence in a second, different way the scribing interaction between the stylus and the scribing surface. A user can manipulate the multi-level force-sensitive user interface (by applying different levels of force thereto) to thereby influence how, for example, the stylus applies electronic ink to the scribing surface. These teachings are highly flexible in practice and will accommodate, for example, a variety of modifications and combinations. As one example, these teachings will readily serve in combination with a stylus having a multi-level force-sensitive scribing nib. In such a case and by one approach the aforementioned control circuit can be configured to temporarily freeze responding to changes in applied force as applied to the multi-level force-sensitive scribing nib while responding to changing levels of applied force to the aforementioned multi-level force-sensitive user interface. So configured, a user can employ a stylus to enter/apply electronic ink to a corresponding scribing surface (such as a stylus-sensing/tracking display) while accommodating and facilitating, for example, dynamic variations to the electronic ink as regards such things as line width, color, texture, pattern, transparency, hue, chroma, and various other stylistic formats and renderings as may be desired. Many users can successfully and creatively employ such a capability with little or no training. For simplicity and clarity of illustration, reference numerals may be repeated among the figures to indicate corresponding or analogous elements. Numerous details are set forth to provide an understanding of the embodiments described herein. The embodiments may be practiced without these details. In other instances, well-known methods, procedures, and components have not been described in detail to avoid obscuring the embodiments described. The description is not to be considered as limited to the scope of the embodiments described herein. Figures 1 and 2 present an example of a stylus 100 that accords at least in part with at least some of these teachings. This stylus 100 includes a barrel 101 that is shaped and sized to be readily grasped and manipulated by at least the average-sized person. This barrel 101 supports (in at least some cases by at least partially containing) a variety of stylus components. In this illustrative example the stylus components include a control circuit 102. Such a control circuit 102 can comprise a fixed-purpose hard-wired platform or can comprise a partially or wholly programmable platform. These architectural options are well known and understood in the art and require no further description here. This control circuit 102 is configured (for example, by using corresponding programming as will be well understood by those skilled in the art) to carry out one or more of the steps, actions, and/or functions described herein. By when approach (when, for example, the control circuit 102 comprises a wholly or partially-programmable component) the control circuit 102 can optionally operably couple to a memory 103. The memory 103 may be integral to the control circuit 102 or can be physically discrete (in whole or in part) from the control circuit 102 as desired. This memory 103 can serve, for example, to non-transitorily store the computer instructions that, when executed by the control circuit 102, cause the control circuit 102 to behave as described herein. (As used herein, this reference to "non-transitorily" will be understood to refer to a non-ephemeral state for the stored contents (and hence excludes when the stored contents merely constitute signals or waves) rather than volatility of the storage media itself and hence includes both non-volatile memory (such as read-only memory (ROM) as well as volatile memory (such as an erasable programmable read-only memory (EPROM).) This stylus 100 has a scribing nib 104 disposed at one end of the barrel 101. (These teachings will readily accommodate having a scribing nib at both ends of the barrel. For the sake of simplicity, however, this description presumes only one scribing nib.) Scribing nibs are well known in the art and include a variety of passive and active assemblies. Generally speaking, the scribing nib physically interacts with a scribing surface. By tracking the location and movement of the scribing nib with respect to the scribing surface, the user can enter a line of rendered electronic ink on a corresponding display. (The display is often one with the scribing surface but other paradigms are known in the art in these regards.) By one optional approach the stylus 100 can further include a force sensor 105 that operably couples to the scribing nib 104 and that serves to detect force being applied to the scribing nib 104 (for example, when applying the scribing nib 104 against the scribing surface during use). Such a force sensor 105 can comprise, if desired, a multi-level force-sensitive sensor 105. So configured, the scribing nib 104 comprises a multi-level force-sensitive scribing nib. In this case, and at least within some corresponding operating range, the force sensor 105 senses the amount of force being applied by the user when scribing with the stylus 100. This sensed force can serve, in turn, to characterize in some predetermined manner the scribing data provided by the stylus 100 to the scribing surface. As one simple example, the force applied by the user when scribing with the stylus 100 can cause the thickness of the corresponding line of rendered electronic ink to vary in a corresponding manner. Scribing nibs and force sensors as employed with scribing nibs comprise a well-understood area of prior art endeavor. Therefore, for the sake of brevity further details in these regards are not presented here. The stylus 100 also includes a wireless transmitter 106 that operably couples to the control circuit 102. This wireless transmitter 106 can serve, for example, to transmit wireless signals 107 representing data developed by the stylus 100 during scribing use. This data can include, for example, nib-force data, stylus-tilt data, and other data as described herein as may be desired. For many application settings it will serve for the wireless transmitter 106 to utilize a radio-frequency carrier. Various short-range methodologies, such as Bluetooth ^™, are well known in the art and will serve well in these regards. These teachings will accommodate other approaches in these regards, however, including but not limited to the use of optical carriers (including infrared light carriers), ultrasonic carriers, and so forth. These teachings also provide for one or more multi-level force-sensitive user interfaces 108. As illustrated in Figure 2, by one approach such a multi-level force-sensitive user interface can comprise a push button if desired. These teachings will readily accommodate numerous other possibilities in these regards as well as desired. As used herein, the expression multi-level force-sensitive user interface will be understood to refer to a control surface that can discretely detect at least two different amounts of applied force (in addition to and beyond detecting the absence of any applied force). Accordingly, the control surface can discretely and separately detect and distinguish between at least a first amount of force by which the user presses such a button and a second, greater amount of force by which the user presses such a button. The number of discrete levels (including both discrete values as well as ranges of discrete values) of applied force that a given control surface will accommodate can vary as desired with the needs and/or opportunities provided by a given application setting. For many application settings, for example, it may suffice to differentiate only between applied forces that are less than a given threshold amount of applied force and applied forces that are greater than that given threshold amount of applied force. In other cases it can be useful to distinguish between, say, three discrete ranges of applied force, five discrete ranges of applied force, and so on. Such a multi-level force-sensitive user interface 108 can be realized via any of a variety of technologies and/or mechanisms/assemblies. By one approach, for example, such a multi-level force-sensitive user interface 108 can comprise a button that is biased away from the barrel 101 and which bears against a piezoelectric component when asserted. The piezoelectric component, in turn, provides an electrical output that varies with the amount of force being applied thereto via the button. Such a multi-level force-sensitive user interface 108 can be located where desired on the barrel 101. In many cases it will serve well if the multi-level force-sensitive user interface 108 is located where a user's finger can readily engage the multi-level force-sensitive user interface 108 even when otherwise holding and scribing with the stylus 100 in ordinary course of usage. Such a stylus 100 can serve in a variety of ways. Figure 3 presents a few illustrative examples in these regards. It will be understood that no particular limitations are intended by way of the specificity of these examples. Per this process 300 the aforementioned control circuit 102 detects, at block 301, various levels of applied force as applied to at least one multi-level force-sensitive user-asserted control surface 108 as described above. As shown in Figure 4, when there is no applied force being applied to the multi-level force-sensitive user-asserted control surface 108 the control circuit 102 will not respond differently to or otherwise modify or influence, for example, a line 401 of electronic ink being then rendered in response to scribing use of the stylus 100. By one approach the aforementioned wireless transmitter 106 may not transmit any date, information, or signal that specifically represents such a lack of an applied force to the multi-level force-sensitive user-asserted control surface 108. By an optional approach, however, and if desired, the control circuit 102 employs the wireless transmitter 106 to transmit a signal (represented in Figure 4 by the number "0") to specifically represent and signify the lack of applied force to the multi-level force-sensitive user-asserted control surface 108. As noted above, if desired the stylus 100 can include a multi-level force-sensitive scribing nib 104. In such a case, this process 300 can provide, at optional block 302 for temporarily freezing transmitting any signals or otherwise responding to changes as regards force being currently applied to that multi-level force-sensitive scribing nib 104. By another approach, the control circuit 102 can multiplex monitoring and/or transmitting data regarding changes in applied force to both the multi-level force-sensitive scribing nib 104 and the multi-level force-sensitive user-asserted control surface 108. Choices in these regards can depend, for example, upon processing capabilities of the control circuit 102, available transmission bandwidth and/or payload capacity of the wireless transmitter 106, user preferences, and so forth as desired. As is further illustrated in Figure 3, per this process 300 the control circuit 102 responds differently to each of at least two different levels of applied force as is applied to the multi-level force-sensitive user-asserted control surface 108. (More than two different levels of applied force, each having a corresponding different response, are represented in Figure 3 by blocks 307 and 308 where "N" represents an integer greater than "2.") For example, upon detecting a first force level at block 303 (which first force level may comprise a discrete amount of force or can, if desired, comprise a range of contiguous discrete force values) the control circuit 102 can respond using response "A" (as denoted at block 304). As a simple illustrative example, and referring to Figure 5, upon detecting a first force level (denoted by reference numeral 501) the control circuit 102 can cause the corresponding transmission of a corresponding signal (represented here by the value "1"). The receiving scribing surface can use that signal to then influence in a corresponding way a currently-rendered line 502 of electronic ink. In particular, per this illustrative example, the line 502 of electronic ink increases in thickness as a direct response to the control circuit 102 detecting the first force level 501 via the multi-level force-sensitive user-asserted control surface 108. Upon detecting a second force level at block 305, the control circuit 102 responds at block 306 using response "B," As a further illustrative example and referring to Figure 6, upon detecting this second force level (represented by reference numeral 601) the control circuit 102 now responds by causing the transmission of a different corresponding signal (in this case the number "2") that the receiving scribing surface employs to further thicken the rendered line 602 of electronic ink. So configured, one or more simple finger-based buttons, sliders, faders, scroll wheels, trackballs, or other multi-level control surfaces readily facilitate the user exerting greater intuitive control over the rendering of a scribed line of electronic ink. By one approach these teachings can serve as a substitute for a force-sensitive scribing nib. Dispensing with a force-sensitive scribing nib, in turn, can help the user avoid applying undue force to the scribing surface itself in a possibly harmful manner. By another approach, these teachings are readily employed in conjunction with a force-sensitive scribing nib. In such a case, forces applied to one user-interface modality can serve to modify changes made in response to forces applied to the other user-interface modality. As one simple example in these regards, force applied to a force-sensitive scribing nib can serve to vary the thickness of a corresponding scribed line of electronic ink while force applied via a multi-level force-sensitive user-asserted control surface can serve to vary the color of a currently-rendered portion of that same scribed line of electronic ink. These teachings are also highly flexible in practice and will accommodate a variety of multi-level force-sensitive user-asserted control surfaces, different number of multi-level force-sensitive user-asserted control surfaces, multi-level force-sensitive user-asserted control surfaces having various shapes and form factors, and so forth.
9. A method comprising: by a stylus control circuit: detecting various levels of applied force as applied to at least one multi-level force-sensitive user interface.
10. The method of claim 9 further comprising: responding differently to each of at least two different levels of applied force as applied to the multi-level force-sensitive user interface.
2818980
Method and apparatus pertaining to a stylus having a multi-level force-sensitive user interface
3
Based on the following detailed description of an invention, generate the patent claims. There should be 3 claims in total. The first, independent claim is given and the remaining 2 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The following describes an apparatus and method pertaining to a stylus that is configured for use with a scribing surface. By one approach the stylus comprises a barrel having a scribing nib disposed at one end thereof. The barrel supports at least one multi-level force-sensitive user interface. A control circuit that operably couples to this control surface can be configured to respond differently to each of at least two different levels of applied force as applied to that control surface. So configured, for example, the control circuit can respond to one level of applied force by causing the transmission of a first signal to influence in a first way the scribing interaction between the stylus and the scribing surface and can respond to a second, different level of applied force by causing the transmission of a second, different signal to influence in a second, different way the scribing interaction between the stylus and the scribing surface. A user can manipulate the multi-level force-sensitive user interface (by applying different levels of force thereto) to thereby influence how, for example, the stylus applies electronic ink to the scribing surface. These teachings are highly flexible in practice and will accommodate, for example, a variety of modifications and combinations. As one example, these teachings will readily serve in combination with a stylus having a multi-level force-sensitive scribing nib. In such a case and by one approach the aforementioned control circuit can be configured to temporarily freeze responding to changes in applied force as applied to the multi-level force-sensitive scribing nib while responding to changing levels of applied force to the aforementioned multi-level force-sensitive user interface. So configured, a user can employ a stylus to enter/apply electronic ink to a corresponding scribing surface (such as a stylus-sensing/tracking display) while accommodating and facilitating, for example, dynamic variations to the electronic ink as regards such things as line width, color, texture, pattern, transparency, hue, chroma, and various other stylistic formats and renderings as may be desired. Many users can successfully and creatively employ such a capability with little or no training. For simplicity and clarity of illustration, reference numerals may be repeated among the figures to indicate corresponding or analogous elements. Numerous details are set forth to provide an understanding of the embodiments described herein. The embodiments may be practiced without these details. In other instances, well-known methods, procedures, and components have not been described in detail to avoid obscuring the embodiments described. The description is not to be considered as limited to the scope of the embodiments described herein. Figures 1 and 2 present an example of a stylus 100 that accords at least in part with at least some of these teachings. This stylus 100 includes a barrel 101 that is shaped and sized to be readily grasped and manipulated by at least the average-sized person. This barrel 101 supports (in at least some cases by at least partially containing) a variety of stylus components. In this illustrative example the stylus components include a control circuit 102. Such a control circuit 102 can comprise a fixed-purpose hard-wired platform or can comprise a partially or wholly programmable platform. These architectural options are well known and understood in the art and require no further description here. This control circuit 102 is configured (for example, by using corresponding programming as will be well understood by those skilled in the art) to carry out one or more of the steps, actions, and/or functions described herein. By when approach (when, for example, the control circuit 102 comprises a wholly or partially-programmable component) the control circuit 102 can optionally operably couple to a memory 103. The memory 103 may be integral to the control circuit 102 or can be physically discrete (in whole or in part) from the control circuit 102 as desired. This memory 103 can serve, for example, to non-transitorily store the computer instructions that, when executed by the control circuit 102, cause the control circuit 102 to behave as described herein. (As used herein, this reference to "non-transitorily" will be understood to refer to a non-ephemeral state for the stored contents (and hence excludes when the stored contents merely constitute signals or waves) rather than volatility of the storage media itself and hence includes both non-volatile memory (such as read-only memory (ROM) as well as volatile memory (such as an erasable programmable read-only memory (EPROM).) This stylus 100 has a scribing nib 104 disposed at one end of the barrel 101. (These teachings will readily accommodate having a scribing nib at both ends of the barrel. For the sake of simplicity, however, this description presumes only one scribing nib.) Scribing nibs are well known in the art and include a variety of passive and active assemblies. Generally speaking, the scribing nib physically interacts with a scribing surface. By tracking the location and movement of the scribing nib with respect to the scribing surface, the user can enter a line of rendered electronic ink on a corresponding display. (The display is often one with the scribing surface but other paradigms are known in the art in these regards.) By one optional approach the stylus 100 can further include a force sensor 105 that operably couples to the scribing nib 104 and that serves to detect force being applied to the scribing nib 104 (for example, when applying the scribing nib 104 against the scribing surface during use). Such a force sensor 105 can comprise, if desired, a multi-level force-sensitive sensor 105. So configured, the scribing nib 104 comprises a multi-level force-sensitive scribing nib. In this case, and at least within some corresponding operating range, the force sensor 105 senses the amount of force being applied by the user when scribing with the stylus 100. This sensed force can serve, in turn, to characterize in some predetermined manner the scribing data provided by the stylus 100 to the scribing surface. As one simple example, the force applied by the user when scribing with the stylus 100 can cause the thickness of the corresponding line of rendered electronic ink to vary in a corresponding manner. Scribing nibs and force sensors as employed with scribing nibs comprise a well-understood area of prior art endeavor. Therefore, for the sake of brevity further details in these regards are not presented here. The stylus 100 also includes a wireless transmitter 106 that operably couples to the control circuit 102. This wireless transmitter 106 can serve, for example, to transmit wireless signals 107 representing data developed by the stylus 100 during scribing use. This data can include, for example, nib-force data, stylus-tilt data, and other data as described herein as may be desired. For many application settings it will serve for the wireless transmitter 106 to utilize a radio-frequency carrier. Various short-range methodologies, such as Bluetooth ^™, are well known in the art and will serve well in these regards. These teachings will accommodate other approaches in these regards, however, including but not limited to the use of optical carriers (including infrared light carriers), ultrasonic carriers, and so forth. These teachings also provide for one or more multi-level force-sensitive user interfaces 108. As illustrated in Figure 2, by one approach such a multi-level force-sensitive user interface can comprise a push button if desired. These teachings will readily accommodate numerous other possibilities in these regards as well as desired. As used herein, the expression multi-level force-sensitive user interface will be understood to refer to a control surface that can discretely detect at least two different amounts of applied force (in addition to and beyond detecting the absence of any applied force). Accordingly, the control surface can discretely and separately detect and distinguish between at least a first amount of force by which the user presses such a button and a second, greater amount of force by which the user presses such a button. The number of discrete levels (including both discrete values as well as ranges of discrete values) of applied force that a given control surface will accommodate can vary as desired with the needs and/or opportunities provided by a given application setting. For many application settings, for example, it may suffice to differentiate only between applied forces that are less than a given threshold amount of applied force and applied forces that are greater than that given threshold amount of applied force. In other cases it can be useful to distinguish between, say, three discrete ranges of applied force, five discrete ranges of applied force, and so on. Such a multi-level force-sensitive user interface 108 can be realized via any of a variety of technologies and/or mechanisms/assemblies. By one approach, for example, such a multi-level force-sensitive user interface 108 can comprise a button that is biased away from the barrel 101 and which bears against a piezoelectric component when asserted. The piezoelectric component, in turn, provides an electrical output that varies with the amount of force being applied thereto via the button. Such a multi-level force-sensitive user interface 108 can be located where desired on the barrel 101. In many cases it will serve well if the multi-level force-sensitive user interface 108 is located where a user's finger can readily engage the multi-level force-sensitive user interface 108 even when otherwise holding and scribing with the stylus 100 in ordinary course of usage. Such a stylus 100 can serve in a variety of ways. Figure 3 presents a few illustrative examples in these regards. It will be understood that no particular limitations are intended by way of the specificity of these examples. Per this process 300 the aforementioned control circuit 102 detects, at block 301, various levels of applied force as applied to at least one multi-level force-sensitive user-asserted control surface 108 as described above. As shown in Figure 4, when there is no applied force being applied to the multi-level force-sensitive user-asserted control surface 108 the control circuit 102 will not respond differently to or otherwise modify or influence, for example, a line 401 of electronic ink being then rendered in response to scribing use of the stylus 100. By one approach the aforementioned wireless transmitter 106 may not transmit any date, information, or signal that specifically represents such a lack of an applied force to the multi-level force-sensitive user-asserted control surface 108. By an optional approach, however, and if desired, the control circuit 102 employs the wireless transmitter 106 to transmit a signal (represented in Figure 4 by the number "0") to specifically represent and signify the lack of applied force to the multi-level force-sensitive user-asserted control surface 108. As noted above, if desired the stylus 100 can include a multi-level force-sensitive scribing nib 104. In such a case, this process 300 can provide, at optional block 302 for temporarily freezing transmitting any signals or otherwise responding to changes as regards force being currently applied to that multi-level force-sensitive scribing nib 104. By another approach, the control circuit 102 can multiplex monitoring and/or transmitting data regarding changes in applied force to both the multi-level force-sensitive scribing nib 104 and the multi-level force-sensitive user-asserted control surface 108. Choices in these regards can depend, for example, upon processing capabilities of the control circuit 102, available transmission bandwidth and/or payload capacity of the wireless transmitter 106, user preferences, and so forth as desired. As is further illustrated in Figure 3, per this process 300 the control circuit 102 responds differently to each of at least two different levels of applied force as is applied to the multi-level force-sensitive user-asserted control surface 108. (More than two different levels of applied force, each having a corresponding different response, are represented in Figure 3 by blocks 307 and 308 where "N" represents an integer greater than "2.") For example, upon detecting a first force level at block 303 (which first force level may comprise a discrete amount of force or can, if desired, comprise a range of contiguous discrete force values) the control circuit 102 can respond using response "A" (as denoted at block 304). As a simple illustrative example, and referring to Figure 5, upon detecting a first force level (denoted by reference numeral 501) the control circuit 102 can cause the corresponding transmission of a corresponding signal (represented here by the value "1"). The receiving scribing surface can use that signal to then influence in a corresponding way a currently-rendered line 502 of electronic ink. In particular, per this illustrative example, the line 502 of electronic ink increases in thickness as a direct response to the control circuit 102 detecting the first force level 501 via the multi-level force-sensitive user-asserted control surface 108. Upon detecting a second force level at block 305, the control circuit 102 responds at block 306 using response "B," As a further illustrative example and referring to Figure 6, upon detecting this second force level (represented by reference numeral 601) the control circuit 102 now responds by causing the transmission of a different corresponding signal (in this case the number "2") that the receiving scribing surface employs to further thicken the rendered line 602 of electronic ink. So configured, one or more simple finger-based buttons, sliders, faders, scroll wheels, trackballs, or other multi-level control surfaces readily facilitate the user exerting greater intuitive control over the rendering of a scribed line of electronic ink. By one approach these teachings can serve as a substitute for a force-sensitive scribing nib. Dispensing with a force-sensitive scribing nib, in turn, can help the user avoid applying undue force to the scribing surface itself in a possibly harmful manner. By another approach, these teachings are readily employed in conjunction with a force-sensitive scribing nib. In such a case, forces applied to one user-interface modality can serve to modify changes made in response to forces applied to the other user-interface modality. As one simple example in these regards, force applied to a force-sensitive scribing nib can serve to vary the thickness of a corresponding scribed line of electronic ink while force applied via a multi-level force-sensitive user-asserted control surface can serve to vary the color of a currently-rendered portion of that same scribed line of electronic ink. These teachings are also highly flexible in practice and will accommodate a variety of multi-level force-sensitive user-asserted control surfaces, different number of multi-level force-sensitive user-asserted control surfaces, multi-level force-sensitive user-asserted control surfaces having various shapes and form factors, and so forth.
11. The method of 10 further comprising: temporarily freezing responding to changes in applied force as applied to a multi-level force-sensitive scribing nib while responding to changing levels of applied force to the multi-level force-sensitive user interface.
12. The method of claim 10 wherein responding differently comprises, at least in part, transmitting a first signal in response to detecting a first level of applied force via the multi-level force-sensitive user interface and transmitting a second signal that is different from the first signal in response to detecting a second level of applied force via the multi-level force-sensitive user interface, wherein the second level of applied force is different from the first level of applied force. 13. The method of claim 10 further comprising: temporarily freezing transmitting signals in response to changes in applied force as applied to a multi-level force-sensitive scribing nib while responding to changing levels of applied force to the multi-level force-sensitive user interface.
2821264
Device for limiting the hanging of a rebounded axle of a vehicle and vehicle comprising such device
2
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
With reference to the figures above indicated, the stopping device 1 according to the invention can be easily installed on new vehicles, especially for off-road applications as in the case of military defense vehicles. However the device 1 could be also installed during the upgrading operations of an used vehicle. In any case the stopping device 1 is suitable to fix a lower limit for the hanging of a rebounded axle of the vehicle. For the purpose of the present invention the term "hanging" wants to indicate the distance which is generated substantially between the chassis and a rebounded axle of the vehicle. Clearly in order to assure a correct balance, each rebounded axle requires the installation of at least two stopping device 1 each of which for limiting the hanging between the chassis and a corresponding terminal part of the axles. The following description always refers to a single stopping device 1 for clarity reasons. With reference to the scheme of Figure 1, the stopping device 1 according to the invention comprises at least a stop element 5 which includes a flexible belt 6 and a couple of linking element 7', 7" each of which connected to a corresponding end of the belt 6. For the purposes of the present invention, the term "belt" wants to indicate a flexible strap made of a synthetic material of the kind normally used in industrial application, for example for lifting products or to fix products on trucks. The flexible strap made of a synthetic material could be replaced also by another flexible component. The size of the belt 6, in term of resistant cross section, is chosen as a function of the forces involved, while its length depends mainly on the maximum hanging tolerated for the axle. In the presence of absorbers, such tolerated hanging is preferably chosen so that the hanging stops before the end-stop of the absorbers. The stopping device 1 further comprises first connecting means configured for fixing stably a first linking element 7' of the stop element 5 to the side member 50 of the vehicle and second connecting means configured for fixing stably a second linking element 7" of the stop element 5 to the rebounded axle 3. According to a preferred embodiment of the invention, the first connecting means comprises at least a first bracket 60 suitable to be stably connected to the side member 50 of the vehicle. The first linking element 7' is stably fixed to said first bracket 60, consequently the same first element 7' is stably fixed to the side member 50. Analogously the second connecting means preferably comprise a second bracket 70 suitable to be connected directly or indirectly on the rebounded axle 3. The term "indirectly" wants to indicate a condition for which between the second bracket 70 and the axle is interposed another element, as shown in the embodiments below described with reference to the figures 4-8. The second linking element 7" is stably fixed to the second bracket 70 so that the same second element 7' results connected stably to the axle 3. It has been seen that the use of a stop element 5 comprising a belt 6 made of synthetic material is particularly advantageous since such belt 6 crinkles in the same way and substantially according to a defined direction. In other words the behaviour of the belt is always predictable and/or repeatable. Further, in virtue of the use of the synthetic material, also the damaging of the belt 6 is advantageously avoided. At the same the noise during the use of the stop element 5 is advantageously very low. Figures 2 and 3 are schematizations concerning a preferred embodiment of the invention according to which the stopping device 1 advantageously comprises a first stop element 15 and a second stop element 25. The first stop element 15 comprises a first belt 16 and two linking elements 17',17" each of which connected to a corresponding end of the first belt 16. Similarly the second stop element 25 comprises a second belt 26 and two linking elements 27', 27" each of which connected to a corresponding end of the second belt 26. In the following, a possible and advantageously embodiment of the linking elements 17', 17", 27', 27" of the belts 16,26 is described in greater detail with reference to the figures 9 and 10. The use of two stop elements 15, 25 improves the mechanical strength of the device and makes the same able to support higher loads. On this purpose, in order to further improve the operation of the device, according to a preferred embodiment, at least one of the stop element 15,25 is connected to one of said bracket 60,70 in an adjustable manner so as to regulate the length of the stop element itself. On this purpose, the term "length" means the distance between the fixing points P1,P2 of the linking elements of the stop elements 15,25 to the corresponding brackets 60,70. According to this embodiment of the invention, by adjusting the length of the stop element 15,25 it is possible to split equally the load on the two belts. On this regard, in the schemes of Figures 2 and 3, the reference P1 indicates the connection points of the stop elements 15,25 to the first (upper) bracket 60, while P2 indicates the connection points of the stop elements 15,25 to the lower bracket (second bracket 70). It has been seen, that because of the assembly and production tolerances the two step elements 15,25 could have different lengths. Consequently there could be the risk that the axle load F _axle is intercepted by a sole belt as in the scheme of Figure 2. However, by providing means for adjusting the length of at least one stop element (the second stop element 25 in Figure 3 ), it is possible to assure an equal repartition of the load F _axle between the two stop elements 15,25 as shown in Figure 3. In other words, by means of the length modification performed on at least one of the two stop elements 15,25, the elements 15,25 can surely support the same load corresponding to F _axle /2. In the schemes of Figures 2 and 3, the fixing points P1-P2 of the stop elements 15,25 are indicated with a cross symbol, while the points where the length can be adjusted it is indicated with a circled cross symbol. As shown, the length of the second stop element 25 is preferably adjusted at the lower bracket 70. However alternatively it could be adjusted at the first bracket 60. Further, according to an alternative solution, it is also possible to fix both the stop elements 15,25 to at least one of the brackets 60,70, in an adjustable manner. Figures 4-10 are relative to the installation of a possible embodiment of a stopping device 1 according to the invention on an off-road vehicle for limiting the hanging of a rear rebounded axle 3 of the same vehicle. The profile of the structure of the rear rebounded axle 3 is shown in Figure 4 with thin lines. Such rear axle 3 is missing in the other figures for a better showing of the parts of the stopping device 1. With reference to Figure 4, the stopping device is preferably installed on a vehicle comprising a chassis having a couple of side member 50 according to a typical solution in the field of military vehicles for the transportation of supplies or passengers. As shown in the figures, for each of the axle, especially for the rear axles, the vehicle also comprises a couple of suspension assemblies each of which including load absorber components, for example, air bellows 90 and or shock absorbers 95. Figure 6 shows in detail a possible embodiment of a suspension assembly wherein the air bellows 90 and the shock absorber 95 are mounted on a suspension supporting arm 85 which is connected to the axle 3 by means of a connection assembly 88. More in detail, the arm 85 is arranged below the axle 3 and develops according to the development direction 150 of the side member 50. The air absorbers 90 comprises an upper end 91 connected to a side member 50 and a lower end 93 installed on a terminal part 83 of the suspension supporting arm 85. Similarly also the shock absorber 95 is connected at its upper end 94 to the side member 50 and at its lower end 97 to the arm 88 in a position between a central portion 86 of the arm 85 and one of its terminal part 83. For what concerns the connection assembly 88, it comprises an upper plate 82, configured to be arranged over the axle 3 (see Figure 4 ), and a couple of U-shaped brackets 75 which are bolted to a central portion 86 of the suspension supporting arm 85. As shown in Figure 6, the two brackets 75 are arranged over the upper plate 82 so that its threaded ends protrudes downwards for crossing corresponding holes defined through said central portion 86. For each end of the u-shaped brackets 75, a corresponding closing nut 76 is provided to fix the central portion 86 against the lower part of the axle 3. As shown in the figures 4-8, according to a preferred embodiment, the device according to the invention comprises a first stop element 15 and a second stop element 25 wherein the length of the second stop element 25 is adjustable according to the purposes above indicated. More in detail the linking element 27" of the second stop element 25 is connected to the second bracket 70 by means of adjusting means which allows such modification of the length of the same stop element 25. With reference to Figure 5, the first bracket 60 includes a first supporting wall 61 and a second supporting wall 62 which are substantially parallel each other and mutually separated by a partition space 68. The first bracket 60 is configured to be fixed to the said member 50 so that the supporting walls 61,62 extend outwards according to a direction 130 substantially orthogonal to the development direction 150 of the side member 50. Said first bracket 60 also comprises first fixing means to fix a first linking element 17' of the first stop element 15 in a position adjacent to said first supporting wall 61, preferably outside said partition space 68, and to fix a first linking element 27' of the second stop element 25 to a position adjacent to said second supporting wall 62, also in this case preferably outside said partition space 68. As shown always in Figures 4 and 5, the first bracket 60 preferably comprises also a flat connecting wall 66 from which the supporting walls 61,62 protrudes orthogonally according to an U-shaped configuration. Such connecting wall 66 is preferably connected to a surface of the side member 50 which is faced outwards of the vehicle. As illustrated, the connection is preferably performed by means of bolts. Alternatively the connecting wall 66 could be welded to the side member 50 of the vehicle. As shown in the figures, the first bracket 1 preferably comprises one or more reinforcing elements 69,63 arranged inside the partition space 68 defined between the supporting walls 61, 62. On this regard, the first bracket 60 preferably comprises a bottom wall 69 arranged between the supporting walls 61,62 substantially at their lower edges. The bottom wall 69 increases the stiffness of the bracket 60 in correspondence of the lower edge of the supporting walls. The first bracket 60 preferably comprises also a cylindrical bush 63 arranged between the supporting walls 61,62 above the bottom wall 69 in a position more close to the upper edges of the same walls 61,62. More in detail the bush 63 is arranged so that its longitudinal axis is substantially orthogonal to said supporting walls 61,62. As below indicated, the bush 63 preferably has a through hole for the arrangement of fixing means 32,33 for fixing corresponding linking elements 17', 27' of the stop elements 15, 25 to the supporting walls 61,62. With reference to Figure 5, according to a preferred embodiment the stopping device 1 also comprises a buffer element 71 which is arranged below the bottom 69 outside the partition space 68. The buffer element 71 avoids the impact of the first bracket 60 against the second bracket 70 when the axle 3 moves in approaching the side member 50. With reference to Figure 8, the second bracket 70 comprises a connecting plate 51 which is mechanically connected to the rebounded axle 3 of the vehicle in a position substantially below the first bracket 60 connected to the side member 50. According to a preferred embodiment shown in the figures, the connecting plate 51 is defined in a single body with the upper plate 82 of the connecting assembly. This solution is clearly preferable for new vehicles and it is advantageous because a sole body is provided for two functions (function of the connecting plate 51 and of the upper plate 82). In other words, according to this solution as a matter of fact the upper plate 82 of the connecting assembly 88 is exploited also as "connecting plate 51" of the stopping device according to the invention. Therefore according to such preferred embodiment the connecting plate 51 can be regarded as directly connected to the rebounded axle 3. Alternatively in the case of the upgrading of used vehicles, for example, the two components (51,82) at issue could be carried out independently and subsequently joined. According to such alternative solution (not shown in the Figures) the connecting plate 51 could be fixed, for example by welding, on the upper plate 82 of the connecting assembly 88 above described. Hence in this case the connecting plate 51 would be indirectly connected to the axle 3. According to another possible embodiment, not shown in the Figures, said connecting plate 51 could be fixed directly to the rebounded axle 3 independently from the connecting assembly 88, i.e. in a position different to that of the upper plate 82. With reference again to Figure 8, the device comprises second fixing means to fix the second linking elements 17",27" of the two stop elements 15,25 at opposite portions 73,78 of said connecting plate 51. More in detail, such portions 78,73 are "opposite" along a direction substantially parallel to the longitudinal development direction 150 of the side member of the vehicle, i.e. along a direction transversal to the axle 3. As above indicated according to a preferred embodiment the connecting plate 51 is defined in a single body with the upper plate 82. However, as shown in Figure 8, the connecting plate 51 can be identified in the "upper part" of said single body, while the upper plate 82 of the connecting assembly 88 can be identified in the "lower part" of said single body. Always with reference to Figure 8, an end portion 73 of the connecting plate 51 (i.e. of the upper part of said single body) protrudes with respect to the upper plate 82 (i.e. with respect to the lower part of said single body) of the connecting assembly 88. As below indicated such protruding end portion 73 is used to fix the second linking element 27" of the second stop element 25 in an adjustable manner so as to regulate the length of the same element 25. Figure 10 shows in detail respectively the embodiment of the first stop element 15 shown in the Figures 4-8. As illustrated stop element 15 comprises a first belt 16, a first linking element 17' and a second linking element 17" each of which comprising a body with three sides according to a triangular shape, preferably a isosceles triangular shape. For each of said linking elements 17', 17", a first side 18 of said body is arranged in a loop 26', 26" defined by a corresponding end of the first belt 16, as shown in detail also in Figures 7 and 8. Further for each of said linking elements 17', 17" the body also comprises a cylindrical component 19 which is arranged between the sides defining the triangular shape. Preferably such cylindrical component 19 is arranged at the vertex 14 opposite to the first side 18 of the body arranged in a corresponding loop 26', 26" of the belt 26. For each linking elements 17', 17" such cylindrical component 19 has a through hole 13 suitable to be crossed by a screw element which fixes the linking element 17', 17" to one of the brackets 60,70 of the stopping device, as below indicated. It has to be noted, that for this embodiment, the "length" of the first element 15 corresponds to the distance L between the axis of the trough holes 13 of the rings 19 of the two linking elements 17, 17". Moreover it has been noted, that the particular triangular shape of the linking elements 17',17" is only a possible embodiments. Actually the linking elements could have a different structure. Figure 9 shows in detail respectively the embodiment of the second stop element 25 shown in the Figures 4-8. As illustrated the second stop element 25 comprises a second belt 26, a first linking element 27' and a second linking element 27". The first linking element 27' is configured to be fixed to the first bracket 60 and has a configuration substantially corresponding to that of the linking elements 17', 17" of the first stop element 15. Indeed it comprises a body having a triangular shaped form with a first side 28 arranged in a first loop 36' of the second belt 26. Such body also comprises a ring 29, with a through hole 23, arranged at the vertex 24 of the body opposite to the first side 28. Always with reference to Figure 9, the second linking element 27" is configured for allowing a regulation of the length of the second stop element 25. In particular it comprises a square bend threaded U-bolt comprising a central side 48 is arranged in a loop 36" of the belt defined by a corresponding end of the second belt 26. Said wings 46 are, at least partially, threaded to receive closing nuts 49 as below indicated. Figures 5 and 7 show in detail a possible embodiment of said first fixing means which fix the first linking element 17' of the first stop element 15 and the second linking element 27" of the second stop element 25 to the first bracket 60. Said first fixing means preferably comprises a first fixing element 32, in form of a screw, and a second fixing element 33, in form of a nut. The first fixing element 32 (screw element 32) crosses the through hole 13 of the cylindrical component 19 of the first linking element 17' of the first element 15 and it crosses internally the bush 63. Moreover the screw element 32 also crosses the through hole 13 of the cylindrical component 29 of the first linking element 27' of the second stop element 25 to be finally engaged with the second fixing element 33 (nut element). A closure action exerted on the screw element 32 and/or on the nut element 33 assures a stable connection of the cylindrical component 19 of the first linking element 17' against the first supporting wall 61 and of the cylindrical component 29 of the first linking element 27' against the second supporting wall 62. On this regard, it has to be note that the bush 63 acts as a stiffness element advantageously provided for fixing the screw element 32 to the nut element 33 with the right tightening-torque. In order to allow the arrangement of said fixing element 32,33, the supporting walls 61,62 are provided with suitable through holes arranged coaxially with the longitudinal axis of the bush 63. These through holes allow the passage of the screw element 32 and therefore its connection with the nut element 33. Other alternative embodiments of the first fixing means should be regarded as part of the present invention. For example, according to alternative solution not shown in the figures, the first fixing means could comprise two screw elements each of which suitable to be threaded into corresponding threaded ends of the bush. Figures 8 shows in detail a possible embodiment of said second fixing means which fix the second linking element 17" of the first stop element 15 and the second linking element 27" of the second stop element 25 to the second bracket 70, i.e. to the connecting plate 51. In detail said second fixing means comprises a third screw element 34 which is threaded into a threaded hole (not shown in the figures) defined on the end portion 78 of the connecting plate 51 opposite to the protruding end portion 73 above indicated. For what concerns the connection of the second linking element 27" of the second stop element 25, such connection is performed by inserting each of the wings 46 of said bend threaded U-bolt though a corresponding hole defined across the protruding end portion 73 of the connecting plate 51. For each of said wings 46, the second fixing means comprises a couple of nuts 49 threaded against opposite sides of the end portion 73. The length of the second stop element 25 can be adjusted by regulating the position of such nuts 49 along the threaded wings 46 of the U-bolt. With reference again to Figure 8, it has to be noted that the first fixing means and the second fixing means are arranged so that the two belts 16,26 of the stop elements 15,25 advantageously are faced each other. Moreover each of them is faced to the surface of the corresponding supporting wall 61,62 of the first bracket 60. This arrangement assures a constant behavior of the belts 16,26 during the return phase of the hanging. Indeed it has to be noted that the two belts crinkle always in the same direction and more precisely outwards with respect to reference space comprised between the two brackets 60,70 of the device. The present invention relates also to a vehicle comprising a chassis including a couple of side members 50. Such vehicle comprises at least a rebounded axle 3 and it is characterized in that it comprises a couple of stopping devices according to the invention, each of which for limiting the hanging of a corresponding end part of the axle 3. Many changes, modifications, variations and other uses and applications of the subject invention will become apparent to those skilled in the art after considering the specification and the accompanying drawings which disclose preferred embodiments thereof. All such changes, modifications, variations and other uses and applications which do not depart from the spirit and scope of the invention are deemed to be covered by this invention. Further implementation details will not be described, as the man skilled in the art is able to carry out the invention starting from the teaching of the above description.
2. Stopping device (1) according to Claim 1, characterized in that it comprises a second stop element (25) including a second flexible belt (26), a first linking element (27') and a second linking element (27") each of said linking elements (27', 27") being connected to a corresponding end of said second belt (6) wherein said first connecting means are configured also for connecting stably said first linking element (27') of said second stop element (25) to said side member (50), and wherein said second connecting means are configured also for connecting stably said second linking element (27") of said second stop element (25) to said rebounded axle (3).
3. Stopping device (1) according to claim 1, characterized in that said first connecting means comprise a first bracket (60) and said second connecting means comprises a second bracket (70), and wherein said first linking element (7',17') of said first stop element (5,15) is connected to said first bracket (60) and said second linking element (7",17") of said first element (5,15) is connected to said second bracket (70). 4. Stopping device (1) according to claim 2, characterized in that said first connecting means comprise a first bracket (60) and said second connecting means comprises a second bracket (70), wherein said first linking element (17') of said first stop element (15) and said first linking element (27') of said second stop element (25) are connected to said first bracket (60) by means of first fixing means (32,33,63) and wherein said second linking element (17'') of said first stop element (15) and said second linking element (27") of said second stop element (25) are connected to said second bracket (70) by means of said fixing means (34,49). 5. Stopping device (1) according to claim 3 or 4, characterized in that at least one of said linking elements (17', 17", 27',27") are connected to one of said bracket (60,70) by means for adjusting the length of the corresponding stop element (15,25). 6. Stopping device (1) according to any of the claims 3 to 5, characterized in that said linking elements (17', 17", 27',27") of said stop elements (15,25) are connected to a corresponding of said brackets (60,70) so that the first belt (5) of the first stop element (15) and the second belt (26) of said second belt (25) are faced each other. 7. Stopping device according to any of the claims 4 to 6, characterized in that said first bracket (60) includes a first supporting wall (61) and a second supporting wall (62) which are substantially parallel each other and mutually separated by a partition space (68), said first bracket (60) being configured to be fixed to said side member (50) so that the supporting walls (61,62) extends outwards according to a direction (130) substantially orthogonal to the development direction (150) of said side member (50). 8. Stopping device according to claim 7, characterized in said first fixing means are configured to fix said first linking element (17') of said first stop element (15) in a position adjacent to said first supporting wall (61) and to fix a first linking element (27') of said second stop element (25) in a position adjacent to said second supporting wall (62). 9. Stopping device according to claim 7 or 8, characterized in that said first bracket (60) also comprises a flat connecting wall (66) from which the supporting walls (61,62) protrudes orthogonally according to an U-shaped configuration, said connecting wall (66) being configured to be connected to a surface of the side member (50). 10. Stopping device according to claim 9, characterized in that said first bracket also comprised a bottom wall (69) arranged between said supporting walls (61,62) substantially at their lower edge, said first bracket (60) also comprising a buffer element (71) connected to said bottom wall (69) externally to said partition space (68). 11. Stopping device according to any one of the claims 4-10, characterized in that said second bracket (70) comprises a connecting plate (51) configured to be connected directly or indirectly to said axle (3) in a position substantially below said first bracket (60), said second fixing means being configured to fix the second linking element (17") of said first stop element (15) and the second linking element (27") of said second element (25) at opposite end portions (73,78) of said connecting plate (51), said portions (73,78) being opposite along a direction substantially parallel to the development direction of said side member (50). 12. Stopping device according to anyone of the claims 4-11, characterized in that at least one of said linking elements (17', 17",27') of at least one of said stop elements (15,25) comprises a body having three sides according to a triangular structure, a first side of said body being arranged in a loop (26',26", 36') defined as a corresponding end of said first belt (16), said body further comprising a cylindrical component (19,29) arranged between said sides of said body and having a through hole (13,23) suitable to be crossed by a corresponding fixing element (32,33,34) arranged to fix said at least one linking element to a corresponding bracket (60,70). 13. Stopping device according to anyone of the claims 4-11, characterized in that both the linking elements (17', 17") of said first stop element (15) comprises a body having three sides according to a triangular structure, a first side of said body being arranged in a loop (26',26") defined as a corresponding end of said first belt (16), said body further comprising a cylindrical component (19) arranged between said sides of said body and having a through hole (13) suitable to be crossed by a corresponding fixing element (32,34) arranged to fix each linking element (17', 17") to one of said brackets (60,70). 14. Stopping device according to anyone of the claims 4-13, characterized in that said first linking element (27') of said second stop element (25) comprises a body having three sides according to a triangular shape, a first side (28) of said body being arranged in a loop (36') defined at a corresponding first end of said second belt (26), said body further comprising a cylindrical component (29) arranged between said sides of said body and having a through hole (23) suitable to be crossed by a corresponding fixing element arranged to fix said first linking element (27') to said first bracket (60). 15. Stopping elements according to claim 14, characterized in that in that said second linking element (27") of said second stop element (25) comprises a square bend threaded U-bolt comprising a central side (48) from which two parallel wings (46) develop, said central side (48) being arranged in a loop (36") defined at a corresponding second end of said second belt, said parallel wings (46) being arranged to be inserted in corresponding through holes defined on said second bracket (70) and being at least partially threaded to receive closing nuts (49) for fixing said second linking element (27") to said second bracket (70) in an adjustable manner. 16. Vehicle for the transportation of goods or passengers, especially along off-roads paths, characterized in that it comprises one or more stopping device for limiting the hanging of a rebounded axle according to anyone of the claims 1-15.
2821141
VSI-crusher feed hopper distribution device
1
Based on the following detailed description of an invention, generate the patent claims. There should be 11 claims in total. The first, independent claim is given and the remaining 10 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 illustrates, partly in cross-section, a vertical shaft impact (VSI) crusher 1. A rotor 2 is located inside a housing 4 of the crusher 1. The rotor 2 may, for example, be of a per se known type, for example of the type disclosed in [PATCIT WO2004020103A1]. At the top of the crusher 1 a feed hopper means 6 is located. The feed hopper means 6 comprises an inner hopper 8, and an outer hopper 10 surrounding the inner hopper 8. The feed hopper means 6 is that part of the VSI-crusher 1 that first receives material to be crushed as supplied from, for example, a conveyor, such as a belt conveyor, or another type of material feeder. Outlets 12 are arranged in the inner hopper 8. A central feeding funnel 14 is placed inside the housing 4, below the feed hopper means 6. The central feeding funnel, which in this embodiment has the shape of a central feeding cylinder 14, is fixed to the inside of the housing 4 with the aid of three beams, of which only the beam 16 is shown in Fig 1. A circumferential distributing wall section 18 is located at the same level as the feeding cylinder 14. Below the distributing wall section 18 and on the same level as the rotor 2 a circumferential impact wall section 20 is located. A cavity ring 22 separates the distributing wall section 18 from the impact wall section 20. A bed retention ring 24 is located at the bottom of the crusher 1. The feed hopper means 6 is provided with a feed hopper distribution device 26 for efficient feeding of material to the rotor 2. The feed hopper distribution device 26 will be described in more detail hereinafter with reference to Figures 3 and 4. Figure 2 is a cross-section of the VSI-crusher 1 and illustrates the operating principle. During operation of the VSI-crusher 1 material to be crushed is fed to the feed hopper means 6. A first flow of material M1 will reach the rotor 2 by flowing vertically downwards through the feed hopper distribution device 26 of the feed hopper means 6, an inner hopper bottom opening 28, which is located at the bottom of the inner hopper 8 of the feed hopper means 6, and the feeding cylinder 14 arranged below the feed hopper means 6. The rotor 2 rotates at high speed and ejects the first flow of material M1 supplied thereto horizontally towards the impact wall section 20. A second flow of material M2 will be forwarded, via the outlets 12 of the inner hopper 8, to a position outside of the rotor 2. The second flow of material M2 leaving the outlets 12 will pass, outside of the rotor 2, down into a position adjacent to the impact wall section 20. Adjacent to the impact wall section 20 the second flow of material M2 will be impacted by the first flow of material M1 ejected by the rotor 2, which will result in crushing of both flows of material M1 and M2. A bed of retained material (not shown), against which the two flows of material M1 and M2 may impact, is built up on the bed retention ring 24 during operation of the crusher 1, and protects the impact wall section 20 from wear. The central feeding cylinder 14 comprises a side wall 30, which may, for example, be circular, and a bottom 32. The bottom 32 of the feeding cylinder 14 is provided with a centrally arranged rotor feeding opening 34 through which the first flow of material M1 may pass from the central feeding cylinder 14 and into the rotor 2. To protect the internal edges of the rotor feeding opening 34 a vertical shaft impact crusher feed tube 36 is mounted to the bottom 32, extends through the rotor feeding opening 34, and opens into an opening 38 arranged in a roof 40 of the rotor 2. Figure 3 illustrates the feed hopper means 6 in more detail. The feed hopper means 6 comprises the inner hopper 8 and the outer hopper 10. An outer hopper roof 42 covers a second material flow space 44 that is formed between the inner hopper 8 and the outer hopper 10. The second flow of material M2 illustrated in Figure 2 may reach the second material flow space 44 via the outlets 12 arranged in the inner hopper 8 and may be further forwarded down to the position adjacent to the impact wall section 20 as described hereinbefore with reference to Figure 2. Each outlet 12 may be provided with a control hatch 46. Each control hatch 46 can be located in various vertical positions to adjust the height of the respective outlet 12. Thereby the amount of the second flow of material M2 passing through each outlet 12 can be adjusted. The inner hopper 8 has an inner hopper bottom 48. The inner hopper bottom 48 is provided with the inner hopper bottom opening 28 through which the first flow of material M1 may pass on its way towards the rotor 2 illustrated in Figure 2. To control the flow of material through the bottom opening 28 one or more bottom throttle plates 52, 54, 56 may be arranged on the inner hopper bottom 48. Each bottom throttle plate 52, 54, 56 has a central opening 58, 60, 62, respectively, which is more narrow than the inner hopper bottom opening 28. Thereby, the flow of material through the bottom opening 28 can be restricted to a suitable degree. Optionally, a sliding throttle 64 may be arranged below the bottom opening 28 for the purpose of further throttling the flow of material through the bottom opening 28 in low load situations. The feed hopper distribution device 26 comprises an upper throttle plate 66 and a supply channel 68 extending from the upper throttle plate 66 to the inner hopper bottom 48. The upper throttle plate 66 is provided with an inlet opening 70 which is aligned with the bottom opening 28. In Figure 3 only one upper throttle plate 66 is shown, but the feed hopper distribution device 26 typically comprises a set of 2-5 separate upper throttle plates 66 having various widths of their respective openings 70. Normally, only one upper throttle plate 66 is mounted at a time. The supply channel 68 has a cross-section that widens in the downward direction, i.e., the cross-section of the supply channel 68 widens from its upper end 72 to its lower end 74. In the embodiment shown in Figure 3 the supply channel 68 has the form of a truncated cone and the width D1 at its upper end 72 is smaller than the width D2 at its lower end 74. A side wall 76 of the supply channel 68 typically forms an angle α of 5-30° to the vertical plane. Preferably, the supply channel 68 has the form of a truncated cone along at least 80% of its total vertical height HT. Typically, the total vertical height HT of the supply channel 68 is in the range of 0.2 to 2.0 meters, more preferably 0.5 to 1.5 meters, depending on the size of the crusher. In the embodiment of Figure 3 the supply channel 68 has the form of a truncated cone along about 95 % of its total vertical height HT, with only a short cylindrical portion at its lower end 74 to facilitate mounting the supply channel 68 to the inner hopper bottom 48. According to one embodiment the inner width DU of the opening 70 of the upper throttle plate 66 is smaller than the width D1 of the upper end 72 of the supply channel 68. Thereby, the restriction to flow of material through the supply channel 68 is set upstream of the supply channel 68, and the risk that material flow is obstructed by the supply channel 68 itself is further reduced. According to one embodiment the feed hopper distribution device 26 comprises an optional upper hopper portion 78. The upper hopper portion 78 is located on top of the supply channel 68. The upper hopper portion 78 comprises a vertical cylindrical side wall portion 80, and a bottom portion 82 resting on the supply channel 68. The upper throttle plate 66 rests on the bottom portion 82. The cylindrical side wall portion 80 has an upper inlet end 84 that functions as a divider controlling if the material supplied to the VSI-crusher is to flow, as the first flow of material M1, to the supply channel 68, or flow, as the second flow of material M2, to the outlets12 and further. The upper inlet end 84 is located vertically above the respective lower ends 86 of the outlets 12. Typically, a vertical distance HU between the upper inlet end 84 and the respective lower ends 86 of the outlets 12 is in the range of 0.05 to 0.5 meters depending on the actual setting of the respective hatches 46. In an alternative embodiment in which there is no optional upper hopper portion 78 the upper throttle plate 66 may rest directly on top of the supply channel 68, and the upper throttle plate 66 would, in such embodiment, be that upper inlet end which is located above the respective lower ends 86 of the outlets 12. Figure 4 illustrates the feed hopper means 6 during operation of the VSI-crusher. Raw material MC to be crushed is fed to the feed hopper means 6 from a conveyor CV. The feed hopper distribution device 26 of the feed hopper means 6 serves to divide the raw material MC into the first flow of material M1 and the second flow of material M2. The raw material MC enters the upper hopper portion 78 of the feed hopper distribution device 26. Due to the vertical cylindrical side wall portion 80 and the bottom portion 82 a rock bed RB is built up inside the upper hopper portion 78 along the side wall portion 80. This rock bed RB protects the upper throttle plate 66 from wear, and serves to direct some material, as part of the first flow of material M1, towards the opening 70 of the upper throttle plate 66. A large portion of the first flow of material M1 will, however, fall directly vertically down through the opening 70 of the upper throttle plate 66, without any contact with the rock bed RB, and then fall further into the supply channel 68. Since the supply channel 68 widens from its upper end 72 to its lower end 74 the first flow of material M1 will fall at high speed through the supply channel 68 with no or almost no obstruction from the side wall 76. The first flow of material M1 will, hence, quickly pass through the supply channel 68 and leave the feed hopper means 6 via the inner hopper bottom opening 28 and fall further to the rotor 2 illustrated in Figure 2. This high speed of the first flow of material M1 falling unobstructed into the rotor 2 will increase the amount of material charged into the rotor 2 and increase the amount of material that can be crushed. A small protecting bed of material PB may form inside the supply channel 68, at the lower end 74 thereof, as illustrated in Figure 4. This protecting bed PB comprises small pieces of rock that more or less randomly leave the main stream of the first flow of material M1. The protecting bed PB will not be a compacted bed like the rock bed RB that is formed in the upper hopper portion 78, since the protecting bed PB is not exposed to any significant impact by material falling thereon. On the contrary, the protecting bed PB will merely be a loose heap of material having a rather low density and a quite low height corresponding to the angle of repose of the material in question. Furthermore, the building of any significant height of the protecting bed PB is also hindered by the fact that the side wall 76 forms the angle α to the vertical plane, and "leans" over the protecting bed PB. Still, the protecting bed PB will serve to protect the bottom throttle plates 52, 54, 56 and parts of the inner hopper bottom 48 from wear. Hence, the protecting bed PB will have a protecting function without substantially interfering with the first flow of material M1 flowing at high speed past the protecting bed PB. The second flow of material M2 that is directed by the feed hopper distribution device 26 towards the outlets 12 of the inner hopper 8 will initially build an inner hopper wall WH of material in a material space 88 formed between the outer side of the supply channel 68 and the upper hopper portion 78 on the one hand and the inner hopper bottom 48 and the inner side of the inner hopper 8 on the other hand. Once the inner hopper wall WH of material has been formed a slope SP will form and extend from the upper inlet end 84 of the cylindrical side wall portion 80 and downwards towards the respective lower ends 86 of the outlets 12. The second flow of material M2 will slide along this slope SP from the upper hopper portion 78 towards the outlets 12 and will pass through the outlets 12 and further, via the material flow space 44 formed between the inner hopper 8 and the outer hopper 10, down to the position outside of the rotor 2, as illustrated in Figure 2. Furthermore, the inner hopper wall WH of material will support the feed hopper distribution device 26 and will assist in holding the feed hopper distribution device 26 firmly in its correct position. In Figures 3 and 4 it is illustrated that all three bottom throttle plates 52, 54, 56 are mounted in the crusher. It will be appreciated that normally only one throttle plate at a time would be mounted, since the throttling effect will be determined by that throttle plate which has the narrowest opening. When adjusting the crusher for operation at maximum capacity that upper throttle plate 66 that has the narrowest width of its opening 70 is first selected, and the conveyor CV is operated at that speed at which almost all of the raw material MC falls directly through the supply channel 68 of the feed hopper distribution device 26 as the first flow of material M1. The width of the upper throttle plate 66 is gradually widened, by selecting a throttle plate 66 with a wider opening 70 or by mechanically widening the opening 70, and the flow of raw material MC supplied via the conveyor CV is increased until the motor (not shown) driving the rotor 2 reaches its maximum capacity. Typically, the width of the bottom throttle plate 52, 54, 56 is also gradually widened together with widening the width of the opening 70 of the upper throttle plate 66. The width of the central opening 58, 60, 62 of the currently selected bottom throttle plate 52, 54, 56 is typically selected to be in the same range as, or slightly larger than, the width of the opening 70 of the upper throttle plate 66. Thereby, the main restriction to the first flow of material M1 will be the upper throttle plate 66. If material would inadvertently build up inside of the supply channel 68, a bottom plate, e.g., bottom plate 52, having an opening 58 that is wider than the opening 70 of the upper throttle plate 66 could be selected. When the maximum amount of the first flow of material M1 in view of the capacity of the motor has thus been reached the flow of raw material MC supplied by the conveyor CV is further increased to make the upper hopper portion 78 overflow. Such overflow of the upper hopper portion 78 generates the second flow of material M2 flowing from the upper hopper portion 78, over the upper inlet end 84, sliding over the slope SP of the inner hopper wall WH of material and being further forwarded via the outlets 12 and the space 44 to the position outside of the rotor 2 where the second flow of material M2 is impacted by the first flow of material M1, as indicated in Figure 2. Hence, by means of the feed hopper distribution device 26 having the supply channel 68 with its cross-section that widens from its upper end 72 to its lower end 74 it becomes possible to feed more material to the rotor 2, because the first flow of material M1 flows directly at high speed through the supply channel 68 and into the rotor 2. Furthermore, also the second flow of material M2 may be increased, since the second flow of material M2 flows quickly along the slope SP to the space 44 and further into the first flow of material M1 ejected by the rotor 2. Still further, since the first flow of material M1 is increased that flow of material M1 also has, after being ejected from the rotor 2, increased capacity to crush the second flow of material M2, thereby even further increasing the capacity for crushing material in the crusher 1. It will be appreciated that numerous modifications of the embodiments described above are possible within the scope of the appended claims. Hereinbefore it has been described that the supply channel 68 has the shape of a truncated cone. It will be appreciated that the supply channel 68 may also have other shapes. For example, the supply channel may have the form of a truncated pyramid with, for example, four, five or six sides. In the embodiment shown in Figures 1-4 with inner and outer hoppers 8, 10 having six faces, the supply channel could suitably be a truncated pyramid with six sides to fit with the inner and outer hoppers 8, 10. Furthermore, the supply channel may also be bell shaped, having a side wall which is not straight but follows a curve. Hereinbefore it has been shown that the feed hopper distribution device 26 is provided with a supply channel 68 and an upper hopper portion 78 mounted on the supply channel 68. According to an alternative embodiment the feed hopper distribution device 26 comprises a supply channel 68 but no upper hopper portion 78. In such an embodiment the inlet opening 70 is arranged adjacent to the upper end 72 of the supply channel 68. Hereinbefore it has been shown how the upper inlet end 84 is arranged at the very top of the upper hopper portion 78. In the optional embodiment where there is no upper hopper portion present in the feed hopper distribution device, the upper inlet end may be arranged adjacent to the upper end 72 of the supply channel 68. Furthermore, in such an embodiment the upper inlet end of the feed hopper distribution device 26 could actually coincide with the upper end 72 of the supply channel 68. To summarize, a vertical shaft impact crusher feed hopper distribution device (26) is adapted for feeding material to be crushed to a rotor (2) of a vertical shaft impact crusher (1). The feed hopper distribution device (26) is adapted to be mounted in a feed hopper means (6) feeding material to the rotor (2) and comprises a supply channel (68) which is adapted for forwarding material from an inlet opening (70) arranged adjacent to an upper end (72) of the supply channel (68) to a hopper bottom opening (28) arranged in a bottom (48) of the feed hopper means (6) and communicating with the rotor (2). The supply channel (68) has a cross-section that widens along at least a portion of the distance from the upper end (72) to a lower end (74) of the supply channel (68).
1. A vertical shaft impact crusher feed hopper distribution device for feeding material to be crushed to a rotor (2) of a vertical shaft impact crusher (1), characterised in that the feed hopper distribution device (26) is adapted to be mounted in a feed hopper means (6) feeding material to the rotor (2) and comprises a supply channel (68) which is adapted for forwarding material from an inlet opening (70) arranged adjacent to an upper end (72) of the supply channel (68) to a hopper bottom opening (28) arranged in a bottom (48) of the feed hopper means (6) and communicating with the rotor (2), the supply channel (68) having a cross-section that widens along at least a portion of the distance from the upper end (72) to a lower end (74) of the supply channel (68).
2. A feed hopper distribution device according to claim 1, wherein the feed hopper distribution device (26) comprises an upper hopper portion (78) located on top of the supply channel (68), optionally supporting an upper throttle plate (66) in which the inlet opening (70) is arranged. 3. A feed hopper distribution device according to claim 2, wherein the upper hopper portion (78) has the shape of an upwardly open cylinder to which material may be supplied and further forwarded to the supply channel (68). 4. A feed hopper distribution device according to any one of the preceding claims, wherein the supply channel (68) has, at least along a portion thereof, a shape selected among truncated cones, truncated pyramids, and bell-shapes. 5. A feed hopper distribution device according to any one of the preceding claims, wherein the feed hopper distribution device (26) is arranged to form part of a feed hopper means (6) comprising an inner hopper (8) and an outer hopper (10) and to be mounted inside of the inner hopper (8), the feed hopper distribution device (26) being arranged to forward a first flow of material (M1) to the rotor (2) via the supply channel (68) and to forward a second flow of material (M2) via at least one outlet (12) formed in the inner hopper (8) to a space (44) formed between the inner and outer hoppers (8, 10), and further to a position at the outside of the rotor (2). 6. A feed hopper distribution device according to claim 5, wherein the feed hopper distribution device (26) has an upper inlet end (84) dividing material to flow as the first flow of material (M1) to the rotor (2) or to flow as the second flow of material (M2) to the position outside of the rotor (2). 7. A feed hopper distribution device according to claim 6, wherein the upper inlet end (84) of the feed hopper distribution device (26) is arranged to be located vertically above a lower end (86) of the at least one outlet (12). 8. A feed hopper distribution device according to any one of claims 5 - 7, wherein the supply channel (68) is adapted to form a material space (88) together with the inner hopper (8) and an inner hopper bottom (48) of the inner hopper (8) for housing an inner hopper wall (WH) of material in the feed hopper means (6). 9. A feed hopper distribution device according to any one of the preceding claims, wherein a side wall (76) of the supply channel (68) forms an angle α of 5-30° to the vertical plane. 10. A feed hopper distribution device according to any one of the preceding claims, wherein an inner width (DU) of the inlet opening (70), optionally arranged at an upper throttle plate (66), is smaller than the width (D1) of the upper end (72) of the supply channel (68). 11. A vertical shaft impact crusher (1) comprising a rotor (2) arranged in a housing (4) and feed hopper means (6) arranged for feeding raw material to be crushed to the rotor (2), characterised in that the feed hopper means (6) comprises a vertical shaft impact crusher feed hopper distribution device (26) according to any one of claims 1-10.
2821141
VSI-crusher feed hopper distribution device
2
Based on the following detailed description of an invention, generate the patent claims. There should be 4 claims in total. The first, independent claim is given and the remaining 3 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 illustrates, partly in cross-section, a vertical shaft impact (VSI) crusher 1. A rotor 2 is located inside a housing 4 of the crusher 1. The rotor 2 may, for example, be of a per se known type, for example of the type disclosed in [PATCIT WO2004020103A1]. At the top of the crusher 1 a feed hopper means 6 is located. The feed hopper means 6 comprises an inner hopper 8, and an outer hopper 10 surrounding the inner hopper 8. The feed hopper means 6 is that part of the VSI-crusher 1 that first receives material to be crushed as supplied from, for example, a conveyor, such as a belt conveyor, or another type of material feeder. Outlets 12 are arranged in the inner hopper 8. A central feeding funnel 14 is placed inside the housing 4, below the feed hopper means 6. The central feeding funnel, which in this embodiment has the shape of a central feeding cylinder 14, is fixed to the inside of the housing 4 with the aid of three beams, of which only the beam 16 is shown in Fig 1. A circumferential distributing wall section 18 is located at the same level as the feeding cylinder 14. Below the distributing wall section 18 and on the same level as the rotor 2 a circumferential impact wall section 20 is located. A cavity ring 22 separates the distributing wall section 18 from the impact wall section 20. A bed retention ring 24 is located at the bottom of the crusher 1. The feed hopper means 6 is provided with a feed hopper distribution device 26 for efficient feeding of material to the rotor 2. The feed hopper distribution device 26 will be described in more detail hereinafter with reference to Figures 3 and 4. Figure 2 is a cross-section of the VSI-crusher 1 and illustrates the operating principle. During operation of the VSI-crusher 1 material to be crushed is fed to the feed hopper means 6. A first flow of material M1 will reach the rotor 2 by flowing vertically downwards through the feed hopper distribution device 26 of the feed hopper means 6, an inner hopper bottom opening 28, which is located at the bottom of the inner hopper 8 of the feed hopper means 6, and the feeding cylinder 14 arranged below the feed hopper means 6. The rotor 2 rotates at high speed and ejects the first flow of material M1 supplied thereto horizontally towards the impact wall section 20. A second flow of material M2 will be forwarded, via the outlets 12 of the inner hopper 8, to a position outside of the rotor 2. The second flow of material M2 leaving the outlets 12 will pass, outside of the rotor 2, down into a position adjacent to the impact wall section 20. Adjacent to the impact wall section 20 the second flow of material M2 will be impacted by the first flow of material M1 ejected by the rotor 2, which will result in crushing of both flows of material M1 and M2. A bed of retained material (not shown), against which the two flows of material M1 and M2 may impact, is built up on the bed retention ring 24 during operation of the crusher 1, and protects the impact wall section 20 from wear. The central feeding cylinder 14 comprises a side wall 30, which may, for example, be circular, and a bottom 32. The bottom 32 of the feeding cylinder 14 is provided with a centrally arranged rotor feeding opening 34 through which the first flow of material M1 may pass from the central feeding cylinder 14 and into the rotor 2. To protect the internal edges of the rotor feeding opening 34 a vertical shaft impact crusher feed tube 36 is mounted to the bottom 32, extends through the rotor feeding opening 34, and opens into an opening 38 arranged in a roof 40 of the rotor 2. Figure 3 illustrates the feed hopper means 6 in more detail. The feed hopper means 6 comprises the inner hopper 8 and the outer hopper 10. An outer hopper roof 42 covers a second material flow space 44 that is formed between the inner hopper 8 and the outer hopper 10. The second flow of material M2 illustrated in Figure 2 may reach the second material flow space 44 via the outlets 12 arranged in the inner hopper 8 and may be further forwarded down to the position adjacent to the impact wall section 20 as described hereinbefore with reference to Figure 2. Each outlet 12 may be provided with a control hatch 46. Each control hatch 46 can be located in various vertical positions to adjust the height of the respective outlet 12. Thereby the amount of the second flow of material M2 passing through each outlet 12 can be adjusted. The inner hopper 8 has an inner hopper bottom 48. The inner hopper bottom 48 is provided with the inner hopper bottom opening 28 through which the first flow of material M1 may pass on its way towards the rotor 2 illustrated in Figure 2. To control the flow of material through the bottom opening 28 one or more bottom throttle plates 52, 54, 56 may be arranged on the inner hopper bottom 48. Each bottom throttle plate 52, 54, 56 has a central opening 58, 60, 62, respectively, which is more narrow than the inner hopper bottom opening 28. Thereby, the flow of material through the bottom opening 28 can be restricted to a suitable degree. Optionally, a sliding throttle 64 may be arranged below the bottom opening 28 for the purpose of further throttling the flow of material through the bottom opening 28 in low load situations. The feed hopper distribution device 26 comprises an upper throttle plate 66 and a supply channel 68 extending from the upper throttle plate 66 to the inner hopper bottom 48. The upper throttle plate 66 is provided with an inlet opening 70 which is aligned with the bottom opening 28. In Figure 3 only one upper throttle plate 66 is shown, but the feed hopper distribution device 26 typically comprises a set of 2-5 separate upper throttle plates 66 having various widths of their respective openings 70. Normally, only one upper throttle plate 66 is mounted at a time. The supply channel 68 has a cross-section that widens in the downward direction, i.e., the cross-section of the supply channel 68 widens from its upper end 72 to its lower end 74. In the embodiment shown in Figure 3 the supply channel 68 has the form of a truncated cone and the width D1 at its upper end 72 is smaller than the width D2 at its lower end 74. A side wall 76 of the supply channel 68 typically forms an angle α of 5-30° to the vertical plane. Preferably, the supply channel 68 has the form of a truncated cone along at least 80% of its total vertical height HT. Typically, the total vertical height HT of the supply channel 68 is in the range of 0.2 to 2.0 meters, more preferably 0.5 to 1.5 meters, depending on the size of the crusher. In the embodiment of Figure 3 the supply channel 68 has the form of a truncated cone along about 95 % of its total vertical height HT, with only a short cylindrical portion at its lower end 74 to facilitate mounting the supply channel 68 to the inner hopper bottom 48. According to one embodiment the inner width DU of the opening 70 of the upper throttle plate 66 is smaller than the width D1 of the upper end 72 of the supply channel 68. Thereby, the restriction to flow of material through the supply channel 68 is set upstream of the supply channel 68, and the risk that material flow is obstructed by the supply channel 68 itself is further reduced. According to one embodiment the feed hopper distribution device 26 comprises an optional upper hopper portion 78. The upper hopper portion 78 is located on top of the supply channel 68. The upper hopper portion 78 comprises a vertical cylindrical side wall portion 80, and a bottom portion 82 resting on the supply channel 68. The upper throttle plate 66 rests on the bottom portion 82. The cylindrical side wall portion 80 has an upper inlet end 84 that functions as a divider controlling if the material supplied to the VSI-crusher is to flow, as the first flow of material M1, to the supply channel 68, or flow, as the second flow of material M2, to the outlets12 and further. The upper inlet end 84 is located vertically above the respective lower ends 86 of the outlets 12. Typically, a vertical distance HU between the upper inlet end 84 and the respective lower ends 86 of the outlets 12 is in the range of 0.05 to 0.5 meters depending on the actual setting of the respective hatches 46. In an alternative embodiment in which there is no optional upper hopper portion 78 the upper throttle plate 66 may rest directly on top of the supply channel 68, and the upper throttle plate 66 would, in such embodiment, be that upper inlet end which is located above the respective lower ends 86 of the outlets 12. Figure 4 illustrates the feed hopper means 6 during operation of the VSI-crusher. Raw material MC to be crushed is fed to the feed hopper means 6 from a conveyor CV. The feed hopper distribution device 26 of the feed hopper means 6 serves to divide the raw material MC into the first flow of material M1 and the second flow of material M2. The raw material MC enters the upper hopper portion 78 of the feed hopper distribution device 26. Due to the vertical cylindrical side wall portion 80 and the bottom portion 82 a rock bed RB is built up inside the upper hopper portion 78 along the side wall portion 80. This rock bed RB protects the upper throttle plate 66 from wear, and serves to direct some material, as part of the first flow of material M1, towards the opening 70 of the upper throttle plate 66. A large portion of the first flow of material M1 will, however, fall directly vertically down through the opening 70 of the upper throttle plate 66, without any contact with the rock bed RB, and then fall further into the supply channel 68. Since the supply channel 68 widens from its upper end 72 to its lower end 74 the first flow of material M1 will fall at high speed through the supply channel 68 with no or almost no obstruction from the side wall 76. The first flow of material M1 will, hence, quickly pass through the supply channel 68 and leave the feed hopper means 6 via the inner hopper bottom opening 28 and fall further to the rotor 2 illustrated in Figure 2. This high speed of the first flow of material M1 falling unobstructed into the rotor 2 will increase the amount of material charged into the rotor 2 and increase the amount of material that can be crushed. A small protecting bed of material PB may form inside the supply channel 68, at the lower end 74 thereof, as illustrated in Figure 4. This protecting bed PB comprises small pieces of rock that more or less randomly leave the main stream of the first flow of material M1. The protecting bed PB will not be a compacted bed like the rock bed RB that is formed in the upper hopper portion 78, since the protecting bed PB is not exposed to any significant impact by material falling thereon. On the contrary, the protecting bed PB will merely be a loose heap of material having a rather low density and a quite low height corresponding to the angle of repose of the material in question. Furthermore, the building of any significant height of the protecting bed PB is also hindered by the fact that the side wall 76 forms the angle α to the vertical plane, and "leans" over the protecting bed PB. Still, the protecting bed PB will serve to protect the bottom throttle plates 52, 54, 56 and parts of the inner hopper bottom 48 from wear. Hence, the protecting bed PB will have a protecting function without substantially interfering with the first flow of material M1 flowing at high speed past the protecting bed PB. The second flow of material M2 that is directed by the feed hopper distribution device 26 towards the outlets 12 of the inner hopper 8 will initially build an inner hopper wall WH of material in a material space 88 formed between the outer side of the supply channel 68 and the upper hopper portion 78 on the one hand and the inner hopper bottom 48 and the inner side of the inner hopper 8 on the other hand. Once the inner hopper wall WH of material has been formed a slope SP will form and extend from the upper inlet end 84 of the cylindrical side wall portion 80 and downwards towards the respective lower ends 86 of the outlets 12. The second flow of material M2 will slide along this slope SP from the upper hopper portion 78 towards the outlets 12 and will pass through the outlets 12 and further, via the material flow space 44 formed between the inner hopper 8 and the outer hopper 10, down to the position outside of the rotor 2, as illustrated in Figure 2. Furthermore, the inner hopper wall WH of material will support the feed hopper distribution device 26 and will assist in holding the feed hopper distribution device 26 firmly in its correct position. In Figures 3 and 4 it is illustrated that all three bottom throttle plates 52, 54, 56 are mounted in the crusher. It will be appreciated that normally only one throttle plate at a time would be mounted, since the throttling effect will be determined by that throttle plate which has the narrowest opening. When adjusting the crusher for operation at maximum capacity that upper throttle plate 66 that has the narrowest width of its opening 70 is first selected, and the conveyor CV is operated at that speed at which almost all of the raw material MC falls directly through the supply channel 68 of the feed hopper distribution device 26 as the first flow of material M1. The width of the upper throttle plate 66 is gradually widened, by selecting a throttle plate 66 with a wider opening 70 or by mechanically widening the opening 70, and the flow of raw material MC supplied via the conveyor CV is increased until the motor (not shown) driving the rotor 2 reaches its maximum capacity. Typically, the width of the bottom throttle plate 52, 54, 56 is also gradually widened together with widening the width of the opening 70 of the upper throttle plate 66. The width of the central opening 58, 60, 62 of the currently selected bottom throttle plate 52, 54, 56 is typically selected to be in the same range as, or slightly larger than, the width of the opening 70 of the upper throttle plate 66. Thereby, the main restriction to the first flow of material M1 will be the upper throttle plate 66. If material would inadvertently build up inside of the supply channel 68, a bottom plate, e.g., bottom plate 52, having an opening 58 that is wider than the opening 70 of the upper throttle plate 66 could be selected. When the maximum amount of the first flow of material M1 in view of the capacity of the motor has thus been reached the flow of raw material MC supplied by the conveyor CV is further increased to make the upper hopper portion 78 overflow. Such overflow of the upper hopper portion 78 generates the second flow of material M2 flowing from the upper hopper portion 78, over the upper inlet end 84, sliding over the slope SP of the inner hopper wall WH of material and being further forwarded via the outlets 12 and the space 44 to the position outside of the rotor 2 where the second flow of material M2 is impacted by the first flow of material M1, as indicated in Figure 2. Hence, by means of the feed hopper distribution device 26 having the supply channel 68 with its cross-section that widens from its upper end 72 to its lower end 74 it becomes possible to feed more material to the rotor 2, because the first flow of material M1 flows directly at high speed through the supply channel 68 and into the rotor 2. Furthermore, also the second flow of material M2 may be increased, since the second flow of material M2 flows quickly along the slope SP to the space 44 and further into the first flow of material M1 ejected by the rotor 2. Still further, since the first flow of material M1 is increased that flow of material M1 also has, after being ejected from the rotor 2, increased capacity to crush the second flow of material M2, thereby even further increasing the capacity for crushing material in the crusher 1. It will be appreciated that numerous modifications of the embodiments described above are possible within the scope of the appended claims. Hereinbefore it has been described that the supply channel 68 has the shape of a truncated cone. It will be appreciated that the supply channel 68 may also have other shapes. For example, the supply channel may have the form of a truncated pyramid with, for example, four, five or six sides. In the embodiment shown in Figures 1-4 with inner and outer hoppers 8, 10 having six faces, the supply channel could suitably be a truncated pyramid with six sides to fit with the inner and outer hoppers 8, 10. Furthermore, the supply channel may also be bell shaped, having a side wall which is not straight but follows a curve. Hereinbefore it has been shown that the feed hopper distribution device 26 is provided with a supply channel 68 and an upper hopper portion 78 mounted on the supply channel 68. According to an alternative embodiment the feed hopper distribution device 26 comprises a supply channel 68 but no upper hopper portion 78. In such an embodiment the inlet opening 70 is arranged adjacent to the upper end 72 of the supply channel 68. Hereinbefore it has been shown how the upper inlet end 84 is arranged at the very top of the upper hopper portion 78. In the optional embodiment where there is no upper hopper portion present in the feed hopper distribution device, the upper inlet end may be arranged adjacent to the upper end 72 of the supply channel 68. Furthermore, in such an embodiment the upper inlet end of the feed hopper distribution device 26 could actually coincide with the upper end 72 of the supply channel 68. To summarize, a vertical shaft impact crusher feed hopper distribution device (26) is adapted for feeding material to be crushed to a rotor (2) of a vertical shaft impact crusher (1). The feed hopper distribution device (26) is adapted to be mounted in a feed hopper means (6) feeding material to the rotor (2) and comprises a supply channel (68) which is adapted for forwarding material from an inlet opening (70) arranged adjacent to an upper end (72) of the supply channel (68) to a hopper bottom opening (28) arranged in a bottom (48) of the feed hopper means (6) and communicating with the rotor (2). The supply channel (68) has a cross-section that widens along at least a portion of the distance from the upper end (72) to a lower end (74) of the supply channel (68).
12. A method of crushing material in a vertical shaft impact crusher (1) comprising a rotor (2) arranged in a housing (4) and a feed hopper means (6) arranged for feeding material to the rotor (2), the method comprising: feeding material to be crushed to a feed hopper distribution device (26) of the feed hopper means (6), forwarding the material to be crushed via a supply channel (68) of the feed hopper distribution device (26), the supply channel (68) having a cross-section that widens along at least a portion of the distance from an upper end (72) to a lower end (74) of the supply channel (68), to a hopper bottom opening (28) arranged in a bottom of the feed hopper means (6), and feeding the material further to the rotor (2) for being crushed.
13. A method according to claim 12, further comprising utilizing the feed hopper distribution device (26) for dividing the material to be crushed into a first flow of material (M1) and supplying that flow via the supply channel (68) to the rotor (2), and a second flow of material (M2) and supplying that flow outside of the supply channel (68) to a position outside of the rotor (2) for being hit by the first flow of material (M1) accelerated by the rotor (2). 14. A method according to claim 13, further comprising forming a wall (WH) of material in a material space (88) formed between the supply channel (68), an inner hopper (8) of the feed hopper means (6) and an inner hopper bottom (48) of the inner hopper (8), and allowing the second flow of material (M2) to slide along a slope (SP) formed on the wall (WH) of material and extending from an upper inlet end (84) of the feed hopper distribution device (26) to at least one outlet (12) formed in the inner hopper (8) and further to the position outside of the rotor (2). 15. A method according to any one of claims 12-14, further comprising arranging an upper throttle plate (66) at the top of the supply channel (68) and selecting that width (DU) of an inlet opening (70) of the upper throttle plate (66) that provides the largest amount of material flowing vertically down through the supply channel (68) to the rotor (2).
2821358
Guide rail alignment system for elevators
1
Based on the following detailed description of an invention, generate the patent claims. There should be 11 claims in total. The first, independent claim is given and the remaining 10 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The accompanying drawings, which are included to provide a further understanding of the invention and constitute a part of this specification, illustrate embodiments of the invention and together with the description help to explain the principles of the invention but the invention is not limited to the specific embodiments illustrated in the drawings. In the drawings: - Figure 1 presents a schematic overview of one embodiment of the guide rail alignment system according to the present disclosure. - Figure 2 presents a schematic overview of the embodiment in Figure 1 viewed from the side. - Figure 3A presents a schematic overview of the embodiment in Figure 1 as a cross-sectional view along the plane A-A' in preload position before correcting guide rail alignment errors. - Figure 3B presents a schematic overview of the embodiment in Figure 1 as a cross-sectional view along the plane B-B' after correcting guide rail alignment errors. - Figure 4A presents a pair of guide rail sections joined by a guide rail alignment system according to the present disclosure in preload position before correcting guide rail alignment errors. - Figure 4B presents a pair of guide rail sections joined by a guide rail alignment system according to the present disclosure after correcting guide rail alignment errors. - Figure 5 presents a schematic overview of an elevator in which a guide rail alignment system according to the present disclosure is used. Figure 1 presents a guide rail alignment system 1 with two guide rail sections 2, 3 joined by one connecting element 4, which in this case is a fishplate. The guide rail sections 2, 3 have a T-shaped cross-sectional profile (shown more closely in Figure 3 ) and the guide rollers or guide shoes of the elevator car 11 move along the ridge (2', 3') of the guide rail sections 2, 3. The fishplate 4 is located on the side of the guide rail sections 2, 3 that faces the elevator shaft 10 wall. The guide rail sections 2, 3 are typically about 5 m long, although the length can vary. They also vary in their width in different elevator constructions, but can have a width of, for example, 127 mm. The fishplate 4 is approximately as wide as the guide rail sections 2, 3, and in the above-mentioned case 130 mm. Also the length of the fishplate 4 varies and can be, for example, 305 mm for the above guide rail sections 2, 3. The thinnest fishplates 4 can be only 5 mm thick, but a thickness of, for example, 17 mm can be considered typical. The fishplates 4 can also have additional rigidifying structures, such as ridges in them, which are omitted from the figure. In this embodiment, the fishplate 4 is attached to each guide rail section 2, 3 through four compression elements 6, which in this case are bolts and their respective holes 5', 5 in the fishplate 4 and in the guide rail sections 2, 3. The holes are arranged in two rows of four holes 5, 5' in the direction of the guide rail 12, but this does not need to be the only arrangement. A smaller or larger number of holes 5, 5' and their respective bolts 6 is possible, depending on the heaviness of the structure and the forces necessary to correct the alignment errors in the guide rail sections 2, 3. The number of the bolts 6 and their respective holes 5, 5' do not need to be the same for both guide rail sections 2, 3 in a given guide rail alignment system 1. The bolts 6 can alternatively be screws, adjustable pins, clamps or tighteners, or other compression elements depending on the specific embodiment in question and also the spacing of the holes 5, 5' with compression elements 6 can vary. The guide rail section 2, 3 and the connecting element 4 do not need to be attached to each other through compression elements 6 that need holes 5, 5'. The compression elements 6 can be adjustable clamps, presses or other tighteners. When there are holes 5, 5' in the guide rail sections 2, 3 and connecting elements 4, the holes 5, 5' do not need to be circular. Further, they can have an opening to the side of the guide rail section 2, 3 or connecting element 4 or both, forming a slot rather than a hole with a closed circumference. It is possible to have more than one connecting element 4 per guide rail alignment system 1: for example a configuration of two narrow connecting elements 4 with a single row of holes 5' and compression elements 6 in each can be envisaged. There are intermediate elements 7 between the guide rail sections 2, 3 and the fishplate 4 that in the embodiment of Figure 1 are cup springs 7 through which the bolts 6 are fitted. In Figure 1, the guide rail alignment system 1 is depicted in the preload position, i.e. with the cup springs 7 fully compressed by the bolts 6. The fishplate 4 does not touch the guide rail sections 2, 3 directly, but only through the springs 7. In another embodiment, the cup springs or other intermediate elements 7 could be sunken into the connecting element 4 so, that in the preload position the connecting element 4 and the guide rail sections 2, 3 would contact each other directly. Further, although in this embodiment, there are springs 7 in all positions of the bolts 6 and holes 5, 5', this is not necessary, if sufficient adjustment can be achieved with a smaller number of springs 7. For example, there could be springs 7 in conjunction only with the bolts 6 on one side of the guide rail junction 8 or only in certain positions on both sides of the guide rail junction 8. Although in this example the intermediate elements 7 are fully compressed, it is possible to compress them only to a predetermined torque, for example 120 Nm by using, for example, a torque wrench. This allows the correction of guide rail 12 alignment errors by either increasing the bending moment or by decreasing it through tightening the compression element 6 further or loosening it, respectively. The springs 7 have to be compressible enough to allow them to be released, for example, 0.5 mm from the preload position, and to still retain enough mechanical energy to exert a sufficiently large force on the guide rail section 2, 3. The magnitude of the force and movement parameters vary broadly in different constructions and have to be adjusted for different guide rail section 2, 3 configurations. The material for the construction of all parts is usually steel, but also other materials might be suitable. The guide rail alignment system 1 of the present disclosure can be used in a guide rail 12 together with guide rail alignment systems 1 known in prior art. When servicing old elevator installations, it might be economical to replace only some of the guide rail alignment systems 1 with ones according to the present disclosure in order to save working time, but allow for the convenient correction of guide rail 12 alignment errors brought about by the guide rail alignment system 1 presented here. Figure 2 presents the guide rail alignment system 1 of Figure 1 viewed from one side. The guide rail sections 2, 3 are accurately aligned and the cup springs 7 fully compressed between the fishplate 4 and the guide rail sections 2, 3 by the bolts 6. This is the ideal initial preload position after the installation of the guide rail sections 2, 3. If the springs 6 were sunken into holes, the fishplate 4 and the guide rail 12 could be pressed against each other throughout the length of the fishplate 4. It is not always feasible to install the guide rail sections 2, 3 as accurately as depicted in Figure 2. The tensions within the guide rail sections 2, 3 might force the initial position of the guide rail section 2, 3 ends to deviate from a straight line in different directions. After the installation of more than two guide rail sections 2, 3, the alignment errors can be corrected within the guide rail alignment system 1 in question, or in one or more of the other guide rail alignment systems 1 in the particular guide rail 12. Figure 3A presents the guide rail alignment system 1 of Figure 1 as a cross-sectional view along the plane A-A' in preload position before correcting guide rail 12 alignment errors. In this view, it can be seen that the guide rail section 3 has a T-shaped cross-sectional profile with a ridge 3'. The surface of the guide rail section 3 that the bolts 6 attach to is slightly sloped relative to the surface facing the fishplate 4. Therefore, there are indentations in the guide rail section 3 around each hole 5 to provide a horizontal surface for the bolts 6 in the direction of their tightening. In the preload position, the cup springs 7 are fully compressed. However, it would be possible to tighten the springs 7 to a predetermined torque instead of compressing them fully before correcting the guide rail 12 alignment errors. Thereafter, the guide rail 12 alignment errors could be corrected either by loosening the springs 7 or tightening them further by a predetermined amount. Figure 3B presents the guide rail alignment system 1 of Figure 1 as a cross-sectional view along the plane B-B' after correcting guide rail 12 alignment errors. The bolts 6 have been loosened and, compared to Figure 3A, the guide rail section 3 and the fishplate 4 are further apart. The cup springs 7 are less compressed and exert a bending tension on the guide rail section 3. Figure 4A presents a pair of guide rail sections 2, 3 joined by a guide rail alignment system 1 in preload position before correcting guide rail 12 alignment errors. The guide rail sections 2, 3 are mounted on the elevator shaft 10 wall by brackets 14 (not shown). A detail view of the guide rail alignment system 1 is also shown. In the embodiment of Figure 4A, the intermediate elements 7, which in this embodiment are cup springs, are sunken in the connecting element 4, which in this embodiment is a fishplate, allowing the fishplate 4 and the guide rail sections 2, 3 to contact each other directly throughout the length of the fishplate 4. All the compression elements, which in this embodiment are bolts 6, are tightened completely, i.e. the complete length of their compression range is used. In cases where the alignment errors would be of different magnitude, direction or position, it is possible that not all the bolts 6 are fully tightened even in the preload position. The guide rail sections 2, 3 are twisted so, that they do not form a straight guide rail 12 structure (indicated by the dashed line). Figure 4B presents the pair of guide rail sections 2, 3 joined by a guide rail alignment system 1 of Figure 4A after correcting guide rail 12 alignment errors. A detail view of the guide rail alignment system 1 is also shown. The bolts 6 attached to the guide rail section 3 of the guide rail alignment system 1 have been loosened. The partially released bolts 6 allow the cup springs 7 to press against the guide rail section 3 creating a bending tension and force between the guide rail sections 2 and 3. Both the guide rail sections 2 and 3 have straightened relative to the straight line as was aimed for by the procedure (indicated by the dashed line). Figure 5 presents an elevator 9 comprising an elevator shaft 10, an elevator car 11 arranged to move within the elevator shaft 10 (indicated by the doubleheaded arrow), a guide rail 12 and guide shoes or rollers 13 that move along the guide rail 12. The guide rail 12 comprises guide rail sections 2, 3 and guide rail alignment systems 1, and is attached to the elevator shaft 10 wall through brackets 14. All support, controlling and safety devices for the elevator 9 are omitted from the picture for clarity, and any conventional methods can be used for their design. All parts of the elevator 9 are depicted only schematically and their sizes are not drawn proportionally. Although only one guide rail 12 is shown in Figure 5, there typically are two of them in each elevator 9. Guide rail alignment systems 1 according to the present disclosure can be used in all guide rail junctions 8 of the elevator 9 or one or more guide rail alignment systems 1 can be according to prior art and used in combination with the guide rail alignment systems 1 disclosed herein.
1. A guide rail alignment system (1) comprising: at least one connecting element (4),: two guide rail sections (2, 3), each section having two ends, joined to each other from one of their ends by the at least one connecting element (4),: compression elements (6) attaching the at least one connecting element (4) to the guide rail sections (2, 3), and: intermediate elements (7) between the at least one connecting element (4) and at least one of the guide rail sections (2, 3),: characterized in that at least one of the intermediate elements (7) is a spring (7) that is compressible in response to tightening one or more of the compression elements (6).
2. The guide rail alignment system (1) according to claim 1, characterized in that the at least one connecting element (4) and the two guide rail sections (2, 3) comprise holes (5', 5) that are arranged so, that the holes (5) in the guide rail sections (2, 3) can be aligned with the holes (5') in the connecting element (4) and that the compression elements (6) attach the at least one connecting element (4) to the guide rail sections (2, 3) through the holes (5', 5). 3. The guide rail alignment system (1) according to claim 1 or 2, characterized in that the at least one spring (7) is configured to exert pressure on the guide rail section (2, 3) to create a bending tension in it in the direction of the spring force in response to loosening one or more of the compression elements (6). 4. The guide rail alignment system (1) according to claim 3, characterized in that the guide rail (12) is configured to be attached to a solid support, wherein the bending tension in the guide rail section (2, 3) caused by the pressure from the partially released at least one spring (7) is configured to alter curvature in the guide rail section (2, 3) and/or to adjust the relative positions of two adjacent guide rail sections (2, 3). 5. The guide rail alignment system (1) according to any of the preceding claims, characterized in that curvature of the guide rail section (2, 3) and/or the relative positions of two adjacent guide rail sections (2, 3) is configured to be altered by tightening one or more of the compression elements (6) to a predetermined torque during installation and by thereafter loosening or further tightening one or more of the compression elements (6) by a predetermined amount. 6. The guide rail alignment system (1) according to any of the preceding claims, characterized in that the at least one spring (7) is a cup spring, elastic spacer, leaf spring, helical spring, wave spring, or an integrated spring achieved through local elasticity of the connecting element (4) or of the guide rail section (2, 3). 7. The guide rail alignment system (1) according to any of the preceding claims, characterized in that the at least one spring (7) is sunken into the connecting element (4) so, that when the compression elements (6) are completely tightened, the connecting element (4) is in contact with the guide rail sections (2, 3) with its whole guide-rail facing surface. 8. The guide rail alignment system (1) according to any of the preceding claims, characterized in that the at least one spring (7) is incorporated to the connecting element (4) prior to the assembly of the guide rail alignment system (1). 9. The guide rail alignment system (1) according to any of the preceding claims, characterized in that the guide rail alignment system (1) contains one connecting element (4) in which there are at least eight holes (5') arranged in two rows of four in the direction of the guide rail (12). 10. A guide rail (12) of an elevator, characterized in that it comprises at least one pair of guide rail sections (2, 3) connected through a guide rail alignment system (1) according to any of claims 1-9. 11. An elevator (9) comprising an elevator shaft (10), at least one guide rail (12), an elevator car (11) arranged to move within the elevator shaft (10) along the at least one guide rail (12), characterized in that the elevator (9) comprises at least one guide rail (12) with at least one pair of guide rail sections (2, 3) connected through a guide rail alignment system (1) according to any of claims 1-9.
2822153
End winding corona protection
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Fig 1 is a three-dimensional illustration of a winding with an end winding corona protection. A bundle 1 of strands is arranged in the centre of the winding and forms a conductor. The strands are preferably made of Copper or of a Copper alloy and carry the current through the winding. Typically, a winding of a rotating machine carries currents of several kA. Those currents may be alternating currents as well as direct currents. The strands are typically on a potential ranging from several hundred V to several kV. That is why the strands must be electrically insulated against the outside. The groundwall insulation 2 of a winding ensures such electrical insulation. The thickness of the groundwall insulation depends on the voltage of the strands. Typically, the thickness of the groundwall insulation is several millimeters. The end winding corona protection is made up of three layers 3, 4, 5. The innermost layer 3 is a highly conductive layer. Its surface resistance is in the range of 10 Ω to 200 Ω. More preferably, the surface resistance of the innermost layer is in the range of 20 Ω to 80 Ω. Yet more preferably, the surface resistance of the innermost layer is in the range of 30 Ω to 80 Ω. The purpose of this layer is limitation of the voltage differences along the surface of the end winding. This is particularly relevant to machines fed by power converters, where sharp rises in voltage (dU/dt) may result in excessive capacitive currents and/or voltages on the surface of the end winding corona protection. The second layer 4 is made of a semiconductive material. The currents through this layer non-linearly depend on voltage. The purpose of this layer is limitation of currents perpendicular to the surface of the end winding. That is why the resistance of this layer perpendicular to the surface is critical. The resistance typically is in the range of 100 MΩ)/mm ^2 to 10 ^6 MΩ)/mm ^2. More preferably, the resistance is in the range of 1000 MΩ)/mm ^2 to 10 ^5 MΩ)/mm ^2. Yet more preferably, the resistance is in the range of 1000 MΩ)/mm ^2 to 10 ^4 MΩ)/mm ^2. The outermost layer 5 is again made of a medium resistive material. The surface resistance of the outermost layer 5 is in the range of 1 kΩ to 10 kΩ. More preferably, the surface resistance is in the range of 1 kΩ to 8 kΩ. Yet more preferably, the surface resistance is in the range of 2 kΩ to 5 kΩ. The purpose of the outermost layer is limitation of the voltage gradients on the outermost surface of the end winding. Yet another purpose of the outermost layer is protection against mechanical abrasion and/or erosion. The aforementioned layers of the end winding corona protection can be applied in the form of tapes or as paint. It is also possibly to combine layers made of tape and layers made up of paint. The choice of tapes vs paint has to be made factoring in mechanical abrasion, contact resistances between tape layers and overall thickness of the end winding corona protection. In a preferred embodiment, the overall thickness of the aforementioned layers is limited to 0.8 mm. In yet another embodiment, the overall thickness of the aforementioned layers is limited to 0.5 mm. In yet another embodiment, the overall thickness of the aforementioned layers is limited to 0.3 mm Fig 2 schematically shows the end winding of a rotor. On Fig 2 the end winding 6 is mechanically supported by a plurality of metallic bolts 7. The main purpose of the bolts 7 is to secure the end winding 6 against centrifugal forces. In rotating machines, such forces may actually result in end winding vibrations. Those vibrations become destructive if their magnitude exceeds a limit. These bolts 7 may but need not make contact with the outer surface of the end winding 7. Also, the metallic bolts may or may not be connected to earth. In case the metallic bolts are not connected to earth, they will be on floating electric potential. The surface resistance of the outermost layer 5 shown on Fig 1 is chosen so as to limit currents between adjacent metallic bolts 7. If the surface resistance of the outermost layer 5 was too low, currents between adjacent metallic bolts 7 would become destructive. The winding as shown on Fig 2 also has a bent part 8. Behind that bent part 8, the winding is inserted in a slot section 9. That slot section would typically be made of layers of laminated steel. In a preferred embodiment, the three-layer end winding corona protection reaches 200 mm into the slot section 9. In another embodiment, the three-layer end winding corona protection reaches 500 mm into the slot section 9. In yet another embodiment, the three-layer end winding corona protection reaches 50 mm into the slot section 9. The portion of the end winding corona protection in the slot section allows surface currents to flow predominantly through the slot section 9 and not through the metallic bolts 7. It is important to note that the slot section 9 is essentially on earth potential. Another bent part 10 is found on the other side of the end winding. That bent part is no longer covered with a three-layer end winding corona protection. It is, however, covered with groundwall insulation 2 as shown on Fig 1. In another envisaged embodiment, the bent part 10 is at least partly covered with a three-layer end winding corona protection. Behind the bent part 10, an end connector 11 terminates the end winding. The end connector 11 is basically used to connect different parts of the winding arranged in different slots among each other. The end connector 11 may also be used to connect the winding to the terminals of the machine. The arrangement as shown on Fig 2 belongs to the rotor of an electric machine. It is understood the same solution can also be applied to the stator of an electric machine.
1. A winding of a rotating electric machine, comprising: a conductor and a groundwall insulation surrounding the conductor,: an end winding corona protection arranged on the outer surface of the groundwall insulation,: the end winding corona protection comprising a first layer: characterized in that: the surface resistance of the first layer is in the range of 10 Ohm to 200 Ohm, and in that the end winding corona protection further comprises a second layer arranged on the outer surface of the first layer,: wherein the second layer is made of semiconductive material.
2. A winding according to claim 1,: wherein the surface resistance of the first layer is in the range of 20 Ohm to 80 Ohm. 3. A winding according to claim 2,: wherein the surface resistance of the first layer is in the range of 30 Ohm to 80 Ohm. 4. A winding according to any of the claims 1 to 3,: wherein the resistance of the second layer perpendicular to the surface is in the range of 100 MOhm/mm^2 to 10^6 MOhm/mm^2. 5. A winding according to claim 4,: wherein the resistance of the second layer perpendicular to the surface is in the range of 1000 MOhm/mm^2 to 10^5 MOhm/mm^2. 6. A winding according to claim 5,: wherein the resistance of the second layer perpendicular to the surface is in the range of 1000 MOhm/mm^2 to 10^4 MOhm/mm^2. 7. A winding according to claims 1 to 6,: wherein the end winding corona protection further comprises a third layer arranged on the outer surface of the second layer, the third layer having a surface resistance in the range of 1 kOhm to 10 kOhm. 8. A winding according to claim 7,: wherein the third layer has a surface resistance in the range of 1 kOhm to 8 kOhm. 9. A winding according to claim 8,: wherein the third layer has a surface resistance in the range of 2 kOhm to 5 kOhm. 10. A winding according to any of the previous claims,: wherein at least one layer is applied in the form of a tape. 11. A winding according to any of the claims 1 to 9,: wherein at least one layer is applied in the form of paint. 12. A winding according to any of the previous claims,: wherein the overall thickness of all three layers is 0.8 mm or less. 13. A winding according to claim 12,: wherein the overall thickness of all three layers is 0.5 mm or less. 14. A winding according to claim 13,: wherein the overall thickness of all three layers is 0.3 mm or less. 15. A rotor of an electric machine with a winding according to any of the previous claims.
2821149
Apparatus and system for the external cleaning of containers for loose substances
1
Based on the following detailed description of an invention, generate the patent claims. There should be 14 claims in total. The first, independent claim is given and the remaining 13 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
With reference to figures 1 and 2 the general features of a cleaning apparatus according to the invention will now be disclosed, suitable for cleaning bags for containing loose products; nevertheless, what will be said here below with reference to the cleaning of bags in general must be understood to apply to the external cleaning of any type of container. As shown, the cleaning apparatus 10 according to the example in figures 1 and 2 comprises a table 13 for supporting a bag 12, in combination with a robot 11 or other suitable device for moving dirty bags to be cleaned from a pallet and for transferring the dirty bags to the support table 13. The support table 13 for the bag 12 to be cleaned can be configured in any manner provided it is suitable for enabling the bag 12 to be cleaned by a plurality of jets of a fluid directed upwards, through a plurality of passage openings of the support table 13; in this regard, the support table 13 can consist of a tough metal grid or can comprise a plurality of holes at a spraying zone for cleaning the bags 12, schematically indicated by reference 14 in figure 1, or can consist of idle or driven rollers, or of a table of brushes configured for enabling a cleaning fluid to be sprayed. Still with reference to figures 1 and 2, the device 11 for removing and transferring the bags 12 has been schematically represented in the form of a robot; nevertheless, it is clear that instead of the robot shown in figure 2 it is possible to use any other known device for removing and transferring the bags 12, provided that it is suitable for the envisaged use. The table 13 for supporting and for cleaning the bags 12 is supported by a hopper 15 for collecting the cleaning fluid and polluting substances that fall, dragged by the fluid, whereas they are removed by jets of fluid during a cleaning step for the bags. If the cleaning fluid is a liquid, the hopper 15, in addition to collecting the sludge, is also used to contain the excess liquid; if desired, in certain cases the hopper 15 for collecting the cleaning fluid and polluting substances can operate in a slight vacuum condition by connecting the hopper 15 to a suitable air sucking device. Inside the hopper 15, at the spraying zone 14 and the table 13 supporting the bags 12, a plurality of lower spray nozzles 16 oriented upwards are provided and connected to a suitable source 17 of a pressurised cleaning fluid of the type referred to previously; the pressurised jets of fluid that exit the nozzles 16, through the meshes of the grid or openings of the table 13, are directed upwards against the lower and the side surfaces of the bag 12, removing the dirt and the polluting substances, which are dragged by the fluid until they fall into the hopper underneath. In addition to the lower spray nozzles 16, it is possible to provide a plurality of upper spray nozzles 18 oriented downwards, to clean the side of the bag 12 facing upwards. The upper spray nozzles 18 can be positioned in any manner; in the case shown, the upper spray nozzles 18 are fixed to a side of a holding head 19 for removing the bags 12, the holding head 19 being fixed to the articulated arm of the robot 11. The holding head 19 can be of any type, for example a mechanical or pneumatic head that can be moved vertically and/or horizontally, as shown. In addition to fluid-jet cleaning of the bags 12, the cleaning apparatus 10 can be optionally provided with one or more mechanical cleaning devices; in the case shown in figure 2, a first mechanical cleaning device 20 schematically represented on the front side of the support table 13, consisting, for example, of a rotating brush, and a second mechanical cleaning device on the rear side of the table 13, for example consisting of a rotating brush 21 fixed to the stem of a linear actuator or pneumatic cylinder 22; thus the brush 21 can be moved longitudinally in contact with the bag 12. Alternatively to or in combination with the mechanical cleaning devices shown, any other mechanical cleaning device can be used. It has been specified that the cleaning apparatus according to the present invention, in addition to preventing contamination of the substance contained in the bag 12, also has to be able to prevent repollution of the bag or container 12 during the cleaning step, and to prevent the dispersal of polluting substances externally; thus, according to another feature of the cleaning apparatus 10, above the table 13 for supporting and cleaning the bags 12, air-sucking means are provided along the peripheral edges of the support table 13 for the bags. In the case shown in figures 1 and 2, the air-sucking devices consist of sucking walls 23 that extend upwards on the two longitudinal sides and on the rear transverse side of the table 13, forming a protective barrier. For example, the sucking walls 23 can consist of hollow walls, having on the internal side facing the cleaning zone 14 provided with sucking holes or slits 24, as shown in figure 2 ; the hollow walls 23, above or on an external side have a hole 25 for connection to a system of sucking conduits. Lastly, in figure 1, with reference 26 two side bars are indicated for centring the bags 12 on the table 13, at the cleaning zone 14. The operation of the cleaning apparatus disclosed is briefly as follows: robot 11, after removal of a dirty bag 12, deposits the dirty bag 12 on the cleaning zone 14 of the support table 13; during the insertion of the bag 12, the lower side thereof can be partially cleaned by the brush 20, if present. Once the bag 12 has been deposited on the table 13 and released by the holding head 19 of the robot 11 at the cleaning zone 14, both the lower spray nozzles 16 and the upper spray nozzles 18 are activated, the latter moving by means of the articulated arm of the robot 11; obviously, the drive sequence of the lower and upper spray nozzles can also be different from the drive sequence referred to above. Whilst the cleaning fluid is being sprayed, the rotating brush 21 can be moved by the actuator 22. Once spray nozzles 16 and/or 18 have been activated, the washing fluid that is sprayed against the bag 12 at a certain pressure, removes all the dirt and the polluting substances that have adhered over time, or have been deposited on the bag, which are dragged by the cleaning fluid so as to fall into the collecting hopper 15. During the spraying step of the cleaning fluid, the air sucking walls 23 are activated, preventing the dirt or polluting substances suspended in the air from being able to fall and again contaminate the bag 12, or the exterior. When the operation of cleaning a bag 12 has terminated the robot 11 is again driven to remove the clean bag and deposit the bag in a collecting point, from which it is moved to a subsequent opening and emptying step; at this point the operative cycle can be started again to clean another bag 12. As mentioned before, the disclosed cleaning apparatus permits a high degree of integration and automation to significantly reduce work times; this can be illustrated with reference to the examples of the remaining figures. In figure 3 a system has been shown comprising two cleaning stations 10A and 10B that are alongside one another, consisting of cleaning apparatuses similar to or completely identical to those of figures 1 and 2 ; thus also in figure 3 the same reference numbers have been used to indicate similar or equivalent parts. For reasons of drawing simplicity, in figure 3 the robot 11 has not been shown that alternatively serves the two stations 10A and 10B, as explained before. Further, in figure 3 with 30 and 31 the removing and/or deposition point for the bags 12A and 12B has been indicated. The operative method of the cleaning system of figure 3 is completely similar to that of the apparatus of figures 1 and 2, with the only difference that now a single robot 11 is used to serve in sequence the two cleaning stations 10A and 10B, in this way enabling a higher automation degree and a consequent reduction of the work cycle. In brief, the system operates in the following manner: as mentioned previously, a single robot that is not shown, or more generally a single grasping device for moving and transferring the bags 12, removes a bag 12A from the removal point 30 and positions the bag 12A in the spraying zone 14 of the station 10A, where it is subjected to cleaning by spray jets of gas and/or liquid, and to a possible mechanical brushing action, depending on the type of bag and/or of the contents thereof; during the cleaning operation, the dirt or possible polluting substances become detached from the bag, most fall into the hopper 15 underneath, with the same cleaning fluid, and partially, if they are present in the air, they are sucked by the walls 23. The robot or moving and transferring device, after taking and positioning a dirty bag 12A in the axially aligned cleaning station 10A, immediately afterwards removes a clean bag 12B from the station 10B, which in the meantime has completed the cleaning operations, and after depositing the bag, replaces the clean bag with a new bag to be cleaned. During the removing step and replacing a clean bag 12B, the cleaning operation of the bag 12A in the station 10A is completed; then the robot, or moving and transferring device, removes the clean bag from the station 10A and replaces the clean bag with a new bag to be cleaned. The removing and cleaning operations of the bags 12A and 12B are then repeated in sequence in the two stations 10A and 10B, as disclosed previously. The figure 4 shows a second solution, still relating to a double cleaning system, in which the two stations 10A and 10B are axially aligned and are integrated into a single structure 32 rotatably around a vertical axis 33 operationally connected to a rotary actuator 34; also in figure 4 the same reference numbers as the previous figures have been used to indicate similar or equivalent parts. The support structure 32 of the two cleaning stations 10A and 10B can rotate in a single direction or alternatively in the two opposite directions, providing in both cases a suitable rotating distributor connecting nozzles 16 to a source of pressurised cleaning fluid. Also in this case, a single robot or device for removing and transferring the bags, which is not shown, can be provided as in the previous cases, further, both the cleaning stations 10A and 10B can be optionally provided with mechanical cleaning devices, for example of the roller type, as in the previous cases. The operation of the cleaning system of figure 4 is substantially similar to that of figure 3, with the sole difference that now the dirty bags or containers are loaded, and the clean bags or containers are unloaded with the supporting structure 32 of the two cleaning stations 10A and 10B that is stationary and in a position aligned with the loading and unloading device, whereas the cleaning operation can be initiated and made to continue during rotation. Also in this case system productivity and versatility is increased, enabling in all cases the desired objects to be achieved. Figure 5 shows a further solution in which the single bags 12 are moved along a cleaning path in a tunnel type system, comprising a plurality of successive cleaning stations 10A 10N, in each of which a determined cleaning, washing and/or sterilisation operation can be performed with a suitable gaseous and/or powder fluid, depending on the case. Also in this latter case the cleaning system is served by a single robot or device for removing and transferring the bags, which is not shown, which loads the dirty bags at one end A of the cleaning line and unloads the clean bags at the other end B; again in figure 5 the same reference numbers have been used to indicate parts that are similar or equivalent to those of the preceding figures. In this respect, as shown in figure 5, the cleaning line extends along a U-shaped path, i.e. a path comprising a first linear portion 35A along which the bags 12 are advanced in one direction by a first conveyor 36A, for example of the roller type, and a second linear portion 35B, alongside and parallel to the previous one, along which the bags 12 are advanced in a direction opposite the previous one, for example by a second roller conveyor 36B; the rollers of the two conveyors 36A and 36B, in a manner that is per se known, are operationally connected to a driven reduction unit by a suitable chain or other type of transmission, which is not shown. The bags 12 can be transferred from the portion 35A to the portion 35B of the cleaning system in any manner, for example by a transverse conveyor 37 of the belt type, or in another manner. Again, the air sucking walls 23 are provided peripherally on the external and internal sides of the two portions 35A and 35B of the cleaning line, as shown, again making use of the same reference numbers to indicate similar or equivalent parts. In figure 5, three cleaning stations 10 have been shown along each portion 35A and 35B providing spray nozzles 16 and hoppers for collecting the fluid and the pollutants independent for each axially aligned cleaning station, or common to several stations 10, depending on design requirements and needs. The operation of the cleaning system of figure 5 is briefly as follows: the dirty bags 12 are loaded singularly onto the front end A of the first portion of line 35A, and are then made to advance by the roller conveyor 36A, through the three cleaning stations 10 where they are washed and cleaned with a same or with different types of cleaning fluids, undergoing possible brushing in the intermediate axially aligned cleaning station by means of one or more rotating brushes 21. The single bags 12, already partially cleaned, when they arrive at the rear end of the first portion of line 35A, opposite the loading end, are transferred by the transverse belt conveyor 37 to the rear end of the second cleaning line portion 35B along which they are made to advance by the second roller conveyor 36B, in a direction opposite the previous connection. Whilst the single bags 12 advance along the second portion of line 35B they undergo further cleaning treatments in the various spraying stations 10, reaching the final unloading station 10N. It is clear that, depending on the type of bag or container 12, of the material with which the bag or container 12 is made, on the type of substance, whether liquid, powder or in pasty state, contained in the single bags or containers 12, and on the type of dirt or pollutant to be cleaned, each portion 35A and 35B of the cleaning line comprise a greater or lesser number of stations 10 than those shown, providing a number and type of spray nozzles that are the same or different for each axially aligned cleaning station 10, depending on the different needs with regard to cleaning the bags or containers 12.
1. An apparatus (10) suitable for the external cleaning of containers (12) for loose substances, wherein the external surface of the containers (12) is contaminated by dirt and/or by polluting substances that have to be removed before opening the containers (12), characterised by comprising: a table (13) supporting the containers having at least one spraying zone (14) for a cleaning fluid configured with a plurality of passage openings of the fluid; a plurality of lower spray nozzles (16) of the cleaning fluid, underneath the spraying zone (14') of the support table (13) for the containers (12); a hopper (15) for collecting cleaning fluid, dirt and/or the pollutant removed from the containers (12); and protective and air-sucking side walls (23), peripherally arranged on the support table (13) for the containers (12).
2. The apparatus (10) suitable for the external cleaning of containers (12) according to claim 1, characterised in that it further comprises upper spray nozzles (22) for a cleaning fluid, in position above the support table (13) for the containers (12). 3. The apparatus (10) suitable for the external cleaning of containers (12) according to claim 2, characterised in that the upper spray nozzles (22) are movably supported along the spraying zone (14) of the support table (13) for the containers (12). 4. The apparatus (10) suitable for the external cleaning of containers (12) according to any preceding claim, characterised by comprising at least one mechanical device (20, 21) for cleaning the containers (12). 5. The apparatus (10) suitable for the external cleaning of containers (12) according to claim 4, characterised in that the mechanical cleaning device (21) is movable longitudinally to the support table (13) for the containers (12). 6. The apparatus (10) suitable for the external cleaning of containers (12) according to any one of claims 1 to 5, characterised in that the protective walls (23) consist of hollow walls, a side of the protective walls (23) facing the spraying zone (14) being configured with a plurality of air-sucking openings (24). 7. The apparatus (10) suitable for the external cleaning of containers according to any one of claims 1 to 6, characterised by comprising centring members (20) for centring the containers (12) at the spraying zone (14). 8. The apparatus (10) suitable for the external cleaning of containers (12) according to claim 1, characterised in that the hopper (15) is operatively connected to an air sucking device. 9. A system suitable for external cleaning of containers (12) for loose substances, by at least one cleaning apparatus (10) according to any one of claims 1 to 8 characterised by comprising a first and at least a second cleaning station (10), each configured with a spraying zone (14), and a single grasping device for the containers (12) configured for sequentially transferring the dirty containers (12) from a removal zone, to a spraying zone (14), respectively the clean containers (12) from the spraying zone (14) to a deposition point. 10. The system suitable for external cleaning of containers according to claim 9, characterised by comprising a first and at least a second cleaning station (10A, 10B) parallely arranged alongside one another. 11. The system suitable for external cleaning of containers (12) according to claim 9, characterised by comprising a first and a second axially aligned cleaning station (10A, 10B), wherein said cleaning stations (10A, 10B) are integrated into a rotating structure (32) according to a vertical axis (33). 12. The system suitable for external cleaning of containers (12) according to claim 9, characterised by comprising a plurality of cleaning stations (10A-10N) arranged along a first and a second linear path (35A, 35B), each linear path (35A, 35B) being provided with a conveyor (36) for the containers (12) that runs parallel to the other conveyor (36) between a front end and a rear end; and in which at the rear end of said linear conveyors (36) a transverse conveyor (37) is provided. 13. The system suitable for external cleaning of containers (12) according to claim 12, characterised that the spray nozzles (16) of different cleaning stations (10A-10N) are operatively connected to different sources of pressurised cleaning fluids. 14. The system suitable for external cleaning of containers (12) according to claim 12, characterised by comprising at least one cleaning device consisting of a rotating brush (21), in at least one axially aligned cleaning station (10A-10N).
2821139
Gyratory crusher outer crushing shell and sealing ring assembly
1
Based on the following detailed description of an invention, generate the patent claims. There should be 13 claims in total. The first, independent claim is given and the remaining 12 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Referring to figures 1 and 2, a gyratory crusher comprises a frame comprising a topshell 100 forming an upper part of the crusher and mountable upon a bottom shell (not shown) such that the topshell 100 and bottom shell together define an internal chamber. A crushing head (not shown) is mounted on an elongate main shaft (not shown) extending through the crusher in the direction of longitudinal axis 106. A drive (not shown) is coupled to the main shaft and is configured to rotate eccentrically about axis 106 via a suitable gearing (not shown) to cause the crushing head to perform a gyratory pendulum movement and to crush material introduced into the crushing chamber. An upper end region of the main shaft is maintained in an axially rotatable position by a top-end bearing assembly (not shown) accommodated within a central boss 105. Similarly, a bottom end of the main shaft is supported by a bottom-end bearing assembly (not shown) accommodated below the bottom shell. Topshell 100 is divided into a chamber wall region 101 extending axially between an upper annular rim 103 and a lower annular rim 102 secured to the bottom shell. A spider forms an upper region of topshell 100 and is positioned axially above rim 103. The spider comprises a pair of spider arms 104 that project radially outward from central boss 105 to terminate at their radially outermost end at rim 103. Topshell wall region 101 comprises topshell walls 222 defined between a radially inward facing surface indicated generally by reference 223 and a radially outward facing surface 224 relative to axis 106. Inward facing surface 223 defines an internal chamber 202 through which material to be crushed is fed via an input hopper (not shown) mounted generally above topshell 100 via rim 103. As illustrated in figures 2 and 3, an outer crushing shell 200 is accommodated within chamber 202. Shell 200 extends circumferentially around axis 106 and comprises an inward facing crushing surface 209 and an opposed radially outward facing mount face indicated generally by reference 225 to define a wall 201 having a generally concave configuration at the region of the outward facing face 225. Wall 201 comprises a first annular upper end 215 and a second and lower annular end 216. Wall 201 is divided into a plurality of regions in the axial direction 106 in which a raised first (upper) contact region 219 is axially separated from a raised second (lower) contact region 220. The regions 219, 220 are separated by an axially intermediate groove 600 (referring to figure 6 ). Region 219 is positioned in an axially upper half of shell 200 and region 220 is positioned in an axially lower half of shell 200. Upper contact region 219 comprises a radially outward facing contact surface 211 aligned substantially parallel with axis 106. Lower contact region 220 also comprises a radially outward facing contact surface 212 orientated transverse and inclined relative to axis 106. According to further embodiments, outward facing contact surface 211 may be aligned transverse to axis 106 so as to be inclined at an angle or approximately 45° with an upper annular edge of surface 211 positioned closer to axis 106 than a corresponding lower annular edge. Inward facing surface 223 of topshell wall region 101 is divided axially into a plurality of annular regions in the axial direction. A first mount region 204 is positioned axially uppermost towards rim 103. A second mount region is positioned axially lower than region 204 and towards rim 102. Second (lower) mount region is divided into an intermediate mount region 205 and a lowermost mount region 206 with intermediate region 205 positioned axially between upper and lowermost regions 204, 206. Crushing shell 200 is positioned in direct contact against topshell 100 via mating contact between lower contact surface 212 and the radially inward facing surface of the lowermost mount region 206. Due to the function and geometry of crushing shell 200 an intermediate spacer ring 203 is positioned radially between an upper region of shell 200 and topshell 100. In particular, spacer ring 203 comprises a radially outward facing surface having a first upper mount surface 207 and a corresponding second lower mount surface 208. Upper surface 207 is positioned in direct contact with topshell region 204 whilst the second lower mount surface 208 is positioned in direct contact with the intermediate mount region 205. Spacer ring 203 comprises a radially inward facing surface axially divided into an upper region 217, a lower region 226 and an intermediate region 218. Intermediate region 218 is formed as an annular shoulder projecting radially inward relative to upper and lower regions 217, 226. According to the present implementation, the radially inward facing surface at shoulder region 218 is positioned in direct contact with the radially outward facing upper contact surface 211. Accordingly, spacer ring 203 is positioned radially intermediate the upper region of shell 200 and topshell wall 222. An annular cavity 304 extends circumferentially around axis 106 between the opposed radially outward facing surface of shell 200 at an upper region 221 (immediately below upper end 215) and the radially inward facing surface at the upper region 217 of spacer ring 203. An intermediate sealing ring indicated generally by reference 214 is positioned radially intermediate spacer ring 203 and shell 200 within cavity region 304. According to the specific implementation, sealing ring 214 comprises a generally annular configuration extending around axis 106. A main body 301 comprises a cross sectional O-shaped profile. A pair of flanges 302 project radially outward from main body 301 at an upwardly inclined angle from an outward facing side of main body 301. A plurality of ribs 303 project radially inward from an opposed inner facing side of main body 301. When located within cavity 304, ribs 303 are positioned in contact with the radially outward facing face 225 of crushing shell 200 at upper region 221 and flanges 302 are positioned in contact with the radially inward facing surface of the spacer ring 203 at upper region 217. To provide an axial lock for sealing ring 214, crushing shell 200 comprises an annular ledge 213 formed as a shoulder projecting radially outward from an upper region of wall 201. Accordingly, an abutment face 300 is defined by ledge 213 and extends substantially perpendicular to axis 106 and in particular the substantially cylindrical outward facing surface of shell 200 at upper region 221. That is, abutment face 300 terminates at its radially innermost end by the surface of upper region 221 and is terminated at its radially outermost end by the surface of lower region 210 that is aligned transverse to the surface of upper region 221 and axis 106. According to the specific implementation, a radial length of abutment face 300 is less than a thickness of wall 201 immediately below upper end 215 as defined between the inward 209 and outward 225 facing surfaces at this upper region 221. Ledge 213 is positioned axially between upper end 215 and the raised first contact region 219. Referring to figures 3 to 5, each flange 302 of sealing ring 214 is inclined upwardly from main body 301 and project from a radially outward facing wall 504 of main body 301. Each flange 302 is terminated at its radially outer end by an annular circumferentially extending tip 400 configured for positioning in direct contact against surface 204 of topshell wall 222 or surface at region 217 of spacer ring 203. Each flange 302 is substantially elongate in a radial direction from axis 106 and comprises an approximate radial length being equal to or slightly greater than a corresponding radial length of main body 301. One flange 302 extends from an axially upper region of main body 301 whilst a second lower flange 302 extends from an axially lower region of main body 301 such that a spatial gap is provided between the inclined flanges 302 extending substantially parallel to one another from main body 301. Ribs 303 project radially inward from a radially inner side 503 of main body 301. The radial length of ribs 303 is much less than the corresponding radial length of flanges 302. In particular, a radial length of ribs 303 is approximately equal to the thickness of inner wall 503 of main body 301. Ribs 303 as illustrated in figures 2 and 3 are configured for positioning in direct contact with the radially outward facing surface 225 of shell 200 at region 221. According to the specific implementation, an annular chamber 502 extends within main body 301 being defined, in part, by side walls 503, 504. According to further specific implementations, main body 301 may comprise alternate configurations including for example and I-shaped cross sectional profile with flanges 302 extending from a first side and ribs 303 extending from a second side. An upper face of ring 214 may be divided radially into a radially inner annular face 501 and radially outer annular face 500. Face 501 is defined by an upper end of main body 301 and face 500 is defined by an upper face of the uppermost flange 302. Accordingly, face 500 is inclined upwardly relative to face 501 that is aligned approximately perpendicular to axis 106. Accordingly, faces 500 and 501 in combination with the inward facing surface of the spacer ring 203 at region 204 and the outward facing surface 225 of crushing shell 200 at region 221 define an annular trough into which debris crushing material is collected to press axially downward onto sealing ring 214. As will be appreciated, the present shell 200 is compatible and intended for use with a range of sealing ring shapes and configurations not restricted to a seal having a main body and at least one radially extending flange. In particular, the present shell 200 and topshell assembly may comprise a sealing ring formed by a more 'conventional' construction being either a solid or hollow body having a rectangular, square, circular or oval cross sectional profile. According to further embodiments, the cross section profile may be O-shaped, C-shaped, D-shaped, E-shaped or I-shaped. In particular, and according to a preferred embodiment, the sealing ring may comprise any one of these cross sectional shape profiles and does not comprise a radially extending flange. Referring to figure 6, upper contact surface 211 of shell 200 comprises an upper edge 601 positioned towards upper end 215 and a lower edge 602 positioned axially towards lower end 216. Similarly, the outward facing surface 212 at the lower and second raised contact region 220 is defined by an upper edge 603 and a lower edge 604 relative to upper and lower ends 215, 216. Upper and lower contact surfaces 211, 212 are separated axially by groove 600 that extends between the corresponding lower 602 and upper 603 edges of the respective faces 211, 212. According to the specific implementation, shoulder 213 and in particular abutment face 300 is positioned approximately mid-way between upper edge 601 and upper end 215. In use, sealing ring 214 is configured to prevent dust and debris particles from passing downwardly beyond cavity 304 and between the mating surfaces 218, 211 of the intermediate spacer ring 203 and crushing shell 200 respectively. Advantageously, the present sealing ring 214 is configured to be both self-sealing to provide a seal strength between the opposed spacer ring 203 and shell 200 that increases as more debris and particles collect on top off ring 214 from within the crushing zone 202. That is, as material is crushed within zone 202, particulates and 'fines' settle into the upper region of cavity 304 directly on top of ring 214 and in contact with uppermost surface of the ring 214 (i.e., surfaces 500, 501 referring to the embodiment of figures 4 to 5 ). The accumulation of material above ring 214 compresses the ring (and/or flanges 302) axially downward to press against the surface at region 217 (optionally via tips 400). Additionally, main body 301 is compressed axially downward such that the ring 214 (and optionally ribs 303) are forced radially outward in contact with region 221. The particulate contaminants are thereby prevented from passing axially beyond ring 214 into the lower region of cavity 304 defined by the opposed faces at regions 210, 217. Ring 214 is securely held in the axial position by ledge 213 and abutment face 300 that contacts the underside of ring 214. Figures 2, 3 and 6 illustrate a specific embodiment of the present invention in which crushing shell 200 may be regarded as medium coarse. A further embodiment is illustrated with reference to figures 7 and 8 that may be regarded as a medium grade crushing shell. As will be noted, this particular crushing shell configuration does not require the intermediate spacer ring 203 positioned radially between the crushing shell 200 and topshell wall 222. Additionally, figure 7 illustrates an alternative embodiment of sealing ring 214 comprising a generally rectangular cross sectional profile and having a substantially solid main body being devoid of radial flanges and ribs. In particular and referring to figures 7 and 8, the medium grade shell 200 is positioned in direct contact with topshell 100 at both the raised upper and lower contact regions 219, 220, respectively. That is, lower contact surface 212 is positioned in contact with the inward facing surface at lowermost mount region 206 whilst the upper contact surface 211 is positioned against and in contact with an inward facing surface 700 extending over an annular rib 701 that projects radially inward from topshell wall 222. As with the medium coarse configuration of figures 2, 3 and 6, intermediate sealing ring 214 is accommodated within an annular cavity 702 defined between the outward facing surface of shell 200 at the upper regions 221, 210 and the inward facing surface at the upper mount region 204. As will be noted, the crushing shell 200 of figures 7 and 8 comprises a wall 201 having a generally greater radial thickness. However, unlike the first embodiment, the cylindrical surface at region 221 does not extend the full axial length from abutment face 300 to upper end 215. Referring to figures 7 and 8, cylindrical surface region 221 is terminated at its upper end by an inwardly tapering surface region 800 that terminates at upper end 215. As will be noted, the crushing shell 200 of the further embodiment of figures 7 and 8 comprise the identical shoulder 213 and abutment face 300. Accordingly, sealing ring 214 is configured for positioning in direct contact with the crushing shell (at an upper region) and either in direct contact with the inward facing surface 223 at region 204 of topshell wall 222 or the inward facing surface at region 217 of intermediate spacer ring 203. Additionally, in both configurations the sealing ring 214 is configured to provide a seal strength that is increased during operation of the crusher as particulates collect above the ring 214 and compress the ring 214 against surfaces 221 and 204. A further embodiment is illustrated in figure 9 in which the annular shoulder 213 is positioned at the upper edge 601 of the raised first contact region 219. Accordingly, ledge 213 and a particular abutment face 300 is configured to seat ring 214 to prevent the downward passage of debris particles to the contact surface 211 where it may damage this region of the shell 200 and/or the topshell 100. Figure 10 illustrates a further embodiment in which ledge 213 is formed as a groove 1000 extending circumferentially around shell 100. Groove 1000 is recessed into the raised first contact region 219 so as to project radially inward from contact surface 211. Accordingly, the abutment face 300 represents a lower surface of the groove 1000 and is positioned opposed to an upper surface 1001 of the groove 1000. Accordingly, sealing ring 214 is positionable within groove 1000 so as to be held and secured between the opposed faces 300, 1001. As will be noted from figures 9 and 10, the raised first contact region 219 is discontinuous around axis 106 and hence the respective ledge 213 and groove 1000 is also discontinuous in the circumferential direction around axis 106. Additionally, a radial length of abutment face 300 is less than a thickness of wall 201 at the raised first contact region 219. That is, the ledge or groove has a radial length sufficient to seat the ring 214 only and does not reduce the structural integrity or strength of the shell wall 201. According to further embodiments, groove 1000 may be embedded within upper region 221 a distance below upper end 215 at a position corresponding to the location of ledge 213 described with reference to figure 6. According to the specific embodiment, sealing ring 214 comprises a rubber material having a Shore A hardness of between 35 to 90 and preferably substantially 65. Additionally, the ring 214 of figures 2 to 5 and 7 may comprise a plurality (such as 2 to 8) axially spaced ribs 303 configured to provide a seal against a moderately rough contact surface at region 221. According to further embodiments, sealing ring 214 may comprise a single flange 302 or more than two flanges 302.
1. A gyratory crusher outer crushing shell (200) mountable within a region of a topshell (100) of a gyratory crusher and extending around a longitudinal axis (106), the crushing shell (200) comprising: a mount face (225) being outward facing relative to the axis (106) for positioning opposed to a least a part of the topshell (100) and a crushing face (209) being inward facing relative to the axis (106) to contact material to be crushed, a wall (201) defined by and extending radially between the mount surface (225) and the crushing surface (209), the wall (201) having a first upper axial end (215) and a second lower axial end (216); a raised first contact region (219) positioned axially towards the first upper axial end (215) and extending radially outward at the mount surface (225) and in a direction around the axis (106), the contact region (219) having a radially outward facing raised first contact surface (211) for positioning opposed to a radially inward facing surface of the topshell (100) or an intermediate spacer ring (203); a raised second contact region (220) positioned axially towards the second lower axial end (216) and extending radially outward at the mount surface (225) in a direction around the axis (106), the second contact region having a radially outward facing raised second contact surface (212) for positioning opposed to a radially inward facing surface (223) of the topshell (100); characterised by: a ledge (213) or groove (1000) provided at the mount face side of the wall (201) at a position of the raised first contact region (219) or axially between the first upper axial end (215) and the raised first contact region (219), the ledge (213) or groove (1000) providing an abutment face (300) to seat a sealing ring (214) positionable between the mount surface (225) and the topshell (100) or spacer ring (203), a radial length of the abutment face being less than a radial thickness of the wall at the region between the first upper axial end (215) and the raised first contact region (219).
2. The shell as claimed in claim 1 wherein the ledge (213) or groove (1000) extends continuously in a direction around the axis (106) or is discontinuous around the axis (106). 3. The shell as claimed in claims 1 or 2 wherein the abutment face (300) extends substantially perpendicular a transverse to the axis (106). 4. The shell as claimed in any preceding claim wherein the ledge (213) or groove (1000) is positioned axially between the first upper axial end (205) and the raised first contact region (219). 5. The shell as claimed in any one of claims 1 to 3 wherein the ledge (213) or groove (1000) is positioned at an axially upper region of the raised first contact region (219). 6. The shell as claimed in any one of claims 1 to 3 comprising a ledge (213) positioned radially outward at the mount surface (225) at a position axially between the first upper axial end (215) and the raised first contact region (219). 7. The shell as claimed in any preceding claim wherein a radial length of the abutment face (300) is less than a radial thickness of the wall (201) at a position immediately axially above the ledge (213) or groove (1000). 8. A gyratory crusher outer crushing shell assembly mountable within a region of a topshell (100) of a gyratory crusher, the assembly comprising: an outer crushing shell (200) as claimed in any preceding claim; a sealing ring (214) seated at the abutment face (300) and extending in contact with and around the shell (200), the ring (214) prevented from passing axially downward towards the raised first contact region (219) via abutment with the abutment face (300). 9. The assembly as claimed in claim 8 wherein the sealing ring (214) comprises a cross sectional profile selected from any one of the set of: rectangular, square, oval, circular, O-shaped, C-shaped, D-shaped, E-shaped, or I-shaped cross sectional profile. 10. The assembly as claimed in claims 8 to 9 wherein the sealing ring (214) comprises a plurality of ribs (303) projecting radially inward to contact the mount surface (225) at the region immediately axially above the ledge (213) or groove (1000). 11. The assembly as claimed in any one of claims 8 to 10 wherein the sealing ring (214) comprises a substantially solid body. 12. The assembly as claimed in any one of claims 8 to 11 wherein the sealing ring (214) comprises a resiliently deformable material. 13. A gyratory crusher comprising an outer crushing shell (200) as claimed in any one of claims 1 to 7 or an outer crushing shell assembly as claimed in any one of claims 8 to 12.
2822109
Multi-type receptacle connector and plug connector applied thereto
1
Based on the following detailed description of an invention, generate the patent claims. There should be 14 claims in total. The first, independent claim is given and the remaining 13 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Hereinafter, preferred embodiments of the present disclosure will be described in detail with reference to the accompanying drawings. Prior to the description, it should be understood that the terms used in the specification and the appended claims should not be construed as limited to general and dictionary meanings, but interpreted based on the meanings and concepts corresponding to technical aspects of the present disclosure on the basis of the principle that the inventor is allowed to define terms appropriately for the best explanation. Therefore, the description proposed herein is just a preferable example for the purpose of illustrations only, not intended to limit the scope of the disclosure, so it should be understood that other equivalents and modifications could be made thereto without departing from the spirit and scope of the disclosure. Figure 1 is an exploded perspective view showing a multi-type receptacle connector according to the present disclosure, Figure 2 is a perspective view showing a contact before being insert-molded to the insulator of Figure 1, Figure 3 is a front view showing the multi-type receptacle connector according to the present disclosure, and Figure 4 is a plane view showing an arrangement of contacts in the multi-type receptacle connector according to the present disclosure. Referring to Figures 1 to 4, a multi-type receptacle connector 100 according to the present disclosure includes a receptacle insulator 120 having a plurality of contacts 110 arranged therein and a receptacle shell 130 for surrounding and shielding at least a part of the receptacle insulator 120. The plurality of contacts 110 is accommodated in the receptacle insulator 120 by means of insert molding. At this time, in order to fix the arrangement of the plurality of contacts 110 and reinforce the strength thereof, a support plate 125 may be further provided. In this case, the plurality of contacts 110 and the support plate 125 are inserted into and fixed in a mold for insert molding, and then a plastic resin is injected into the mold for insert molding to form the receptacle insulator 120 in which the plurality of contacts 110 are molded. The plurality of contacts 110 includes main receptacle contacts 111 arranged with regular pitches and sub receptacle contacts 112a, 112b arranged at both sides of the main receptacle contacts 111, as shown in Figure 2. The main receptacle contacts 111 are arranged at the receptacle insulator 120 and give an electric contact point corresponding to a universal plug connector (for example, a micro USB). For example, as shown in Figure 4, five-pin contacts may be arranged with regular pitches to satisfy pin standards of the micro USB. The sub receptacle contacts 112a, 112b are additionally installed at both sides of the main receptacle contacts 111 and gives an additional contact point. The sub receptacle contacts 112a, 112b are used when an additional connection module is to be connected separately from the main receptacle contacts 111. The sub receptacle contacts 112a, 112b are arranged to have a contact point at the same height as the main receptacle contacts 111 and disposed relatively inwards in the inserting direction of a plug connector in comparison to the main receptacle contacts 111. In other words, the sub receptacle contacts 112a, 112b have a relatively shorter length in comparison to the main receptacle contacts 111. In addition, the sub receptacle contacts 112a, 112b may have at least one contact at each of both sides of the main receptacle contacts 111 in order to occupy a space of the receptacle insulator 120 which remains after the main receptacle contacts 111 are disposed. At this time, the sub receptacle contacts 112a, 112b may be arranged at both sides with the same number or different numbers. For example, as shown in Figure 4, the sub receptacle contacts 112a, 112b may include three-pin contacts 112a at the left side and two-pin contacts 112b at the right side, which are arranged with regular pitches, based on the center of the main receptacle contacts 111. However, the present disclosure is not limited thereto, and various modifications can be made, as obvious to those skilled in the art. The receptacle shell 130 plays a role of surrounding and shielding a part of the receptacle insulator 120. In addition, the receptacle shell 130 plays a role of helping coupling with a plug connector 200 corresponding to the receptacle connector 100. The receptacle shell 130 includes a first locking member 131 for fixing a general coupled universal plug connector and a second locking member 132 for fixing a coupled multi-type plug connector 200, at an upper portion thereof. The first locking member 131 has a locking structure applied to a general universal receptacle connector and a plug connector and has a hole structure corresponding to a latch of the universal plug connector. The second locking member 132 has an elastic piece protruding inwards at the upper portion of the receptacle shell 130 in order to fix the coupled multi-type plug connector 200. The multi-type plug connector 200 has a hole structure corresponding to the second locking member 132, and the plug connector 200 inserted into the receptacle connector 100 is fixed by means of coupling between them. Since the multi-type receptacle connector 100 has a longer length than an existing universal receptacle connector and the multi-type plug connector 200 corresponding thereto also has a longer length, a locking position may be located inwards so that the receptacle connector and the plug connector are coupled and fixed more stably. As shown in Figure 3, the receptacle shell 130 includes a first stopper 133 for preventing a general universal plug connector from being excessively inserted at the lower portion thereof and a second stopper 134 for preventing the multi-type plug connector 200, described later, from being excessively inserted. When a general universal plug connector having only contact points with the main receptacle contacts 111 is coupled, the first stopper 133 presents the universal plug connector from being excessively inserted after deviating from a contact range with the main receptacle contacts 111. In other words, the first stopper 133 has a protrusion structure protruding at a location capable of preventing the universal plug connector coming into contact with the main receptacle contacts 111 from advancing further. At this time, the multi-type plug connector 200 preferably has an escape slot 235 which allows the first stopper 133 to escape. When the multi plug connector 200 having contact points with the main receptacle contacts 111 and the sub receptacle contacts 112a, 112b is coupled, the second stopper 134 prevents the multi plug connector 200 from being excessively inserted after deviating from a contact range with the main receptacle contacts 111 and the sub receptacle contacts 112a, 112b. Figure 5 is an exploded perspective view showing a multi-type plug connector according to the present disclosure, Figure 6 is a front view showing the multi-type plug connector according to the present disclosure, Figure 7 is a plane view showing the multi-type plug connector according to the present disclosure, and Figure 8 is a partial perspective view showing a lower surface of the multi-type plug connector according to the present disclosure. Referring to Figures 5 to 8, the multi-type plug connector 200 according to the present disclosure includes a plug insulator 220 having a plurality of contacts 210 arranged therein and a plug shell 230 for surrounding and shielding at least a part of the plug insulator 220. The plurality of contacts 210 are inserted into and coupled to the plug insulator 220, and the plug insulator 220 may have a plurality of slots in which the plurality of contacts 210 may be inserted and arranged. The plurality of contacts 210 include main plug contacts 211 arranged with pitches corresponding to the main receptacle contacts 111 of the multi-type receptacle connector 100 and sub plug contacts 212a, 212b arranged with pitches corresponding to the sub receptacle contacts 112a, 112b at each of both sides of the main plug contacts 211. The main plug contacts 211 are arranged at the plug insulator 220 and gives connection pins corresponding to the main receptacle contacts 111 of the multi-type receptacle connector 100. For example, as shown in Figure 7, five-pin contacts may be arranged with regular pitches to satisfy pin standards of the micro USB. The sub plug contacts 212a, 212b gives connection pins corresponding to the sub receptacle contacts 112a, 112b of the multi-type receptacle connector 100. The sub plug contacts 212a, 212b are used to connect an additional connection module separately from the main plug contacts 211. The sub plug contacts 212a, 212b are arranged to have contact points at the same height as the main plug contacts 211 and disposed to relatively protrude forwards in comparison to the main plug contacts 2110. In other words, the sub plug contacts 212a, 212b have a relatively longer length in comparison to the main plug contacts 211. In addition, the sub plug contacts 212a, 212b may have at least one contact at each of both sides of the main plug contacts 111 so as to occupy a space of the plug insulator 220 which remains after the main plug contacts 211 are disposed. At this time, the sub plug contacts 212a, 212b may be arranged at both sides with the same number or different numbers. For example, as shown in Figure 7, the sub plug contacts 212a, 212b may have three-pin contacts 212a at the left side and two-pin contacts 212b at the right sides, arranged with regular pitches, based on the center of the main plug contacts 211. This arrangement may be changed according to the arrangement of the corresponding sub receptacle contacts 112a, 112b of the multi-type receptacle connector 100. However, the present disclosure is not limited thereto, and various modifications can be made, as obvious to those skilled in the art. The plug shell 230 plays a role of surrounding and shielding a part of the plug insulator 220. In addition, the plug shell 230 plays a role of helping the coupling with the receptacle connector 100 corresponding to the plug connector 200. The plug shell 230 has a locking hole 232 used for being coupled and fixed to the receptacle connector 100 when the plug shell 230 is inserted into the receptacle connector 100. The locking hole 232 has a hole structure which may be coupled corresponding to a locking member made of an elastic piece protruding inwards at the upper portion of the receptacle connector 100 and allows the plug shell 230 to be inserted into and fixed to the receptacle connector 100. Since the multi-type plug connector 200 has a longer structure than an existing universal plug connector and the corresponding receptacle connector 100 also has a longer structure, a locking position may be located inwards so that the plug connector may be coupled and fixed to the plug connector more stably. As shown in Figure 6, the plug shell 230 has an escape slot 235 for escaping without being hooked to the first stopper 133 which prevents a general universal plug connector from being excessively inserted into the receptacle connector 100. By doing so, the multi-type plug connector 200 is not hooked by the first stopper 133, and all of the main plug contacts 211 and the sub plug contacts 212a, 212b may be connected to the main receptacle contacts 111 and the sub receptacle contacts 112a, 112b of the receptacle connector 100. Figure 9 is a perspective view showing a universal plug connector coupled to the multi-type receptacle connector according to the present disclosure, Figure 10 is a diagram for illustrating the coupling state of Figure 9, Figure 11 is a cross-sectional view taken along the line of A-A' of Figure 9, Figure 12 is a perspective view showing a coupling state of the multi-type receptacle connector and the plug connector according to the present disclosure, Figure 13 is a diagram for illustrating the coupling state of Figure 12, and Figure 14 is a cross-sectional view taken along the line B-B' of Figure 12. Hereinafter, a process of using the receptacle connector according to the present disclosure will be described. First, referring to Figures 9 to 11, it will be described that a universal plug connector 300 is compatibly applied to the multi-type receptacle connector 100 of the present disclosure. If the universal plug connector 300 is inserted into and coupled to the receptacle connector 100, as shown in Figures 9 and 11, a latch 310 of the universal plug connector 300 is coupled and fixed to the first locking member 131 of the receptacle connector 100. In addition, as shown in Figures 10 and 11, the universal plug connector 300 inserted into the receptacle connector 100 is blocked by the first stopper 133 of the receptacle connector 100, thereby preventing excessive insertion at which the contact of the plug connector 300 is inserted to a location capable of coming into contact with the sub receptacle contacts 112a, 112b and thus causes inferior contact. Moreover, referring to Figures 12 to 14, mutual coupling between the multi-type receptacle connector 100 according to the present disclosure and the plug connector 200 will be described. If the plug connector 200 is inserted into and coupled to the receptacle connector 100, as shown in Figures 12 and 14, the second locking member 132 of the receptacle connector 100 is coupled and fixed to the locking hole 232 of the plug connector 200. In addition, as shown in Figures 13 and 14, the plug connector 200 inserted into the receptacle connector 100 avoids the first stopper 133 of the receptacle connector 100 by means of the escape slot 235, is inserted into a location of the second stopper 134 and then is blocked by the second stopper 134, thereby preventing excessive insertion which causes the connector to break down. The present disclosure has been described in detail. However, it should be understood that the detailed description and specific examples, while indicating preferred embodiments of the disclosure, are given by way of illustration only, since various changes and modifications within the spirit and scope of the disclosure will become apparent to those skilled in the art from this detailed description.
1. A multi-type receptacle connector, comprising: a receptacle insulator; main receptacle contacts arranged at the receptacle insulator to provide an electric contact point corresponding to a universal plug connector; sub receptacle contacts additionally installed at both sides of the main receptacle contacts to give an additional contact point; and a receptacle shell surrounding at least a part of the receptacle insulator, wherein the sub receptacle contacts are arranged at the same height as the main receptacle contacts and disposed at a relatively inner side in the insertion direction of a plug connector in comparison to the main receptacle contacts.
2. The multi-type receptacle connector according to claim 1, wherein the sub receptacle contacts have a relatively shorter length in comparison to the main receptacle contacts. 3. The multi-type receptacle connector according to claim 1, wherein the sub receptacle contacts include at least one contact at each of both sides of the main receptacle contacts, and the contacts disposed at both sides may be arranged with the same number or different numbers. 4. The multi-type receptacle connector according to claim 1, wherein the receptacle shell includes: a first stopper for preventing a universal plug connector having only contact points with the main receptacle contacts from being excessively inserted; and a second stopper for preventing a multi plug connector having contact points with the main receptacle contacts and sub receptacle contacts from being excessively inserted. 5. The multi-type receptacle connector according to claim 4,: wherein the first stopper is located at a relatively front side in the inserting direction of the plug connector in comparison to the second stopper, and: wherein the multi plug connector has an escaping structure for escaping from the second stopper. 6. The multi-type receptacle connector according to claim 5, wherein the receptacle shell includes: a first locking member for fixing the coupled universal plug connector; and a second locking member for fixing the coupled multi plug connector. 7. The multi-type receptacle connector according to claim 6,: wherein the second locking member includes an elastic piece protruding inwards at an upper portion of the receptacle shell, and: wherein a hole structure corresponding to the elastic piece is provided at an upper portion of the multi plug connector. 8. The multi-type receptacle connector according to claim 1, wherein the main receptacle contacts and the sub receptacle contacts are accommodated in the receptacle insulator in an insertion-molding fashion. 9. The multi-type receptacle connector according to claim 1, wherein the universal plug connector is a micro USB plug connector. 10. A multi-type plug connector, comprising: main plug contacts and sub plug contacts respectively corresponding to the main receptacle contacts and the sub receptacle contact of the multi-type receptacle connector defined in any one of the claim 1 to 9; a plug insulator for accommodating the main plug contacts and the sub plug contacts; and a plug shell surrounding at least a part of the plug insulator, wherein the sub plug contacts are arranged at the same height as the main plug contacts and disposed to protrude relatively forwards in comparison to the main plug contacts. 11. The multi-type plug connector according to claim 10, wherein the sub plug contacts have a relatively longer length in comparison to the main plug contacts. 12. The multi-type plug connector according to claim 10, wherein the sub plug contacts include at least one contact at each of both sides of the main plug contacts, and the contacts disposed at both sides may be arranged with the same number or different numbers. 13. The multi-type plug connector according to claim 10,: wherein the plug shell has a locking hole to be coupled and fixed to the multi-type receptacle connector, and: wherein the multi-type receptacle connector has an elastic piece protruding inwards corresponding to the locking hole. 14. The multi-type plug connector according to claim 10,: wherein the multi-type receptacle connector includes a stopper for preventing a universal plug connector having only contact points with the main receptacle contacts from being excessively inserted, and: wherein the plug shell has an escape slot to escape from the stopper.
2821225
Method for forming thermoplastic composites
2
Based on the following detailed description of an invention, generate the patent claims. There should be 9 claims in total. The first, independent claim is given and the remaining 8 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
With reference to Figures 1-5, a method for forming thermoplastic composites according to a first embodiment of the present invention contains steps of: (a). cutting a thermoplastic film 10 and a cloth material 20, wherein a size of the thermoplastic film 10 is less than that of the cloth material 20, and the thermoplastic film 10 is made of thermoplastic polymeric material and colorant by ways of an extruder, and the thermoplastic polymeric material is selected from TPU + SBR, TPU + SEBS, TPU + TPR, TPU + EPDM, TPU + TPU hot melt adhesives and TPU + Nylon elastomer, and wherein a color is printed, coated or surface sandwiched on the thermoplastic film 10, a thickness of the thermoplastic film 10 is 0.05 to 2.5mm, the thermoplastic film 10 is comprised of a surface layer 11 and an adhesive layer 12 (as shown in Figure 2), wherein a melting point of the surface layer 11 is 5 °C higher than the adhesive layer 12, and the cloth material is selected from woven, knitted fabrics, non-woven and sandwich mesh; (b). placing the thermoplastic film 10 on a platform 30 of a molding machine, facing the adhesive layer 12 upwardly, and covering the cloth material 20 on the thermoplastic film 10 (as illustrated in Figure 3), wherein the platform 30 includes a plurality of channels 31 defined therein, a plurality of concave and convex patterns 32 arranged on a top surface thereof, and plural pores 33 evenly formed on the top surface thereof and communicating with the plurality of channels 31, wherein the concave and convex patterns 3 are the same or varying, and a diameter of each pore is 0.001mm; (c). heating the thermoplastic film 10 by using a heating unit 40 so as to melt the adhesive layer 12 and to soften the surface layer 11, wherein air in the plurality of channels 34 is drawn by a vacuum unit 34, and a top surface of the cloth layer 20 is pressed by a pressing member 50, wherein the pressing member 50 has an air insulation film 51 and a press mold 52, a melting point of the air insulation film 51 is higher than that of the surface layer 11, and the air insulation film 51 is made of rubber or silicone, and the air insulation film 51 is covered on the platform 30 and the cloth material 20, the press mold 52 is pressed on the air insulation film 51. Thereby, when the vacuum unit 34 operates, a pressure between the top surface of the platform 30 and the gas insulation film 51 is lower than atmospheric pressure and is a negative pressure to draw the gas insulation film 51 downwardly so that the gas insulation film 51 presses the cloth material 20, and the press mold 52 presses the gas insulation film 51, such that the adhesive layer 12 of the thermoplastic film 10 penetrates into the cloth material 20, hence the adhesive layer 12 adheres with the cloth material 20. In addition, the plural pores 33 draw the thermoplastic film 10 and the cloth material 20 downwardly, such that the surface layer 11 of the thermoplastic film 10 corresponds to the plurality of concave and convex patterns 32 of the platform 30, thus forming three-dimensional patterns obviously (as shown in Figure 5 ). Also, the cloth material 20 and the thermoplastic film 10 are combined tighter to form the thermoplastic composites with a varying shape so as to produce shoes, purses, and hats further. It is to be noted that the pressing member 50 is comprises of the air insulation film 51 or the press mold 52. For example, when gaps of the cloth material 20 are large, the pressing member 50 is the air insulation film 51, and the heating unit 40 is fixed in the platform 30 or the press mold 52. Referring to Figures 6 and 7, a method for forming thermoplastic composites according to a second embodiment of the present invention contains steps of: (a). cutting a thermoplastic film 10 and a cloth material 20; (b). placing the cloth material 20 on a platform 30 of a molding machine, and then putting an adhesive layer 12 of the thermoplastic film 10 on the cloth material 20, wherein the platform 30 includes a plurality of channels 31 defined therein and plural pores 33 evenly formed on the top surface thereof and communicating with the plurality of channels 31; (c). heating the thermoplastic film 10 by using a heating unit 40 so as to melt the adhesive layer 12, wherein air in the plurality of channels 34 is drawn by a vacuum unit 34, and a top surface of the thermoplastic film 10 is pressed by a pressing member 50. Thereby, when the vacuum unit 34 operates, a pressure between the top surface of the platform 30 and the gas insulation film 51 is lower than atmospheric pressure and is a negative pressure to draw the gas insulation film 51 downwardly so that the gas insulation film 51 presses the thermoplastic film 10, and the press mold 52 presses the gas insulation film 51, the plural pores 33 draw the thermoplastic film 10 and the cloth material 20 downwardly, such that the adhesive layer 12 of the thermoplastic film 10 penetrates into the cloth material 20, hence the adhesive layer 12 adheres with the cloth material 20. In addition, the cloth material 20 and the thermoplastic film 10 are combined tighter to form the thermoplastic composites with a varying shape so as to produce shoes, purses, and hats further. It is to be noted that the pressing member 50 is comprises of the air insulation film 51 or the press mold 52. For example, when gaps of the cloth material 20 are large, the pressing member 50 is the air insulation film 51, and the heating unit 40 is fixed in the platform 30 or the press mold 52.
2. A method for forming thermoplastic composites comprising steps of: (a). cutting a thermoplastic film (10) and a cloth material (20), wherein the thermoplastic film (10) is comprised of a surface layer (11) and an adhesive layer (12), and a melting point of the surface layer (11) is higher than the adhesive layer (12); (b). placing the cloth material (20) on a platform (30) of a molding machine, and then putting an adhesive layer (12) of the thermoplastic film (10) on the cloth material (20), wherein the platform (30) includes a plurality of channels (31) defined therein, and plural pores (33) evenly formed on the top surface thereof and communicating with the plurality of channels (31); (c). heating the thermoplastic film (10) by using a heating unit (40) so as to melt the adhesive layer (12), wherein air in the plurality of channels (31) is drawn by a vacuum unit (34), and a top surface of the thermoplastic film (10) is pressed by a pressing member (50), the plural pores (33) draw the thermoplastic film (10) and the cloth material (20) downwardly, and the pressing member (50) presses the thermoplastic film (10), such that the adhesive layer (12) of the thermoplastic film (10) penetrates into the cloth material (20).
3. The method for forming thermoplastic composites as claimed in claim 1 or 2, wherein the pressing member (50) is a press mold. 4. The method for forming thermoplastic composites as claimed in claim 3, wherein the heating unit (40) is a press mold. 5. The method for forming thermoplastic composites as claimed in claim 3, wherein the heating unit (40) is a platform. 6. The method for forming thermoplastic composites as claimed in claim 3, wherein the pressing member (50) is an air insulation film, and a melting point of the air insulation film is higher than the surface layer (11) and is covered on the platform (30). 7. The method for forming thermoplastic composites as claimed in claim 3, wherein the pressing member (50) includes an air insulation film and a press mold, and a melting point of the air insulation film is higher than the surface layer (11) and is covered on the platform (30), the press mold presses on the air insulation film. 8. The method for forming thermoplastic composites as claimed in claim 1 or 2, wherein the thermoplastic film (10) is made of thermoplastic polymeric material and colorant by ways of an extruder. 9. The method for forming thermoplastic composites as claimed in claim 1 or 2, wherein the thermoplastic polymeric material is selected from TPU + SBR, TPU + SEBS, TPU + TPR, TPU + EPDM, TPU + TPU hot melt adhesives and TPU + Nylon elastomer. 10. The method for forming thermoplastic composites as claimed in claim 1 or 2, wherein a color is printed, coated or surface sandwiched on the thermoplastic film (10).
2822119
Mounting box
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a mounting box comprising a box case 2 with integrated attachment means. The box case 2 defines a mounting space inside thereof, the mounting space being adapted for accommodating an electrical component, such as a wall socket or a light switch. The box case 2 comprises two installation apertures 21 on each of its four sides. Each installation aperture 21 is adapted to provide a passage between exterior of the box case 2 and the mounting space. The attachment means comprises an attachment member 4 for each installation aperture 21 and four radial supporting members 24 for each attachment member 4. The attachment member 4 is supported to the box case 2 through corresponding radial supporting members 24. In Figure 1 each installation aperture 21 is blocked by a removable shield plug 48 located radially inside corresponding attachment member 4. Each removable shield plug 48 is an integral part of the box case 2. The removable shield plug 48 is adapted to be cut off in order to enable introducing of an elongated electric installation member into the installation aperture 21. Cutting off the removable shield plug 48 is easy since the removable shield plug 48 is only supported to corresponding attachment member 4 through three narrow supporting members 49. Idea is that an electrician removes required number of removable shield plugs 48 while the rest removable shield plugs protect the mounting space against dirt and foreign bodies. Figure 2A shows an enlargement of the installation aperture 21 with the removable shield plug 48. Figure 2B shows an enlargement of the installation aperture 21 without the removable shield plug. Each attachment member 4 has a form of a ring segment. Each attachment member 4 surrounds corresponding installation aperture 21 in an engagement angle α. In the mounting box shown in Figure 1 the engagement angle α is roughly 320°. In an alternative embodiment the engagement angle may be 180° or higher. In the embodiment of Figure 1 the attachment member 4 is a one-piece ring segment. It is also possible to divide an attachment member having a form of a ring segment into several partial ring segments. Figures 2A and 2B show that a combined peripheral length of the radial supporting members 24 is less than fifth of a peripheral length of the attachment member 4. Peripheral slits 3 between the attachment member 4 and the box case 2 facilitate stretching of the attachment member 4 radially outwards. Figures 3A - 3C show a sectional view of the attachment member 4 during insertion of an elongated electric installation member 8 into the installation aperture corresponding to the attachment member 4. The elongated electric installation member 8 is an installation conduit having peripheral grooves on its outer surface. At a peripheral groove the installation conduit has a smaller outer diameter than next to the peripheral groove. The attachment member 4 has an access surface 42 and a stopping surface 44. The access surface 42 faces exterior of the box case 2 and has a form of a funnel segment. In other words the access surface 42 is a bevelled surface whose radial inner diameter decreases in a direction from the exterior of the box case 2 towards the mounting space. The access surface 42 is adapted to co-operate with an outer surface of an elongated electric installation member when the elongated electric installation member is introduced into the installation aperture, the co-operation being adapted to move the attachment member 4 in radial direction from a resting position of the attachment member 4 such that cross-sectional area of the installation aperture increases. The stopping surface 44 is a substantially planar surface facing the mounting space and extending substantially on a plane perpendicular to an axial direction of the installation aperture. The axial direction of the installation aperture is the direction in which an elongated electric installation member is pushed into the installation aperture. The stopping surface 44 is adapted to co-operate with an outer surface of an elongated electric installation member passing through the installation aperture when an outwards directed force is exerted to the elongated electric installation member, the co-operation being adapted to resist movement of the elongated electric installation member outwards through the installation aperture. There is always some friction between an attachment member and an elongated electric installation member moving through the attachment member. Herein it is defined that an attachment member resists outwards movement of an elongated electric installation member when the resisting force is at least 200 % greater than a resisting force during inwards movement of the elongated electric installation member. In Figure 3A the attachment member 4 is in its resting position. Figure 3B shows a situation in which an installation conduit is being introduced into the installation aperture. In Figure 3B the attachment member 4 is located radially further form a centre line of the installation aperture than in Figure 3A such that cross-sectional area of the installation aperture has increased. In Figure 3B the attachment member 4 is in contact with an outer surface of the installation conduit at a point where an outer diameter of the installation conduit is at its maximum. Between situations of Figures 3A and 3B the bevelled access surface 42 has co-operated with an outer surface of the installation conduit wherein the attachment member 4 has bent in order to increase the cross-sectional area of the installation aperture. In Figure 3C the installation conduit has been pushed deeper into the installation aperture. The attachment member 4 has substantially returned to its resting position due to its elasticity and has partially entered a peripheral groove on an outer surface of the installation conduit. If one now tries to pull the installation conduit out of the box case 2 the stopping surface 44 co-operates with the outer surface of the installation conduit. To be more specific, the stopping surface 44 co-operates with a ring shaped projection on the outer surface of the installation conduit. These ring shaped projections alternate with the peripheral grooves. An outer diameter of a ring shaped projection is greater than an outer diameter of an adjacent peripheral groove. Figures 3A - 3C show that the attachment means further comprises a second attachment member 9 for the installation aperture 21. The second attachment member 9 is formed on a surface of the box case 2 surrounding the installation aperture 21. Radial distance of the second attachment member 9 from the centre line of the installation aperture 21 is greater than radial distance of the attachment member 4 from the centre line of the installation aperture 21. The second attachment member 9 is adapted to engage an outer surface of an elongated electric installation member which has a greater diameter than the elongated electric installation member whose outer surface the attachment member 4 is adapted to engage. Axial position of the second attachment member 9 is substantially the same as axial position of the attachment member 4. The second attachment member 9 has a form of a ring segment. The second attachment member 9 surrounds corresponding installation aperture 21 in a second engagement angle. In the mounting box shown in Figure 1 the second engagement angle is roughly 90°. The second engagement angle is smaller than the engagement angle α because the second attachment member 9 cannot move radially outwards during insertion of an elongated electric installation member. It is possible to divide the second attachment member into several partial ring segments. The second attachment member 9 has a similar basic form as the attachment member 4. The second attachment member 9 has an access surface 92 and a stopping surface 94. The access surface 92 faces exterior of the box case 2 and has a form of a funnel segment. The access surface 92 is adapted to co-operate with an outer surface of an elongated electric installation member when the elongated electric installation member is introduced into the installation aperture. Since the second attachment member 9 cannot move in the radial direction the co-operation between the access surface 92 and the outer surface of the elongated electric installation member is based on elasticity thereof. The stopping surface 94 is a substantially planar surface facing the mounting space and extending substantially on a plane perpendicular to the axial direction of the installation aperture. The operating principle of the stopping surface 94 is identical to the operating principle of the stopping surface 44 described above. The second attachment member 9 is adapted to be enabled by removing corresponding attachment member 4. The attachment member 4 may be removed by cutting the corresponding radial supporting members 24. Figure 5 shows a mounting box whose attachment means is adapted to engage an outer surface of an electric cable. The attachment means comprise six attachment members 6 for each installation aperture 21. Figure 6 shows a sectional view of the mounting box of Figure 5 as seen from lateral direction, and Figure 7 shows an enlarged detail of Figure 6. Each attachment member 6 extends radially inwards from a surface of the box case 2 surrounding the corresponding installation aperture 21 and obliquely inwards in axial direction. An access surface 62 of the attachment member 6 is an outer surface of the attachment member 6 facing exterior of the box case 2. The access surface 62 is a slightly curved surface or a concave surface. A stopping surface 64 of the attachment member 6 comprises a distal end surface of the attachment member 6. If one tries to pull an electric cable out of the box case 2 the outer surface of the electric cable co-operates with the stopping surface 64 and bends the attachment member 6 outwards in axial direction which reduces cross-sectional area of the installation aperture. The attachment means of the mounting box of Figure 5 comprises three long attachment members and three short attachment members for each installation aperture 21. Every second attachment member is longer than adjacent attachment member. In an embodiment all parts of a mounting box are made of same material such as flexible plastic material. It is possible to manufacture the entire mounting box with a single injection moulding process. In the embodiments shown in Figures 1 and 5 the box case 2 has a base portion whose cross section is substantially a square. The attachment means are located within the square. Therefore the mounting boxes have a form which allows placing them closely side by side. Each box case 2 comprises connection means for connecting the box case 2 to an adjacent box case. The connection means comprises projections 27 having a T-shaped cross section and slots 28 having a T-shaped cross section. Electric wires may pass from one box case to adjacent one through the installation apertures. Side walls 25 of the base portion of the box case 2 are substantially planar. Each side wall 25 is provided with two installation apertures 21. Axial direction of each installation aperture 21 extends perpendicular to corresponding side wall 25. The attachment means are located within the side walls 25. None of the attachment members projects from corresponding side wall 25.
1. A mounting box comprising: a box case (2) defining a mounting space inside thereof, the box case (2) comprising at least one installation aperture (21) adapted to provide a passage between an exterior of the box case (2) and the mounting space; and attachment means comprising at least one attachment member (4, 6) for each of the at least one installation aperture (21), each attachment member (4, 6) having an access surface (42, 62) and a stopping surface (44, 64), the access surface (42, 62) being an bevelled surface adapted to co-operate with an outer surface of an elongated electric installation member (8) when the elongated electric installation member (8) is introduced into the installation aperture (21), the co-operation being adapted to move the attachment member (4, 6) in radial direction from a resting position of the attachment member (4, 6) such that cross-sectional area of the installation aperture (21) increases, the stopping surface (44, 64) being adapted to co-operate with an outer surface of an elongated electric installation member (8) passing through the installation aperture (21) when an outwards directed force is exerted to the elongated electric installation member (8), the co-operation being adapted to resist movement of the elongated electric installation member (8) outwards through the installation aperture (21), characterized in that each attachment member (4, 6) is an integral part of the box case (2) such that the box case (2) and each attachment member (4, 6) are made from a same material.
2. A mounting box according to claim 1, characterized in that the attachment member (4) has a form of a ring segment, and the attachment means further comprises a plurality of radial supporting members (24) through which the attachment member (4) is supported to the box case (2). 3. A mounting box according to claim 2, characterized in that the access surface (42) is a surface of the attachment member (4) facing exterior of the box case (2) and having a form of a funnel segment. 4. A mounting box according to claim 2 or 3, characterized in that the stopping surface (44) is a substantially planar surface of the attachment member (4) facing the mounting space and extending substantially on a plane perpendicular to an axial direction of the installation aperture (21). 5. A mounting box according to any one of claims 2 to 4, characterized in that the attachment member (4) surrounds the installation aperture (21) in an engagement angle (α) which is at least 180°. 6. A mounting box according to any one of claims 2 to 5, characterized in that a combined peripheral length of the plurality of radial supporting members (24) is less than fifth of a peripheral length of the attachment member (4). 7. A mounting box according to any one of claims 2 to 6, characterized in that the at least one attachment member (4) is adapted to enter at least partially a peripheral groove on an outer surface of the elongated electric installation member (8). 8. A mounting box according to any one of claims 2 to 7, characterized in that the mounting box further comprises a removable shield plug (48) for each of the at least one installation aperture (21), each of the removable shield plugs (48) is an integral part of the box case (2), located radially inside corresponding attachment member (4) and adapted to be cut off in order to enable introducing of an elongated electric installation member (8) into the installation aperture (21). 9. A mounting box according to claim 1, characterized in that the attachment means comprises for each of the at least one installation aperture (21) a first attachment member (4) and a second attachment member (9) each having a form of a ring segment, the first attachment member (4) being adapted to engage an outer surface of an elongated electric installation member (8) having a first outer diameter, the second attachment member (9) being adapted to engage an outer surface of an elongated electric installation member having a second outer diameter, the second outer diameter being greater than the first outer diameter. 10. A mounting box according to claim 9, characterized in that the attachment means further comprises for each first attachment member (4) a plurality of radial supporting members (24) through which the first attachment member (4) is supported to the box case (2), each second attachment member (9) being formed on a surface of the box case (2) surrounding the corresponding installation aperture (21). 11. A mounting box according to claim 10, characterized in that each second attachment member (9) is adapted to be enabled by removing corresponding first attachment member (4). 12. A mounting box according to claim 1, characterized in that the attachment means comprises a plurality of attachment members (6) for each installation aperture (21), each attachment member (6) extending radially inwards from a surface of the box case (2) surrounding the corresponding installation aperture (21) and obliquely inwards in axial direction, wherein the access surface (62) is an outer surface of the attachment member (6) facing exterior of the box case (2), and the stopping surface (64) comprises a distal end surface of the attachment member (6). 13. A mounting box according to any preceding claim, characterized in that the box case (2) has a base portion whose cross section is substantially a square, the base portion comprising the at least one installation aperture (21), the attachment means being located within the square. 14. A mounting box according to any preceding claim, characterized in that the mounting box is made from a single material. 15. A mounting box according to any preceding claim, characterized in that the box case (2) is made from plastic material.
2821684
Mounting box
1
Based on the following detailed description of an invention, generate the patent claims. There should be 14 claims in total. The first, independent claim is given and the remaining 13 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a mounting box comprising a box case 2, eight installation apertures 21, and attachment means for attaching installation conduits to the installation apertures 21. The box case 2 defines a mounting space inside thereof, the mounting space being adapted for accommodating an electrical component, such as a wall socket or a light switch. Each installation aperture 21 is adapted to provide a passage between exterior of the box case 2 and the mounting space. The attachment means comprises a first attachment member and a second attachment member for each installation aperture 21. The attachment means are integral part of the box case 2 such that the mounting box is made from a single material. Figures 2A and 2B show a first attachment member 4 from an axial direction and Figures 3A - 3C show the first attachment member 4 as a cross section from a lateral direction. A second attachment member 9 is best seen in Figure 4B. Both the first attachment member 4 and the second attachment member 9 are adapted to allow movement of an installation conduit through an installation aperture towards the mounting space and to resist reverse movement of the installation conduit. In Figure 1 each installation aperture 21 is blocked by a removable shield plug 48 located radially inside corresponding first attachment member 4. Each removable shield plug 48 is an integral part of the box case 2. The removable shield plug 48 is adapted to be cut off in order to enable introducing of a first installation conduit 8 into the installation aperture 21. Cutting off the removable shield plug 48 is easy since the removable shield plug 48 is only supported to corresponding first attachment member 4 through three narrow supporting members 49. Idea is that an electrician removes required number of removable shield plugs 48 while the rest removable shield plugs protect the mounting space against dirt and foreign bodies. Figure 2A shows an enlargement of the installation aperture 21 with a removable shield plug 48. Figure 2B shows an enlargement of the installation aperture 21 without the removable shield plug. Figures 2A and 2B show that the attachment means comprises four radial supporting members 24 for a first attachment member 4. The first attachment member 4 is supported to the box case 2 through corresponding radial supporting members 24. The first attachment member 4 is adapted for attaching a first installation conduit 8 having a first outer diameter to an installation aperture 21 of the box case 2, and the second attachment member 9 is adapted for attaching a second installation conduit 82 having a second outer diameter to said installation aperture 21 of the box case 2. The first attachment member 4 is adapted to enter at least partially a peripheral groove on an outer surface of the first installation conduit 8. The second attachment member 9 is adapted to enter at least partially a peripheral groove on an outer surface of the second installation conduit 82. At a peripheral groove the installation conduit has a smaller outer diameter than next to the peripheral groove. Figures 3A - 3C show a sectional view of the first attachment member 4 during insertion of a first installation conduit 8 into the installation aperture 21. The first attachment member 4 has an access surface 42 and a stopping surface 44. The access surface 42 faces exterior of the box case 2 and has a form of a funnel segment. In other words the access surface 42 is a bevelled surface whose radial inner diameter decreases in a direction from the exterior of the box case 2 towards the mounting space. The access surface 42 is adapted to co-operate with an outer surface of a first installation conduit 8 when the first installation conduit 8 is introduced into the installation aperture 21, the co-operation being adapted to move the first attachment member 4 in radial direction from a resting position of the first attachment member 4 such that cross-sectional area of the installation aperture 21 increases. The stopping surface 44 is a substantially planar surface facing the mounting space and extending substantially on a plane perpendicular to an axial direction of the installation aperture 21. The axial direction of the installation aperture 21 is the direction in which an installation conduit is pushed into the installation aperture 21. The stopping surface 44 is adapted to co-operate with a peripheral groove on an outer surface of the first installation conduit 8 attached to the installation aperture 21 when an outwards directed force is exerted to the first installation conduit 8, the co-operation being adapted to resist movement of the first installation conduit 8 outwards through the installation aperture 21. There is always some friction between an attachment member and an installation conduit moving through the attachment member. Herein it is defined that an attachment member resists outwards movement of an installation conduit when the resisting force is at least 200 % greater than a resisting force during inwards movement of the installation conduit. In Figure 3A the first attachment member 4 is in its resting position. Figure 3B shows a situation in which a first installation conduit 8 is being introduced into the installation aperture 21. In Figure 3B the first attachment member 4 is located radially further from a centre line of the installation aperture 21 than in Figure 3A such that cross-sectional area of the installation aperture 21 has increased. In Figure 3B the first attachment member 4 is in contact with an outer surface of the first installation conduit 8 at a point where an outer diameter of the first installation conduit 8 is at its maximum. Between situations of Figures 3A and 3B the bevelled access surface 42 has co-operated with an outer surface of the first installation conduit 8 such that the first attachment member 4 has bent in order to increase the cross-sectional area of the installation aperture 21. In Figure 3C the first installation conduit 8 has been pushed deeper into the installation aperture 21. The first attachment member 4 has substantially returned to its resting position due to its elasticity and has partially entered a peripheral groove on an outer surface of the first installation conduit 8. If one now tries to pull the first installation conduit 8 out of the box case 2 the stopping surface 44 co-operates with a peripheral groove on an outer surface of the first installation conduit 8. To be more specific, the stopping surface 44 collides with a ring shaped projection on the outer surface of the first installation conduit 8. These ring shaped projections alternate with the peripheral grooves. An outer diameter of a ring shaped projection is greater than an outer diameter of an adjacent peripheral groove. The first attachment member 4 has a form of a ring segment. Each first attachment member 4 surrounds corresponding installation aperture 21 in an engagement angle α. In the mounting box shown in Figure 1 the engagement angle α is roughly 320°. In an alternative embodiment the engagement angle may be 180° or higher. In the embodiment of Figure 1 the first attachment member 4 is a one-piece ring segment. It is also possible to divide a first attachment member having a form of a ring segment into several partial ring segments. Figures 2A and 2B show that a combined peripheral length of the radial supporting members 24 is less than fifth of a peripheral length of the first attachment member 4. Peripheral slits 3 between the first attachment member 4 and the box case 2 facilitate stretching of the first attachment member 4 radially outwards. The second attachment member 9 has a form of a ring segment. Each second attachment member 9 is formed on a surface of the box case 2 surrounding corresponding installation aperture 21. Radial distance of the second attachment member 9 from the centre line of the installation aperture 21 is greater than radial distance of the first attachment member 4 from the centre line of the installation aperture 21. The second attachment member 9 is adapted to engage an outer surface of a second installation conduit 82 which has a greater outer diameter than the first installation conduit 8 whose outer surface the first attachment member 4 is adapted to engage. Axial position of the second attachment member 9 is substantially the same as axial position of the first attachment member 4. The second attachment member 9 surrounds corresponding installation aperture 21 in a second engagement angle. In the mounting box shown in Figure 1 the second engagement angle is roughly 90°. The second engagement angle is smaller than the engagement angle α because the second attachment member 9 cannot move radially outwards during insertion of an installation conduit. It is possible to divide the second attachment member into several partial ring segments. Each second attachment member 9 is adapted to be enabled by removing corresponding first attachment member 4. A first attachment member 4 may be removed by cutting the corresponding radial supporting members 24. Figure 4A shows a front view of the mounting box of Figure 1 whose second attachment members 9 have been enabled by cutting the radial supporting members 24. Figure 4B shows a sectional view of the second attachment member 9 of Figure 4A with a second installation conduit 82 attached thereto. The second attachment member 9 has a similar basic form as the first attachment member 4. The second attachment member 9 has an access surface 92 and a stopping surface 94. The access surface 92 faces exterior of the box case 2 and has a form of a funnel segment. The access surface 92 is adapted to co-operate with an outer surface of a second installation conduit 82 when the second installation conduit 82 is introduced into the installation aperture. Since the second attachment member 9 cannot move in the radial direction the co-operation between the access surface 92 and the outer surface of the second installation conduit 82 is based on elasticity thereof. The stopping surface 94 is a substantially planar surface facing the mounting space and extending substantially on a plane perpendicular to the axial direction of the installation aperture. The operating principle of the stopping surface 94 is identical to the operating principle of the stopping surface 44 described above. Figure 6 shows a mounting box according to another embodiment of the invention. Except for the attachment means and removable shield plugs the mounting box of Figure 6 is identical to the mounting box of Figure 1. The attachment means of the mounting box of Figure 6 comprises a first attachment 14 member and a second attachment 19 member for each installation aperture 21. The first attachment member 14 comprises a pair of first wall segments 11 whose mutual distance is smaller than an outer diameter of a first installation conduit. The second attachment member 19 comprises a pair of second wall segments 12 whose mutual distance is smaller than an outer diameter of a second installation conduit, the outer diameter of the second installation conduit being larger than the outer diameter of the first installation conduit. The first wall segments 11 and the second wall segments 12 are part of the box case 2. The first wall segments 11 extend on a first wall segment plane. The second wall segments 12 extend on a second wall segment plane. The first wall segment plane is parallel to the second wall segment plane. The first wall segment plane extends at a distance from the second wall segment plane. Both the first wall segment plane and the second wall segment plane extend perpendicular to the axial direction of corresponding installation apertures. Thickness of the first wall segments 11 is less than axial dimension of a peripheral groove on an outer surface of a first installation conduit. Thickness of the second wall segments 12 is less than axial dimension of a peripheral groove on an outer surface of a second installation conduit. The first wall segments 11 are adapted to enter at least partially the peripheral groove on the outer surface of the first installation conduit and the second wall segments 12 are adapted to enter at least partially the peripheral groove on the outer surface of the second installation conduit. In Figure 6 each installation aperture 21 is blocked by a removable shield plug 78. The removable shield plug 78 is adapted to be at least partially removed in order to enable introducing of an installation conduit into the installation aperture 21. Figure 7A shows a mounting box of Figure 6 with a first installation conduit 8 attached thereto. Figure 8A shows a mounting box of Figure 6 with a second installation conduit 82 attached thereto. In Figures 7A and 8A all removable shield plugs 78 have been removed. Figures 7A and 8A show that the box case 2 comprises a bottom aperture 27 through which the box case 2 is adapted to receive an end of the first installation conduit 8 to a position which is located axially inwards of the pair of first wall segments 11 and to receive an end of the second installation conduit 82 to a position which is located axially inwards of the pair of second wall segments 12. The bottom aperture 27 is adapted to receive an end of the first installation conduit 8 and an end of the second installation conduit 82 in a direction perpendicular to both the axial direction of respective installation conduit and the axial direction of the installation aperture. Figure 7B shows a sectional view of attachment means of the mounting box of Figure 7A, and Figure 8B shows a sectional view of attachment means of the mounting box of Figure 8A. Figure 7B shows that the first wall segments 11 prevent axial movement of the first installation conduit 8. Figure 8B shows that the second wall segments 12 prevent axial movement of the second installation conduit 82. The first attachment member 14 is adapted to lock a first installation conduit 8 to the box case 2, and the second attachment member 19 is adapted to lock a second installation conduit 82 to the box case 2. This means that the first attachment member 14 is adapted to prevent radial outwards movement of the first installation conduit 8 attached to an installation aperture 21 corresponding to the first attachment member 14. In a same way the second attachment member 19 is adapted to prevent radial outwards movement of the second installation conduit 82 attached to an installation aperture 21 corresponding to the second attachment member 19. Said locking feature may be realized by providing a pair of wall segments with a narrow section and/or by forming at least one locking protrusion adjacent the attachment members. A first attachment member 14 and corresponding second attachment member 19 are overlapping attachment members. It is only possible to attach a first installation conduit with the first attachment member 14 or to attach a second installation conduit with the second attachment member 19. In an embodiment all parts of a mounting box are made of same material such as plastic. It is possible to manufacture the entire mounting box with a single injection moulding process. In the embodiments shown in Figures 1 and 6 the box case 2 has a base portion whose cross section is substantially a square. The attachment means are located within the square. Therefore the mounting boxes have a form which allows placing them closely side by side. Each box case 2 comprises connection means for connecting the box case 2 to an adjacent box case. The connection means comprises projections 37 having a T-shaped cross section and slots 38 having a T-shaped cross section. Electric wires may pass from one box case to adjacent one through the installation apertures. Side walls 25 of the base portion of the box case 2 are substantially planar. Each side wall 25 is provided with two installation apertures 21. Axial direction of each installation aperture 21 extends perpendicular to corresponding side wall 25. The attachment means are located within the side walls 25. None of the attachment members projects from corresponding side wall 25. In the embodiment of Figure 6 the second wall segments 12 are part of the side wall 25.
1. A mounting box comprising: a box case (2) defining a mounting space inside thereof, the box case (2) comprising at least one installation aperture (21) adapted to provide a passage between an exterior of the box case (2) and the mounting space; and attachment means comprising a first attachment member (4, 14) adapted for attaching a first installation conduit (8) having a first outer diameter to an installation aperture (21) of the box case (2), the first attachment member (4, 14) being adapted to enter at least partially a peripheral groove on an outer surface of the first installation conduit (8), characterized in that the attachment means further comprises a second attachment member (9, 19) adapted for attaching a second installation conduit (82) having a second outer diameter to said installation aperture (21) of the box case (2), the second attachment member (9, 19) being adapted to enter at least partially a peripheral groove on an outer surface of the second installation conduit (82).
2. A mounting box according to claim 1, characterized in that the attachment means are integral part of the box case (2). 3. A mounting box according to claim 2, characterized in that the box case (2) and each attachment member (4, 14, 9, 19) are made from a same material. 4. A mounting box according to any preceding claim, characterized in that both the first attachment member (4) and the second attachment member (9) has an access surface (42, 92) and a stopping surface (44, 94), each access surface (42, 92) being an bevelled surface adapted to co-operate with an outer surface of corresponding installation conduit (8, 82) when it is introduced into the installation aperture (21), the stopping surface (44, 94) being adapted to co-operate with a peripheral groove on an outer surface of the corresponding installation conduit (8, 82) attached to the installation aperture (21) when an outwards directed force is exerted to the corresponding installation conduit (8, 82), the co-operation being adapted to resist movement of the installation conduit (8, 82) outwards through the installation aperture (21). 5. A mounting box according to claim 4, characterized in that the first attachment member (4) and the second attachment member (9) each has a form of a ring segment. 6. A mounting box according to claim 4 or 5, characterized in that the stopping surface (44, 94) of both the first attachment member (4) and the second attachment member (9) is a substantially planar surface of the attachment member (4, 9) facing the mounting space and extending substantially on a plane perpendicular to an axial direction of the installation aperture (21). 7. A mounting box according to any one of claims 4 to 6, characterized in that the second attachment member (9) is formed on a surface of the box case (2) surrounding the corresponding installation aperture (21). 8. A mounting box according to any one of claims 4 to 7, characterized in that the attachment means further comprises a plurality of radial supporting members (24) through which the first attachment member (4) is supported to the box case (2). 9. A mounting box according to claim 8, characterized in that the co-operation between the access surface (42) of the first attachment member (4) and an outer surface of a first installation conduit (8) is adapted to move the first attachment member (4) in radial direction from a resting position of the first attachment member (4) such that cross-sectional area of the installation aperture (21) increases. 10. A mounting box according to claim 8 or 9, characterized in that the second attachment member (9) is adapted to be enabled by cutting off corresponding first attachment member (4). 11. A mounting box according to any one of claims 1 to 3, characterized in that the first attachment member (14) comprises a pair of first wall segments (11) whose mutual distance is smaller than the first outer diameter, and the second attachment member (19) comprises a pair of second wall segments (12) whose mutual distance is smaller than the second outer diameter, the first wall segments (11) being adapted to enter at least partially a peripheral groove on an outer surface of the first installation conduit (8) and the second wall segments (12) being adapted to enter at least partially a peripheral groove on an outer surface of the second installation conduit (82). 12. A mounting box according to claim 11, characterized in that the box case (2) comprises a bottom aperture (27) through which the box case (2) is adapted to receive an end of the first installation conduit (8) to a position which is located axially inwards of the pair of first wall segments (11) and to receive an end of the second installation conduit (82) to a position which is located axially inwards of the pair of second wall segments (12). 13. A mounting box according to claim 11 or 12, characterized in that the first attachment member (14) is adapted to lock a first installation conduit (8) to the box case (2), and the second attachment member (19) is adapted to lock a second installation conduit (82) to the box case (2). 14. A mounting box according to any preceding claim, characterized in that the box case (2) has a base portion whose cross section is substantially a square, the base portion comprising the at least one installation aperture (21), the attachment means being located within the square.
2822120
Mounting box
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a mounting box comprising a box case 2, an adjustment collar 4 and attachment means. The box case 2 defines a mounting space for accommodating an electrical component, such as a wall socket or a light switch. The box case 2 has a box opening 22 providing an access into the mounting space. The attachment means is adapted for attaching the adjustment collar 4 to the inside periphery of the mounting space in a selected position and to prevent both axial and rotational movement of the adjustment collar 4 with relation to the box case 2. The adjustment collar 4 is a tubular element with a circular outer periphery. Due to its tubular shape the adjustment collar 4 provides an access into the mounting space when the adjustment collar 4 is attached to the box case 2. The attachment means comprises two fixing member 6. Each fixing member 6 is pivotally supported to the adjustment collar 4 for pivoting between a disengaged position and a locking position. Each fixing member 6 comprises a contact surface 64 which is adapted to co-operate with a counterpart surface 24 on the inside periphery of the mounting space in the locking position of the fixing member 6. When the fixing members 6 are in the disengaged position they allow both axial movement of the adjustment collar 4 with relation to the box case 2 and rotational motion of the adjustment collar 4 with relation to the box case 2. Therefore position of the adjustment collar 4 with relation to the box case 2 may be adjusted both in depth direction and in rotational direction. Each fixing member 6 is adapted to be locked in the locking position with a screw 7. In Figure 1 both fixing members 6 are in the disengaged position. In Figure 2 upper fixing member is in the locking position while lower fixing member is in the disengaged position. A pivoting axis 66 of each fixing member is located adjacent a first end 61 of the fixing member and is substantially parallel to the axial direction of the adjustment collar 4. The axial direction of the adjustment collar 4 is parallel to the direction in which the adjustment collar 4 is inserted into the box case. When the adjustment collar 4 is rotated with relation to the box case 2 the rotation takes place about a rotational axis parallel to the axial direction of the adjustment collar 4. In Figure 2 the axial direction of the adjustment collar 4 is perpendicular to the image plane. Each fixing member 6 comprises a locking aperture 67 adapted to receive a portion of a screw 7 for locking the fixing member 6 in the locking position. The locking aperture 67 is located between the pivoting axis 66 of the fixing member 6 and a second end 62 of the fixing member 6. The locking aperture 67 extends substantially parallel to the pivoting axis 66 of the fixing member 6. The locking aperture 67 extends through the fixing member 6. The adjustment collar 4 comprises a screw aperture 47 adapted to coincide with the locking aperture 67 of the fixing member 6 in the locking position of the fixing member 6 such that locking of the fixing member 6 in the locking position with a screw 7 is enabled. In Figure 1 screws 7 are in their upper positions allowing movement of the fixing members 6 between the disengaged position and the locking position. An upper edge of the screw aperture 47 is adjacent an upper edge of the adjustment collar 4. Therefore the screw aperture 47 is also adapted for fastening the electrical component to the adjustment collar 4 with the screw 7. The adjustment collar 4 further comprises two additional apertures 48 adapted for fastening the electrical component to the adjustment collar 4 with screws. Each fixing member 6 comprises a release projection 68 in a second end 62 of the fixing member 6. The release projection 68 is adapted for releasing the fixing member 6 from the locking position. One can release the fixing member 6 with a tool such as a screwdriver or with a fingernail. Figure 2 shows that there is a small recess 401 in the inner periphery of the adjustment collar 4 adjacent the second end of the upper fixing member thereby facilitating releasing of the upper fixing member from the locking position. It is only possible to release the fixing member 6 from the locking position by means of the release projection 68 when the fixing member 6 is not locked in the locking position with a screw 7. Each fixing member 6 comprises a snap projection 63 adapted to co-operate with a counterpart portion 403 provided in the adjustment collar 4 for maintaining the fixing member 6 in the locking position. Connection between the snap projection 63 and the counterpart portion 403 is a snap connection whose operation is based on elasticity of material. Each fixing member 6 further comprises an eccentric surface adjacent the pivoting axis 66 thereof. The eccentric surface is adapted to co-operate with a counterpart surface of the adjustment collar 4 for maintaining the fixing member 6 in the locking position. In the embodiment of Figure 2 the snap projection 63 and the eccentric surface are adapted to maintain the fixing member 6 in the locking position until the fixing member 6 is locked with a screw 7. In an alternative embodiment a fixing member is locked in the locking position without a screw. In such embodiment locking means for locking a fixing member may comprise a snap projection adapted to co-operate with a counterpart portion provided in the adjustment collar and/or an eccentric surface adjacent a pivoting axis of a fixing member. In the cross section shown in Figure 3 the fixing member 6 is in the locking position in which the contact surface 64 of the fixing member 6 protrudes from the outer surface of the adjustment collar 4 in order to co-operate with the counterpart surface 24 on the inside periphery of the mounting space. In Figure 3 the screw 7 is in its lower position thereby locking the fixing member 6 in the locking position. The counterpart surface 24 on the inside periphery of the mounting space comprises a plurality of peripheral grooves 26 spaced apart in the axial direction, and the contact surface 64 of each fixing member 6 comprises three peripheral projections 69 adapted to engage three peripheral grooves on the inside periphery of the mounting space in the locking position of the fixing member 6. Each peripheral projection 69 projects into a corresponding peripheral groove 26. Axial position of the adjustment collar 4 with relation to the box case 2 may be selected due to the fact that there are a dozen peripheral grooves 26 on the inside periphery of the mounting space while the contact surface 64 of the fixing member 6 only has three peripheral projections 69. Material of the fixing member 6 is harder than material of the box case 2. Rotational movement of the adjustment collar 4 with relation to the box case 2 is prevented by means of sufficient friction between the peripheral projections 69 and the peripheral grooves 26. Axial movement of the adjustment collar 4 with relation to the box case 2 is prevented due to the geometry of the peripheral projections 69 and the peripheral grooves 26. In an alternative embodiment a contact surface of a fixing member may comprise peripheral grooves while a counterpart surface on the inside periphery of the mounting space comprises a plurality of peripheral projections. In another alternative embodiment grooves and projections have helical shapes. It is also possible to realize co-operation between a contact surface of a fixing member and a counterpart surface on the inside periphery of the mounting space without grooves and projections. The co-operation may be based on mere friction. The fixing members 6 of the embodiment shown in the figures are adapted to prevent both axial movement of the adjustment collar 4 with relation to the box case 2 and rotational motion of the adjustment collar 4 with relation to the box case 2. In alternative embodiments at least one fixing member may be adapted to prevent only axial movement of an adjustment collar with relation to a box case or rotational motion of an adjustment collar with relation to the box case. In an embodiment an adjustment collar is adjustable only in rotational direction and therefore at least one fixing member is adapted to prevent only rotational motion of the adjustment collar with relation to a box case.
1. A mounting box comprising: a box case (2) defining a mounting space for accommodating an electrical component, the box case (2) having a box opening (22) providing an access into the mounting space; an adjustment collar (4) adapted to be attached to an inside periphery of the mounting space, and attachment means for attaching the adjustment collar (4) to the inside periphery of the mounting space in a selected position, the attachment means being adapted to prevent movement of the adjustment collar (4) with relation to the box case (2), characterized in that the attachment means comprises at least one fixing member (6) pivotally supported to the adjustment collar (4) for pivoting between a disengaged position and a locking position, the at least one fixing member (6) comprising a contact surface (64) which is adapted to co-operate with a counterpart surface (24) on the inside periphery of the mounting space in the locking position of the fixing member (6), the at least one fixing member (6) being adapted to be locked in the locking position.
2. A mounting box according to claim 1, characterized in that a pivoting axis (66) of the at least one fixing member (6) is located adjacent a first end (61) of the at least one fixing member (6) and is substantially parallel to the axial direction of the adjustment collar (4). 3. A mounting box according to claim 2, characterized in that the at least one fixing member (6) is adapted to be locked in the locking position with a screw (7). 4. A mounting box according to claim 3, characterized in that the at least one fixing member (6) comprises a locking aperture (67) adapted to receive a portion of a screw (7) for locking the at least one fixing member (6) in the locking position, the locking aperture (67) being located between the pivoting axis (66) of the at least one fixing member (6) and a second end (62) of the at least one fixing member (6), the locking aperture (67) extending substantially parallel to the pivoting axis (66) of the at least one fixing member (6). 5. A mounting box according to claim 4, characterized in that the adjustment collar (4) comprises a screw aperture (47) adapted to coincide with the locking aperture (67) of the at least one fixing member (6) in the locking position of the at least one fixing member (6) such that locking of the at least one fixing member (6) in the locking position with a screw (7) is enabled. 6. A mounting box according to claim 5, characterized in that the screw aperture (47) is further adapted for fastening the electrical component to the adjustment collar (4) with the screw (7). 7. A mounting box according to claim 5 or 6, characterized in that the adjustment collar (4) comprises at least one additional aperture (48) adapted for fastening the electrical component to the adjustment collar (4) with a screw. 8. A mounting box according to any one of claims 2 to 7, characterized: in that the at least one fixing member (6) comprises a release projection (68) in a second end (62) of the at least one fixing member (6), the release projection (68) being adapted for releasing the at least one fixing member (6) from the locking position. 9. A mounting box according to any one of preceding claims, characterized in that the at least one fixing member (6) comprises a snap projection (63) adapted to co-operate with a counterpart portion (403) provided in the adjustment collar (4) for maintaining the at least one fixing member (6) in the locking position. 10. A mounting box according to any one of preceding claims, characterized in that the adjustment collar (4) is a tubular element with a circular outer periphery. 11. A mounting box according to any one of preceding claims, characterized in that the counterpart surface (24) on the inside periphery of the mounting space comprises a plurality of peripheral grooves (26) spaced apart in the axial direction, and the contact surface (64) of the at least one fixing member (6) comprises at least one peripheral projection (69) adapted to engage a peripheral groove (26) on the inside periphery of the mounting space in the locking position of the at least one fixing member (6). 12. A mounting box according to any one of preceding claims, characterized in that the contact surface (64) of the at least one fixing member (6) is adapted to protrude from the outer surface of the adjustment collar (4) in the locking position of the at least one fixing member (6). 13. A mounting box according to any one of preceding claims, characterized in that the at least one fixing member (6) is adapted to prevent axial movement of the adjustment collar (4) with relation to the box case (2) in the locking position of the at least one fixing member (6). 14. A mounting box according to any one of preceding claims, characterized in that the at least one fixing member (6) is adapted to prevent rotational motion of the adjustment collar (4) with relation to the box case (2) in the locking position of the at least one fixing member (6). 15. A mounting box according to any one of preceding claims, characterized in that material of the at least one fixing member (6) is harder than material of the box case (2).
2821303
Electro-pneumatic parking brake
1
Based on the following detailed description of an invention, generate the patent claims. There should be 10 claims in total. The first, independent claim is given and the remaining 9 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
According to Figure 1, in the initial park state of the parking brake a springbrake chambers 8 of a brake cylinder is continuously deflated via a relay valve 5. The control of the relay valve 5 is deflated through a pneumatically controlled valve 3, through a exhaust magnet valve 2 by inlet port 2.2 connected to the exhaust. To change the safe state to release, the exhaust valve 2 is activated connecting the parking brake supply pressure input A through the parking brake check valve 7 and through the load magnet valve 1 to the inlet port 3.2 of the pneumatically controlled valve 3 providing high air pressure to switch itself to release position by its control port 3.4 and controlling the relay valve 5 with the pressure inflating the springbrake chamber 8. The released position of the pneumatically controlled valve 3 is kept stable after the deactivation of the exhaust valve 2 by the connection of its control port 3.4 to the parking brake supply A through the pneumatically controlled valve 3, the load magnet valve 1 and the parking brake check valve 7. The pressure level can be reduced keeping the released position of the pneumatically controlled valve 3 until its designed switching pressure level by activating the exhaust valve 2 and the load valve 1 providing path for deflation from the relay valve 5 control through the pneumatically controlled valve 3 through the load magnet valve 1 and the exhaust magnet valve 2 to the ambient. When the desired pressure level is reached, it can be kept constant by deactivating the exhaust magnet valve 2 to close the connection to the ambient while the activated load magnet valve 1 keep the connection to the parking brake supply pressure A interrupted. The pressure can be increased back by the deactivation of the load magnet valve 1. The position of the pneumatically controlled valve 3 can be changed back to the park position by decreasing the pressure below its designed switching level following the above mentioned method. To be able to realise trailer unbraked control strategy, where a trailer control valve 6 is inflated both in stable park and release state, the trailer control module has connection to the outlet port 1.3 of the load magnet valve 1. The pressure which controls the trailer control module 6 is measured by pressure sensor 13 to provide information for the electronic control unit to manage the activations of the load and exhaust magnet valves 1 and 2 setting up intermediate pressure level both for the trailer control module 6 and the relay valve 5. A variable pressure level which is feeding an inlet port 3.1 of the pneumatically controlled valve 3 is provided by both 3 by 2 magnet valves 1, 2 and a fluid connection to the parking brake pressure supply input A provided through the parking brake check valve 7 by inlet port 1.2 of load magnet valve 1, and a fluid connection to the ambient pressure provided by exhaust port 2.2 of the exhaust magnet valve 2, and a fluid connection from the outlet port 1.3 of load magnet valve 1 to an inlet port 2.1 of the exhaust valve 2 and the inlet port 3.1 of the pneumatically controlled valve 3, and a fluid connection from an outlet port 2.3 of the exhaust valve 2 to an inlet port 1.1 of the load valve 1. To increase the monitoring precision, an additional position sensor 9 is included into the pneumatically controlled valve 3 to measure its actual position. Having a 3/2 magnet valve 14 and select-high valve 15 instead of the previously mentioned two 2/2 magnet valves as shown in Figure 2, the capability to reduce the pressure in the relay valve 5 control below the pneumatically controlled valve 3 switching level without its position change and the functionality related to the trailer control valve 10 controlled by braked trailer strategy can be realised. The inlet port 3.2 of the pneumatically controlled valve 3 is connected to the ambient pressure. A 3 by 2 valve 14 is connected by its inlet port 14.1 to the outlet port 1.3 of the load magnet valve 1, and is connected by its inlet port 14.2 to the outlet port 3.3 of the pneumatically controlled valve 3, and is connected by its outlet port 14.3 to the control port 3.4 of the pneumatically controlled valve 3. A select-high valve 15 is connected of its inlet ports 15.1, 15.2 to the outlet port 3.3 of the pneumatically controlled valve 3, and the outlet port 2.3 of the exhaust valve 2 is connected by its outlet port 15.3 to a trailer control module 10) through output (D) for realising trailer braked strategy. To provide information for the - not shown - electronic control unit for the switching management of the magnet valves, pressure sensors 4 and/or 13 are included. Having pressure sensor 4 in channel connected to trailer control module 10 controlled by trailer braked strategy, the control of the system can be realised. If this channel is not exist due to reduced functionality of the layout, at least the pressure sensor 13 is connected to the channel of the trailer control module 6 controlled by trailer unbraked strategy. Having additional 2/2 magnet valve 11 as shown in Figure 3, the control port 3.4 of the pneumatically controlled valve 3 can be separated from the relay valve 5 control which provides capability to decrease the pressure of the relay valve 5 control below the pneumatically controlled valve 3 designed switching level while a pressure level which is above of that can be kept on the control port 3.4 of the pneumatically controlled valve 3 keeping its released position. To change the position of the pneumatically controlled valve 3 to park position, the 2/2 magnet valve 11 has to be activated with the load and exhaust magnet valves 1 and 2 together. In park position of the pneumatically controlled valve 3 the relay valve 5 control can be inflated by activation of the exhaust magnet valve 2 for reduce the compound effect on the brake calliper during service brake usage while the switching of the pneumatically controlled valve can be avoided by the activation of the 2/2 magnet valve 11. Having additional 2/2 magnet valve 12 as shown in Figure 4, the outlet port 3.3 of the pneumatically controlled valve 3 can be separated from the relay valve 5 control and a trailer control module 10 can be connected to the outlet port 3.3 of the pneumatically controlled valve 3 to realise trailer braked control strategy which inflates the trailer control module 10 in release state, deflates it in park state, but it is able to inflate it temporary without inflating the relay valve 5 in park state for testing the standing position of the vehicle combination having brake force provided by the truck only. Combining the inclusion of both 2/2 magnet valve 11 and 12 as shown in Figure 5, the functionalities related to the trailer control valve 10 controlled by trailer braked strategy and the functionality to decrease the pressure below the pneumatically controlled valve 3 designed switching level in the relay valve 5 control without changing the position of the pneumatically controlled valve 3 and the functionality to avoid the compound effect in park state can be combined in one layout. According to Figure 6 a parking brake valve block 16 containing the arrangement as described above is integrated into the electronic air processing system 17 of the vehicle. Alternatively, the parking brake valve block 16 containing the arrangement is integrated into the electronic levelling system 18 of the vehicle. Alternatively, the parking brake valve block 16 containing the arrangement is integrated into the electronic service brake system 19 of the vehicle.
1. Electro-pneumatic parking brake arrangement, comprising a 3 by 2 pneumatically controlled valve (3) having a fluid connection from an output port (3.3) to a control port (3.4) for defining the valve switching position by the output pressure and providing control pressure for a relay valve (5) setting up a parking brake pressure in a springbrake chamber of a brake cylinder (8) through an output port (B) of the relay valve (5),: characterised in that a variable pressure level which is feeding an inlet port (3.1) of the pneumatically controlled valve (3) is provided by two 3 by 2 magnet valves (1, 2), further comprising: - a fluid connection to the parking brake pressure supply input (A) provided through the parking brake check valve (7) by inlet port (1.2) of load magnet valve (1), and - a fluid connection to the ambient pressure provided by exhaust port (2.2) of the exhaust magnet valve (2), and - a fluid connection from an outlet port (1.3) of load magnet valve (1) to an inlet port (2.1) of the exhaust valve (2) and the inlet port (3.1) of the pneumatically controlled valve (3), and - a fluid connection from an outlet port (2.3) of the exhaust valve (2) to an inlet port (1.1) of the load valve (1).
2. Electro-pneumatic parking brake arrangement according to claim 1,: characterised in that an inlet port (3.2) of the pneumatically controlled valve (3) is connected to the ambient pressure, and a 3 by 2 valve (14) is connected by its inlet port (14.1) to the outlet port (1.3) of the load magnet valve (1), and is connected by its inlet port (14.2) to the outlet port (3.3) of the pneumatically controlled valve (3), and is connected by its outlet port (14.3) to the control port (3.4) of the pneumatically controlled valve (3), wherein a select-high valve (15) is connected of its inlet ports (15.1, 15.2) to the outlet port (3.3) of the pneumatically controlled valve (3), and the outlet port (2.3) of the exhaust valve (2) is connected by its outlet port (15.3) to a trailer control module (10) through output (D) for realising trailer braked strategy. 3. Electro-pneumatic parking brake arrangement according to claim 1,: characterised in that the outlet port (2.3) of the exhaust magnet valve (2) is connected to the inlet port (3.2) of a pneumatically controlled valve (6). 4. Electro-pneumatic parking brake arrangement according to at least one of the preceeding claims,: characterised in that the outlet port (1.3) of the load magnet valve (1) is connected to a trailer control valve (6) through output (C) for realising trailer unbraked strategy. 5. Electro-pneumatic parking brake arrangement according to at least one of the preceeding claims,: characterised in that a 2 by 2 magnet valve (11) is connected by its inlet port (11.1) to the outlet port (3.3) of the pneumatically controlled valve (3) and its outlet port (11.2) is connected to the control port (3.4) of the pneumatically controlled valve (3). 6. Electro-pneumatic parking brake arrangement according to at least one of the claims 1, 3 and 4,: characterised in that a 2 by 2 magnet valve (12) is connected by its outlet port (12.2) to the control of the relay valve (5) and the control port (3.4) of the pneumatically controlled valve (3) and by its inlet port (12.1) to the outlet port (3.3) of the pneumatically controlled valve (3) having also connection to the trailer control module (10) through output (D) for realising trailer braked strategy. 7. Electro-pneumatic parking brake arrangement according to at least one of the claims 1, 3, 4 and 5,: characterised in that the 2 by 2 magnet valve (12) is connected by its inlet port (12.1) to the outlet port (3.3) of the pneumatically controlled valve (3) and to the output (D) to the trailer control valve (10) as a second trailer control option to perform trailer braked strategy, wherein the outlet port (12.2) of the 2 by 2 magnet valve (12) is connected to relay valve (5) and the control port (3.4) of the pneumatically controlled valve (3). 8. Electro-pneumatic parking brake arrangement according to at least one of the claims 2, 6 and 7,: characterised in that the trailer control output (D) is connected to a pressure sensor (4) measuring the pressure level by an electronic control unit. 9. Electro-pneumatic parking brake arrangement according to at least one of the preceding claims,: characterised in that the outlet port (1.3) of the load magnet valve (1) is connected to pressure sensor (13) measuring the pressure level by the electronic control unit. 10. Electro-pneumatic parking brake arrangement according to at least one of the preceding claims,: characterised in that the position of the pneumatically controlled valve (3) is measured by the electronic control unit via a position sensor (9).
2821140
Gyratory crusher topshell assembly
1
Based on the following detailed description of an invention, generate the patent claims. There should be 7 claims in total. The first, independent claim is given and the remaining 6 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Referring to figures 1 and 2, a gyratory crusher comprises a frame comprising a topshell 100 forming an upper part of the crusher and mountable upon a bottom shell (not shown) such that the topshell 100 and bottom shell together define an internal chamber. A crushing head (not shown) is mounted on an elongate main shaft (not shown) extending through the crusher in the direction of longitudinal axis 108. A drive (not shown) is coupled to the main shaft and is configured to rotate eccentrically about axis 108 via a suitable gearing (not shown) to cause the crushing head to perform a gyratory pendulum movement and to crush material introduced into the crushing chamber. An upper end region of the main shaft is maintained in an axially rotatable position by a top-end bearing assembly (not shown) accommodated within a central boss 105. Similarly, a bottom end of the main shaft is supported by a bottom-end bearing assembly (not shown) accommodated below the bottom shell. Topshell 100 is divided into a chamber wall region 101 extending axially between an upper annular rim 103 and a lower annular rim 102 secured to the bottom shell. A spider forms an upper region of topshell 100 and is positioned axially above rim 103. The spider comprises a pair of spider arms 104 that project radially outward from central boss 105 to terminate at their radially outermost end at rim 103. Topshell 100 is secured to the bottom shell via anchorage bolt 109 extending through rim 102. An outer crushing shell 111 is accommodated with the region of the wall 101 and comprises a generally concave configuration with respect to the radially outward facing surface. A spacer ring 110 is positioned radially intermediate crushing shell 111 and topshell wall region 101. Spacer ring 110 is rotationally locked at topshell 100 via a plurality of abutment bodies in the form of bridging blocks 112 that extends radially outward from ring 110 to contact rim 103 of topshell 100. In particular, a plurality of grooves 114 are indented into rim 103 and extend axially downward from an annular upper facing surface 119 of rim 103. Each of the grooves 114 is spaced apart circumferentially around axis 108 with six grooves 114 being provided in total. Each respective body 112 is accommodated at least partially within each groove 114. Similarly, a plurality of recesses 118 are formed in the upward facing annular surface 117 of ring 110 to accommodate at least partially a part of a respective body 112. Each body 112 is securely attached to ring 110 via anchorage bolts 113 that extend axially downward from annular surface 117 into the main body of ring 110. As illustrated in figures 1 and 2, grooves 114 extend radially from a radially innermost edge 115 of rim 103 towards a radially outer edge 116 of rim 103. However, a radial length of grooves 114 is much less than the radial length between inner and outer edges 115, 116. Referring to figures 3A and 3B, topshell wall region 101 comprises topshell wall 313 defined between a radially inward facing surface indicated generally by reference 304 and a radially outward facing surface 327 relative to axis 108. Inward facing surface 304 defines an internal chamber 300 through which material to be crushed is fed via an input hopper (not shown) mounted generally above topshell 100 via rim 103. As illustrated in figure 3A, the outer crushing shell 111 is accommodated within chamber 300. Shell 111 extends circumferentially around axis 108 and comprises an inward facing crushing surface 303 and an opposed radially outward facing mount face indicated generally by reference 305 to define a wall 301 having a generally concave configuration at the region of the outward facing face 305. Wall 301 comprises a first annular upper end 320 and a second and lower annular end 322. Wall 301 is divided into a plurality of regions in the axial direction 108 in which a raised first (upper) contact region 318 is axially separated from a raised second (lower) contact region 319. The regions 318, 319 are separated by an axially intermediate groove 328. Region 318 is positioned in an axially upper half of shell 111 and region 319 is positioned in an axially lower half of shell 111. Upper contact region 318 comprises a radially outward facing contact surface 312 aligned substantially parallel with axis 108. Lower contact region 319 also comprises a radially outward facing contact surface 306 orientated transverse and inclined relative to axis 108. Inward facing surface 304 of topshell wall region 101 is divided axially into a plurality of annular regions in the axial direction referring to figure 3B. A first (upper) mount region 310 is positioned axially uppermost towards rim 103. A second mount region is positioned axially lower than region 310 and towards rim 102. Second (lower) mount region is divided into an intermediate mount region 308 and a lowermost mount region 307 with intermediate region 308 positioned axially between upper and lowermost regions 310, 307 respectfully. Upper region 310 is defined in the axial direction by an axially upper annular section 323 and an axially lower annular section 324. The inward facing surface 304 at region 310 tapers radially inward towards axis 108 such that section 323 is positioned radially closer to axis 108 than section 324. Additionally, intermediate region 308 is defined in the axial direction by an axially upper annular section 325 and an axially lower annular section 326. Similarly, inward facing surface 304 tapers radially inward towards axis 108 such that section 325 is positioned radially closer to axis 108 than section 326. Lowermost region 307 also comprises a corresponding tapered inward facing surface 304. An angle inclination of surface regions 308, 310 is approximately equal whilst a corresponding angle of inclination of surface region 307 is greater than regions 308, 310 relative to axis 108. Crushing shell 111 is positioned in direct contact against topshell 100 via mating contact between lower contact surface 306 and the radially inward facing surface 304 of the lowermost mount region 307. Due to the function and geometry of crushing shell 111 the intermediate spacer ring 110 is positioned radially between the upper region 311 of shell 111 and topshell 100. In particular, spacer ring 110 comprises a radially outward facing surface having a first upper mount surface 314 and a corresponding second lower mount surface 315. Ring 110 also comprises a radially inward facing surface such that an annular wall 302 is defined between the inward and outward facing surfaces. Upper surface 314 is positioned in direct contact with topshell region 310 whilst the second lower mount surface 315 is positioned in direct contact with the intermediate mount region 308. The radially inward facing surface of ring 110 is divided axially into an upper region 316, a lower region 309 and an intermediate region 317. Intermediate region 317 is formed as an annular shoulder projecting radially inward relative to upper and lower regions 316, 309. According to the present implementation, the radially inward facing surface at shoulder 317 is positioned in direct contact with the radially outward facing upper contact surface 312. Accordingly, spacer ring 110 is positioned radially intermediate the upper region 311 of shell 111 and topshell wall 313. An axially upper end 321 of ring 110 is positioned approximately co-planar with annular surface 119 and the upper end 320 of crushing shell 111. Additionally, a second and opposed lower end 321 of ring 110 is positioned axially between the upper and lower mount regions 318, 319 of shell 111 and radially within the region of the groove 328 defined, in part, by the upper and lower raised regions 318, 319. Referring to figure 5, spacer ring 110 is divided axially between upper end 321 and lower end 322 into a plurality of sections including in particular raised upper 506 and lower 507 contact regions projecting radially outward from wall 302 to provide respective upper and lower contact surfaces 314, 315 for mating against regions 310 and 308 of topshell 100 as described. Regions 506 and 507 are separated axially by a groove 508 in the radially outward facing surface. Shoulder 317 projects radially inward from wall 302 at an axial position corresponding to the region of groove 508. Upper mount surface 314 is defined axially by an upper annular section 502 and an axially lower annular section 509. Similarly, second lower mount surface 315 is defined axially by an annular upper section 504 and an axially lower annular section 503. According to the specific implementation, surfaces 314 and 315 taper radially inward towards axis 108 in the axially upward direction such that sections 502 and 504 are positioned radially closer to axis 108 than the respective lower sections 509, 503. As illustrated, the radially inward facing surface at upper region 316 is substantially cylindrical whilst the corresponding radially inward facing surface at lower region 309 tapers radially inward towards axis 108 in the upward direction from lower end 322. Accordingly, the axial lock of spacer ring 110 at topshell 100 is provided by the mating contact between the cooperating tapered surfaces 314 and 315 at the spacer ring with the tapering surface regions 310, 308 of topshell 100. In particular, the respective lower sections 324, 326 of the topshell are mated with the respective lower sections 509 and 503 of the ring 110 together with a corresponding mating between the respective upper sections 323, 325 of the topshell 100 and the respective upper sections 502, 504 of the ring 110. Due to the closeness of fit of ring 110 within annular wall 101, ring 110 is prevented from movement in the axially upward direction due to the wedging action provided by the axially spaced pair of annular mating surfaces between the topshell 100 and spacer ring 110. Referring to figure 4, the rotational lock of ring 110 at topshell 100 is provided by the plurality of abutments 112, 114, 118 distributed circumferentially around axis 108 and provided at topshell 100 and spacer ring 110. Referring to figures 5 and 7, the circumferentially spaced recesses 118 within upper surface 117 of ring 110 are defined, in part, by respective opposed side faces 500 and a trough face 501. A threaded borehole 400 extends axially downward from trough face 501 into wall 302 to provide a means of receiving threaded bolts 113. As illustrated, recesses 118 extend the full radial length of wall 302 so as to provide circumferentially spacer notches in the upper surface 117 of ring 110. Referring to figure 7, corresponding grooves 114 are indented into upward facing surface 119 at corresponding circumferentially spaced intervals such that by rotational adjustment of ring 110 within wall 313, it is possible to circumferentially align grooves and recesses 114 and 118. Each groove 114 is in turn defined by opposed side faces 700 and a lower trough face 408. However, a depth groove 114 is greater than recess 118 such that trough face 408 is positioned axially below trough face 501. Additionally, in the orientation illustrated in figures 1 to 7, corresponding side faces 500 and 700 are positioned approximately co-planar. Referring to figures 4 and 6, bridging blocks 112 comprise a generally rectangular cuboid geometry having an upper face 406, a opposed lower face 407, 409, lengthwise side face 600 and widthwise end faces 601, 602. Additionally, each block 112 may be divided in its lengthwise direction between widthwise faces 601, 602 into a first region 401 for positioning within a spacer ring recess 118; a second region 402 for positioning within a topshell groove 114 and a third region 404 for positioning above upward facing surface 119 of rim 103. In particular, lower face 407 is accommodated within groove 114 (positioned opposed to trough face 408) and is also accommodated within recess 118 (positioned in contact with trough face 501). Due to a depth of groove 114 a spatial gap 403 is provided between the opposed lower face 407 and trough face 408. As will be appreciated, during use it is common for the intermediate spacer ring to be compressed radially and hence to elongate axially. To compensate for this, shim block 405 are positioned axially intermediate upward facing surface 119 of rim 103 and the downward facing lower surface 409 of block 112. According to the specific embodiment, a thickness in the axial direction of block 112 decreases from region 402 to region 404 to provide a stepped cross sectional profile as illustrated in figure 4 with shim blocks 405 positioned underneath the radially outer region 404 located above upward facing surface 119 that is thinner than region 402 in the axial direction. Referring to figure 6, the rotational lock of ring 110 at topshell 100 is provided principally by the abutment of lengthwise face 600 with the side faces 700 and 500 of the respective grooves 114 and recesses 118. That is, each block 112 is at least partially accommodated within the circumferentially aligned groove and recess 114, 118 so as to represent an obstruction to rotational motion of ring 110 about axis 108. According to the specific implementation, faces 700 and 500 are aligned vertically (parallel with axis 108 and perpendicular to the circumferential direction illustrated for example by edge 115). This configuration is therefore optimised to absorb and transmit the torque force by ring 110 to topshell 100 via the intermediate bridging blocks 112 accommodated within the respective grooves 114 and recesses 118. According to the specific implementation, a separation distance between lengthwise faces 600 and side faces 700 and 500 is of the order of 1 mm. This close-fit tolerance ensures there is no or minimal ' rotational slack ' on initial start-up of the crusher to provide an immediately effective rotational lock of ring 110. According to the present configuration, as the torque force is transmitted through blocks 112 and corresponding abutment faces 700 and 500, bolts 113 are isolated from experiencing sheer stress, in turn, providing a robust multi-component rotational lock. As illustrated in figures 4 and 7, the transition of side face 700 to trough face 408 follows an arcuate or curved surface path to minimise any stress concentrations at the grooves 114. According to further specific embodiments, blocks 112 may be moveably mounted at ring 110 via suitable mountings for example including sliding or pivoting attachments. According to a further embodiment, blocks 112 are permanently attached to ring 110 and may be integrally formed with ring wall 302.
1. A gyratory crusher topshell (100) comprising: an annular wall (313) extending around a longitudinal axis (108), the wall (313) terminated at an axially upper end by an annular rim (103); a plurality of first abutment regions (112, 114) provided at or projecting from the rim and spaced apart in a circumferential direction around the axis (108) to cooperate with a plurality of second abutment regions (112, 118) spaced apart in the circumferential direction around the axis (108) and provided at or projecting from an annular spacer ring (110) positionable radially inside the wall (313); characterised in that: the annular spacer ring (110) is formed as a unitary body; the first and second abutment regions (112, 114, 118) are capable of being brought into touching contact with one another to provide a rotation lock of the spacer ring (110) about the axis (108) relative to the topshell (100); and at least a part of one of the first and/or second abutment regions (112, 114, 118) extends in a radial direction relative to the axis (108) to bridge the topshell (100) and the ring (110).
2. The topshell as claimed in claim 1 wherein a radially inward facing surface (304) of the topshell (100) comprises: an upper region (323) positioned axially closest to the rim (103) than a lower region (324) of the inward facing surface (304) and positioned radially closer to the axis (108) than the lower region (324); wherein a part (509) of a radially outward facing surface of the spacer ring (110) is positioned in contact with the lower region (324) such that the spacer ring (110) is prevented from movement axially upward by the radial position of the upper region (323) to axially lock the spacer ring (110) relative to the topshell (100). 3. The topshell as claimed in claim 1 wherein the first abutment regions (112, 114) comprise a plurality of grooves (114). 4. The topshell as claimed in claim 3 wherein the grooves (114) are defined in part by side walls (700) and the second abutment regions (112, 118) comprise a plurality of abutment bodies (112) at least partially accommodated within the grooves (114) and capable of abutment with the side walls (700). 5. The topshell as claimed in claim 4 wherein the abutment bodies (112) are formed non-integrally with the spacer ring (110) or topshell (100). 6. The topshell as claimed in claim 5 wherein the grooves (114) are provided at the annular rim (103) of the topshell (100) and the abutment bodies (112) are attached to the spacer ring (110) via respective attachment elements (113). 7. The topshell as claimed in any one of claims 4 to 6 wherein each of the grooves (114) comprise a first abutment face (700) and each of the abutment bodies (112) comprise a second abutment face (600) such that the axial lock is provided by abutment of the respective first (700) and second (600) abutment faces.
2821140
Gyratory crusher topshell assembly
2
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Referring to figures 1 and 2, a gyratory crusher comprises a frame comprising a topshell 100 forming an upper part of the crusher and mountable upon a bottom shell (not shown) such that the topshell 100 and bottom shell together define an internal chamber. A crushing head (not shown) is mounted on an elongate main shaft (not shown) extending through the crusher in the direction of longitudinal axis 108. A drive (not shown) is coupled to the main shaft and is configured to rotate eccentrically about axis 108 via a suitable gearing (not shown) to cause the crushing head to perform a gyratory pendulum movement and to crush material introduced into the crushing chamber. An upper end region of the main shaft is maintained in an axially rotatable position by a top-end bearing assembly (not shown) accommodated within a central boss 105. Similarly, a bottom end of the main shaft is supported by a bottom-end bearing assembly (not shown) accommodated below the bottom shell. Topshell 100 is divided into a chamber wall region 101 extending axially between an upper annular rim 103 and a lower annular rim 102 secured to the bottom shell. A spider forms an upper region of topshell 100 and is positioned axially above rim 103. The spider comprises a pair of spider arms 104 that project radially outward from central boss 105 to terminate at their radially outermost end at rim 103. Topshell 100 is secured to the bottom shell via anchorage bolt 109 extending through rim 102. An outer crushing shell 111 is accommodated with the region of the wall 101 and comprises a generally concave configuration with respect to the radially outward facing surface. A spacer ring 110 is positioned radially intermediate crushing shell 111 and topshell wall region 101. Spacer ring 110 is rotationally locked at topshell 100 via a plurality of abutment bodies in the form of bridging blocks 112 that extends radially outward from ring 110 to contact rim 103 of topshell 100. In particular, a plurality of grooves 114 are indented into rim 103 and extend axially downward from an annular upper facing surface 119 of rim 103. Each of the grooves 114 is spaced apart circumferentially around axis 108 with six grooves 114 being provided in total. Each respective body 112 is accommodated at least partially within each groove 114. Similarly, a plurality of recesses 118 are formed in the upward facing annular surface 117 of ring 110 to accommodate at least partially a part of a respective body 112. Each body 112 is securely attached to ring 110 via anchorage bolts 113 that extend axially downward from annular surface 117 into the main body of ring 110. As illustrated in figures 1 and 2, grooves 114 extend radially from a radially innermost edge 115 of rim 103 towards a radially outer edge 116 of rim 103. However, a radial length of grooves 114 is much less than the radial length between inner and outer edges 115, 116. Referring to figures 3A and 3B, topshell wall region 101 comprises topshell wall 313 defined between a radially inward facing surface indicated generally by reference 304 and a radially outward facing surface 327 relative to axis 108. Inward facing surface 304 defines an internal chamber 300 through which material to be crushed is fed via an input hopper (not shown) mounted generally above topshell 100 via rim 103. As illustrated in figure 3A, the outer crushing shell 111 is accommodated within chamber 300. Shell 111 extends circumferentially around axis 108 and comprises an inward facing crushing surface 303 and an opposed radially outward facing mount face indicated generally by reference 305 to define a wall 301 having a generally concave configuration at the region of the outward facing face 305. Wall 301 comprises a first annular upper end 320 and a second and lower annular end 322. Wall 301 is divided into a plurality of regions in the axial direction 108 in which a raised first (upper) contact region 318 is axially separated from a raised second (lower) contact region 319. The regions 318, 319 are separated by an axially intermediate groove 328. Region 318 is positioned in an axially upper half of shell 111 and region 319 is positioned in an axially lower half of shell 111. Upper contact region 318 comprises a radially outward facing contact surface 312 aligned substantially parallel with axis 108. Lower contact region 319 also comprises a radially outward facing contact surface 306 orientated transverse and inclined relative to axis 108. Inward facing surface 304 of topshell wall region 101 is divided axially into a plurality of annular regions in the axial direction referring to figure 3B. A first (upper) mount region 310 is positioned axially uppermost towards rim 103. A second mount region is positioned axially lower than region 310 and towards rim 102. Second (lower) mount region is divided into an intermediate mount region 308 and a lowermost mount region 307 with intermediate region 308 positioned axially between upper and lowermost regions 310, 307 respectfully. Upper region 310 is defined in the axial direction by an axially upper annular section 323 and an axially lower annular section 324. The inward facing surface 304 at region 310 tapers radially inward towards axis 108 such that section 323 is positioned radially closer to axis 108 than section 324. Additionally, intermediate region 308 is defined in the axial direction by an axially upper annular section 325 and an axially lower annular section 326. Similarly, inward facing surface 304 tapers radially inward towards axis 108 such that section 325 is positioned radially closer to axis 108 than section 326. Lowermost region 307 also comprises a corresponding tapered inward facing surface 304. An angle inclination of surface regions 308, 310 is approximately equal whilst a corresponding angle of inclination of surface region 307 is greater than regions 308, 310 relative to axis 108. Crushing shell 111 is positioned in direct contact against topshell 100 via mating contact between lower contact surface 306 and the radially inward facing surface 304 of the lowermost mount region 307. Due to the function and geometry of crushing shell 111 the intermediate spacer ring 110 is positioned radially between the upper region 311 of shell 111 and topshell 100. In particular, spacer ring 110 comprises a radially outward facing surface having a first upper mount surface 314 and a corresponding second lower mount surface 315. Ring 110 also comprises a radially inward facing surface such that an annular wall 302 is defined between the inward and outward facing surfaces. Upper surface 314 is positioned in direct contact with topshell region 310 whilst the second lower mount surface 315 is positioned in direct contact with the intermediate mount region 308. The radially inward facing surface of ring 110 is divided axially into an upper region 316, a lower region 309 and an intermediate region 317. Intermediate region 317 is formed as an annular shoulder projecting radially inward relative to upper and lower regions 316, 309. According to the present implementation, the radially inward facing surface at shoulder 317 is positioned in direct contact with the radially outward facing upper contact surface 312. Accordingly, spacer ring 110 is positioned radially intermediate the upper region 311 of shell 111 and topshell wall 313. An axially upper end 321 of ring 110 is positioned approximately co-planar with annular surface 119 and the upper end 320 of crushing shell 111. Additionally, a second and opposed lower end 321 of ring 110 is positioned axially between the upper and lower mount regions 318, 319 of shell 111 and radially within the region of the groove 328 defined, in part, by the upper and lower raised regions 318, 319. Referring to figure 5, spacer ring 110 is divided axially between upper end 321 and lower end 322 into a plurality of sections including in particular raised upper 506 and lower 507 contact regions projecting radially outward from wall 302 to provide respective upper and lower contact surfaces 314, 315 for mating against regions 310 and 308 of topshell 100 as described. Regions 506 and 507 are separated axially by a groove 508 in the radially outward facing surface. Shoulder 317 projects radially inward from wall 302 at an axial position corresponding to the region of groove 508. Upper mount surface 314 is defined axially by an upper annular section 502 and an axially lower annular section 509. Similarly, second lower mount surface 315 is defined axially by an annular upper section 504 and an axially lower annular section 503. According to the specific implementation, surfaces 314 and 315 taper radially inward towards axis 108 in the axially upward direction such that sections 502 and 504 are positioned radially closer to axis 108 than the respective lower sections 509, 503. As illustrated, the radially inward facing surface at upper region 316 is substantially cylindrical whilst the corresponding radially inward facing surface at lower region 309 tapers radially inward towards axis 108 in the upward direction from lower end 322. Accordingly, the axial lock of spacer ring 110 at topshell 100 is provided by the mating contact between the cooperating tapered surfaces 314 and 315 at the spacer ring with the tapering surface regions 310, 308 of topshell 100. In particular, the respective lower sections 324, 326 of the topshell are mated with the respective lower sections 509 and 503 of the ring 110 together with a corresponding mating between the respective upper sections 323, 325 of the topshell 100 and the respective upper sections 502, 504 of the ring 110. Due to the closeness of fit of ring 110 within annular wall 101, ring 110 is prevented from movement in the axially upward direction due to the wedging action provided by the axially spaced pair of annular mating surfaces between the topshell 100 and spacer ring 110. Referring to figure 4, the rotational lock of ring 110 at topshell 100 is provided by the plurality of abutments 112, 114, 118 distributed circumferentially around axis 108 and provided at topshell 100 and spacer ring 110. Referring to figures 5 and 7, the circumferentially spaced recesses 118 within upper surface 117 of ring 110 are defined, in part, by respective opposed side faces 500 and a trough face 501. A threaded borehole 400 extends axially downward from trough face 501 into wall 302 to provide a means of receiving threaded bolts 113. As illustrated, recesses 118 extend the full radial length of wall 302 so as to provide circumferentially spacer notches in the upper surface 117 of ring 110. Referring to figure 7, corresponding grooves 114 are indented into upward facing surface 119 at corresponding circumferentially spaced intervals such that by rotational adjustment of ring 110 within wall 313, it is possible to circumferentially align grooves and recesses 114 and 118. Each groove 114 is in turn defined by opposed side faces 700 and a lower trough face 408. However, a depth groove 114 is greater than recess 118 such that trough face 408 is positioned axially below trough face 501. Additionally, in the orientation illustrated in figures 1 to 7, corresponding side faces 500 and 700 are positioned approximately co-planar. Referring to figures 4 and 6, bridging blocks 112 comprise a generally rectangular cuboid geometry having an upper face 406, a opposed lower face 407, 409, lengthwise side face 600 and widthwise end faces 601, 602. Additionally, each block 112 may be divided in its lengthwise direction between widthwise faces 601, 602 into a first region 401 for positioning within a spacer ring recess 118; a second region 402 for positioning within a topshell groove 114 and a third region 404 for positioning above upward facing surface 119 of rim 103. In particular, lower face 407 is accommodated within groove 114 (positioned opposed to trough face 408) and is also accommodated within recess 118 (positioned in contact with trough face 501). Due to a depth of groove 114 a spatial gap 403 is provided between the opposed lower face 407 and trough face 408. As will be appreciated, during use it is common for the intermediate spacer ring to be compressed radially and hence to elongate axially. To compensate for this, shim block 405 are positioned axially intermediate upward facing surface 119 of rim 103 and the downward facing lower surface 409 of block 112. According to the specific embodiment, a thickness in the axial direction of block 112 decreases from region 402 to region 404 to provide a stepped cross sectional profile as illustrated in figure 4 with shim blocks 405 positioned underneath the radially outer region 404 located above upward facing surface 119 that is thinner than region 402 in the axial direction. Referring to figure 6, the rotational lock of ring 110 at topshell 100 is provided principally by the abutment of lengthwise face 600 with the side faces 700 and 500 of the respective grooves 114 and recesses 118. That is, each block 112 is at least partially accommodated within the circumferentially aligned groove and recess 114, 118 so as to represent an obstruction to rotational motion of ring 110 about axis 108. According to the specific implementation, faces 700 and 500 are aligned vertically (parallel with axis 108 and perpendicular to the circumferential direction illustrated for example by edge 115). This configuration is therefore optimised to absorb and transmit the torque force by ring 110 to topshell 100 via the intermediate bridging blocks 112 accommodated within the respective grooves 114 and recesses 118. According to the specific implementation, a separation distance between lengthwise faces 600 and side faces 700 and 500 is of the order of 1 mm. This close-fit tolerance ensures there is no or minimal ' rotational slack ' on initial start-up of the crusher to provide an immediately effective rotational lock of ring 110. According to the present configuration, as the torque force is transmitted through blocks 112 and corresponding abutment faces 700 and 500, bolts 113 are isolated from experiencing sheer stress, in turn, providing a robust multi-component rotational lock. As illustrated in figures 4 and 7, the transition of side face 700 to trough face 408 follows an arcuate or curved surface path to minimise any stress concentrations at the grooves 114. According to further specific embodiments, blocks 112 may be moveably mounted at ring 110 via suitable mountings for example including sliding or pivoting attachments. According to a further embodiment, blocks 112 are permanently attached to ring 110 and may be integrally formed with ring wall 302.
8. A gyratory crusher topshell assembly comprising: a topshell (100) having an annular wall (313) extending around a longitudinal axis (108), the wall (313) terminated at an axially upper end by an annular rim (103); a plurality of first abutment regions (112, 114) provided at or projecting from the rim and spaced apart in a circumferential direction around the axis (108); an annular spacer ring (110) positioned radially inside the wall (313); characterised in that: the annular spacer ring (110) is formed as a unitary body; a plurality of second abutment regions (112, 118) are provided at or project from the spacer ring (110) and are spaced apart in the circumferential direction around the axis (108), the first and second abutment regions (112, 114, 118) capable of being brought into touching contact with one another to provide a rotation lock of the spacer ring (110) about the axis (108) relative to the topshell (100); and wherein at least a part of one of the first and/or second abutment regions (112, 114, 118) extend in a radial direction relative to the axis to bridge the topshell (100) and the ring (110).
9. The assembly as claimed in claim 8 wherein the first and/or second abutment regions (112, 114, 118) comprise abutment bodies (112) extending radially between the topshell (100) and ring (110) to bridge and couple the topshell (100) and the ring (110). 10. The assembly as claimed in claim 9 wherein: an upper end (321) of the ring (110) comprises recesses (118); the rim comprises grooves (114); and each of the abutment bodies (112) extends radially between and are seated at least partially within the respective recesses (118) and grooves (114). 11. The assembly as claimed in claim 9 wherein an abutment face (700) of the grooves (114) and an abutment face (600) of the recesses (118) are aligned substantially perpendicular to a circumferential direction around the axis (108). 12. The assembly as claimed in any one of claims 8 to 11 wherein an upper end (321) of the ring (110) is substantially aligned coplanar with the rim (103). 13. The assembly as claimed in any one of claims 8 to 12 comprising between two and eight respective first (112, 114) and second (112, 118) abutment regions. 14. The assembly as claimed in any one of claims 8 to 13 wherein a radially inward facing surface (304) of the topshell (100) comprises: an upper region (323) positioned axially closest to the rim (103) than a lower region (324) of the inward facing surface (304) and positioned radially closer to the axis (108) than the lower region (324); wherein a part (509) of a radially outward facing surface of the spacer ring (110) is positioned in contact with the lower region (324) such that the spacer ring (110) is prevented from movement axially upward by the radial position of the upper region (323) to axially lock the spacer ring (110) relative to the topshell (100). 15. The assembly as claimed in claim 14 wherein the radially inward facing surface (304) of the topshell (100) tapers radially inward axially between the upper (323) and lower (324) regions and said part (509) of the radially outward facing surface (314) of the spacer ring (110) tapers radially inward to mate against the tapered surface (304) of the topshell (100) to axially lock the ring (110) at the topshell (100).
2822157
Reduction of bearing currents in a wind turbine generator
1
Based on the following detailed description of an invention, generate the patent claims. There should be 12 claims in total. The first, independent claim is given and the remaining 11 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The illustration in the drawing is schematic. It is noted that in different figures, similar or identical elements are provided with the same reference numerals or with reference numerals which differ only within the first digit. Figure 1 shows an equivalent electric circuit diagram 100 for an electrical machine structure. The structure comprises a stator winding 110, a rotor 120 and a frame 130. The rotor 120 is an inner rotor, i.e. it is designed to rotate within the stator holding the winding 110. The capacitance between winding 110 and frame 130 is C _wf, the capacitance between winding 110 and rotor 120 is C _wr, and the capacitance between rotor 120 and frame 130 is shown as a parallel coupling of C _rf and bearing capacitances C _b. When a common mode voltage V _cm occurs between the winding 110 and the grounded frame 130, the bearing voltage V _b is given as: [MATHS id=math0001] Figure 2 shows a schematic view of an electrical machine 201 according to an embodiment of the present invention. The machine 201 comprises stator winding 211, rotor 221 and frame 231. In this embodiment, the rotor 221 is an outer rotor, i.e. it is designed to rotate around the stator holding the winding 211. Like in Figure 1, the capacitance between rotor 221 and winding 211 is denoted C _wr and the capacitance between rotor 221 and frame 231 is denoted C _rf. Similarly, the capacitance between winding 211 and frame 231 is denoted C _wf (not shown). Furthermore, the machine 201 comprises an electrically conductive structure 240 arranged in the gap between rotor 221 and winding 211 such that it is electrically connected to the frame 231. The conductive structure 240 will reduce the resulting capacitance between rotor 221 and winding 211 as the area of the opposing surfaces is reduced. Furthermore, the conductive structure 240 will increase the resulting capacitance between rotor 221 and frame 231 as the area of the opposing surfaces is increased. The effect of the conductive structure 240 will now be illustrated by a series of calculations based on a simplified view seen from the slot/wedge perspective. First, the capacitance C _wr between winding 211 and rotor 221 as well as the capacitance C _rf between rotor 221 and frame 231 are calculated for the case without the conductive structure 240: - K=1 (air) - E_0=8,854*10-12 As/Vm - Width of winding: W = 16,4 mm - Length: L = 1706 mm - Area of winding: A_w = 16,4 * 1706 = 27978,4 mm^2 - Height to rotor: D = depth + airgap = (103,1 - 95,6) + 5 = 7,5 + 5 = 12,5 mm - C_wr = K*E_0*A_w/D = 19, 8 pF - Area of frame: A_f = Width (66) * length (9,9) * number of fingers (23) * 2 = 30056,4 mm2 - Heigth (airgap) = D_f = 5 mm - C_rf = K*E_0*A_f/D_f = 53,2 pF - Ratio between capacitances: C_wr/C_rf = 19,8 / 53,2 = 0,372 Modifying the above calculations to take the conductive structure 240 into account in a case where the width of the conductor 240 is equal to half of the width of the original gap of the slot gives the following results: - A_w is reduced to half the above value, i.e. A_w = 13989,2 mm^2, and A_f is increased by the same amount, i.e. A_f = 44045,6 mm^2 These areas result in C_wr = 9, 9 pF, C_rf = 78 pF and C_wr/C_rf = 0,127. Accordingly, reducing the area by 50% results in a reduction of the bearing voltage by about 34%. Similar calculations can be made for conductive structures 240 having other dimensions. A few examples are given below. If the width of the conductive structure 240 is 80% of the original gap, then C _wr = 3,96 pF, C _rf = 96,4 pF and C _wr /C _rf = 0,041. Further, if the width of the conductive structure 240 is 90% of the original gap, then C _wr = 1,98 pF, C _rf = 97,8 pF and C _wr /C _rf = 0,020. Finally, if the width of the conductive structure 240 is 95% of the original gap, then C _wr = 0,99 pF, C _rf = 100 pF and C _wr /C _rf = 0,0099. As can be seen, increasing the size of the conductive structure 240 results in significant damping of the bearing voltage caused by the common mode voltage from the winding 211. In fact, a filling of 95% results in a signal level of about 1% compared to the original situation, or a signal reduction of about 40 dB. Figure 3 shows a top view of a wedge structure 302 according to an embodiment of the present invention. The wedge 302 is arranged on top of winding 311 between the walls of frame 331. The wedge 302 comprises an electrically conductive structure 340 in form of conductive strips which are arranged in parallel across the surface of the winding 311. The conductive strips are alternatingly fastened on the opposing sides of the frame walls and are electrically connected with the frame 331. As can be seen, the conductive strips reduce the area of the winding surface which is "visible" to a rotor arranged above the wedge 302. Accordingly, the capacitance between winding and rotor is correspondingly reduced as the area of the opposing surfaces is reduced. Similarly, the capacitance between rotor (not shown) and frame 331 is increased as the conductive structure 340 increases the area of the opposing surfaces correspondingly. Thus, the effect illustrated by the calculations discussed above with regard to Figure 2 can be obtained by the wedge 302. Figures 4A and 4B illustrate a multi-layer wedge structure 403 according to a further embodiment of the present invention. More specifically, Figure 4A shows a top view of the multi-layer wedge structure 403 while Figure 4B shows a side view of the wedge structure 403 shown in Figure 4A. The side view of Figure 4B corresponds to the direction from left to right in Figure 4A as indicated by the arrow B. As shown, the wedge 403 comprises a lower conductive structure 441 and an upper conductive structure 442. The upper conductive structure 442 comprises a plurality of conductive strips arranged at one wall of the frame 431 (upper wall in Figure 4A and left wall in Figure 4B ) while the lower conductive structure 441 comprises a plurality of conductive strips arranged at the opposite wall of the frame 431 (lower wall in Figure 4A and right wall in Figure 4B ). All strips are electrically connected to the frame 431 and equally spaced but the upper set of strips 442 is displaced relative to the lower set of strips 441 such that most of the space between two neighboring strips of one set is covered by a strip of the other set and vice versa. Thereby, a very high degree of the surface area of the winding facing the rotor can be covered by the conductive strips such that the capacitance between rotor and winding is correspondingly reduced in comparison to the case without the strips. As shown above, the reduction of the capacitance C _wr effectively reduces the bearing voltage (and corresponding bearing current) caused by common mode voltage coupling from the winding. Thereby, the risk of bearing failure is reduced and the lifetime of a bearing significantly increased.
1. An electrical machine for converting rotational energy into electrical energy, the machine comprising: a stator,: a winding (110, 211, 311) arranged at the stator,: a rotor (120, 221) arranged to be rotatable relative to the stator and adapted to induce an electric current in the winding during rotation, and: an electrically conductive structure (240, 340, 441, 442) arranged between the winding and the rotor to reduce a capacitance (C_wr) between the winding and the rotor.
2. The machine according to the preceding claim, further comprising a frame (130, 231, 331, 431) adapted to support the winding. 3. The machine according to the preceding claim, wherein the electrically conductive structure is supported by and electrically connected to the frame. 4. The machine according to preceding claim 2 or 3, wherein a ratio between the capacitance (C_wr) between the winding and rotor and a capacitance (C_rf) between the rotor and frame is less than 0,15. 5. The machine according to any of the preceding claims, wherein the electrically conductive structure is arranged in an air gap between the winding and the rotor. 6. The machine according to any of the preceding claims, wherein a part of the winding, which faces the rotor, is covered by a wedge (302, 403), and wherein the electrically conductive structure is integrally formed with the wedge. 7. The machine according to any of the preceding claims, wherein the electrically conductive structure covers from 50% to 100% of a winding surface facing the rotor. 8. The machine according to any of the preceding claims, wherein the electrically conductive structure comprises a plurality of strips. 9. The machine according to the preceding claim, wherein the plurality of strips comprises a first set of strips and a second set of strips, the first set of strips being arranged closer to the winding than the second set of strips. 10. The machine according to the preceding claim, wherein the strips of the first set of strips at least partially overlap the strips of the second set of strips when viewed in a direction from the winding towards the rotor. 11. A generator for a wind turbine, the generator comprising an electric machine (201) according to any of the preceding claims. 12. A wind turbine comprising a generator according to the preceding claim.
2822104
Subsea female electrical connector and method of connecting a subsea connector
1
Based on the following detailed description of an invention, generate the patent claims. There should be 12 claims in total. The first, independent claim is given and the remaining 11 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The inventive concept will now be described more fully hereinafter with reference to the accompanying drawings, in which exemplifying embodiments are shown. The inventive concept may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided by way of example so that this disclosure will be thorough and complete, and will fully convey the scope of the inventive concept to those skilled in the art. Like numbers refer to like elements throughout the description. The subsea female electrical connector presented herein enables subsea mating with a corresponding male electrical connector while maintaining dielectric properties of both the subsea female electrical connector and the male electrical connector post-mating. The subsea female electrical connector may for example be adapted to high voltage (HV) or medium voltage (MV) applications. To this end, the subsea female connector presented herein may for example be a high voltage subsea female electrical connector or a medium voltage subsea female electrical connector. Figure 1a depicts a schematic longitudinal section of an example of a subsea female electrical connector 1. The subsea female electrical connector 1 comprises a housing 3 forming a chamber 7, and a dielectric fluid 11. The dielectric fluid 11 is contained in the chamber 7. The dielectric fluid 11 may advantageously be a dielectric liquid, such as oil or an ester. The dielectric fluid 11 may beneficially be biodegradable. According to one variation, the dielectric fluid 11 fills the entire volume of the chamber 7. Preferably, the dielectric fluid 11 has a density which is less than a density of water. Especially, it is beneficial if the density of the dielectric fluid 11 is less than that of the body of water in which the subsea female electrical connector 1 is to be installed and utilised. The subsea electrical female connector 1 is adapted to withstand ambient pressure at a depth at which the subsea female electrical connector 1 is intended to be utilised. Such a depth may be anywhere from a few tens of metres to several thousand metres, for example 2000-4000 m, the latter corresponding to a pressure of about 200 bar to 400 bar. The housing 3 may for example be made of plastic, steel, a combination thereof, or any other suitable material which can withstand the high pressure and wear applied and provided by deep sea water. Furthermore, the dielectric fluid 11 is arranged to counteract deformation of the housing 3. The subsea female electrical connector 1 further comprises a male connector interface 5 adapted to face a subsea male electrical connector for mating therewith. The housing 3 and the male connector interface 5 define the walls of the chamber 7. The male connector interface 5 defines a floor 5c of the chamber 7. A socket 9 is arranged in the subsea female electrical connector 1, adapted to connect with a male conductor rod when the subsea female electrical connector 1 is mated with a subsea male electrical connector. In particular, the socket 9 is arranged to receive a male conductor rod. When the socket 9 is in electrical connection with a male conductor rod, the subsea connector formed by the subsea female electrical connector 1 and a subsea male electrical connector is able to provide power to subsea machinery provided that the subsea female electrical connector 1 is connected to a power source. As previously mentioned, the male connector interface 5 defines that end of the subsea female electrical connector 1 which is adapted to mate with a subsea male electrical connector. The male connector interface 5 has a channel 5d and comprises a fluid blocking member 5a. The channel 5d is adapted to receive a male conductor rod and guide the male conductor rod into the chamber 7 such that the male conductor rod can mate with the socket 9. According to one variation of subsea female electrical connector 1, the fluid blocking member 5a is arranged at that end of the channel 5d which is furthest away from the chamber 7, as shown in Figs 1a and b. According to this variation, the channel 5d extends from the chamber 7 to the fluid blocking member 5a. Alternatively, the fluid blocking member may be arranged at an inner end of the channel, i.e. at that end which is closest to the chamber. The fluid blocking member 5a has a single continuous surface adapted to block the channel 7 to thereby prevent fluid from flowing out from and into the chamber 7 through the channel 5d. The single continuous surface defines an external surface of the subsea female electrical connector 1 and the male connector interface 5. The fluid blocking member 5a hence covers or obstructs the mouth of the channel 7, thus preventing fluid communication between the chamber 7 and the environment surrounding the subsea female electrical connector 1. With a single continuous surface is meant, in mathematical terms, a topological connected set of points forming the surface. Each pair of points in the continuous surface may hence be connected by a line for which each point forms part of the continuous surface. In other words, the continuous surface does not have any openings. The fluid blocking member 5a has a thickness which allows the fluid blocking member 5a to be ruptured by a male conductor rod when the subsea female electrical connector is mated with a subsea male electrical connector. The force applied by the male conductor rod during mating hence ruptures the fluid blocking member 5a. The fluid blocking member 5a is hence provided with an opening for a male conductor rod only after the male conductor has ruptured the fluid blocking member 5a in a mating operation. Prior to mating, the chamber is isolated from the environment surrounding the subsea female electrical connector by means of the fluid blocking member 5a. The fluid blocking member 5a may according to one variation have an extension which covers the entire cross-sectional dimension of the subsea female electrical connector 1 in level with the male connector interface 5. The fluid blocking member 5a may hence have a cross-sectional dimension corresponding to essentially the entire bottom end face of the subsea female electrical connector 1. Alternatively, the fluid blocking member may have a dimension which essentially corresponds to the dimension of the mouth of the channel at that end of the channel at which the fluid blocking member is arranged. The fluid blocking member could of course have any dimension in between these two extremes. According to the example in Figs 1a-b, the male connector interface 5 comprises a floor member 5h which is thicker than the fluid blocking member 5a. The floor member 5h has mechanical strength designed to withstand subsea ambient pressure at the depth at which the subsea female electrical connector is intended to be used. A through opening in the floor member 5h defines the channel 5d. The channel 5d is provided with a shoulder 5g extending along at least part of the periphery of the channel 7. According to one variation, the shoulder 5g defines a narrower channel section at a chamber facing end of the channel 5d than a channel section at a fluid blocking member facing end of the channel 5d. According to one variation, the subsea female electrical connector 1 comprises an annular seal 5f adapted to abut the shoulder 5g. The annular seal 5f is dimensioned to receive a male conductor rod. The annular seal 5f is adapted to block fluid from entering the chamber 7 and to allow excess dielectric fluid 11 to exit the subsea female electrical connector 1 when a male conductor rod has been received by the annular seal 5f. The annular seal may for example be an axial shaft seal. When a male conductor rod has ruptured or perforated the fluid blocking member 5a and further penetrated the channel 7, the annular seal 5f will act as a unidirectional seal ring around the male conductor rod. The male connector interface 5 may according to one variation have a shape corresponding to a shape of a subsea male electrical connector with which it is to mate in such a manner that essentially the entire male connector interface 5 abuts the subsea male electrical connector. The subsea female electrical connector 1 is advantageously utilised with a subsea male electrical connector that may be of multiple mating-type. Figure 2 depicts a longitudinal sectional view of a subsea female electrical connector 1 and a subsea male electrical connector 13 prior to mating. The subsea male electrical connector 13 comprises a male conductor rod 15 arranged to mate with the socket 9 of the subsea female electrical connector 1. Mating operation of the subsea female electrical connector 1 with subsea male electrical connector 13 will now be described with reference to Figs 3a-3c, and Figure 4. In a typical situation, the mating is performed subsea. Prior to mating the subsea male electrical connector 13 and in particular the male conductor rod 15 are immersed in water 17. Any water 17 should be removed before the subsea female electrical connector 1 and subsea male electrical connector 13 is interconnected in order to obtain the required dielectric properties of the interconnected subsea connector. In Figure 3a, the subsea female electrical connector 1 and a subsea male electrical connector 13 have been brought together, and the male connector interface 5 faces and is aligned with the subsea male electrical connector 13, as also illustrated in Figure 4 in step a). The male conductor rod 15 then ruptures the fluid blocking member 5a in a step b). Figure 3b depicts when the male conductor rod 15 has ruptured and penetrated the fluid blocking member 5a. An amount of dielectric fluid corresponding to the volume occupied by the male connector rod 15 in the channel 5d and chamber 7 is forced out from the subsea female electrical connector 1 through the channel 5d. Any water 17 contained in the space between the male connector interface 5 and the subsea male electrical connector 13 is flushed out from the space created between the mating connectors 1 and 13 into the surrounding water, as indicated by arrow A. In variations comprising the annular seal 5f, the annular seal 5f prevents water from entering the chamber 7 during the mating operation. Moreover, in cases where the density of the dielectric fluid is less than that of the surrounding water, the dielectric fluid that is forced out from the subsea female electrical connector, which for example may be arranged vertically above the subsea male electrical connector, will act as a barrier between said connector and water. Efficient removal of any water in the space created between the two connectors may thereby be obtained. Figure 3c shows a fully interconnected subsea female electrical and male electrical connector 1 and 13 forming subsea connector 19. The interconnection may for example be carried out by a threaded connection. For example, the external surface of the subsea female electrical connector and the internal surface of the subsea male electrical connector may be threaded. Other interconnection methods are also envisaged, as would be apparent to the skilled person. The subsea female electrical connector is a disposable device which allows for a single mating with a subsea male electrical connector. The fluid blocking member is a single-use fluid blocking member. For reuse of a subsea female electrical connector that has previously been interconnected with a subsea male electrical connector, a ruptured fluid blocking member may be replaced when it has been refilled with dielectric fluid. It is envisaged that the underwater female electrical connector presented herein find applications within the oil and gas industry for example for subsea HVDC/HVAC power transmission and power distribution systems, as well as offshore power generation such as wind energy, tidal energy, wave energy, and ocean current energy.
1. A subsea female electrical connector (1) comprising: a housing (3) forming a chamber (7), a socket (9) arranged in the chamber (7) and adapted to receive a male conductor rod (15), a dielectric fluid (11) contained in the chamber (7), and a male connector interface (5) comprising a fluid blocking member (5a) and a channel (5d), which channel (5d) is adapted to receive the male conductor rod (15) and guide the male conductor rod (15) into the chamber (7) and the socket (9), wherein the fluid blocking member (5a) has a surface blocking the channel (5d) thereby preventing fluid from flowing out from and into the chamber (7) through the channel (5d).
2. The subsea female electrical connector (1) as claimed in claim 1, wherein the fluid blocking member (5a) has a thickness which allows the fluid blocking member (5a) to be ruptured by the male conductor rod (15) when the subsea female electrical connector (1) is mated with a subsea male electrical connector (13) having a male conductor rod (15). 3. The subsea female electrical connector (1) as claimed in claim 1 or 2, wherein the channel (5d) is provided with a shoulder (5g) defining a narrower channel section at a chamber facing end of the channel (5d) than a channel section at a fluid blocking member facing end of the channel (5d). 4. The subsea female electrical connector (1) as claimed in claim 3, comprising an annular seal (5f) arranged to abut the shoulder (5g), wherein the annular seal (5f) is dimensioned to receive the male conductor rod (15). 5. The subsea female electrical connector (1) as claimed in claim 4, wherein the annular seal (5f) is adapted to block fluid from entering the chamber (7) and to allow excess dielectric fluid (11) to exit the subsea female electrical connector when the male conductor rod (15) has been received by the annular seal (5f). 6. The subsea female electrical connector (1) as claimed in any of the preceding claims, wherein the male connector interface (5) has a shape corresponding to a shape of a subsea male electrical connector (13) with which it is to mate to such that essentially the entire male connector interface (5) abuts the subsea male electrical connector (13). 7. The subsea female electrical connector (1) as claimed in any of the preceding claims, wherein the fluid blocking member (5a) extends along the entire cross-sectional dimension of the subsea female electrical connector (1) in level with the male connector interface (5). 8. The subsea female electrical connector (1) as claimed in any of the preceding claims, wherein the dielectric fluid (11) fills the entire volume of the chamber (7). 9. The subsea female electrical connector (1) as claimed in any of the preceding claims, wherein the dielectric fluid (11) has a density which is less than a density of water. 10. The subsea female electrical connector (1) as claimed in any of the preceding claims, wherein the dielectric fluid (11) is oil or an ester. 11. The subsea female electrical connector (1) as claimed in any of the preceding claims, wherein the fluid blocking member (5a) is arranged at that end of the channel (5d) which is furthest away from the chamber (7). 12. The subsea female electrical connector (1) as claimed in any of the preceding claims, wherein the channel (5d) has a cross-sectional dimension which essentially corresponds to a cross-sectional dimension of the male conductor rod (15).
2822104
Subsea female electrical connector and method of connecting a subsea connector
2
Based on the following detailed description of an invention, generate the patent claims. There should be 2 claims in total. The first, independent claim is given and the remaining 1 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The inventive concept will now be described more fully hereinafter with reference to the accompanying drawings, in which exemplifying embodiments are shown. The inventive concept may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided by way of example so that this disclosure will be thorough and complete, and will fully convey the scope of the inventive concept to those skilled in the art. Like numbers refer to like elements throughout the description. The subsea female electrical connector presented herein enables subsea mating with a corresponding male electrical connector while maintaining dielectric properties of both the subsea female electrical connector and the male electrical connector post-mating. The subsea female electrical connector may for example be adapted to high voltage (HV) or medium voltage (MV) applications. To this end, the subsea female connector presented herein may for example be a high voltage subsea female electrical connector or a medium voltage subsea female electrical connector. Figure 1a depicts a schematic longitudinal section of an example of a subsea female electrical connector 1. The subsea female electrical connector 1 comprises a housing 3 forming a chamber 7, and a dielectric fluid 11. The dielectric fluid 11 is contained in the chamber 7. The dielectric fluid 11 may advantageously be a dielectric liquid, such as oil or an ester. The dielectric fluid 11 may beneficially be biodegradable. According to one variation, the dielectric fluid 11 fills the entire volume of the chamber 7. Preferably, the dielectric fluid 11 has a density which is less than a density of water. Especially, it is beneficial if the density of the dielectric fluid 11 is less than that of the body of water in which the subsea female electrical connector 1 is to be installed and utilised. The subsea electrical female connector 1 is adapted to withstand ambient pressure at a depth at which the subsea female electrical connector 1 is intended to be utilised. Such a depth may be anywhere from a few tens of metres to several thousand metres, for example 2000-4000 m, the latter corresponding to a pressure of about 200 bar to 400 bar. The housing 3 may for example be made of plastic, steel, a combination thereof, or any other suitable material which can withstand the high pressure and wear applied and provided by deep sea water. Furthermore, the dielectric fluid 11 is arranged to counteract deformation of the housing 3. The subsea female electrical connector 1 further comprises a male connector interface 5 adapted to face a subsea male electrical connector for mating therewith. The housing 3 and the male connector interface 5 define the walls of the chamber 7. The male connector interface 5 defines a floor 5c of the chamber 7. A socket 9 is arranged in the subsea female electrical connector 1, adapted to connect with a male conductor rod when the subsea female electrical connector 1 is mated with a subsea male electrical connector. In particular, the socket 9 is arranged to receive a male conductor rod. When the socket 9 is in electrical connection with a male conductor rod, the subsea connector formed by the subsea female electrical connector 1 and a subsea male electrical connector is able to provide power to subsea machinery provided that the subsea female electrical connector 1 is connected to a power source. As previously mentioned, the male connector interface 5 defines that end of the subsea female electrical connector 1 which is adapted to mate with a subsea male electrical connector. The male connector interface 5 has a channel 5d and comprises a fluid blocking member 5a. The channel 5d is adapted to receive a male conductor rod and guide the male conductor rod into the chamber 7 such that the male conductor rod can mate with the socket 9. According to one variation of subsea female electrical connector 1, the fluid blocking member 5a is arranged at that end of the channel 5d which is furthest away from the chamber 7, as shown in Figs 1a and b. According to this variation, the channel 5d extends from the chamber 7 to the fluid blocking member 5a. Alternatively, the fluid blocking member may be arranged at an inner end of the channel, i.e. at that end which is closest to the chamber. The fluid blocking member 5a has a single continuous surface adapted to block the channel 7 to thereby prevent fluid from flowing out from and into the chamber 7 through the channel 5d. The single continuous surface defines an external surface of the subsea female electrical connector 1 and the male connector interface 5. The fluid blocking member 5a hence covers or obstructs the mouth of the channel 7, thus preventing fluid communication between the chamber 7 and the environment surrounding the subsea female electrical connector 1. With a single continuous surface is meant, in mathematical terms, a topological connected set of points forming the surface. Each pair of points in the continuous surface may hence be connected by a line for which each point forms part of the continuous surface. In other words, the continuous surface does not have any openings. The fluid blocking member 5a has a thickness which allows the fluid blocking member 5a to be ruptured by a male conductor rod when the subsea female electrical connector is mated with a subsea male electrical connector. The force applied by the male conductor rod during mating hence ruptures the fluid blocking member 5a. The fluid blocking member 5a is hence provided with an opening for a male conductor rod only after the male conductor has ruptured the fluid blocking member 5a in a mating operation. Prior to mating, the chamber is isolated from the environment surrounding the subsea female electrical connector by means of the fluid blocking member 5a. The fluid blocking member 5a may according to one variation have an extension which covers the entire cross-sectional dimension of the subsea female electrical connector 1 in level with the male connector interface 5. The fluid blocking member 5a may hence have a cross-sectional dimension corresponding to essentially the entire bottom end face of the subsea female electrical connector 1. Alternatively, the fluid blocking member may have a dimension which essentially corresponds to the dimension of the mouth of the channel at that end of the channel at which the fluid blocking member is arranged. The fluid blocking member could of course have any dimension in between these two extremes. According to the example in Figs 1a-b, the male connector interface 5 comprises a floor member 5h which is thicker than the fluid blocking member 5a. The floor member 5h has mechanical strength designed to withstand subsea ambient pressure at the depth at which the subsea female electrical connector is intended to be used. A through opening in the floor member 5h defines the channel 5d. The channel 5d is provided with a shoulder 5g extending along at least part of the periphery of the channel 7. According to one variation, the shoulder 5g defines a narrower channel section at a chamber facing end of the channel 5d than a channel section at a fluid blocking member facing end of the channel 5d. According to one variation, the subsea female electrical connector 1 comprises an annular seal 5f adapted to abut the shoulder 5g. The annular seal 5f is dimensioned to receive a male conductor rod. The annular seal 5f is adapted to block fluid from entering the chamber 7 and to allow excess dielectric fluid 11 to exit the subsea female electrical connector 1 when a male conductor rod has been received by the annular seal 5f. The annular seal may for example be an axial shaft seal. When a male conductor rod has ruptured or perforated the fluid blocking member 5a and further penetrated the channel 7, the annular seal 5f will act as a unidirectional seal ring around the male conductor rod. The male connector interface 5 may according to one variation have a shape corresponding to a shape of a subsea male electrical connector with which it is to mate in such a manner that essentially the entire male connector interface 5 abuts the subsea male electrical connector. The subsea female electrical connector 1 is advantageously utilised with a subsea male electrical connector that may be of multiple mating-type. Figure 2 depicts a longitudinal sectional view of a subsea female electrical connector 1 and a subsea male electrical connector 13 prior to mating. The subsea male electrical connector 13 comprises a male conductor rod 15 arranged to mate with the socket 9 of the subsea female electrical connector 1. Mating operation of the subsea female electrical connector 1 with subsea male electrical connector 13 will now be described with reference to Figs 3a-3c, and Figure 4. In a typical situation, the mating is performed subsea. Prior to mating the subsea male electrical connector 13 and in particular the male conductor rod 15 are immersed in water 17. Any water 17 should be removed before the subsea female electrical connector 1 and subsea male electrical connector 13 is interconnected in order to obtain the required dielectric properties of the interconnected subsea connector. In Figure 3a, the subsea female electrical connector 1 and a subsea male electrical connector 13 have been brought together, and the male connector interface 5 faces and is aligned with the subsea male electrical connector 13, as also illustrated in Figure 4 in step a). The male conductor rod 15 then ruptures the fluid blocking member 5a in a step b). Figure 3b depicts when the male conductor rod 15 has ruptured and penetrated the fluid blocking member 5a. An amount of dielectric fluid corresponding to the volume occupied by the male connector rod 15 in the channel 5d and chamber 7 is forced out from the subsea female electrical connector 1 through the channel 5d. Any water 17 contained in the space between the male connector interface 5 and the subsea male electrical connector 13 is flushed out from the space created between the mating connectors 1 and 13 into the surrounding water, as indicated by arrow A. In variations comprising the annular seal 5f, the annular seal 5f prevents water from entering the chamber 7 during the mating operation. Moreover, in cases where the density of the dielectric fluid is less than that of the surrounding water, the dielectric fluid that is forced out from the subsea female electrical connector, which for example may be arranged vertically above the subsea male electrical connector, will act as a barrier between said connector and water. Efficient removal of any water in the space created between the two connectors may thereby be obtained. Figure 3c shows a fully interconnected subsea female electrical and male electrical connector 1 and 13 forming subsea connector 19. The interconnection may for example be carried out by a threaded connection. For example, the external surface of the subsea female electrical connector and the internal surface of the subsea male electrical connector may be threaded. Other interconnection methods are also envisaged, as would be apparent to the skilled person. The subsea female electrical connector is a disposable device which allows for a single mating with a subsea male electrical connector. The fluid blocking member is a single-use fluid blocking member. For reuse of a subsea female electrical connector that has previously been interconnected with a subsea male electrical connector, a ruptured fluid blocking member may be replaced when it has been refilled with dielectric fluid. It is envisaged that the underwater female electrical connector presented herein find applications within the oil and gas industry for example for subsea HVDC/HVAC power transmission and power distribution systems, as well as offshore power generation such as wind energy, tidal energy, wave energy, and ocean current energy.
13. The subsea female electrical connector (1), wherein the fluid blocking member (5a) is a single-use fluid blocking member.
14. A subsea electrical connector (19) comprising: a subsea female electrical connector (1) as claimed in any of claims 1-13, and a subsea male electrical connector (13) having a male conductor rod (15) and arranged to interconnect with the subsea female electrical connector (1).
2823762
Lancing actuator
1
Based on the following detailed description of an invention, generate the patent claims. There should be 17 claims in total. The first, independent claim is given and the remaining 16 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows, partially enclosed with a housing 112 which is, for illustration purposes only, predominantly removed in Figure 2A, a perspective view of a first embodiment of a lancing actuator 114. The lancing actuator 114 is a part of a lancing device which further comprises a lancing element (not depicted here) which is adapted for perforating a skin portion of a user during a puncture process. Here, the lancing element is mechanically coupled to the lancing actuator 114 during the entire puncture process. The lancing actuator 114 is configured to driving the lancing element for sampling a body fluid and, therefore, comprises a drive element 118 adapted for driving the lancing element to perform a lancing motion. The drive element 118 is guided within the housing 112 which partially also encloses the lancing actuator 114. The lancing actuator 114 further comprises a combined compression and torsion element 120 which is adapted to drive the lancing motion of the lancing element by a relaxing movement of the combined compression and torsion element 120. The lancing actuator 114 further comprises a combined triggering and driving device 122 which includes an actuating element 124 and a locking device 126. The actuating element 124 is accessible from the outside of the housing through an operating button 128 which is mounted at a rear end of the actuating element 124 in a manner that it faces away from the lancing element. Figures 1 and 2A-C display an initial state of the actuating element 124, wherein the drive element 118 is locked in the locking device 126 under a torsional stress which is exerted by the combined compression and torsion element 120 being twisted in a respective manner. In particular, Figure 2B discloses that the combined compression and torsion element 120 is accomplished as a helical spring which comprises a longitudinal axis 130, a first end 132 and a second end 134. Hereby, the first end 132 is configured as a first leg which points vertically in relation to the longitudinal axis 130 and is attached to the actuating element 124 by flexibly inserting the first leg 132 into a first notch 136 of a first arbor 138 of the actuating element 124, whereas the second end 134 is configured as a second leg which points vertically in relation to the longitudinal axis 130 and is attached to the drive element 118 by flexibly inserting the second leg 134 into a second notch 140 of a second arbor 142 of the drive element 118. Consequently, the combined compression and torsion element 120 is mounted in a manner that it is movable along the longitudinal axis 130 in relation to the actuating element 124 and in relation to the drive element 118, while, at the same time the combined compression and torsion element 120 is twistable about the longitudinal axis 130 by a relative motion of the first leg 132 with relation to the second leg 134. This arrangement accomplishes that the motion of the combined compression and torsion element 120 is coupled to the motion of the actuating element 124. In particular, Figure 2C discloses that the drive element 118 comprises a protruding edge 144 which firmly locks the drive element 118 during the initial state of the actuating element 124 into the locking device 126. In addition, the drive element 118 comprises a beveled edge 146, wherein the beveled edge 146 is configured in a manner to ease a release of the drive element 118 from the locking device 126 as will be described later. Figures 3A-C show the situation after a triggering of the actuating element 124 has been affected by means of the operating button 128 which is mounted at the rear end of the actuating element 124 and which is accessible from the outside. The triggering effects a movement of the actuating element 124 along an actuation path from the initial state into an actuated state, which is constantly compressing the combined compression and torsion element 120 to accomplish a tension within the combined compression and torsion element 120. Here, the actuating element 124 comprises a sliding element 148, which is a fixed part of the actuating element 124 and which, therefore, moves together with the actuating element 124 along the actuation path directed towards the beveled edge 146 of the drive element 118. In particular, Figure 3C discloses that the sliding element 148 is attached at the actuating element 124 in a manner that will be able to slide along the beveled edge 146 after it has reached the drive element 118. While previously no torque has been exerted on the combined compression and torsion element 120, by this kind of movement of the sliding element 148 along the beveled edge 146, the drive element 118 now increasingly turns along its longitudinal axis 130. Since the second leg 134 of the combined compression and torsion element 120 is, as described above, attached to the drive element 118 through the second notch 140 of the second arbor 142, the combined compression and torsion element 120 receives an increasing torque. Figures 4A-C show the moment when the sliding element 148 has just reached the beveled edge 146 of the drive element 118 at a point where it is able to unlock the protruding edge 144 from the part of the locking device 126 forming a part of the drive element 118. In this moment, the torque which is exerted on the drive element 118, prevails the torsional stress exerted by the combined compression and torsion element 120. In addition, until now the movement of the actuating element 124 has been constantly compressing the combined compression and torsion element 120 to accomplish a tension within the combined compression and torsion element 120. Figures 5A-C display the situation after the protruding edge 144 forming a part of the drive element 118 has been released from the locking device 126 which results in a sudden accelerating movement of the drive element 118, whereby the protruding edge 144 of the drive element 118 acts as a control cam which is guided along a control track 150. The control track 150 exhibits a guide curve which is formed by specifically adapted edges and/or recesses of the housing into which the protruding edge 144 engages as control cam. This movement of the drive element 118 starts to compress a return spring 152, which had not been involved in the actuating process so far. As depicted in Figure 5A, by moving towards an exit opening 154 in the housing 112, the movement of the drive element 118 at the same time commences to trigger the lancing motion of the lancing element which will soon allow a lancet tip to exit the lancing device. As shown in Figure 5A, the drive element 118 here comprises a part which acts as a plunger 156 being adapted to convert the movement of the drive element 118 into the lancing motion by means of an impact of the plunger 156 onto the lancing element. In order to reduce a wear which is caused by the impact of the plunger 156 onto the lancing element, respective means are implemented at a side of the plunger 156 which faces the lancing element. Therefore, the side of the plunger 156 which faces the lancing element comprises a reinforced material, which particularly comprises polybutylenterephthalat (PBT), or polyethylenterephthalat (PET), or a blend thereof, polyamide (PA), or polyetheretherketone (PEEK), or is armed with a metallic assembly part, which preferably comprises titanium or high-grade steel. In addition, the side of the plunger 156 which faces the lancing element is fitted with a contour which tightly fits into the adjoining surface of the lancing element. Figure 6 exhibits the moment at which the lancing actuator 114 has driven the lancing element to a position furthest from the exit opening 154 in the housing 112. At this moment, the lancet tip, when applied to a puncture process, has already perforated a skin portion of a user. At the same time, the drive element 118 is stopped by a stop (abutment) 158 which is formed by a part of the housing and which is adapted to halt the forward movement of the drive element 118, and the return spring 152 also shows maximal tension. Figure 7 discloses the situation wherein the persistent torsional stress of the combined compression and torsion element 120 onto the drive element 118 exerts a return motion of the drive element 118 into the locking device 126 along the control track 150. In this embodiment, the movement of the drive element 118 returning into the locking device 126 is supported by a relaxation of the separate return spring 152. Along the control track 150, means (not depicted here) in form of retarding edges and retarding recesses along the housing are provided in order to retard the return motion of the drive element 118. In addition, the control track may be designed such that a part of the kinetic energy is transferred into trosional energy. For example, this may be realized via an ascending part within the rear part of the control track. Figure 8A-C display the moment at which the drive element 118, like shown in Figure 1 and 2 A-C, is again locked in the locking device 126 by means of the protruding edge 144, supported by the remaining torsional stress of the combined compression and torsion element 120. The drive element 118 is now waiting until a further triggering of the lancing device repeats the actuating and puncturing process as described herein. Figure 9A-B show a perspective view of a second embodiment of the lancing actuator 114, partially enclosed with the housing 112 which is, for illustration purposes only, predominantly removed in Figure 9A. The lancing actuator 114 is a part of the lancing device which further comprises the lancing element adapted for perforating the skin portion of the user during the puncture process. The lancing actuator 114 which is configured to drive the lancing element for sampling a body fluid, comprises the drive element 118 adapted for driving the lancing element to perform the lancing motion. The drive element 118 is guided within the housing 112 which partially also encloses the lancing actuator 114. The lancing actuator 114 further comprises the combined compression and torsion element 120 adapted to drive the lancing motion of the lancing element by the relaxing movement of the combined compression and torsion element 120. The lancing actuator 114 further comprises the combined triggering and driving device 122 which includes the actuating element 124 and the locking device 126, whereby the actuating element 124 is accessible from the outside of the housing through the operating button 128. Figure 9 displays the initial state of the actuating element 124, wherein the drive element 118 is locked in the locking device 126 under a torsional stress exerted by the combined compression and torsion element 120 being twisted in a respective manner. The combined compression and torsion element 120 is accomplished as a helical spring which comprises the longitudinal axis 130, the first end 132 and the second end 134, and is mounted in a manner that the first end 132 is fixed to the actuating element 124, while the second end 134 is fixed to the drive element 118. By this kind of mounting, the combined compression and torsion element 120 is able to receive compression, tension, and torsion. In particular, Figure 9B discloses that the drive element 118 comprises a protruding edge 144 firmly locking the protruding edge 144 of the drive element 118 during the initial state of the actuating element 124 into the locking device 126. This locking is supported by the combined compression and torsion element 120 which is kept here under a tensional stress, in particular by means of a return spring (not shown here). In contrast to the first embodiment as displayed in Figures 1-8, in the second embodiment as shown in Figures 9-12 the torsion points into the opposite direction. Consequently, the second embodiment comprises a control track 150 which is arranged, with respect to the longitudinal axis 130, on the other side of the housing 112 compared with the first embodiment. Figure 10 shows the situation after the triggering of the actuating element 124 has been affected by the operating button 128. The movement of the actuating element 124 along the actuation path from the initial state into the actuated state constantly compresses the combined compression and torsion element 120 to accomplish a tension within. As displayed in Figure 10, the sliding element 148 being part of the actuating device 124 is about to unlock the protruding edge 144 from the part of the housing 112 forming the locking device 126. The torque exerted on the drive element 118 will soon prevail the torsional stress exerted by the combined compression and torsion element 120 and release the protruding edge 144 from the locking device 126. Figure 11 exhibits the moment at which the lancing actuator 114 has driven the lancing element to the position furthest from the exit opening 154 in the housing 112 by means of an overshooting of the combined compression and torsion element 120. At the same moment, the drive element 118 is stopped by a stop (abutment) 160 which is formed by a part of the drive element 118, and the relaxation of the separate return spring (not depicted here) supports the return motion of the drive element 118 into the locking device 126. Figure 12 displays the situation during the return motion of the drive element 118 during which the drive element 118 is retarded along the control track 150 by the protruding edge 144 meeting a retarding recess 162 which forms a part of the housing 112. The protruding edge 144 is released from the retarding recess 162 by the separate compression spring (not depicted here) which drives the protruding edge 144 further along the control track 150 back to the locking device 126. By this movement of the protruding edge 144, the drive element 118 is turned in a manner that the combined compression and torsion element 120 which is firmly mounted with the drive element 118 receives a torsional stress by which torque the drive element 118 will be locked within the locking device 126 via the protruding edge 144 prior to a further triggering.
1. A lancing actuator (114) for driving a lancing element for sampling a body fluid, comprising - a drive element (118) adapted for driving the lancing element to perform a lancing motion, the drive element (118) being guided within a housing (112) of the lancing actuator (114), - a combined compression and torsion element (120) which, by a relaxing movement of the combined compression and torsion element (120), is adapted to drive the lancing motion, - a combined triggering and driving device (122) having an actuating element (124) and a locking device (126), wherein the actuating element (124) has an initial state and an actuated state and is accessible from the outside of the housing (112), wherein, in the initial state, the drive element (118) is locked in the locking device (126) under a torsional stress exerted by the combined compression and torsion element (120), wherein the combined triggering and driving device (122) is configured in a manner that, when the actuating element (124) makes a movement along an actuation path from the initial state into the actuated state, a torque is exerted on the drive element (118) which prevails the torsional stress exerted by the combined compression and torsion element (120) in a manner that the drive element (118) is released from the locking device (126), which results in a triggering of the lancing motion.
2. The lancing actuator (114) according to the preceding claim, wherein a return motion of the drive element (118) into the locking device (126) is at least partly exerted by the torsional stress of the combined compression and torsion element (120) onto the drive element (118). 3. The lancing actuator (114) according to the preceding claim, wherein means configured to retard the return motion of the drive element (118) are provided. 4. The lancing actuator (114) according to any of the preceding claims, wherein the housing (112) comprises a beveled edge (146) which is connected to the locking device (126), wherein the beveled edge (146) is configured to ease a release of the drive element (118) from the locking device (126). 5. The lancing actuator (114) according to any of the preceding claims, wherein the torque is exerted on the drive element (118) by a sliding element (148), wherein, when the actuating element (124) makes a movement along the actuation path from the initial state into the actuated state, the sliding element (148) presses against an edge of the drive element (118) or against an edge of an element which is connected to the drive element (118). 6. The lancing actuator (114) according to any of the preceding claims, wherein the combined compression and torsion element (120) comprises a longitudinal axis (130), a first end (132) and a second end (134), wherein the first end (132) is attached to the actuating element (124) and the second end (134) is attached to the drive element (118). 7. The lancing actuator (114) according to the preceding claim, wherein the first end (132) is configured as a first leg which points vertically in relation to the longitudinal axis (130), wherein the second end (134) is configured as a second leg which points vertically in relation to the longitudinal axis (130), and wherein the combined compression and torsion element (120) is twistable about the longitudinal axis (130) by a relative motion of the first leg (132) with relation to the second leg (134). 8. The lancing actuator (114) according to any of the two preceding claims, wherein the combined compression and torsion element (120) is mounted in a manner that it is movable along the longitudinal axis (130) in relation to the actuating element (124) and in relation to the drive element (118). 9. The lancing actuator (114) according to any of the preceding claims, wherein the drive (118) element comprises a plunger (156) which is adapted to convert the movement of the drive element (118) into the lancing motion by means of an impact of the plunger (156) onto the lancing element. 10. The lancing actuator (114) according to the preceding claim, wherein means are implemented at least at a side of the plunger (156) which faces the lancing element, wherein the means are configured to reduce a wear which is caused by the impact of the plunger (156) onto the lancing element. 11. The lancing actuator (114) according to the preceding claim, wherein at least the side of the plunger (156) which faces the lancing element comprises a reinforced material 12. The lancing actuator (114) according to any of the two preceding claims, wherein at least the side of the plunger (156) which faces the lancing element is armed with a metallic assembly part. 13. A lancing device, comprising the lancing actuator (114) according to any of the preceding claims, the lancing device further comprising at least one lancing element adapted for per-forating a skin portion of a user during a puncture process wherein the at least one lancing element is mechanically coupled to the lancing actuator (114) during the entire puncture process. 14. The lancing device according to the preceding claim, wherein a magazine is provided which comprises the at least one lancing element which can be successively coupled to a lancet holder. 15. A method for performing a lancing motion by operating the lancing actuator (114) according to any of the preceding claims referring to a lancing actuator (114), the method comprising the following steps: a) Triggering an actuating element (124) of the lancing actuator (124) in an initial state by means accessible from the outside of the housing (112); whereby, in the initial state, a drive element (118) is locked in a locking device (126) under a torsional stress exerted by a combined compression and torsion element (120); b) Moving the actuating element (124) along an actuation path from the initial state into an actuated state through the triggering; c) Exerting a torque on the drive element (118) and tensioning the combined compression and torsion element (120) through the moving of the actuating element (124); d) Releasing the drive element (118) from the locking device (126) through the torque which prevails the torsional stress exerted by the combined compression and torsion element (120); e) Relaxing the tensioned combined compression and torsion element (120); f) Driving the drive element (118) of the lancing actuator (114) through the relaxing of the combined compression and torsion element (120) and guiding it within the housing (112); and g) Driving a lancing element adapted for sampling a body fluid to perform a lancing motion through the driving of the drive element (118). 16. The method according to the preceding claim, wherein the torsional stress of the combined compression and torsion element (120) onto the drive element (118) exerts a return motion of the drive element (118) into the locking device (126). 17. The method according to any of the preceding claims referring to a method, wherein a sliding element (148) exerts the torque on the drive element (118), wherein, when the actuating element (124) moves along the actuation path from the initial state into the actuated state, the sliding element (148) presses against an edge of the drive element (118) or against an edge of an element which is connected to the drive element (118).
2824275
Subsea unit with cooling of electronic devices
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The inventive concept will now be described more fully hereinafter with reference to the accompanying drawings, in which exemplifying embodiments are shown. The inventive concept may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided by way of example so that this disclosure will be thorough and complete, and will fully convey the scope of the inventive concept to those skilled in the art. Like numbers refer to like elements throughout the description. Figure 1 schematically depicts a side view, with the external housing on the side cut-away, of a subsea unit for installation on the seabed. Subsea unit 1 has an external housing 3, and comprises a passive pressure compensator 5, a dielectric liquid 7 and an electronic device 9. The external housing is made of a material which has high thermal conductivity, preferably metal such as steel e.g. stainless steel. The dielectric liquid 7 fills the entire interior space of the subsea unit 1 so as to prevent the occurrence of any air gaps between the internal surface of the external housing 3 and any internal component, such as electronic device 9, contained in the subsea unit 9. The dielectric liquid 7 counteracts deformation of the external housing 3 when the subsea unit 1 is subjected to an ambient subsea pressure higher than a pressure that the external housing 3 can withstand without deformation. The dielectric liquid 7 may for example be oil or an ester, and prevents short circuit of any electronic or electric device contained in the subsea unit 1. The passive pressure compensator 5 is arranged to transmit ambient subsea pressure to the inside of the external housing 3. Thereby a pressure difference between ambient subsea pressure and pressure inside the external housing 3 may be reduced. The passive pressure compensator 5 may for example be defined by a mechanically flexible portion of the external housing 3, or a membrane such as an impermeable membrane. The interior volume of the subsea unit 1 and thus the pressure inside the external housing 3 is hence dependent of the ambient subsea pressure. The electronic device 9 is adapted to withstand the high pressures present at depths at which the subsea unit 1 is to be installed. Such devices are know in the art, and will therefore not be elaborated any further herein. Examples of electronic devices are capacitors, for example capacitors based on metalized film technology or on oil-soaked film-foil technology, and power electronic devices such as insulated gate bipolar transistor (IGBT) modules, integrated gate-commutated thyristors (IGCT), diodes, and thyristors. The electronic device 9 is arranged to be directly cooled by the external housing 3, which when installed at the seabed is subjected to cool water temperatures. Direct cooling of the electronic device 9 is achieved by arranging the electronic device 9 in thermal communication with the external housing 3. The electronic device 9 may have a different electric potential than the electric potential of the external housing 3. The electronic device 9 may for example not be provided with an electrically insulating enclosure, since such an enclosure would either have to be a pressure vessel in which atmospheric pressure is maintained, or it would have to be provided with its own pressure compensator. Hence, the electronic device 9 may have an electric potential which differs from ground potential, which is typically the electric potential of the external housing. In order to electrically insulate the electronic device 9 from the external surface 3 the subsea unit 1 comprises an electrical insulator 11 arranged between an internal surface 3a of the external housing 3 and the electronic device 9. The electrical insulator 11 may be fixed to the external housing 3. The electrical insulator 11 may thus have a surface in direct thermal communication with the external housing 3. The electronic device 9 may be attached to, and in physical contact with, the electrical insulator 11 The electrical insulator 11 is thermally conductive such that heat emitted by the electronic device 9 may be transferred to the external housing 3. Efficient passive cooling of the electronic device 9 may thereby be obtained by means of a simple mechanical construction. The electrical insulator 11 may comprise any material or compound having suitable electrical insulating and thermally conductive properties. The electrical insulator 11 may for example comprise ceramic and/or aluminium oxide. Aluminium oxide for example may have a dielectric strength of 16.9 kV/mm and thermal conductivity of 35 W/mK. According to one variation, the subsea unit 1 comprises a tension member 13 arranged to apply pressure to the electronic device 9 towards the external housing. A fixed position of the electronic device 9 can thereby be maintained relative to the external housing 3. Furthermore, a pressure distributor 15 may be arranged between the electronic device 9 and the tension member 13 to distribute the pressure applied to the electronic device 9 by the tension member 13. In case the tension member 13 is made of electrically conductive material, the pressure distributor 15 is an electrical insulator adapted to electrically insulate the electronic device 9 from the tension member 13. Figure 2a depicts a side view of an example of an arrangement 17 for mechanically fixating an electronic device 9 to the external housing 3 of subsea unit 1. The electronic device 9 is sandwiched between the electrical insulator 11 and the pressure distributor 15. The pressure distributor 15 has an end face 15a which faces the electronic device 9 and an opposite end face 15b facing the tension member 13. According to the example, the end face 15a which faces the electronic device 9 has a dimension corresponding essentially to a dimension of that end 9a of the electronic device 9 which faces the pressure distributor 15. The opposite end 15b of the pressure distributor 15, i.e. the end facing the tension member 13, is subjected to a force applied thereto by the tension member 13, as indicated by the arrows A. The tension member 13 may abut the pressure distributor 15 to thereby apply force or pressure to the pressure distributor 15. In particular, the tension member 13 and the pressure distributor 15 are arranged relative to each other in such a manner that the pressure distributor 15 is subjected to an evenly distributed pressure. By this design, the force applied to the pressure distributor 15 is transferred and distributed evenly to the electronic device 9. The electronic device 9 in turn applies a force to the electrical insulator 11 which is in direct thermal communication with the external housing 3. According to one variation, essentially the entire surface of the electronic device 9, which emits heat and faces the external housing 3 is in direct thermal connection with the electrical insulator 11. Heat emitted from this surface may thus efficiently be transmitted to the external housing 3 through the electrical insulator 11. The exemplified subsea unit 1 comprises fasteners 19 arranged to fasten the tension member 19 to the external housing 3 such that the tension member is enabled to apply a force to the pressure distributor 15 and thus to the electronic device 9, to fixate the electronic device 9 to the external housing 3. The fasteners 19 are arranged such that the tension member 13 can exert an even pressure to the pressure distributor 15. A respective fastener may for example be arranged at each corner of the electronic device if the electronic device is rectangular or essentially rectangular. In case the electronic device is elliptical, the four fasteners may be arranged in a rectangular pattern around the electrical device, or three fasteners may be arranged with each adjacent pair of fasteners at 120°angle around the electrical device, for example. It should be noted that other electronic device shapes could also be utilised. The fasteners may for example be arc-mounted bolts. Arc-mounted bolts which are welded to the external housing weaken the external housing 3 minimally, as unnecessary penetration into the external housing may be omitted. Figure 2b shows a top view of the arrangement 17. According to the example, the tension member 13 has openings 13b which allow dielectric fluid 7 to flow therethrough to provide cooling by means of for example natural convection of the dielectric fluid 7, in addition to the direct cooling provided by means of the external housing 3.One realisation of the openings 13b is shown in the example in Figure 3b in which the tension member 13 has a frame and a pressure exerting portion 13a, which is X-shaped. Dielectric fluid 7 is thus able to flow between the legs of the pressure exerting portion 13a. It should be noted that other tension member shapes are also possible and that the one described above merely constitutes one example. Advantageously, the arrangement 17 and its variations presented herein enable the creation of an electronic device assembly 21. Such an electronic device assembly 21 is shown in Figure 3a. A plurality of electronic devices 9 are arranged to form an electronic device assembly, which electronic devices 9 together may form part of for example a power converter or a frequency converter. The electronic devices 9 may for example be arranged in rows and columns to form a grid pattern, as shown in Figure 3b. Each electronic device 9 is associated with an electrical insulator 11, a pressure distributor 15 and a tension member 13, as described above with reference to Figs 1-2b. Each electronic device 9 is thus directly cooled by water w via the external housing 3 through an electrical insulator 11, as illustrated by the arrows in Figure 3b. The entire electronic device assembly 21 may hence be fixed to the internal surface 3a of the external housing 3. In particular, this grid formation with a plurality of electronic devices in close proximity, generating a large amount of heat, may be realised due to the efficient cooling through the relatively thin external housing 3 of subsea unit 1 which is adapted to be pressurised to ambient subsea pressure. Figure 3b further illustrates the electronic device assembly 21 as seen in a top view perspective. To further simplify the construction of the electronic device assembly 21, the tension members 13 may form a single piece tension arrangement arranged to provide pressure to each pressure distributor 15 and thus each electronic device 9 by means of a respective tension member 13. The tension members 15 are mechanically coupled forming the integral single piece tension arrangement. Such a tension arrangement may be provided with a plurality of openings, for example one for each electronic device, to allow dielectric fluid to flow through the single piece tension arrangement for additional cooling of the electronic devices 9. A tension arrangement provides a simplified assembly of the electronic device assembly 21. Figure 3c depicts another example of an electronic device assembly. Also according to this example, a plurality of electronic devices are arranged to form the electronic device assembly. Instead of an integral single piece tension arrangement as in the previous example, the exemplified electronic device assembly comprises a plurality of individual tension members 13, each individual tension member 13 being arranged to provide pressure, via a respective pressure distributor, to a respective electronic device. It is envisaged that the subsea unit presented herein finds applications within the oil and gas industry for example for subsea HVDC/HVAC power provision systems, i.e. power transmission and power distribution systems, as well as offshore power generation such as wind energy, tidal energy, wave energy, and ocean current energy.
1. A subsea unit (1) comprising: an external housing (3), a passive pressure compensator (5) arranged to reduce a pressure difference between ambient subsea pressure and pressure inside the external housing (3), a dielectric liquid (7) for counteracting deformation of the external housing (3), an electronic device (9), and an electrical insulator (11) which is thermally conductive and arranged between an internal surface (3a) of the external housing (3) and the electronic device (9), wherein the electronic device (9) is in thermal and electrical connection with the electrical insulator (11), and wherein the electrical insulator (11) is arranged to transfer heat from the electronic device (9) to the external housing (3).
2. The subsea unit (1) as claimed in claim 1, comprising a tension member (13) arranged to apply pressure to the electronic device (9) towards the external housing (3) to maintain a fixed position of the electronic device (9) relative to the external housing (3). 3. The subsea unit (1) as claimed in claim 2, comprising a pressure distributor (15) arranged between the electronic device (9) and the tension member (13) to distribute the pressure applied to the electronic device (9) by the tension member (13). 4. The subsea unit (1) as claimed in claim 3, wherein the pressure distributor (15) has an end face (15a) which faces the electronic device (9), wherein the end face (15a) has a dimension corresponding essentially to a dimension of that end of the electronic device (9) which faces the pressure distributor (15). 5. The subsea unit (1) as claimed in claim 3 or 4, wherein the pressure distributor (15) is adapted to electrically insulate the electronic device (9) from the tension member (13). 6. The subsea unit (1) as claimed in claim any of claims 2-5, comprising fasteners (19) arranged to fasten the tension member (13) to the external housing (3). 7. The subsea unit (1) as claimed in claim 6, wherein the fasteners (19) are arranged in such a manner relative to the electronic device (9) that pressure applied by the tension member (13) is evenly distributed to the electronic device (9). 8. The subsea unit (1) as claimed in claim 6 or 7, wherein the fasteners (19) are arc-mounted bolts. 9. The subsea unit (1) as claimed in any of the preceding claims, wherein the electronic device (9) is a power electronic device. 10. The subsea unit (1) as claimed in any of the preceding claims, wherein the electrical insulator (11) is attached to the internal surface (3a) of the external housing (3). 11. The subsea unit (1) as claimed in any of claims 3-10, comprising a plurality of electronic devices (9), a plurality of electrical insulators (11), a plurality of tension members (13) and a plurality of pressure distributors (15), wherein each electronic device (9) is associated with a respective electrical insulator (11), tension member (13) and pressure distributor (15). 12. The subsea unit (1) as claimed in claim 11, wherein the plurality of electronic devices (9) are arranged in a grid pattern and the plurality of tension members (13) form a tension arrangement. 13. The subsea unit (1) as claimed in claim 11 or 12, wherein the plurality of electronic devices (9) form part of a power converter or frequency converter. 14. A subsea power provision system comprising a subsea unit (1) as claimed in any of claims 1-13. 15. The subsea power provision system as claimed in claim 14, wherein the subsea power provision system is a power transmission system or a power distribution system.
2824027
Ship's propulsion unit
1
Based on the following detailed description of an invention, generate the patent claims. There should be 16 claims in total. The first, independent claim is given and the remaining 15 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In the following the ship's propulsion unit and some preferred embodiments and variants of the ship's propulsion unit will be described in greater detail. The propulsion unit (not marked with a reference numeral) comprises a shell structure 1 arranged below a hull 2 of the ship (not marked with a reference numeral) and immersible at least partly in water (not marked with a reference numeral) so that the shell structure 1 is at least partly surrounded by water. The propulsion unit comprises an electric motor 3 for rotating a propeller 23 outside the shell structure 1. The electric motor 3 has a stator 4 and a rotor 5 for rotating in the stator 4. In the figures a propeller shaft 24 is connected to the rotor 5 for rotating with the rotor 5 as the rotor 5 rotates in the stator 4. In the figures the propeller shaft 24 is rotatable arranged in the shell structure by means of bearing arrangements 25. In the figures the propeller 23 is attached to the propeller shaft 24 The electric motor 3 is arranged in a motor housing section 6 of the shell structure 1 so that the stator 4 of the electric motor 3 is form-fitted into a cylindrical section 7 of the motor housing section 6 of the shell structure 1. The cylindrical section 7 has a cylindrical outer surface 8. The shell structure 1 includes a support section 26 having a lower end connected to the motor housing section 6 of the shell structure 1 and an upper end connected to the hull 2 of the ship. The upper end of the support section 26 may be connected to the hull 2 of the ship by means of a turning arrangement (not shown in the drawings) for turning the propulsion unit with respect to the hull 2 of the ship. The propulsion unit comprises a closed liquid cooling system 9 having an inner space 10 containing liquid 11. The inner space 10 of the closed liquid cooling system 9 is partly limited by the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 so that liquid 11 in the closed liquid cooling system 9 is in direct contact with the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 for exchanging thermal energy between the electric motor 3 arranged in the cylindrical section 7 of the motor housing section 6 and liquid in the inner space 10 of the closed liquid cooling system 9 via the cylindrical outer wall 7 of the motor housing section 6 of the shell structure 1 of the propulsion unit. The inner space 10 of the closed liquid cooling system 9 is additionally partly limited by the shell structure 1 of the propulsion unit so that liquid in the inner space 10 of the closed liquid cooling system 9 is in direct contact with the shell structure 1 of the propulsion unit for exchanging thermal energy between liquid in the inner space 10 of the closed liquid cooling system 9 and water surrounding the propulsion unit via the shell structure 1 of the propulsion unit. The closed liquid cooling system 9 may be in the form of a closed liquid tank 12 forming said inner space 10 containing liquid, as in the first embodiment of the propulsion unit shown in figures 1 to 5. In a such embodiments of the propulsion unit the inner space 10 the closed liquid tank 12 is partly limited by a part 13 of the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 so that liquid in the inner space 10 of the closed liquid tank is in direct contact with said part the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 for exchanging thermal energy between the electric motor 3 arranged in the cylindrical section 7 of the motor housing section 6 and liquid in the inner space 10 of the closed liquid tank 12 via said part 13 the cylindrical section 7 of the motor housing section 6 between the electric motor 3 and liquid 11 in the closed liquid tank 12. In a such embodiments of the propulsion unit the inner space 10 of the closed liquid tank 12 is additionally partly limited by the shell structure 1 of the propulsion unit so that liquid in the inner space 10 of the closed liquid tank 12 is in direct contact with the shell structure 1 for exchanging thermal energy between liquid in the inner space 10 of the closed liquid tank 12 and water surrounding the propulsion unit via the shell structure 1. The closed liquid tank 12 may be provided with circulation means (not shown in the figures) for circulating liquid in the closed liquid tank. The inner space 10 of the closed liquid tank 12 may be provided with baffles (not shown in the figures) for serving as heat exchanging elements between liquid in the inner space 10 of the closed liquid tank 12 and the support structure of the shell structure 1. The closed liquid tank 12 may be provided with projecting heat-exchanging elements 22 extending from the support section 26 of the shell structure 1 into the closed liquid tank 12 for serving as heat exchanging elements between liquid 11 in the closed liquid tank 12 and the shell structure 1 of the propulsion unit for enhancing transfer of thermal energy between liquid in the closed liquid tank 12 and water surrounding the propulsion unit, as shown in Figure 3. The closed liquid cooling system 9 may be in the form of a closed liquid circulation cooling system 14 having said inner space 10 containing liquid 11 and provided with liquid circulation means 15 for circulating liquid in the inner space 10 of the closed liquid circulation cooling system 14, as in the second embodiment of the propulsion unit illustrated in figures 6 to 11, in the third embodiment of the propulsion unit illustrated in figures 12 to 17, in the fourth embodiment of the propulsion unit illustrated in figures 18 to 23, and in the fifth embodiment of the propulsion unit illustrated in figures 24 to 29. In a such embodiments of the propulsion unit, the inner space 10 of the closed liquid circulation cooling system 14 is partly limited by a part 13 of the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 so that liquid flowing in the inner space 10 of the closed liquid circulation cooling system 14 is in direct contact with said part 13 of the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 for exchanging thermal energy between the electric motor 3 arranged in the cylindrical section 7 of the motor housing section 6 and liquid flowing in the inner space 10 of the closed liquid circulation cooling system 14 via said part 13 of the cylindrical section 7 of the motor housing section 6 between the electric motor 3 and liquid circulating in the inner space 10 of the closed liquid circulation cooling system 14. In a such embodiments of the propulsion unit, the inner space 10 of the closed liquid circulation cooling system 14 is additionally partly limited by the support section 26 of the shell structure 1 of the propulsion unit so that liquid flowing in the inner space 10 of the closed liquid circulation cooling system 14 is in direct contact with the support section 26 of the shell structure 1 for exchanging thermal energy between liquid flowing in the inner space 10 of the closed liquid circulation cooling system 14 and water surrounding the support section 26 of the shell structure 1 via the support section 26 of the shell structure 1. If the closed liquid cooling system 9 is in the form of a closed liquid circulation cooling system 14 provided with liquid circulation means 15 for circulating liquid in the inner space 10 of the closed liquid circulation cooling system 14, said part 13 of the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 partly limiting the inner space 10 of the closed liquid circulation cooling system 14 is preferably, but not necessarily, provided with partition elements 16 for creating several individual channels for liquid along said part of the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6. If the closed liquid cooling system 9 is in the form of a closed liquid circulation cooling system 14 having said inner space 10 containing liquid 11 and provided with liquid circulation means 15 for circulating liquid in the inner space 10 of the closed liquid circulation cooling system 14, the closed liquid circulation cooling system 14 may be provided with a first liquid tank 17 at a point of the closed liquid circulation cooling system 14 where the inner space 10 of the closed liquid circulation cooling system is partly limited by said part 13 of the cylindrical section 7 of the cylindrical outer surface 8 of the motor housing section 6 as in the third embodiment of the propulsion unit illustrated in figures 12 to 17 and in the fifth embodiment of the propulsion unit illustrated in figures 24 to 29. Such first liquid tank 17 has a first inner space (not marked with a reference numeral) that forms a part of the inner space 10 of the closed liquid circulation cooling system 14. Such first liquid tank 17 is in fluid communication with the closed liquid circulation cooling system 14 such that liquid flowing in the closed liquid circulation cooling system 14 flows through the first inner space of the first liquid tank 17. The first inner space of the first liquid tank 17 is partly limited by said part 13 of the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 so that liquid in the first inner space of the first liquid tank 17 is in direct contact with the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 for exchanging thermal energy between the electric motor 3 arranged in the cylindrical section 7 of the motor housing section 6 and liquid flowing in the first inner space of the first liquid tank 17 via said part 13 of the cylindrical outer surface 8 of the motor housing section 6. Such first liquid tank 17 may additionally be partly limited by the support structure 26 of the shell structure 1 so that liquid 11 in the first inner space of the first liquid tank 17 is in direct contact with the support structure 26 of the shell structure 1 for exchanging thermal energy between liquid flowing in the first inner space of the first liquid tank 17 of the closed liquid circulation cooling system 14 and water surrounding the support structure 26 of the shell structure 1 via the support structure 26 of the shell structure 1. The first inner space of such first liquid tank 17 may be provided with baffles 18 for guiding liquid through the first inner space of the first liquid tank 17 to prolong the residence time of liquid in the first inner space of the first liquid tank 17 and/or for serving as heat exchanging elements between liquid flowing through the first inner space of the first liquid tank 17 and the support structure 26 of the shell structure 1. Such first liquid tank may be provided with projecting heat-exchanging elements 22 extending from the shell structure 1 into the first liquid tank 17 for serving as heat exchanging elements between liquid flowing through the first liquid tank 17 and the shell structure 1 of the propulsion unit for enhancing transfer of thermal energy between the electric motor 3 in the motor housing section 6 and liquid in the first liquid tank 17, as shown in drawings 15 and 26. If the closed liquid cooling system 9 is in the form of a closed liquid circulation cooling system 14 having said inner space 10 containing liquid and provided with liquid circulation means 15 for circulating liquid in the inner space 10 of the closed liquid circulation cooling system 14, the closed liquid circulation cooling system 14 may be provided with a second liquid tank 19 at a point of the closed liquid circulation cooling system where the closed liquid circulation cooling system is partly limited by the support section 26 of the shell structure 1 of the propulsion unit so that the second liquid tank 19 is partly limited by said part of the support section 26 of the shell structure 1, as in the fourth embodiment of the propulsion unit illustrated in figures 18 to 23 and in the fifth embodiment of the propulsion unit illustrated in figures 24 to 29. The second liquid tank 19 has a second inner space (not marked with a reference numeral) that forms a part of the inner space 10 of the closed liquid circulation cooling system 14. The second liquid tank 19 is in fluid communication with the closed liquid circulation cooling system 14 such that liquid flowing in the closed liquid circulation cooling system 14 flows through the second inner space of the second liquid tank 19. Such second liquid tank 19 is partly limited by the support section 26 of the shell structure 1 so that liquid in the second inner space of the second liquid tank 19 is in direct contact with the support section 26 of the shell structure 1 for exchanging thermal energy between liquid flowing in the second inner space of the second liquid tank 19 of the closed liquid circulation cooling system 14 and water surrounding the support section 26 of the shell structure 1 via the support section 26 of the shell structure 1. The second inner space of such second liquid tank 19 may be provided with baffles 18 for guiding liquid through the second inner space of the second liquid tank 19 to prolong the residence time of liquid in the second inner space of the second liquid tank 19 and/or for serving as heat exchanging elements between liquid flowing through the second inner space of the second liquid tank 19 and the support structure 26 of the shell structure 1. Such second liquid tank 19 may be provided with projecting heat-exchanging elements (not shown in the drawings) extending from the support structure 26 of the shell structure 1 into the second liquid tank 19 for serving as heat exchanging elements between liquid flowing through the second liquid tank 19 and said part of the shell structure 1 of the propulsion unit for enhancing transfer of thermal energy between water surrounding the support structure 26 of the shell structure 1 and liquid in the closed liquid cooling system 9. In the propulsion unit, the lower end of the support section 26 of the shell structure 1 may connected to the motor housing section 6 of the shell structure 1 so that the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 of the shell structure 1 of the propulsion unit partly forms the outermost surface of the propulsion unit, as is the case in the embodiments shown in the drawings. Such part of the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 forming the outermost surface of the propulsion unit may be provided with projecting heat-exchanging elements 20 for enhancing transfer of thermal energy between the electric motor 3 in the motor housing section 6 and water surrounding the part of the cylindrical section 7 of the motor housing section 6 forming the outermost surface of the propulsion unit, as is the case in the embodiments shown in the drawings 4, 9, 15, 21, and 26. The propulsion unit the support section 26 of the shell structure 1 may in some embodiments be provided with a cavity 25 in fluid communication with water surrounding the shell structure 1 for allowing water surrounding the shell structure to enter the cavity 25 and to exit the cavity 25. A such embodiment is shown in figures 30 to 36. In such embodiments the closed liquid circulation cooling system 14 comprises a tube section 29 so that the inner space of the closed liquid circulation cooling system 14 is partly limited by the tube section 29. In such embodiments the tube section 29 is arranged in the cavity 25 so that an outer surface of the tube section 29 is in the cavity 25 in direct contact with water surrounding the shell structure 1. The tube section 29 may be a part of a liquid-liquid-heat exchanger for exchanging thermal energy between liquid in the inner space 10 of the closed liquid circulation cooling system 14 and water surrounding the shell structure, which liquid-liquid-heat exchanger is arranged in the cavity 25. If the support section of the shell structure is provided with a cavity 25 in fluid communication with water surrounding the shell structure 1 for allowing water surrounding the shell structure 1 to enter the cavity 25 and to exit the cavity 25, the cavity 25 may, as shown in figure 32, be at least partly closed by at least one cover 27 forming a part of the shell structure 1. A such cover 27 may be provided with at least one opening 28 for allowing water surrounding the shell structure 1 to enter the cavity 25 and to exit the cavity 25. In the propulsion unit, the cylindrical section 7 of the motor housing section 6 is preferably, but not necessarily, of a single-layer construction, as is the case in the embodiments shown in the drawings. In the propulsion unit, the part of the cylindrical outer surface 8 of the cylindrical section 7 of the motor housing section 6 in contact with liquid in the closed liquid cooling system 9 is preferably, but not necessarily, provided with projecting heat-exchanging elements 21 for enhancing transfer of thermal energy between the electric motor 3 in the motor housing section 6 and liquid in the second liquid tank, as shown in drawings 3, 4, 9, 11, 15, 17, 21, 23, 26 and 28. In the propulsion unit, the closed liquid cooling system 9 is preferably, but not necessarily, arranged fully enclosed by the shell structure 1 of the propulsion unit as is shown in the drawings. In the propulsion unit, the electric motor 3 is preferably, but not necessarily, a permanent-magnet electric motor 3.
1. A ship's propulsion unit such as a ship's azimuthing propulsion unit, wherein the propulsion unit comprising: a shell structure (1) arranged below a hull (2) of the ship and immersible at least partly in water so that the shell structure (1) is at least partly surrounded by water, and: an electric motor (3) for rotating a propeller (23) outside the shell structure (1),: wherein the electric motor (3) having a stator and a rotor (5) for rotating in the stator (4), wherein the electric motor (3) is arranged in a motor housing section (6) of the shell structure (1) so that the stator (4) of the electric motor (3) is form-fitted into a cylindrical section (7) of the motor housing section (6) of the shell structure (1),: wherein the cylindrical section (7) having a cylindrical outer surface (8), and: wherein the shell structure (1) includes a support section having a lower end connected to the motor housing section (6) of the shell structure (1) and an upper end connected to the hull (2) of the ship,: characterized: by a closed liquid cooling system (9) having an inner space (10) containing liquid,: by the inner space (10) of the closed liquid cooling system (9) being partly limited by the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) so that liquid in the inner space (10) of the closed liquid cooling system (9) is in direct contact with the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) for exchanging thermal energy between the electric motor (3) arranged in the cylindrical section (7) of the motor housing section (6) and liquid in the inner space (10) of the closed liquid cooling system (9) via the cylindrical section (7) of the motor housing section (6), and: by the inner space (10) of the closed liquid cooling system (9) being partly limited by the shell structure (1) of the propulsion unit so that liquid in the inner space (10) of the closed liquid cooling system (9) is in direct contact with the shell structure (1) of the propulsion unit for exchanging thermal energy between liquid in the inner space (10) of the closed liquid cooling system (9) and water surrounding the propulsion unit via the shell structure (1) of the propulsion unit.
2. The propulsion unit according to claim 1, characterized: by the closed liquid cooling system (9) being in the form of a closed liquid tank (12) forming said inner space (10) containing liquid,: by the inner space (10) of the the closed liquid tank (12) being partly limited by a part (13) of the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) so that liquid in the inner space (10) of the closed liquid tank (12) is in direct contact with said part (13) the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) for exchanging thermal energy between the electric motor (3) arranged in the cylindrical section (7) of the motor housing section (6) and liquid in the inner space (10) of the closed liquid tank (12) via said part (13) of the cylindrical section (7) of the motor housing section (6) between the electric motor (3) and liquid in the inner space (10) of the closed liquid tank (12), and: by the inner space (10) of the closed liquid tank (12) being partly limited by the shell structure (1) of the propulsion unit so that liquid in the inner space (10) of the closed liquid tank (12) is in direct contact with the shell structure (1) for exchanging thermal energy between liquid in the inner space (10) of the closed liquid tank (12) and water surrounding the shell structure (1) via the shell structure (1). 3. The propulsion unit according to claim 1, characterized: by the closed liquid cooling system (9) is in the form of a closed liquid circulation cooling system (14) having said inner space (10) containing liquid and provided with liquid circulation means (15) for circulating liquid in the inner space (10) of the closed liquid circulation cooling system (14),: by the inner space (10) of the closed liquid circulation cooling system (14) being partly limited by a part (13) of the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) so that liquid flowing in the inner space (10) of the closed liquid circulation cooling system (14) is in direct contact with said part of the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) for exchanging thermal energy between the electric motor (3) arranged in the cylindrical section (7) of the motor housing section (6) and liquid flowing in the inner space (10) of the closed liquid circulation cooling system (14) via said part (13) of the cylindrical section (7) of the motor housing section (6) between the electric motor (3) and liquid circulating in the inner space (10) of the closed liquid circulation cooling system (14), and: by the inner space (10) of the closed liquid circulation cooling system (14) being additionally partly limited by the support section (26) of the shell structure (1) of the propulsion unit so that liquid flowing in the inner space (10) of the closed liquid circulation cooling system (14) is in direct contact with the support section (26) of the shell structure (1) for exchanging thermal energy between liquid flowing in the inner space (10) of the closed liquid circulation cooling system (14) and water surrounding the support section (26) of the shell structure (1) via the support section (26) of the shell structure (1). 4. The propulsion unit according to claim 3, characterized by said part (13) of the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) in contact with liquid in the inner space (10) of the closed liquid circulation cooling system (14) being provided with partition elements (16) for creating several individual flows of liquid along said part (13) of the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6). 5. The propulsion unit according to claim 3 or 4, characterized: by the closed liquid circulation cooling system (14) is provided with a first liquid tank (17) at a point of the closed liquid circulation cooling system (14) where the inner space (10) of the closed liquid circulation cooling system (14) is partly limited by said part (13) of the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6),: by the first liquid tank (17) having a first inner space that forms a part of the inner space (10) of the closed liquid circulation cooling system (14),: by the first liquid tank (17) being in fluid communication with the closed liquid circulation cooling system (14) such that liquid flowing in the closed liquid circulation cooling system (14) flows through the first inner space of the first liquid tank (17), and: by the first inner space of the first liquid tank (17) being partly limited by said part of the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) so that liquid in a first inner space of the first liquid tank (17) is in direct contact with the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) for exchanging thermal energy between the electric motor (3) arranged in the motor housing section (6) and liquid flowing in the first inner space of the first liquid tank (17) via said part of the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6). 6. The propulsion unit according to claim 5, characterized by first liquid tank (17) being additionally partly limited by the support structure (26) of the shell structure (1) so that liquid in the first inner space of the first liquid tank (17) is additionally in direct contact with the support structure (26) of the shell structure (1) for exchanging thermal energy between liquid flowing in the first inner space of the first liquid tank (17) of the closed liquid circulation cooling system (14) and water surrounding the support structure (26) of the shell structure (1) via the support structure (26) of the shell structure (1). 7. The propulsion unit according to any of the claims 3 to 6, characterized: by the closed liquid circulation cooling system (14) is provided with a second liquid tank (19) at a point of the closed liquid circulation cooling system (14) where the closed liquid circulation cooling system (14) is partly limited by the support section (26) of the shell structure (1) so that the second liquid tank (19) is partly limited by the support section (26) of the shell structure (1),: by the second liquid tank (19) having a second inner space that forms a part of the inner space (10) of the closed liquid circulation cooling system (14),: by the second liquid tank (19) being in fluid communication with the closed liquid circulation cooling system (14) such that liquid flowing in the closed liquid circulation cooling system (14) flows through the second inner space of the second liquid tank (19), and: by the second liquid tank (19) being partly limited by the support section (26) of the shell structure (1) so that liquid in the second inner space of the second liquid tank (19) is in direct contact with the support section (26) of the shell structure (1) for exchanging thermal energy between liquid flowing in the second inner space of the second liquid tank (19) and water surrounding the support section (26) of the shell structure (1) via the support section (26) of the shell structure (1). 8. The propulsion unit according to any of the claims 3 to 7, characterized: by the support section (26) of the shell structure (1) being provided with a cavity (25) in fluid communication with water surrounding the shell structure (1) for allowing water surrounding the shell structure (1) to enter the cavity (25) and to exit the cavity (25),: by the closed liquid circulation cooling system (14) comprising a tube section (29),: by the inner space of the closed liquid circulation cooling system (14) being partly limited by the tube section (29), and: by the tube section (29) being arranged in the cavity (25) so that an outer surface of the tube section (29) is in the cavity (25) in direct contact with water surrounding the shell structure (1). 9. The propulsion unit according to claim 8, characterized: by the tube section (29) being a part of a liquid-liquid-heat exchanger for exchanging thermal energy between liquid in the inner space (10) of the closed liquid circulation cooling system (14) and water surrounding the shell structure (1), and: by said liquid-liquid-heat exchanger being arranged in the cavity (25). 10. The propulsion unit according to claim 8 or 9, characterized: by the cavity (25) being at least partly closed by at least one cover (27) forming a part of the shell structure (1). 11. The propulsion unit according to any of the claims 1 to 10, characterized by the lower end of the support section (26) of the shell structure (1) being connected to the motor housing section (6) of the shell structure (1) so that the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) of the shell structure (1) of the propulsion unit partly forms the outermost surface of the propulsion unit. 12. The propulsion unit according to claim 11, characterized by the part of the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) forming the outermost surface of the propulsion unit being provided with projecting heat-exchanging elements (20) for enhancing transfer of thermal energy between the electric motor (3) in the motor housing section (6) and water surrounding the part of the cylindrical outer surface (8) of the cylindrical section (7) of the motor housing section (6) forming the outermost surface of the propulsion unit. 13. The propulsion unit according to any of the claims 1 to 12, characterized by the cylindrical section (7) of the motor housing section (6) being of a single-layer construction 14. The propulsion unit according to any of the claims 1 to 13, characterized by the part (13) of the cylindrical section (7) of the cylindrical outer surface (8) of the motor housing section (6) in contact with liquid in the closed liquid cooling system (9) being provided with projecting heat-exchanging elements (21) for enhancing transfer of thermal energy between the electric motor (3) in the motor housing section (6) and liquid in the closed liquid cooling system (9). 15. The propulsion unit according to any of the claims 1 to 14, characterized by the closed liquid cooling system (9) being arranged fully enclosed by the shell structure (1) of the propulsion unit. 16. The propulsion unit according to any of the claims 1 to 15, characterized by the electric motor (3) being a permanent-magnet electric motor (3).
2824028
Ship's propulsion unit
1
Based on the following detailed description of an invention, generate the patent claims. There should be 17 claims in total. The first, independent claim is given and the remaining 16 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figures 1 to 3 shows a ship's propulsion unit according to the prior art. Figures 4 to 20 shows examples of embodiments of the ship's propulsion units (hereinafter "propulsion unit"). The ship's propulsion unit may be a ship's azimuthing propulsion unit that is attached to the hull 2 of the ship by means of a turning arrangement (not shown in the drawings) for turing the propulsion unit with respect to the hull 2 of the ship. The propulsion unit comprises a shell structure 1 arranged below a hull 2 of the ship (not marked with a reference numeral) and immersible at least partly in water so that the shell structure 1 is at least partly surrounded by water The propulsion unit comprises an electric motor 3 for rotating a propeller axis 4. The propeller axis 4 extends to the outside of the shell structure 1. A propeller 5 is mounted on the propeller axis 4 outside the shell structure 1. The propulsion unit has a vertical longitudinal central plane A. The electric motor 3 has a stator 6 and a rotor 7 for rotating in the stator 6. The electric motor 3 is arranged in a motor housing section 8 of the shell structure 1 so that the stator 6 of the electric motor 3 is form-fitted into a cylindrical section 9 of the motor housing section 8 of the shell structure 1. The cylindrical section 9 has a cylindrical outer surface 10. The shell structure 1 includes a support section 11 having an lower end (not marked with a reference numeral) directly connected to the motor housing section 8 of the shell structure 1 and an upper end (not marked with a reference numeral) connected to the hull 2 of the ship. The propulsion unit comprises at least one supporting metal sheet 12 arranged between the support section 11 of the shell structure 1 and the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1 for providing additional support for the motor housing section 8 of the shell structure 1 at the shell structure 1 of the support section 11. Said at least one supporting metal sheet 12 has a first side surface 12 and a second side surface 14. Said at least one supporting metal sheet 12 is fastened to the support section 11 of the shell structure 1 and fastened to the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1. Water surrounding the shell structure 1 is in contact with both the first side surface 12 and the second side surface 14 of said at least one supporting metal sheet 12. Said at least one supporting metal sheet 12 has preferably, but not necessarily, a thickness between about 10 and about 40 mm, preferably between about 15 and about 25 mm, more preferably about 20 mm. In the embodiments of the propulsion unit shown in figures 4 to 20 the motor housing section 8 of the shell structure 1 has a first end 15 from which the propeller axis 4 extends to the outside of the shell structure 1 and a second end 16 at the opposite end of the motor housing section 8 of the shell structure 1. In the embodiments of the propulsion unit shown in figures 4 to 20 the lower end of the support section 11 of the shell structure 1 is directly connected to the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1 by means of a first connection section 17 of the support section 11 of the shell structure 1 at a region of the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1 that is closer to the second end 16 of the motor housing section 8 of the shell structure 1 than to the first end 15 of the motor housing section 8 of the shell structure 1. In the embodiments of the propulsion unit shown in figures 4 to 20 the support section 11 of the shell structure 1 having a lower surface 18 that is located at a distance from the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1 and that faces the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1 so that a space 19 is formed between the lower surface 18 of support section 11 of the shell structure 1 and the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1. In the embodiments of the propulsion unit shown in figures 4 to 20, the part of the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1 that is located in the space 19 is in direct contact with water surrounding the shell structure 1. The lower end of the support section 11 of the shell structure 1 may additionally to by means of a first connection section 17 be directly connected to cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1 by means of a second connection section 20 of the support section 11 of the shell structure 1 at a region of the motor housing section 8 of the shell structure 1 that is closer to the first end 15 of the motor housing section 8 of the shell structure 1 than to the second end 16 of the motor housing section 8 of the shell structure 1. In such embodiments said space 19 is formed between the first connection section 17 of the support section 11 of the shell structure 1 and the second connection section 20 of the support section 11 of the shell structure 1. Such embodiments of the propulsion unit are shown in figures 4 to 13. In the propulsion unit comprises a space 19 between the lower surface 18 of support section 11 of the shell structure 1 and the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1, the propulsion unit may, as shown in the alternative configuration of the second embodiment shown in figure 10, in the third embodiment shown in figures 11 to 13, and in the fourth embodiment shown in figures 14 to 17, comprise at least one supporting metal sheet 12 forming a closing structure 21 arranged to partly close said space 19 so that water surrounding the shell structure 1 can enter the space 19 between and so that water can exit the space 19 between. Said at least one supporting metal sheet 12 forming a closing structure 21 arranged to partly close said space 19 may form a vertical extension of the support structure, which vertical extension extends between the support structure of the shell structure 1 and the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1. Said at least one supporting metal sheet 12 may be arranged to partly close said space 19 so that a forward opening 22 forming an inlet and/or an outlet for water is formed and so that a rear opening 23 forming an outlet and/or an inlet for water is formed. Supporting metal sheet 12 forming closing structure 21s arranged to partly close said space 19 between may be arranged symmetrically at both sides of the space 19 with respect to the vertical longitudinal central plane A of the propulsion unit. The propulsion unit may, as in the alternative configuration of the second embodiment shown in figure 10 and in the third embodiment shown in figures 11 to 13, the propulsion unit comprise at least one supporting metal sheet 12 forming a closing structure 21 arranged to partly close a space 19 between the first connection section 17 and the second connection section 20 so that water surrounding the shell structure 1 can enter the space 19 between the first connection section 17 and the second connection section 20 and so that water can exit the space 19 between the first connection section 17 and the second connection section 20. In such embodiments said at least one supporting metal sheet 12 forming a closing structure 21 arranged to partly close said space 19 between the first connection section 17 and the second connection section 20 may form a vertical extension of the support structure, which vertical extension extends between the support structure of the shell structure 1 and the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1. Said at least one supporting metal sheet 12 arranged to partly close said space 19 between the first connection section 17 and the second connection section 20 may be arranged so that a forward opening 22 forming an inlet and/or an outlet for water is formed between the first connection section 17 and said at least one supporting metal sheet 12, and so that a rear opening 23 forming an outlet and/or an inlet for water is formed between the second connection section 20 and said at least one additional support. Supporting metal sheet 12 forming closing structures 21 arranged to partly close said space 19 between the first connection section 17 and the second connection section 20 are preferably, but not necessarily, arranged symmetrically at both sides of the space 19 with respect to the vertical longitudinal central plane A of the propulsion unit. The supporting metal sheet 12 of the support section 11 of the shell structure 1 may, as in the fifth embodiment shown in figures 18 to 20, comprise at least one opening 24 for allowing water surrounding the shells structure to flow through supporting metal sheet 12 of the support section 11 of the shell structure 1. The propulsion unit comprises preferably, but not necessarily, a plurality of supporting metal sheets 12 each being arranged being fastened to the support section 11 of the shell structure 1 and fastened to the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1. Some of said plurality of supporting metal sheets 12 may extend vertically at the vertical longitudinal central plane A of the propulsion unit. Some supporting metal sheets 12 of said plurality of supporting metal sheets 12 may extend vertically at the vertical longitudinal central plane A of the propulsion unit, and some supporting metal sheets 12 of said plurality of supporting metal sheets 12 may extend vertically and transversely with respect to the vertical longitudinal central plane A of the propulsion unit. Said plurality of supporting metal sheets 12 may be arranged symmetrically with respect to the vertical longitudinal central plane A of the propulsion unit. In the propulsion unit the electric motor 3 may be a permanent-magnet electric motor. In the propulsion unit the cylindrical section 9 of the motor housing section 8 of the shell structure 1 is preferably, but not necessarily, of a single-layer form. In the propulsion unit said at least one supporting metal sheet 12 is preferably, but not necessarily, of a single-layer form. In the propulsion unit all supporting metal sheets 12 are preferably, but not necessarily, of a single-layer form. In the propulsion unit the motor housing section 8 includes preferably, but not necessarily, as shown in figures 21 to 24, a first detachable section 25 at the first end 15 of the motor housing section 8 of the shell structure 1. Such first detachable section 25 of the motor housing section 8 houses a bearing arrangement 27 for the propeller axis 4. Such first detachable section 25 of the motor housing section 8 is unattached to the support section 11 of the shell structure 1. A purpose of such first detachable section 25 is to facilitate service or replacing of the bearing arrangement 27 without having to detach the whole propulsion unit arrangement from the hull 2 of the ship or without having to detach the motor housing section 8 from the support section 11. Because of said at least one supporting metal sheet 12 between the support section 11 of the shell structure 1 and the cylindrical outer surface 10 of the motor housing section 8 of the shell structure 1, the motor housing section 8 stays attached in a secure manner at the support section 11 when such first detachable section 25 is detached. In the propulsion unit the motor housing section 8 includes preferably, but not necessarily, as shown in figures 21 to 24, a second detachable section 26 at the second end 16 of the motor housing section 8 of the shell structure 1. Such second detachable section 26 of the motor housing section 8 houses a bearing arrangement 27 for the propeller axis 4. Such second detachable section 26 of the motor housing section 8 is unattached to the support section 11 of the shell structure 1. A purpose of such second detachable section 26 is to facilitate service or replacing of the bearing arrangement 27 without having to detach the whole propulsion unit arrangement from the hull 2 of the ship or without having to detach the motor housing section 8 from the support section 11. Because of said at least one supporting metal sheet 12 between the support section 11 of the shell structure 1 and the cylindrical outer surface 10 of the motor housing section 8 of the shell structure 1, the motor housing section 8 stays attached in a secure manner at the support section 11 when such second detachable section 26 is detached. In the propulsion unit the lower end of the support section 11 is preferably, but not necessarily, directly connected to the motor housing section 8 of the shell structure 1 so that the cylindrical outer surface 10 of the cylindrical section 9 of the motor housing section 8 of the shell structure 1 partly forms the outermost surface of the propulsion unit.
1. A ship's propulsion unit such as a ship's azimuthing propulsion unit, wherein the propulsion unit comprising: a shell structure (1) arranged below a hull (2) of the ship and immersible at least partly in water so that the shell structure (1) is at least partly surrounded by water, and: an electric motor (3) for rotating a propeller axis (4), wherein the propeller axis (4) extends to the outside of the shell structure (1): a propeller (5) mounted on the propeller axis (4) outside the shell structure (1),: wherein the propulsion unit having a vertical longitudinal central plane A,: wherein the electric motor (3) having a stator (6) and a rotor (7) for rotating in the stator (6),: wherein the electric motor (3) is arranged in a motor housing section (8) of the shell structure (1) so that the stator (6) of the electric motor (3) is form-fitted into a cylindrical section (9) of the motor housing section (8) of the shell structure (1),: wherein the cylindrical section (9) having a cylindrical outer surface (10),: wherein the shell structure (1) includes a support section (11) having an lower end directly connected to the motor housing section (8) of the shell structure (1) and an upper end connected to the hull (2) of the ship, and: wherein the motor housing section (8) of the shell structure (1) having a first end (15) from which the propeller axis (4) extends to the outside of the shell structure (1) and a second end (16) at the opposite end of the motor housing section (8) of the shell structure (1),: characterized: by at least one supporting metal sheet (12) arranged between the support section (11) of the shell structure (1) and the cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1) for providing additional support for the motor housing section (8) of the shell structure (1) at the shell structure (1) of the support section (11),: by said at least one supporting metal sheet (12) having a first side surface (13) and a second side surface (14),: by said at least one supporting metal sheet (12) being fastened to the support section (11) of the shell structure (1) and fastened to the cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1), and: by water surrounding the shell structure (1) being in contact with both the first side surface (13) and the second side surface (14) of said at least one supporting metal sheet (12).
2. The propulsion unit according to claim 1, characterized: by the lower end of the support section (11) of the shell structure (1) being directly connected to the cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1) by means of a first connection section (17) of the support section (11) of the shell structure (1) at a region of the cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1) that is closer to the second end (16) of the motor housing section (8) of the shell structure (1) than to the first end (15) of the motor housing section (8) of the shell structure (1),: by the support section (11) of the shell structure (1) having a lower surface (18) that is located at a distance from the cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1) and that faces the cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1) so that a space (19) is formed between the lower surface (18) of support section (11) of the shell structure (1) and the cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1), and: by the part of the cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1) that is located in the space (19) is in direct contact with water surrounding the shell structure (1). 3. The propulsion unit according to claim 2, characterized: by the lower end of the support section (11) of the shell structure (1) being additionally directly connected to cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1) by means of a second connection section (20) of the support section (11) of the shell structure (1) at a region of the motor housing section (8) of the shell structure (1) that is closer to the first end (15) of the motor housing section (8) of the shell structure (1) than to the second end (16) of the motor housing section (8) of the shell structure (1), and: by said space (19) being formed between the first connection section (17) of the support section (11) of the shell structure (1) and the second connection section (20) of the support section (11) of the shell structure (1). 4. The propulsion unit according to claim 2 or 3, characterized: by at least one supporting metal sheet (12) forming a closing structure (21) arranged to partly close said space (19) so that water surrounding the shell structure (1) can enter the space (19) between and so that water can exit the space (19) between. 5. The propulsion unit according to claim 4, characterized: by said at least one supporting metal sheet (12) forming a closing structure (21) arranged to partly close said space (19) forming a vertical extension of the support structure, which vertical extension extends between the support structure of the shell structure (1) and the cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1). 6. The propulsion unit according to claim 4 or 5, characterized: by said at least one supporting metal sheet (12) arranged to partly close said space (19) so that a forward opening (22) forming an inlet and/or an outlet for water is formed and so that a rear opening (23) forming an outlet and/or an inlet for water is formed. 7. The propulsion unit according to any of the claims 4 to 6, characterized: by supporting metal sheet (12) forming closing structure (21)s arranged to partly close said space (19) between being arranged symmetrically at both sides of space (19) with respect to the vertical longitudinal central plane A of the propulsion unit. 8. The propulsion unit according to any of the claims 1 to 7, characterized: by a plurality of supporting metal sheets (12) each being arranged being fastened to the support section (11) of the shell structure (1) and to the cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1). 9. The propulsion unit according to claim 8, characterized: by some of said plurality of supporting metal sheets (12) extending vertically at the vertical longitudinal central plane A of the propulsion unit. 10. The propulsion unit according to claim 8 or 9, characterized: by some supporting metal sheets (12) of said plurality of supporting metal sheets (12) extending vertically at the vertical longitudinal central plane A of the propulsion unit, and: by some supporting metal sheets (12) of said plurality of supporting metal sheets (12) extending vertically and transversely with respect to the vertical longitudinal central plane A of the propulsion unit. 11. The propulsion unit according to any of the claims 8 to 10, characterized: by said plurality of supporting metal sheet (12) being arranged symmetrically with respect to the vertical longitudinal central plane A of the propulsion unit. 12. The propulsion unit according to any of the claims 1 to 11, characterized: by the electric motor (3) being a permanent-magnet electric motor. 13. The propulsion unit according to any of the claims 1 to 12, characterized: by the cylindrical section (9) of the motor housing section (8) of the shell structure (1) being of a single-layer form. 14. The propulsion unit according to any of the claims 1 to 13, characterized: by said at least one supporting metal sheet (12) being of a single-layer form 15. The propulsion unit according to any of the claims 1 to 14, characterized: by the motor housing section (8) includes a first detachable section (25) at the first end (15) of the motor housing section (8) of the shell structure (1),: by the first detachable section (25) of the motor housing section (8) housing a bearing arrangement (27) for the propeller axis (4), and: by the first detachable section (25) of the motor housing section (8) being unattached to the support section (11) of the shell structure (1). 16. The propulsion unit according to any of the claims 1 to 15, characterized: by the motor housing section (8) includes a second detachable section (26) at the second end (16) of the motor housing section (8) of the shell structure (1),: by the second detachable section (26) of the motor housing section (8) housing a bearing arrangement (27) for the propeller axis (4), and: by the second detachable section (26) of the motor housing section (8) being unattached to the support section (11) of the shell structure (1). 17. The propulsion unit according to any of the claims 1 to 16, characterized: by the lower end of the support section (11) being directly connected to the motor housing section (8) of the shell structure (1) so that the cylindrical outer surface (10) of the cylindrical section (9) of the motor housing section (8) of the shell structure (1) partly forms the outermost surface of the propulsion unit.
2824684
Fail-safe subsea pressure vessel comprising a vacuum interrupter
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The inventive concept will now be described more fully hereinafter with reference to the accompanying drawings, in which exemplifying embodiments are shown. The inventive concept may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided by way of example so that this disclosure will be thorough and complete, and will fully convey the scope of the inventive concept to those skilled in the art. Like numbers refer to like elements throughout the description. Figure 1 schematically illustrates an example of a subsea pressure vessel 1. The subsea pressure vessel 1 comprises a housing 3. The housing 3 is arranged to maintain a pressure within an interior of the subsea pressure vessel 1 which pressure differs from ambient pressure when the subsea pressure vessel 1 is installed subsea. An example of a suitable pressure within the subsea pressure vessel 1 is 1 bar for normal operating purposes. The subsea pressure vessel 1 may advantageously be made of material with high mechanical withstand strength, for example metal such as steel. The subsea pressure vessel 1 is typically filled with a dielectric fluid, for example air, helium, nitrogen or a mixture of gasses. The subsea pressure vessel 1 comprises a vacuum interrupter 5 arranged within the housing 3. The vacuum interrupter 5 has an enclosure 7 for maintaining a vacuum within the enclosure 7. The vacuum interrupter 5 comprises a fixed terminal 9 having a fixed contact 9a, and a movable terminal 11 having a movable contact 11a. The moveable terminal 11 and the movable contact 11a are however fixed relative to each other, and are thus arranged to move simultaneously. The fixed terminal 9 and the movable terminal 11 are aligned and extend longitudinally along a common axis A. The movable terminal 11 extends from the enclosure 7, and is operable to move with a rectilinear motion along the common axis A between an open state in which the fixed contact 9a and the movable contact 11a are electrically insulated from each other and a closed state in which the fixed contact 9a and the movable contact 11a are electrically connected. When the movable terminal 11 is in the open state, the fixed contact 9a and the movable contact 11a are separated by vacuum. Thus the vacuum interrupter 5 does not conduct current in the open state. The vacuum interrupter 5 is arranged to conduct current when the movable terminal 11 is in the closed state. The movable terminal 11 is operable by means of an actuator 21. The subsea pressure vessel 1 further comprises a movement counteracting arrangement 14. The movement counteracting arrangement 14 comprises a first counteracting member 15 and a second counteracting member 17 arranged to interact with each other to counteract movement of the movable terminal 11 from the open state to the closed state when the interior of the subsea pressure vessel 1 is subjected to a pressure increase compared to a normal operating pressure in the subsea pressure vessel 1. Thus, when the pressure increases inside the subsea pressure vessel 1 and the movable terminal 11 is in the open state, the movement counteracting arrangement restricts movement of the movable terminal 11 towards the closed state. The open state may thereby be maintained even if a pressure increase has occurred, for example due to leakage in the housing 3 of the subsea pressure vessel 1. According to one variation, the movable terminal 11 is provided with an extension shaft 13. The extension shaft 13 is mechanically coupled to and electrically insulated from the movable terminal 11. The extension shaft 13 extends along the common axis A, and forms an axial extension of the movable terminal 11. According to one variation, the extension shaft 13 is provided with the first counteracting member 15. In particular, the first counteracting member 15 defines a shoulder of the extension shaft 13, which shoulder is arranged to abut the second counteracting member 17 when the subsea pressure vessel 1 is subjected to a pressure increase compared to a normal operating pressure. The first counteracting member 15 hence protrudes from the extension shaft 13 in a direction transverse to the common axis A. The first counteracting member 15 may be integral with the extension shaft 13, or it may be mounted to the extension shaft 13. In the latter case, the first counteracting member may for example be a flange. The movement counteracting arrangement 14 and its operation will now be described in more detail with reference to Figs 2a and 2b. Figure 2a depicts a close-up view of the movement counteracting arrangement 14 in Figure 1. The second counteracting member 17 has a first end portion 17a and a second end portion 17b. The first end portion 17a and the second end portion 17b are fixedly arranged relative to each other. The first end portion 17a is arranged to interact with the first counteracting member 15 to counteract movement of the movable terminal 11 when the interior of the subsea pressure vessel 1 is subjected to a pressure increase compared to a normal operating pressure. According to one variation, the first counteracting member 15 defines a surface 15a which faces the vacuum interrupter 5, and which surface 15a is arranged to abut the first end portion 17a of the second counteracting member 17 when the interior of the subsea pressure vessel 1 is subjected to a pressure increase compared to a normal operating pressure. The second counteracting member 17 comprises a second end portion 17b which defines a surface 17c which is perpendicular or essentially perpendicular to the common axis A. The second end portion 17b has a dimension that is greater than a cross-sectional dimension of the movable terminal 11 and/or the movable contact 11a, whichever of the latter two has the largest cross-sectional dimension. The first end portion 17a of the second counteracting member 17 is arranged between the first counteracting member 15 and the vacuum interrupter 5 such that movement of the first counteracting member 15, and thus the movable terminal 11, towards the vacuum interrupter 5 may be restricted when the interior of the subsea pressure vessel 1 is subjected to a pressure increase compared to a normal operating pressure. The first end portion 17a may be slidably arranged relative to the extension shaft 13. The first end portion 17a may for example be arranged to enclose or partially enclose the extension shaft in a slidable manner. The first end portion may thus be slidably arranged around the extension shaft. Under normal operating pressure, the second counteracting member 17 lies essentially still and motionless, and the first end portion 17a of the second counteracting member 17 is axially displaced relative to the first counteracting member 15. The first end portion 17a is thus arranged at a distance from the first counteracting member 15. The first counteracting member 15 and thus the movable terminal 11 may thereby move rectilinearly along the common axis A a distance corresponding to the axial distance between the first end portion 17a and the first counteracting member 15 in case the movable terminal 11 is to be set from the open state to the closed state by means of the actuator 21 when the interior of the subsea pressure vessel 1 is subjected to normal operating pressure. According to one realisation of the second counteracting member, the second counteracting member may be generally L-shaped with the first end portion forming a leg of the L directed towards the extension shaft, perpendicular to the common axis A, and the second end portion forms the other leg of the L, which extends parallel with the common axis A in a direction away from the vacuum interrupter. However, other shapes of the second counteracting member are also envisaged as would be apparent to the skilled person reading this disclosure. In general, the surface defined by the second end portion of the second counteracting member should be essentially perpendicular to the common axis, and the first end portion should be able to abut the first counteracting portion to prevent movement of the extension shaft from the open state to the closed state when the internal pressure in the subsea pressure vessel increases compared to the normal operating pressure. The movement counteracting arrangement 14 comprises a chamber 19 which has an opening that is sealed by the second end portion 17b of the second counteracting member 17. The chamber 19 is thus sealed off from the interior of the subsea pressure vessel 1. The chamber 19 is pressurised to the normal operating pressure of the subsea pressure vessel 1 when the interior of the subsea pressure vessel 1 is subjected to normal operating pressure. The chamber 19 may for example be filled with a gas, such as air. The second end portion 17b of the second counteracting member 17 is arranged to move in parallel with the common axis A in a direction from the closed state to the open state, into the chamber 19 when the interior of the subsea pressure vessel 1 is subjected to a pressure increase compared to a normal operating pressure. The second end portion 17b may thus be seen as a piston which is movable in the chamber 19. Under normal operating pressure, the chamber 19 has a first volume V1 defined by the walls of the chamber 19 and the second end portion 17b of the second counteracting member 17 which seals the chamber 19. Figure 2a shows a situation in which the pressure inside the subsea pressure vessel 1 is increased compared to the normal operating pressure. The movable terminal 11 is initially in the open state. A pressure increase may for example occur due to leakage in the housing 3 of the subsea pressure vessel 1, which will result in ambient pressure fluid entering the subsea pressure vessel 1. Such fluid may for example be dielectric fluid of a subsea unit pressurised to the hydrostatic level of the sea floor, and in which the subsea pressure vessel 1 may be arranged. The subsea pressure vessel 1 has three enclosed spaces which may be subjected to different pressures. The interior of the subsea pressure vessel 5 has a first pressure P1. The interior of the chamber 19 has a second pressure P2, which under normal operating pressure in the subsea pressure vessel is equal to the first pressure P1. The interior of the vacuum interrupter 5 is subjected to a third pressure P3, a very low vacuum-creating pressure. Due to the increase in the first pressure P1 as a result of the leakage, while at the same time the third pressure P3 is maintained, the movable terminal 11 and thus the extension shaft 13 will be subject to a first force F1 which tries to bring the movable terminal 11 into its closed state. The second counteracting member 17 is arranged to move in a direction opposite to the direction defined from the open state to the closed state. In particular, since the first pressure P1 now is greater than the second pressure P2, and since the surface area, i.e. the dimension, of the second end portion 17b is greater than that of the cross-section of the movable terminal 11 and/or the movable contact 11a, the second end portion 17b will be subjected to a second force F2 which initially is greater than the first force F1. The second end portion 17b will thus be moved further into the chamber 19, compressing the fluid therein, and thus reducing the volume in the chamber 19 to a second volume V2. The second end portion 17b will move into the chamber 19 corresponding to a distance at which the second volume V2 has been reduced by such an amount that the second pressure P2, i.e. the pressure in the chamber 19 equals the first pressure P1, as shown in Figure 2b. Since the first end portion 17a and the second end portion 17b are fixedly arranged relative to each other, the first end portion 17a is moved in a direction away from the vacuum interrupter 5, to abut the first counteracting member 15 and thus restricting the movement of the movable terminal 11 from the open state to the closed state. The subsea pressure vessel 1 may beneficially be utilised as a circuit breaker in a subsea unit comprising electronic and or electrical devices and which is pressurised to the hydrostatic pressure level on the sea floor. Figure 3 schematically depicts a side view, with the external housing on the side cut-away, of a subsea unit 23 for installation on the seabed. Subsea unit 23 has an external housing 25, and comprises a passive pressure compensator 27, a dielectric liquid 29 and an electric or electronic device 31. The external housing is made of a material which has high thermal conductivity, preferably metal such as steel e.g. stainless steel. The dielectric liquid 29 fills the entire interior space of the subsea unit 23 so as to prevent the occurrence of any air gaps between the internal surface of the external housing 25 and any internal component, such as electric or electronic device 31, contained in the subsea unit 23. The dielectric liquid 29 counteracts deformation of the external housing 25 when the subsea unit 23 is subjected to an ambient subsea pressure higher than a pressure that the external housing 25 can withstand without deformation. The dielectric liquid 29 may for example be oil or an ester, and prevents short circuit of any electronic or electric device contained in the subsea unit 23. The subsea unit 23 further comprises a subsea pressure vessel 1, as previously described. The subsea pressure vessel 1 is electrically connectable to the electric or electronic device 31. The passive pressure compensator 27 is arranged to transmit ambient subsea pressure to the inside of the external housing 25. Thereby a pressure difference between ambient subsea pressure and pressure inside the external housing 25 may be reduced. The passive pressure compensator 27 may for example be defined by a mechanically flexible portion of the external housing 25, or a membrane such as an impermeable membrane. The interior volume of the subsea unit 23 and thus the pressure inside the external housing 25 is hence dependent of the ambient subsea pressure. Examples of electronic devices are capacitors, for example capacitors based on metalized film technology or on oil-soaked film-foil technology, and power electronic devices such as insulated gate bipolar transistor (IGBT) modules, integrated gate-commutated thyristors (IGCT), diodes, and thyristors, while examples of electric devices are frequency converters and transformers, which in the former case include capacitors and power electronic devices such as IGBT modules. It is envisaged that the subsea pressure vessel and subsea unit presented herein find applications within the oil and gas industry for example for subsea HVDC/HVAC power provision systems, i.e. power transmission and power distribution systems, as well as offshore power generation such as wind energy, tidal energy, wave energy, and ocean current energy.
1. A subsea pressure vessel (1) comprising: a housing (3) arranged to maintain a pressure within an interior of the subsea pressure vessel (1) which differs from ambient pressure, a vacuum interrupter (5) arranged within the housing (3), which vacuum interrupter (5) has an enclosure (7) for maintaining a vacuum within the vacuum interrupter (5), and which vacuum interrupter (5) has a fixed terminal (9) with a fixed contact (9a) and a movable terminal (11) with a movable contact (11a), wherein the movable terminal (11) is operable between an open state in which the fixed contact (9a) and the movable contact (11a) are electrically separated and a closed state in which the fixed contact (9a) and the movable contact (11a) are electrically connected, and a movement counteracting arrangement (14) comprising a first counteracting member (15) arranged to move concurrently with the movable terminal (11) between the first state and the second state, and a second counteracting member (17) arranged to apply a counteracting force to the first counteracting member (15) when the movable terminal (11) is in the open state and the interior of the subsea pressure vessel (1) is subjected to a pressure increase compared to a normal operating pressure in the subsea pressure vessel (1) to counteract movement of the movable terminal (11) from the open state to the closed state.
2. The subsea pressure vessel (1) as claimed in claim 1, wherein the second counteracting member (17) is arranged to move in a direction opposite to a direction defined from the open state to the closed state when the interior of the subsea pressure vessel (1) is subjected to a pressure increase compared to the normal operating pressure. 3. The subsea pressure vessel (1) as claimed in claim 1 or 2, wherein the second counteracting member (17) is essentially motionless when subjected to normal operating pressure. 4. The subsea pressure vessel (1) as claimed in any of the preceding claims, wherein the second counteracting member (17) is arranged to apply a force to the first counteracting member (15) greater than a force applied by the first counteracting member (15) to the second counteracting member (17) when the interior of the subsea pressure vessel (1) is subjected to a pressure increase compared to the operating normal pressure. 5. The subsea pressure vessel (1) as claimed in any of the preceding claims, wherein the second counteracting member (17) comprises a first end portion (17a) arranged to interact with the first counteracting member (15) to counteract movement of the movable terminal (11) when the interior of the subsea pressure vessel (1) is subjected to a pressure increase compared to a normal operating pressure. 6. The subsea pressure vessel (1) as claimed in claim 5, wherein the second counteracting member (17) comprises a second end portion (17b) which defines a surface (17c) perpendicular to an axis (A) defined by the movable terminal (11), wherein the second end portion (17b) has a dimension that is greater than a cross-sectional dimension of the movable terminal (11). 7. The subsea pressure vessel (1) as claimed in any of the preceding claims, comprising an extension shaft (13) that is mechanically coupled to and electrically insulated from the movable terminal (11). 8. The subsea pressure vessel (11) as claimed in claim 6, wherein the extension shaft (13) is provided with the first counteracting member (15). 9. The subsea pressure vessel (1) as claimed in claim 7, wherein the first counteracting member (15) defines a shoulder arranged to abut the second counteracting member (17) when the subsea pressure vessel (1) is subjected to a pressure increase compared to a normal operating pressure. 10. The subsea pressure vessel (1) as claimed in any of claims 5-9, wherein the second end portion (17b) of the second counteracting member (17) is arranged between the first counteracting member (15) and the vacuum interrupter (5). 11. The subsea pressure vessel (1) as claimed in any of claims 6-10, wherein the movement counteracting arrangement (14) comprises a chamber (19), wherein the second end portion (17b) of the second counteracting member (17) seals the chamber (19) and is arranged to move in parallel with the axis (A) defined by the movable terminal (11), in a direction from the closed state to the open state, into the chamber (19) when the interior of the subsea pressure vessel (11) is subjected to a pressure increase compared to a normal operating pressure. 12. The subsea pressure vessel (1) as claimed in claim 11, wherein the chamber (19) is pressurised to the normal operating pressure of the subsea pressure vessel (1) when the interior of the subsea pressure vessel is subjected to normal operating pressure. 13. The subsea pressure vessel (1) as claimed in any of claims 6-12, wherein the first end portion (17a) of the second counteracting member (17) is arranged at a distance from the first counteracting member (15) when the interior of the subsea pressure vessel (1) is subjected to normal operating pressure. 14. The subsea pressure vessel (1) as claimed in any of claims 7-13, wherein the first end portion (17a) of the second counteracting member (17) is slidingly arranged around the extension shaft (13). 15. A subsea unit (23) comprising: an external housing (25), a passive pressure compensator (27) arranged to reduce a pressure difference between ambient subsea pressure and pressure inside the external housing (25), a dielectric liquid (29) for counteracting deformation of the external housing (25), and a subsea pressure vessel (1) as claimed in any of claims 1-14.
2825008
Oil cooling configuration for subsea converter
1
Based on the following detailed description of an invention, generate the patent claims. There should be 16 claims in total. The first, independent claim is given and the remaining 15 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The invention will now be described more fully hereinafter with reference to the accompanying drawings, in which certain embodiments of the invention are shown. This invention may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided by way of example so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. Like numbers refer to like elements throughout the description Reference is now made to figures 1 to 6, which show various embodiments of the present invention. The electric converter 1 comprises a housing 2, stackable electric modules 4 and a cooling system 6. The stackable electric modules 4 are arranged in the housing 2. The stackable electric modules 4 may be MMCs as illustrated in the figures, but the electric modules 4 may not be limited to MMCs; other modules such as for example two-level converters, three-level converters or MMC variants may be used. The stackable electric modules 4 are arranged in vertical stacks 58 forming a power module also known as power electronic building block (PEBB). The electric converter 1 is thus made up of plurality of vertical stacks 58. The number of vertical stacks 58 used can vary, which means that the electric converter 1 can be equipped depending on application needs such as for example requirements of the power grid. From the figures it becomes clear that the electric converter system is modular. The stackable electric module 4 comprises a first section 54 and a second section 52 whereby the first and second section 54, 52 comprise various electric components. The first section 54 comprises an inter module bus bar portion 24, which is connected via terminals, and a semiconductor element 12 such as an insulated gate bipolar transistors (IGBT). The invention is however not limited to the use of IGBTs as semiconductor element, other semiconductor elements, such as a metal oxide semiconductor field effect transistor (MOSFET) fall within the scope of the invention. The second section 52 comprises a capacitor element 8. The capacitor element 8 can take up a lot of space, in some cases up to 80% of the volume of the stackable electric module 4. The cooling system 6 comprises a fluid loop configuration 14, a second heat exchanger 22 and a first heat exchanger 10 and baffle elements 44. The fluid loop configuration 14 comprises a first fluid stream path 16 configured to receive and guide a first fluid stream, a second fluid stream path 18 configured to receive and guide a second fluid stream and a third fluid stream path 20 configured to receive and guide a third fluid stream. The first, second and third fluid stream paths 16, 18, 20 are configured to pass the first and second sections of a vertical stack 58 of stackable electric modules 4 in series. The fluid loop configuration 14 is thus configured to have a, at least more or less, vertical apophysis or branch that corresponds at least approximately to a height of the corresponding vertical stack 58 and that passes along and through the first and second section 54, 52 of the stackable electric modules 4 as illustrated in figures 1, 3 and 5. The vertical upwards apophysis or branch of the fluid loop configuration 14 comprises the first, second and third fluid stream paths 16, 18, 20, which pass through or along the first and second section 54, 52 as shown in the figures. In the figures the fluid in the fluid loop configuration 14 circulates clockwise. A counter-clockwise circulation of the fluid is also possible and falls within the scope of the invention. The fluid that is used for cooling of the stackable electric modules 4 can be oil or any other suitable dielectric fluid such as for example liquid esters. The electric converter shown in the figures is used for subsea applications. The second heat exchanger 22 is thus used to exchange heat energy between the fluid and the sea water. The circulation of the fluid is driven by natural convection, which will now be illustrated referring to the figures, in particular to figures 1, 3 and 5. The fluid of the first, second, and third stream flows from the second heat exchanger 22, where it is cooled by heat exchange with sea water, via a fluid duct arrangement 28 to a bottom 62 part of the electric converter 1 and the housing 2, respectively. From the bottom 62 of the housing 2 the fluid flows in the first, second and third fluid stream paths in an at least more or less vertical direction upwards passing through and along the vertical stack 58 of stackable electric modules 4. During this vertical upwards flow the fluid is heated by heat absorption from the electronic and electrical components of the stackable electric modules 4, such as the semiconductor element 12, the capacitor element 8 and the inter-module bus bar portion 24. The first heat exchanger 10 is arranged in thermal connection with the semiconductor module 12. The semiconductor module 12 generates a high heat flux, which means a high amount of heat energy needs to be dissipated and the use of a first heat exchanger 10 improves the efficiency of the heat exchange between the fluid and the semiconductor element 12. The electric components of the stackable electric module 4 and the first and second heat exchanger 10, 22, drive the fluid circulation in the fluid loop configuration and the first, second, and third fluid stream paths 16, 18, 20, respectively, by natural convection. In order to improve the circulation and thus the efficiency of the cooling system 6 it is possible to use a pump (not shown) to drive the circulation. The use of a pump or pumps to drive the cooling system 6 falls within the scope of the invention. At the bottom 62 part of the housing the fluid stream is separated into the first, second and third fluid stream. Guiding means arranged in bottom 62 (not shown) may be used to divide the fluid stream in the first, second and third fluid stream. The first and second sections 54, 52 may comprise fluid ducts 46, 48, 50, whereby a plurality of fluid ducts from several stackable electric modules 4 may form the fluid stream paths as illustrated in the figures. In general the fluid ducts may be made of an electric insulating, dielectric material. The capacitor element 8 may comprise a second fluid duct 48, whereby the second fluid ducts 48 of a vertical stack 58 form at least a part of one of the vertical apophysis' or branches of the second fluid stream path 18. The semiconductor element 12 may comprise a first fluid duct 46, whereby the first fluid ducts 46 of a vertical stack 58 form at least a part of one of the vertical apophysis' or branches of the first fluid stream path 16. The inter module bus bar portion 24 may comprise a third fluid duct 50, whereby the third fluid ducts 50 of a vertical stack 58 form at least a part of one of the vertical apophysis' or branches of the third fluid stream path 20. It is possible to keep the first, second and third fluid stream paths 16, 18, 20 and thus the first, second and third fluid streams at least more or less separate all the way through the vertical upwards apophysis or branch and through the second heat exchanger 22 as illustrated in figures 5 and 6. It may also be possible to arrange the fluid stream paths and thus the fluid streams so that the first, second and third fluid streams join each other at a top 64 of the housing 2 as illustrated in figures 1 to 4. The second heat exchanger 22 is arranged on the vertical downwards apophysis or branch of the fluid circulation. The second heat exchanger 22 can comprise a plurality of tube or pipe bundles 36, 36', 36" where the fluid, such as the oil, can circulate, transfer heat to the sea water and thus cool down. Figures 1, 2, 5 and 6 illustrate an electric converter system 1 comprising a second heat exchanger 22 with at least one fluid tube bundle 36 fluidically connected to the first, second and third fluid stream paths 16, 18, 20. Figures 3 and 4 illustrate an electric converter system according to the invention, whereby a wall portion 60 of the housing 2 forms part of the second heat exchanger 22. From the wall portion 60 a plurality of second heat exchanger fins 56 protrude on an outer side of the wall portion 60 away from the wall portion 60 and the housing 2, respectively, in the installed state of the electric subsea converter 1 into the sea water, and on a inner side of the wall portion 60 into the inside of the housing 2. The dielectric cooling fluid is configured to flow through the second heat exchanger fins 56, which protrude on the inside of the housing 2 and the sea water is supposed to flow through the second heat exchanger fins 56, which protrude on the outside of the housing 2, thereby allowing an efficient heat exchange between the fluid and the sea water. The distance L as illustrated in figure 4, between the second heat exchanger fins 56 on the outer side of the housing 2 is chosen in order to avoid a build-up of sea life with resultant clogging of water flow and to generate a high enough flow of sea water so that sea life has difficulty to grow. According to one embodiment L is at least 15 mm. In the embodiment according to figures 3 and 4 the first, second and third fluid streams are united when they flow through second heat exchanger 22, as best seen in figure 4. It is however possible and falls within the scope of the invention to separate the first, second and third fluid streams with according guiding means (not shown) so that the first, second and third streams are guided separately through the second heat exchanger 22 and only united when they flow out of the second heat exchanger 22. Advantages of such a separation of the fluid streams will be discussed below in relation to the embodiment of figures 5 and 6. In case the first, second and third fluid streams are guided separately through the second heat exchanger 22 it is even possible to adapt the length of the second heat exchanger fins 56, at least on the outer side of the housing 2 to specific cooling needs, e.g. installing longer fins for the fluid streams having a higher temperature difference ΔT compared to the surrounding sea water. In the present case, most likely the fluid in the first fluid stream has the highest temperature difference ΔT to the surrounding sea water, since the semiconductor modules 12 generate a high amount of heat energy and thus a high heat flux on their surface. In the embodiments of figures 3 and 4 there is a thermal insulation wall 66 acting as a guiding sheet provided between the second heat exchanger 22 and the inside of the housing 2 to avoid the heat exchange between fluid of the second stream and fluid in the second heat exchanger 22 and in order to guide the fluid circulation of the fluid streams. The first heat exchanger 10 as best illustrated in figures 2, 4 and 6 comprises first heat exchanger fins 40 that enable an efficient heat exchange between the semiconductor module 12 and the fluid in the first fluid stream path 16. The first heat exchanger fins 40 and the space between the first heat exchanger fins 40, respectively, may form the first fluid duct 46, in which the first fluid stream may flow. The space in between the inter module bus bar portions 24 may form the third fluid duct 50. Turning to figures 1, 2, 5 and 6 which show another configuration of a second heat exchanger 22, and where the first, second and third fluid streams join each other at a top portion 64 of the housing 2. According to the embodiment of figure 1 the housing 2 comprises a first heat exchanger portion 26 that comprises the fluid duct arrangement 28. At the top 64 of the housing 2, the first, second and third fluid streams are guided as one stream into the fluid duct arrangement 28. The fluid duct arrangement 28 comprises the fluid tube bundle 36 which is exposed to the surrounding sea water. The fluid stream flows through fluid tube bundle 36 and cools down by exchanging heat energy between the sea water and the fluid via the wall of the tubes of the fluid tube bundle 36. From the fluid tube bundle 36 the fluid stream flows via a connection tube of the fluid arrangement 28 to a bottom part 62 of the housing 2 where the fluid stream is divided into first, second and third fluid streams in order to enter the first, second and third fluid ducts 46, 48, 50. The vertical upwards apophysis and vertical downwards apophysis of the fluid circulation loop or the fluid loop configuration 14 have different center of gravities A, B in order to make sure that the dielectric fluid is moved and that it circulates, driven by natural convection. As can be seen from the figures the center of gravity A, B of the vertical upwards apophysis or branch and of the vertical downwards apophysis or branch differ in all embodiments. Referring now specifically to the embodiment according to figures 5 and 6, the second heat exchanger 22 may comprise a plurality of fluid tube bundles 36, 36', 36". The first, second and third fluid streams do not join each other at a top 64 or close to a top 64 of the housing 2. The first, second and third fluid streams are kept separate at the top 62 and guided into corresponding first fluid tube 30, second fluid tube 32 and third fluid tube 34. The first, second and third fluid tubes 30, 32, 34 keep the first, second and third fluid stream separate from one another and guide them into the corresponding first fluid tube bundle 36, second fluid tube bundle 36' and third fluid tube bundle 36". Since the semiconductor modules 12 most likely generate the highest amount of heat energy, the fluid from the first stream will have the highest temperature difference ΔT compared to the surrounding sea water. The number of tubes forming the first tube bundle 36 may thus be higher than the number of tubes forming the second or third tube bundles 36', 36". The sizes of the fluid tube bundles 36, 36', 36" may thus vary and also the according heat exchanging rates, since the heat exchange surface may also vary. Using separate heat exchanger portions or fluid tube bundles 36, 36', 36" for the first, second and third streams allows to bundle fluid streams with similar temperature differences ΔT in the second heat exchanger 22 so that the efficiency of the heat energy exchange (heat exchange rate) in the second heat exchanger 22 is improved. In addition, using separate first, second and third fluid tube bundles 36, 36' 36" allows to adapt the build-up of the second heat exchanger 22 to the fluid volume per unit time contained in each of the first, second and third fluid stream. The capacitor elements 8 for instance do not require a very fast and strong cooling but the volume of second fluid stream, which cools the capacitor elements 8 and which flows through the second fluid stream path 18, is high. Other components having a comparably low heat flux during operation, such as for example gate drives, control electronics may be cooled by the second or third fluid stream. The first, second and third fluid streams may be only partly joined together, for example if the second and third stream comprise fluid with a similar temperature difference ΔT these two fluid streams may be guided together at the top part 64 of the housing 2, whereas the first fluid stream is kept separate and guided separately in the first heat exchanger 22 because of a higher or lower temperature difference ΔT. Thus the invention allows providing various solutions for the electric converter system and for the cooling system 6 of the electric converter system 1, respectively. In order to properly insulate the stackable electric modules 4 from the one another, there is a gap arranged in between each neighbouring stackable electric module 4, the gap being filled with dielectric fluid, in order to electrically insulate the stackable electric modules 4 from the other modules. Further, between each vertical stack 58 and the housing 2 of the electric converter there is a space provided, said space being configured to receive dielectric fluid for electric insulation purposes. The baffle elements 44 as illustrated in figures 1, 3 and 5 are used to regulate the fluid flow rate in the first, second and third fluid stream. The baffle elements 44, 44', 44" can be arranged at the end of the vertical upwards apophysis of the fluid loop configuration 14. One single baffle element 44 or a plurality of baffle elements 44, 44', 44", as illustrated in the figures, may be used. The baffle elements 44, 44', 44" can be configured to be automatically controlled, for example depending on parameters measured in the fluid flow or fluid loop configuration 14. One baffle element 44 may be configured to control the fluid flow in the first fluid stream, another baffle element 44' may be configured to control the fluid flow in the second fluid stream and still another baffle element 44" may be configured to control the fluid flow in the third fluid stream. Alternatively one baffle element 44 may be used for all the fluid streams. The baffle elements 44, 44', 44" may be arranged close to the bottom part 62 of the housing 2 and/or close to the top 64 of the housing 2. The baffle elements 44, 44', 44" may be grills or nets with different sizes of openings or just flaps that open and close over the first, second and third fluid stream, respectively. There may be only one baffle element 44 installed, said baffle element 44 regulating only one flow rate of the various flow streams. The number of installed baffle elements 44 may be adapted to the cooling requirements and performance of the cooling system 6. It is possible to include the control of the baffle elements 44, 44', 44" into a control cycle that measures the temperatures of the fluid in the different fluid streams and for example the surface temperature of the electric components of the stackable electric modules 4 and decides whether or not to open or close the baffle elements 44, 44', 44" to adjust the speed/flow rate of the first, second and/or third fluid stream. This could optimize the cooling of the electric converter. The baffle elements 44, 44', 44" may be arranged close to the bottom 62 and/or close to the top 64 of the housing 2.
1. Electronic converter system (1), comprising: a housing (2) configured to receive a dielectric fluid,: at least one stackable electric module (4) comprising a first section (54) and a second section (52),: a cooling system (6) comprising a second heat exchanger (22) in thermal connection with the fluid, a first fluid stream path (16) being configured to receive a first fluid stream passing through the first section (54) and the second heat exchanger, and: a second fluid stream path (18) configured to receive a second fluid stream passing through the second section (52) and the second heat exchanger, the second fluid stream having a flow rate that differs from that of the first fluid stream.
2. Electronic converter system according to claim 1, wherein the cooling system comprises a first heat exchanger (10) arranged in the first section of the at least one stackable electric module. 3. Electronic converter system (1) according to claim 1 or 2, wherein the cooling system is configured to be driven by natural convection. 4. Electronic converter system (1) according to any claims 1 to 3, wherein the first section of the at least one stackable electric module comprises a semiconductor element (12) and an inter module bus bar portion (24), and wherein the second section comprises a capacitor element (8). 5. Electronic converter system (1) according to claim 2, wherein the first heat exchanger is in thermal connection with the semiconductor element and wherein the first fluid stream is configured to cool down the first heat exchanger. 6. Electronic converter system (1) according to claim 4 and 5, wherein the second fluid stream is configured to cool down the capacitor element. 7. Electronic converter system (1) according to any of claims 4, 5 or 6, wherein the cooling system comprises a third fluid stream path (20) configured to receive a third fluid stream said third fluid stream being configured to cool down the inter module bus bar portion. 8. Electronic converter system (1) according to any of the preceding claims, wherein the electronic converter system is configured for subsea use and wherein the dielectric fluid is oil. 9. Electronic converter system (1) according to any of the preceding claims, comprising a plurality of stackable electric power modules forming vertical stacks (58) and wherein the first section and the second section are arranged in series in respect to the first and second fluid stream paths. 10. Electronic converter system (1) according to claim 9, wherein the vertical stacks of stackable electric modules are arranged side-by-side and wherein a number of vertical stacks can be chosen depending on application requirements. 11. Electronic converter system (1) according to any of the preceding claims, wherein the second heat exchanger is configured to allow exchange of heat energy between the fluid and sea water. 12. Electronic converter system (1) according to any of the preceding claims, wherein the cooling system comprises a baffle element (44) configured to regulate at least one of the flow rates of the first fluid stream path, the second fluid stream path and, the third fluid stream path. 13. Electronic converter system (1) according to any of the preceding claims, wherein the second heat exchanger comprises a fluid tube bundle (36) configured to receive the first, the second, and the third fluid stream paths and configured to transfer heat energy from the fluid to sea water. 14. Electronic converter system (1) according to claim 13, wherein the fluid tube bundle (36) comprises separate fluid tube bundles (36, 36', 36"), and wherein each of the first, second and third fluid stream paths is in fluid connection with one of the separate fluid tube bundles (36, 36', 36"). 15. Electronic converter system (1) according to any of the claims 1 to 12, wherein at least one wall portion (60) of the housing is configured to form part of the second heat exchanger, the at least one wall portion comprising second heat exchanger fins (56) protruding from an outer side of the wall portion and from an inner side of the wall portion into at least one of the first, second and third fluid stream path. 16. Electronic converter system (1) according to any of the preceding claims, wherein the first section comprises a first fluid duct (46), and wherein the second section comprises a second fluid duct (48), said fluid ducts being configured to guide the first and second fluid streams, respectively.
2825009
Electric converter with compact module arrangement for subsea applications
1
Based on the following detailed description of an invention, generate the patent claims. There should be 16 claims in total. The first, independent claim is given and the remaining 15 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The invention will now be described more fully hereinafter with reference to the accompanying drawings, in which certain embodiments of an electric converter system and electric modules are shown. This invention may, however, be embodied in many different forms of electric converter systems and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided by way of example so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. Like numbers refer to like elements throughout the description. Referring now to figures 1 to 8, which illustrate various embodiments of the present invention, an electric converter 1 comprising a housing 2, a stack 4, 4', 4" of at least two electric modules 10a, 10b a bus bar arrangement 6, a connecting mechanism 8 and a cooling system 34 is shown. The at least two electric modules 10a, 10b are arranged within the housing 2. The bus bar arrangement 6 comprises an inter module bus bar portion 12 and an intra module bus bar portion 14. The inter module bus bar portion 12 is configured to interconnect the electric modules 10a, 10b with one another. The intra module bus bar portion 14 is configured to interconnect the electric components, arranged in each of the electric modules 10a, 10b, with one another, as shown in figures 5 and 6. The connecting mechanism 8 is used and configured to connect the electric modules 10a, 10b to the inter module bus bar portion 12. The connecting mechanism 8 comprises a connecting portion 16a, 16b arranged next to the first space 20a, 20b and a complementary connecting portion 18a, 18b arranged on the inter module bus bar portion 12. The housing 2 is configured to receive a dielectric fluid, which is used by the cooling system 34 to dissipate the heat energy generated by the electric components. The housing 2 may be a tank configured to be pressurized and sealed. The embodiments illustrated in figures 1 to 3 comprise a number of stacks 4, 4', 4" and a plurality of electric modules 10a, 10a' 10b, 10b' per stack. The number of stacks 4, 4', 4" and the amount, or number, of electric modules 10a, 10b can vary and may be chosen based on specific application requirements. The electric converter system 1 illustrated in figures 1 to 8 is configured as a multilevel modular converter system meaning that the number of stacks 4, 4', 4" and the number of electric modules 10a, 10b may be changed or adapted to requirements depending on the specific application. The use of various numbers of electric modules 10a, 10b and various numbers of stacks 4, 4', 4" is thus within the scope of the present invention. Further, the electric modules 10a, 10b may be arranged in vertical stacks 4, 4', 4" as illustrated in the figures or they may be arranged in horizontal rows (not shown). Each of the electric modules 10a, 10b is stackable and comprises a first space 20a, 20b and a second space 22a, 22b. The first and second space may be arranged next to one another. It is however possible to arrange the first and second space 20a, 20b, 22a, 22b not immediately next to one another. The electric modules 10a, 10b are arranged proximate to one another. The at least two electric modules 10a, 10b may be arranged adjacent to one another with an insulation gap in-between. Proximate means that between the at least two electric modules 10a, 10b only dielectric fluid is arranged and no other electrical component. The distance between at least two electric modules 10a, 10b may be about 0.5 cm to 25 cm. The connecting portion 16 is arranged adjacent to the first space 20a, 20b. The connecting portion 16, the first space 20a, 20b and the second space 22a, 22b are arranged in series along a line which generally is straight and which generally corresponds to a longitudinal direction of the electric modules 10a, 10b. Said line may be oriented horizontally but it may also be oriented vertically or in any other direction. The connecting portion 16 is arranged on one side of the first space 20a, 20b. The second space 22a, 22b is arranged on the opposite side of said one side. When the electric modules 10a, 10b are arranged in vertical stacks 4, 4', 4" or rows, the connecting portions 16a, 16b of each electric module 10a, 10b of a vertical stack or horizontal row are arranged on a generally straight line on one side of the vertical stack or row, as illustrated in figures 1 to 3 and 5. In the case more than one vertical stacks 4, 4', 4" or rows are arranged in a housing 2, the connecting portions 16a, 16b of one vertical stack 4 are arranged such that they face the connecting portions 16a, 16b of the other vertical stack 4", as illustrated, for example, in figure 3. Such an arrangement may be called a stack pair. Rows may be arranged in a similar manner, thus forming row pairs. The connecting portions 16a, 16b of each vertical stack 4, 4', 4" are then interconnected via the inter module bus bar portion 12. An arbitrary number of vertical stacks 4, 4" or vertical stack pairs comprising a number of electric modules 10a, 10b, as illustrated in figure 3, may be arranged within the housing 2. The first and second spaces 20a, 20b, 22a, 22b are, for example, illustrated in figures 4 and 8. Each of the first and second space 20a, 20b, 22a, 22b may comprise various electric components such as valves, switches, capacitors etc. In the illustrated embodiments the first space 20a, 20b comprises a semiconductor element 24, a heat sink or heat exchanger 26, which is thermally connected with the semiconductor element 24, and a gate drive and/or control element 28, as best illustrated in figures 5 and 6. Referring still to figures 5 and 6, the second space 22a, 22b comprises a capacitor element 30 comprising a plurality of capacitors 32. The gate drive and/or control element 28 are/is used and configured to control the semiconductor element 24. The heat sink 26, or heat exchanger, comprises heat sink fins 42, as for example illustrated in figure 6. The heat sink fins 42 are configured to delimit interspaces in between the fins 42. The interspaces delimited by the heat sink fins 42 of the electric modules 10a, 10b of one stack 4, 4', 4" or row are configured to form a first fluid duct and to receive, at least partly, a first dielectric fluid stream 36 of the cooling system 34, said first fluid stream 36 flowing upwards past the semiconductor element 24 and gate drive and/or control element 28 thus cooling the first space 20a, 20b and the semiconductor element 24, respectively. In the embodiments illustrated in figures 5 and 6, the heat sink 26 is configured to take up approximately 60% of the volume of the first space 20a, 20b. The heat sink 26 is arranged to be thermally connected to the semiconductor element 24. The semiconductor element 24 is electrically connected, via an intra module bus bar portion 14, to the gate drive and/or control element 28. Preferably the heat sink 26 and the semiconductor element 24 are arranged on one side of the bus bar portion 14 leading to the capacitor element 30 and the connecting portion 16a, 16b, respectively, and the gate drive and/or control element 28 are/is arranged on the opposite side. The capacitors 32 of the capacitor element 30 are arranged next to the semiconductor element 24 and the capacitors 32 are interconnected by the intra module bus bar portions 14. The intra module bus bar portion 14 is also configured to interconnect the connecting portion 16a, 16b with the semiconductor element 24 and the capacitor element 30 of the second space 22a, 22b. The gate drive is connected to the (gate of the) IGBT module. The bus bar is connected to the collector and emitter of the IGBT module only. The power terminals of the IGBT module are thereby connected to the bus bar, whereas the control terminals/auxiliary terminals of the IGBT module are connected to the gate drive. The control electronics are connected to the gate drive. The capacitors are arranged in series and/or parallel within the capacitor element 30 in order to provide a required output voltage and/or capacitance rating, respectively, as for example illustrated in figures 5 and 6. The capacitors 32 are configured to have a longitudinal shape, such as cylindrical as illustrated in figures 5 and 6, or any other shape. The longitudinal direction of the capacitors 32 may be oriented horizontally or vertically or in any other direction, but preferably perpendicularly to a flow direction of the second fluid stream 38 of the cooling system 34, as for example illustrated in figure 5. Such an orientation allows the second dielectric fluid stream 38 to flow through the interspaces in-between the capacitors 32 and thus improves the cooling efficiency of the second dielectric fluid stream 38. The capacitors 32 are arranged distant from one another so that the fluid stream 38 may thus flow through interspaces in between the capacitors 32 to cool them down during use of the electric converter system 1. The capacitors 32 may be arranged in rows 46 and columns 44. Capacitors 32a' in one column may be interconnected by an intra module bus bar portion 14, which is then connected to an intra module bus bar portion 14' leading to the semiconductor element 24. A number of rows 46 and columns 44 of capacitors 32, in the illustrated case (as in figure 5 ) 3 by 8, thus twenty four capacitors 32 may form a first capacitor element portion 48. Another twenty four capacitors 32 may form a second capacitor element portion 50. The connection ports, where the capacitors 32 of the first and second capacitor element portions 48, 50, respectively, are connected to the intra module bus bar portion 14, are all arranged on the same side so that the connection ports of the first capacitor element portion 48 are facing, or arranged opposite, the connection ports of the second capacitor element portion 50, as for example illustrated in figure 6. Such an arrangement shortens the lengths of the intra module bus bar portion 14. It is within the scope of the invention that the first and the second capacitor element portions 48, 50 may comprise any other arrangement and number of columns 44 and rows 46 of capacitors 32. Thus any other parallel or series connection arrangement of the capacitors 32 in the capacitor element 30 falls within the scope of the present invention. The first and second capacitor elements 48, 50 of the capacitor element 30 of the second space 22a, 22b may be configured and shaped so that they fit with the first space 20a, 20b, avoiding a gap or geometrical interruption in between the first and second space 20a, 20b, 22a, 22b. The cross sections cut in a direction perpendicular to the longitudinal direction of the electric module 10a, 10b of the first space 20a, 20b and the second space 22a, 22b may generally be congruent with one another. The second space 22a, 22b is more voluminous than the first space 20a, 20b. The capacitor element 30 takes up more space than the semiconductor element 24. In the illustrated embodiments, the first space 20a, 20b takes up about 15% to 40% of the volume of the electric modules 10a, 10b. The cooling system 34 may further comprise an external heat exchanger (not shown) configured to cool the fluid of the first and second fluid stream 36, 38. The external heat exchanger may be in thermal contact with the sea water. The cooling system 34 is further configured, so that it can be adapted to various heat energy dissipation requirements. The semiconductor element 24 generates a higher heat flux than the capacitor element 30, thus the first fluid stream 36 has, most likely, a higher flow speed and a higher flow rate than the second fluid stream 38. On the other hand the second fluid stream 38 may comprise greater volume of fluid than the first stream, since the capacitor elements 30 of the electric modules 10a, 10b of a stack 4, 4', 4" take up more volume than the semiconductor element 24. The cooling system 34 may comprise additional fluid streams configured to cool down various electrical components, such as for example the intra- and inter module bus bar portions 12, 14 and/or the connecting mechanism 8. The cooling system 34 may be driven by natural convection. The connecting portion 16a, 16b and the complementary connecting portion 18a, 18b may be configured as simple plug mechanism, as for example known from electricity plugs, in order to easily mount the electric modules 10a, 10b. The dielectric fluid is used to electrically insulate the electric modules 10a, 10b from one another and to electrically insulate the electric modules 10a, 10b from the housing 2/tank. Figures 7 and 8 relate to the electrical phenomenon of stray electrical inductance. Figure 7 illustrates an electric diagram of a loop of an MMC electric module. S1 and S2 are semiconductor switches that may be connected in order to establish electric connections between the capacitor element 30, which may be a cell capacitor, and the connecting portion 16a, 16b, 16'. The hatched area illustrates schematically the area encompassed by the current in the intra module bus bar portion 14 causing stray inductance L. The stray inductance L should be kept as low as possible to minimize overvoltage in the switches S1 and S2, and to keep the switching losses low. In the embodiment shown in figure 7, the semiconductor switches S1 and S2 are generally arranged on a vertical line, one after the other, and the capacitor element 30 is arranged next to the semiconductor switches on the opposite side of the connecting portion 16a, 16b, 16'. The electrical diagram illustrated in figure 7 relates to an arrangement, where the connecting portion 16a, 16b, 16', the first space 20a, 20b and the second space 22a, 22b are arranged in series. The arrangement has however, quite an extensive electric loop, so that a relatively high stray inductance (hatched area) is generated. Figure 8 schematically illustrates the stray inductance L of an electric module 10a, 10b as shown in figures 5 and 6. It can be seen from figure 8 that the comparably slim and slender loop arrangement of figures 5 and 6 results in a small stray inductance L, as illustrated in figure 8. The stray inductance L is small and thus the influence of the stray inductance L on electrical components, especially on the semiconductor switches S1, S2, is even more reduced than in the electric circuit illustrated in figure 7. It is to be noted that the capacitor element 30 is arranged distant from the connecting portion 16a, 16b, 16', which can be connected to the inter module bus bar portion 12 leading to the adjacent electric modules 10b. In the embodiment of figure 8 the switches S1, S2 are generally arranged on a horizontal line, one after the other. The connecting portions 16a, 16b, 16' may be configured to be electrically connected to a plug or the like.
1. Electric converter system (1), comprising: a housing (2) configured to receive a dielectric fluid;: at least two electric modules (10a, 10b), each comprising a first space (20a, 20b) and a second space (22a, 22b), the first space comprising a connecting portion (16a, 16b);: a cooling system (34) configured to circulate the dielectric fluid to cool the electric modules; and: an inter module bus bar portion (12) comprising a complementary connecting portion (18a, 18b);: wherein the connecting portion (16a, 16b) is configured to be connected to the complementary connecting portion (18a, 18b) of the inter module bus bar portion, wherein the inter module bus bar portion is configured to interconnect one of the at least two electric modules with the other of the at least two electric modules, said one electric module being proximate to said other electric module;: and wherein the connecting portion, the first space and the second space of each electric module are arranged in series.
2. Electric converter system (1) according to claim 1, wherein the first space comprises a semiconductor element (24) and a heat sink (26) thermally connected to the semiconductor element. 3. Electric converter system (1) according to claim 1 or 2, wherein the second space comprises a capacitor element (30). 4. Electric converter system (1) according to any of the preceding claims, wherein the connecting portion is located on one side of the first space and wherein the second space is located and on the opposite side of the first space. 5. Electric converter system (1) according to claim 3, wherein each of the at least two electric modules comprises an intra module bus bar portion (14) configured to interconnect the capacitor element with the semiconductor element and the connecting portion. 6. Electric converter system (1) according to the preceding claims, wherein the cooling system is configured to generate a first dielectric fluid stream and a second dielectric fluid stream, said first and second fluid streams being configured to cool down the first and the second spaces, respectively, of the stacked or aligned electric modules. 7. Electric converter system (1) according to any of the preceding claims, wherein the at least two electric modules of a stack or a row of electric modules are arranged symmetrically, so that the connecting portions of the at least two electric modules are all on the same side of the stack or row. 8. Electric converter system (1) according to any of the preceding claims, comprising a plurality of electric modules forming at least two stacks or rows of electric modules, wherein the at least two stacks or rows are arranged so that the connecting portions of one stack or row are facing the connecting portions of the other stack or row. 9. Electric converter system (1) according to any of the preceding claims, the electric converter system being configured as a modular multilevel electric converter. 10. Electric converter system (1) according to claim 2, wherein the at least two electric modules are arranged in a stack and wherein the heat sink comprises heat sink fins (42) oriented so that the first dielectric fluid stream flows in a vertical direction upwards through interspaces in between the heat sink fins, when the electric converter system is in use. 11. Electric converter system (1) according to claim 3, wherein the capacitor element comprises a plurality of capacitors (32) arranged so that the second dielectric fluid stream flows in a vertical direction upwards through interspaces in between the capacitors. 12. Electric converter system (1) according to the preceding claim, wherein each of the capacitors comprises a longitudinal direction, which is oriented, at least approximately, horizontally. 13. Electric converter system (1) according to any of the two direct preceding claims, wherein the capacitors are cylindrically shaped. 14. Electric converter system (1) according to any of the three direct preceding claims, wherein the capacitors comprise connection ports on one side and wherein the capacitors are arranged so that the connection ports of capacitors arranged in one row face the connection ports of the capacitors arranged in another row. 15. Electric converter system (1) according to any of the preceding claims, wherein the at least two electric modules are electrically insulated towards one another by dielectric fluid and wherein the stack or row of at least two electric modules are insulated by dielectric fluid towards the housing. 16. Electric converter system (1) according to any of the preceding claims, wherein the converter system is configured for subsea use.
2823785
Handheld laser device for medical purposes
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In the following, the invention will be described exemplarily with reference to the enclosed figures, in which - Figure 1 shows a handheld laser device according to the invention before the removable handpiece attachment is fixed or coupled to the handpiece body; - Figure 2a and 2b show different cut views of handpiece body and handpiece attachment in coupled condition; - Figure 3 shows a schematic cut-view of the handpiece body; - Figure 4 shows again a detail of the interior of the handpiece body when cut perpendicular to the longitudinal direction thereof; - Figure 5 shows a detail of the coupling mechanism of the handpiece body; - Figure 6 shows a schematic view of a spring used in the coupling mechanism; - Figure 7 is a three-dimensional cut view of the laser device showing details of the coupling mechanism; and - Figure 8 shows a detail of Figure 7 without the handpiece attachment. Figure 1 shows a handheld device 1 in accordance with the present invention in a longitudinally-cut side view. The handheld laser device comprises a handpiece body 10, which is on one end provided with a rigid cable 20 which bundles the different supply conduits, like for example electrical supply conduits or cooling water supply conduits (not shown). The handpiece body has an essentially tubular form and comprises a focusing lens 11 at its tip end. Further, the handpiece body accommodates a laser and the necessary auxiliary equipment to operate the laser. Arranged adjacent the focusing lens 11 a prism 12 is arranged, which is adapted to cooperate with said focusing lens, to guide the laser beam in a direction essentially parallel and/or coaxial to the longitudinally extension of the handpiece 10, so that the laser beam emits out of the tip end through lens 11. The skilled person will understand that the expression "adjacent" in this context means close enough such that the desired cooperation is possible. The handpiece attachment 30 is a removable and exchangeable part made preferably from titanium or a titanium alloy. In the view of Figure 1 the attachment is not slid over the handpiece body. As one can see, the handpiece attachment has an elongated tubular interior cavity, which is adapted to coaxially receive a major portion of handpiece body 10 therein. Extending essentially parallel to the cavity 31, tubing 32 is provided to supply coolant, i.e. air and/or water, to the tip end of handpiece attachment 30. The tubing 32 exits close to the tip end of attachment 30, so that cooling fluid can be discharged onto the spot where the laser beam is focused. The attachment 30 further comprises a mirror arrangement 33 at its tip end. In the shown embodiment, the mirror arrangement 33 deflects the laser beam emitted through lens 11 essentially at a right angle to the longitudinal extension of the handheld laser device 1. In the configuration shown in Figure 1, the laser beam thus exits downwardly in the figure. According to the invention, it is possible to rotate the attachment 30 with respect to the handpiece body 10, so that it is for example possible to rotate attachment 30 by 180°, so that the deflected laser beam will exit "upside" in the orientation shown in Figure 1. Thereby, it is easily possible to for example treat the lower jaw of a patient and - upon rotating the attachment 30 by 180° - also the upper jaw, without the need for twisting the handpiece body and the rigid cable 20 attached thereto. This allows a very ergonomic operation of the device 1. Since the tubing 32 and the corresponding exit for the coolant is likewise rotated by 180° when the attachment 30 is rotated (cf. also to Figure 2b ), the coolant fluid can always be directed to the spot where the laser beam is focused without any additional readjusting of the device. As it can further be taken from the figures, the handpiece attachment 30 completely surrounds and encases a major portion (i.e. preferably more than 50 % of the length of the handpiece body, more preferably more than 60 % of the length and most preferably more than 75 %), so that the only part of the handheld laser device, which can come into contact with tissue of a patient is the removable handpiece attachment 30. Preferably, as e.g. shown in the embodiment, no electrical or electronic equipment is provided in the removable handpiece attachment, so that the whole attachment 30 is relatively inexpensive to produce, at least in relation to the handpiece body 10, and it can withstand the rough conditions necessary for a thorough sterilization. In the shown device, the handpiece attachment 30 is quickly fixed or coupled to the handpiece body by means of a latch-type fixation mechanism 40, which will be described in more detail below. Alternatively, also a bayonet fixation could be feasible. However, a latch-type fixation is preferred, since it facilitates the rotation of the attachment 30 around handpiece body 10 in coupled condition. From Figure 1, and in particular Figure 2a and 2b, one can take further that the mirror arrangement 33 is provided in the handpiece attachment such that it is arranged in the center axis of the tubular interior cavity 31. Since this center axis of the cavity 31 of the handpiece attachment is also essentially the rotational axis thereof and the handpiece attachment 30 is adapted to be rotatable relative to the handpiece body 10, it is possible to position the attachment 30 in different angular working orientations, as described above. The handpiece body 10 accommodates the laser, and in particular a laser rod 14, as for example Nd:YAG or Er:YAG. Arranged parallel to the laser rod 14, a flash lamp 13 is arranged and both are arranged together in a laser pumping chamber 17 (confer to Figure 3 and 4 ). This particular construction is generally known to the skilled person, and it is therefore refrained from a detailed explanation of the technical details thereof. In addition to the main or working laser beam provided by flash 13 and laser rod 14, optionally a pilot laser 24 is provided at the tip end of handpiece body 10. Since the working laser is preferably an IR-Laser beam, which is not visible to the human eye, the pilot laser beam emitted by pilot laser 24 emits a beam in the visible light range to allow an operator to precisely direct the main or working laser beam. Therefore, the laser beam of pilot laser 24 is likewise imaged through prism 12 and lens 11 and directed to mirror arrangement 33 to combine with the main or working (therapeutic) laser beam. In Figure 2b one can further see two spray nozzles 34 provided in close proximity to the exit of the laser beam as well as the corresponding coolant tubing 32 provided in attachment 30 and the supply conduit 16 provided in handpiece body 10, all being in fluid communication with each other. Now referring in particular to Figure 3 and 4, one can further take that the handpiece 10 comprises a coolant water channel 18 to effectively cool the inner and the outer walls of the pumping chamber 17. To this end, the handpiece 10 comprises a cooling entrance 19 and cooling exit 21. In Figure 5, a three dimensional schematic illustration of the details of the latch type fixation mechanism 40 is shown. The handpiece body 10 comprises a plurality of annular grooves 42. In the shown embodiment the handpiece body 10 has exemplarily five annular groves. In three of these annular grooves 42, sealing rings 41 are arranged. The annular grooves 42 thus serve for one the purpose to receive the sealing rings 41 therein, but also to supply coolant from the handpiece body to the handpiece attachment, irrespective of the angular or rotational orientation of handpiece attachment to handpiece body, as will be explained in more detail down below. Further, attached to handpiece body 10 is a spring element 50, which is shown in a detailed view in Figure 6. The spring element 50 is preferably a C-ring spring element as shown in the figures. As the skilled person will recognize, the spring element 50 is adapted to provide a radial spring bias, i.e. it can be compressed radial inwardly to some extend and will provide a corresponding biasing force radial outwardly. Thereby, it can latch into a corresponding annular latching recess provided on the interior wall of handpiece attachment 30. The spring element 50 is held in longitudinal direction on handpiece body 10 due to stop member 43, as can more clearly be seen in Figures 7 and 8. The shown spring element 50 is a c-spring having an inclined surface 51, a locking recess 52 and a locking ridge 53. All the surfaces are annular on the outer surface of spring element 50. As the skilled person will recognize, spring element 50 can be radially compressed to some extent, due to gap 54, to allow a releasable fixation of handpiece attachment to handpiece body. Now turning to Figure 7, one can see how handpiece attachment 30 is fixed onto handpiece body 10. Fixed in the entrance of the interior cavity of handpiece attachment 30, a latching nut 44 is provided. Referring to the enlarged detail of Figure 7, the latching nut 44 comprises an inner, annular locking recess 45 (latching recess), which is adapted to interact with the locking ridge 53 of spring element 50, and also an annular locking projection 46 which is adapted to interact with the locking recess 52 of spring 50. Latching nut 44 is a separate part to facilitate the assembly of attachment 30, but is can likewise also be integrally formed with attachment 30, i.e. the locking recess 45 and locking projection 46 could e.g. be part of the inner wall of the handpiece attachment. Upon assembly, the latching nut 44 is pushed over the inclined surface 51 of spring element 50, thereby radially pressing spring element 50 together until locking projection 46 latches behind locking ridge 53 into locking recess 52 of spring element 50. Further, spring element 50 springs back into its original shape (as shown in Figure 7 ) so that locking ridge 53 latches into the locking recess 45 of nut 44, thereby fixing handpiece attachment 30 and handpiece body 10 together. The skilled person will recognize, that this kind of fixation allows to rotate handpiece attachment 30 relative to handpiece body 10 in the assembled condition shown in Figure 7. From Figure 7 and 8 one can also derive how the coolant supply from the hand piece body 10 to the hand piece attachment 30 is realized in the preferred embodiment. In Figure 8, one can see a supply conduit 16 provided in the handpiece body 10. The supply conduit 16 exits into one of the annular grooves 42, which is not provided with a sealing ring. The removable handpiece attachment 30 comprises a tubing 32, which is in fluid communication with the annular groove 42, which opens to supply conduit 16. In other words, annular groove 42 is in fluid communication with both the conduit 16 and the tubing 32. Thus, it is possible to arrange handpiece attachment 30 in any angular relation to handpiece body 10 without interrupting the coolant supply from body 10 to attachment 30, since the annular groove 42 provided with coolant from supply conduit 16 can distribute the coolant to any point of annular groove 42. From Figures 7 and 8 one can further see how the spring element 50 is held in longitudinal direction by a corresponding shape of the handpiece body 10 and in particular by the stop member 43. The stop member 43 has an inclined surface, so that it is easily possible to slit spring element 50 over that inclination to bring it in the position shown in Figures 7 and 8.
1. Handheld laser device (1) for medical, in particular dental treatment purposes, comprising a handpiece having a handpiece body (10) accommodating a laser and a removable handpiece attachment (30),: characterized in that the handpiece attachment (30) is slidable over at least a major portion of the handpiece body (10) and whereby air and/or water is supplied from the handpiece body (10) to the handpiece attachment (30).
2. Handheld laser device according to claim 1, whereby the handpiece attachment (30) is adapted to be rotatable relative to the handpiece body, to allow at least two different angular working orientations. 3. Handheld laser device according to claim 1 or 2, comprising a ring shaped spring element (50) assigned to the handpiece body (10), in particular a c-ring spring element, offering a radial bias to provide a latch-type fixation for coupling the handpiece body (10) and the handpiece attachment (30). 4. Handheld laser device according to claim 3, wherein the ring shaped element (50) is arranged on the handpiece body and comprises an annular locking ridge (53) on its outer surface and the handpiece attachment (30) is slidable over said annular locking ridge (53) and comprises a corresponding annular locking recess (45) to interact with said annular locking ridge (53) and/or: wherein the ring shaped element (50) is arranged on the handpiece body and comprises an annular locking recess (52) on its outer surface and the handpiece attachment (30) comprises a corresponding annular locking projection (46) to interact with said annular locking recess (52). 5. Handheld laser device according to any one of the preceding claims, wherein the handpiece body (10) comprises an annular groove (42) and a supply conduit (16) for air and/or water; and the handpiece attachment (30) comprises a tubing (32); and: wherein said annular groove (42) is in fluid communication with both of said supply conduit (16) for air and/or water and said tubing (32). 6. Handheld laser device according to any one of the preceding claims, wherein the handpiece body (10) has an elongated tubular form and comprises a focusing lens (11) at its tip end adapted to interact with a mirror arrangement (33) provided in the handpiece attachment (30). 7. Handheld laser device according to claim 6, wherein the handpiece attachment (30) comprises a corresponding elongated tubular interior cavity (31) adapted to coaxially receive said major portion of the handpiece body (10) therein. 8. Handheld laser device according to claim 6 or 7, wherein the handpiece body (10) comprises a prism (12) arranged adjacent to and being adapted to cooperating with said focusing lens (11), to guide the laser beam onto said mirror arrangement (33). 9. Handheld laser device according to claim 8, wherein the mirror arrangement (33) provided in the handpiece attachment (30) is arranged in the center axis of the tubular interior cavity (31) of the handpiece attachment (30). 10. Handheld laser device according to claim 9, wherein the center axis of the tubular interior cavity (31) of the handpiece attachment (30) is a rotational axis of the handpiece attachment (30). 11. Handheld laser device according to any one of the preceding claims, wherein the handpiece attachment (30) does not comprise any electrical or electronic equipment. 12. Handheld laser device according to any one of the preceding claims, wherein the handpiece attachment (30) is adapted to be rotatable relative to the handpiece body in coupled condition of handpiece body (10) and handpiece attachment (30). 13. Handheld laser device according to any one of the preceding claims, wherein the laser comprises a laser rod (14), e.g. Nd:YAG or Er:YAG, and wherein preferably reflective coatings are applied to the end faces of the laser rod, thereby forming a laser resonator. 14. Handheld laser device according to any one of the preceding claims, wherein the optical axis of the laser is parallel to the rotational axis of the handpiece attachment. 15. Handheld laser device according to any of the preceding claims, comprising a second laser (24), namely a pilot laser emitting a laser beam in the visible light range, which pilot laser is arranged in the handpiece body (10).
2823862
Damper assembly for ventilation system
1
Based on the following detailed description of an invention, generate the patent claims. There should be 16 claims in total. The first, independent claim is given and the remaining 15 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
With reference to Figure 1 a fire damper 1 in accordance with an embodiment of the invention is shown it its open position, that is when the ventilation system is in its normal mode of operation. The fire damper 1 - also referred to as fire gas damper or flue gas damper - has a tubular damper body 2, a mounting bracket 3 and a pivotable fire damper blade 4. Either end portion of the damper body 2 is equipped with an annular seal lip 5, 6 for sealing against the inside of ventilation ducts (not shown) of the ventilation system. Hence, the damper body 2 defines an air flow path or flow channel between the ventilation ducts. The tubular body 2 also has an annular reinforcement plate 7 in connection with an annular bead 8. The damper blade 4 is pivotable about an axis A perpendicular to and intersecting the center axis of the damper body 2 as is best seen in Figure 2 illustrating the open position. Two opposite pivot means 9 and 10 secure the pivoting movement of the damper blade 4, and the rotation thereof is accomplished by a rod or shaft portion 11 journalled in the mounting bracket 3. On the inside of the damper body 2, there are two opposite, stationary pins 12, 13 which are directed towards the center axis C of the damper body 2 and which are configured to stop the damper blade 4 in the closed position (see Figs 4-5 ). In this closed position, the blade stopping pins 12, 13 are in engagement with and abutting against either side of the damper blade 4 at its periphery. Seal means 14 is provided on the periphery of the damper blade 4 in order to seal against the inner wall surface of the damper body 2 in the closed position. The seal means 14 may consist of a cloth of glass fibre or silicate material sandwiched between two sheet metal plates building up the fire damper blade 4. Alternatively, the seal means may comprise thermally swelling material, like intumescent material. In Figs 4-5 the fire damper 1 is shown in its closed position where the fire damper blade 4 closes the flow channel of the damper body 2. For better understanding of this example of how the inventive concept works, let's assume that this closed position has been triggered by a fire which has sent flue gases into the ventilation system. The sensor system (not shown) has detected the fire and/or smoke and triggered closing of the damper blade 4 by pivoting movement about the axis A. The stopping pins 12, 13 have stopped the movement of the damper blade 4 in this position and the peripheral seal means 14 of the blade 4 seals against the inside of the damper body 2. In the closed position of shown in Figure 5 and as the temperature rises due to the fire, an increasing pressure is built up on one side of the damper blade 4 which pulls the blade 4 back from the closed position (that is clockwise about the axis A in Figure 5 ). If this movement of the blade 4 towards open position continues, the sealing effect in the interface between the peripheral seal means 14 and the inner surface of the damper body 2 is lost and leakage will occur. Thanks to mechanical locking means depicted 15, 15' in the Figures leakage can be avoided in the way illustrated in Figure 6. Each locking means 15, 15' comprises a portion or tongue 16 of the duct body wall accomplished by a U-shaped slot 17 in the same. In a recess 18 of the duct body 2 there is provided a thermally swelling material 19 which is disposed radially outside the tongue 16 with respect to the center axis of the duct body 2 (see Figure 7 ). When the temperature rises in the flow channel of the duct body 2, the swelling material 19 is activated and expanded which pushes the tongue 16 to an inclined position where it abuts against one side of the damper blade 4 at its peripheral portion. Thus, the tongue 16 is bent from its idle position flush with the inner surface of the duct body 2 to an active position where the free end of the tongue 16 is configured to engage and lock the damper blade 4. In this way, the damper blade 4 is not only stopped by the stopping pins 12, 13 in this position, but also mechanically locked by the tongue 16. In other words, the fire damper 1 is equipped with a combined blade stopping and blade locking arrangement (pins 12, 13 and tongues 16). The damper blade 4 is locked between the stopping means 12, 13 and the locking means 15, 15' at two opposite locations of the periphery of the closed damper blade 4. At either side, the stopping means 12, 13 and the locking means 15, 15' are axially spaced a certain distance on the inner surface of the duct body 2 in parallel with the center axis C of the same. As can be seen in Figure 6, the damper blade 4 can be slightly tilted in the closed and locked position but still maintained between the axially spaced stopping and locking means. The peripheral seal means 14 of the damper blade 4 secures efficient sealing also in the tilted position, so that flue gas leakage is prevented. In its closed and locked position, the blade 4 cannot move and the risk of leakage in the gap between the periphery of the blade 4 and the inner wall of the damper body 2 is prevented. This means that the damper blade 4 is permanently locked. After a fire has occurred triggering a locking operation of this kind, the fire damper 1 is always removed and replaced by a new one. Although not illustrated here, there may be further blade locking means 15 arranged on the inside of the damper body 2; depending for instance on the diameter of the fire damper 1. Preferably, there are at least two locking means 15, 15' arranged in diametrically opposite position in connection with the two stopping pins 12, 13. Preferably, the thermally swelling material 19 accommodated in the recess 18 comprises an intumescent material having suitable swelling properties with respect to different temperature and fire condition which may occur. In Figure 9 there is schematically shown a fire damper 100 according to another embodiment of the invention. The main components of this alternative fire damper 100 are basically the same as described above, namely a damper body 200 and a fire damper blade 400 pivotably movable about an axis A. The damper blade 400 is shown somewhat thicker in Figure 9 and it consists of two sheet metal plates 401 and 402 between which a peripheral seal element 403 is sandwiched. The seal element 403 may consist of a cloth of glass fibre or silicate material. The fire damper 100 has blade stopping means in the shape of pins 120, 130 of the same type as in the first embodiment. However, in this second embodiment the blade locking means 150, 151 are provided at the peripheral portion of the damper blade 400. The locking means 150, 151 are disposed on either side of the blade 400 and in opposite positions. As in the previous embodiment, each locking means 150, 151 contains a thermally swelling material which - when the temperature rises due to a fire - either pushes a tongue of blade material (not shown) in locking engagement with the inner surface of the damper body 200 or is expanded directly against this surface for locking purposes. The favorable combined stopping and locking of the damper blade 400 in the closed position is achieved also with this structure. In an embodiment (not shown), there may be recesses or shoulders on the inner wall of the damper body 200 which match the expanding portion of the blade periphery.
1. A fire damper for ventilation system, comprising: a damper body defining a flow channel; and a fire damper blade movable between a first position in which the flow channel is open, and a second position in which the flow channel is closed; said fire damper blade having seal means configured to seal against the inner wall of the damper body in the closed position; and said fire damper further comprising an arrangement configured to stop and lock the fire damper blade in the closed, sealed position so that movement of the fire damper blade towards the open position is prevented.
2. A fire damper as claimed in claim 1, wherein said stopping and locking arrangement comprises a least one locking means which is arranged in the wall of the damper body and which is configured to be activated by a rise of temperature triggering a portion of the locking means to move outwards from the inner wall of the damper body into locking engagement with the closed fire damper blade. 3. A fire damper as claimed in claim 2, wherein said locking means comprises a thermally swelling material configured to move said portion of the locking means into said locking engagement with the closed fire damper blade. 4. A fire damper as claimed in claim 3, wherein the thermally swelling material is disposed in a recess of the damper body wall and covered by said portion of the locking means. 5. A fire damper as claimed in claim 4, wherein said locking portion comprises a tongue which upon activation of the thermally swelling material is configured to be bent out from the inner surface of the damper body and inwardly towards the flow channel, said tongue preferably being defined by a slotted section of the damper body wall. 6. A fire damper as claimed in any one of the claims 2-5, wherein the thermally swelling material comprises an intumescent material. 7. A fire damper as claimed in any one of claims 2-6, comprising a number of said locking means disposed around the inner periphery of the damper body at locations where the fire damper blade is closed. 8. A fire damper as claimed in claim 1, wherein the fire damper blade comprises at least one locking means configured to be activated by a rise of temperature triggering a portion of the locking means to move into locking engagement with the inner wall of the damper body or matching engagement means on said inner wall in order to lock the fire damper blade in its closed position. 9. A fire damper as claimed in claim 8, wherein the locking means comprises a thermally swelling material, preferably an intumescent material, which is configured to move said portion of the locking means into said locking engagement. 10. A fire damper as claimed in claims 8 or 9, comprising a number of said locking means around the peripheral portion of the fire damper blade. 11. A fire damper as claimed in any one of the preceding claims, wherein said stopping and locking arrangement comprises at least one stationary stopping means configured to stop the fire damper blade in the closed position. 12. A fire damper as claimed in claim 11, wherein said stationary stopping means comprises a protusion from the inner damper body wall, said protusion being directed towards the flow channel and configured to abut against and stop the fire damper blade in the closed position. 13. A fire damper a claimed in claim 10 or 11, comprising a number of said stationary stopping means disposed around the inner periphery of the damper body at locations where the fire damper blade is closed. 14. A fire damper as claimed in any one of the preceding claims, wherein said stopping and locking arrangement is configured to permanently stop and lock the fire damper blade in closed position in case of a fire in the ventilation system. 15. A fire damper as claimed in any one of the preceding claims, wherein said seal means of the fire damper blade extends around the periphery of the damper blade and is configured to seal against said inner wall surface of the damper body in said closed and locked position. 16. A ventilation system comprising at least one fire damper as claimed in any one of the preceding claims.
2823975
Fixing device for a vehicle anti-skid device, an anti-skid device and an anti-skid arrangement comprising the fixing device and the anti-skid device
1
Based on the following detailed description of an invention, generate the patent claims. There should be 17 claims in total. The first, independent claim is given and the remaining 16 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
With reference to Figures 1-4, there is shown a fixing device 1 for fixing an anti-skid device such as a snow chain to a wheel rim. Although other shapes may be used, as disclosed herein, the fixing device 1 is shown in the drawings as having a generally cylindrical shape with an axial direction A and a radial direction R, perpendicular to the axial direction A. The fixing device 1 can be divided into two major portions in the axial direction A; an inner plug portion 2 at an inner end 2 of the fixing device and an outer coupling portion 3. The plug portion 2 is sized and configured for fitting into a centre bore in a corresponding wheel rim and is shown with a first diameter d _1 and which is smaller than the diameter of the center bore in the wheel rim. When the fixing device is inserted into the centre bore in the wheel rim, the coupling portion 3 protrudes from the wheel rim and is accessible for attachment of an anti-skid device thereto. The coupling portion in Figures 1-4 is shown with a second diameter d _2, which is larger than the first diameter d _1. The coupling portion 3 is shown in Figures 1-4 in its simplest form and it is to be understood that the coupling portion 3 may be provided with specifically designed coupling elements as exemplified in Figures 11 and 15. The main components of the fixing device 1 are an outer casing 5, an inner core 6, two compressible springs 7 and four locking balls 8. The compressible springs 7 and the locking balls 8 form part of a locking/release mechanism as disclosed herein. It is to be understood that a fixing device 1 of the invention should not be considered to be limited to a locking/release mechanism of this kind but that any other suitable locking/release mechanism could be used instead, as set out herein. The number of compressible springs and locking balls shown in the figures should not be considered limiting to the invention. Accordingly, the fixing device may comprise only one compressible spring or more than two compressible springs, such as three or four compressible springs. Likewise, only a single locking ball may be used although it is generally preferred to use a plurality of locking balls. By a compressible spring is meant any member that can be compressed and that will spring back to its non-compressed state when the compression force is released. The compressible springs 7 in the fixing device 1 are compressible in the axial direction A of the fixing device 1. The inner core 6 is arranged inside the outer casing 5 and is telescopically movable in relation to the outer casing 5 between the positions shown in Figs 1 and 3 and in Figures 2 and 4. The fixing device 1 has an outer end surface 9 on the coupling portion 3 and an inner end surface 10 on the plug portion 2. The outer end surface 9 is formed by a part of the inner core 6 which protrudes out of the outer casing 5. The protruding part of the outer casing constitutes a push-button 12 for pushing the inner part 6 in a direction towards the inner end surface 10 of the fixing device 1. The push-button 12 may be formed as an integral part of the inner core 5 or may be formed separately and be subsequently attached to the remaining part of the inner core. A cavity 13 is formed between the inner core 6 and the outer casing 5 in the widened portion of the fixing device 1 which is proximate to the outer end surface 9, i.e, in the coupling portion 3 of the fixing device 1. The compressible springs 7 are arranged in the cavity 13 such that they are prevented from moving out of position and with a principal extension in the axial direction A of the fixing device within the cavity 13. The cavity 13 may be a continuous cavity extending around the periphery of the inner core 6 as shown in the figures or may be separate cavities for each individual spring. The ends of the compressible springs 7 are preferably anchored to the inner core 6 and the outer cover 5. Such anchoring may be provided by sockets formed in the outer casing 5 and in the inner core at the ends of the cavity 13 or by any suitable attachment means known to the person skilled in the art such as gluing or welding. The inner end surface 10 of the fixing device is formed by a bottom plate 11 which is attached to the inner core 6 with a pin 14 which is slidably arranged in a bore 15 in the inner core 6. The diameter of the bottom plate 11 is slightly larger than the diameter of the inner core 6 and matches the diameter of the outer casing 5, thus constituting a stopping member preventing the outer casing 5 from moving axially past the bottom plate 11. As best seen in Figures 3 and 4, the locking balls 8 rest in guiding grooves 16 which are arranged in the inner core 6 and extend in the axial direction, A. The guiding grooves 16 have a deep portion at an end remote from the inner end surface 10 of the fixing device 1 and a shallow portion proximal to the inner surface 10 of the fixing device. The terms "deep" and "shallow" are used herein as relative terms to indicate a difference in depth of different parts of the guiding grooves 16 along their axial extension. The locking balls may move in the guiding grooves 16 between the shallow portion and the deep portion. As the locking balls travel along the guiding grooves 16 in the axial direction, A, of the fixing device 1, they are simultaneously moved in the radial direction, R, of the fixing device, causing the locking balls 8 to be retracted into the fixing device 1 when they are located in the deep portions of the grooves 16 and to protrude out through holes 17 in the wall of the outer casing 5 when they are located in the shallow portions of the grooves 16. The fixing device is operated by applying a compressive force F on the push-button 12 whereby the inner core 6 is moved in the axial direction A in relation to the outer casing 5. The movement causes the size of the cavity 13 with the compressible springs 7 to decrease in the axial direction, A, and the compressible springs 7 to be compressed. As shown in fig. 4, application of a compressive force F on the push-button 12 moves the locking balls 8 into the deep portions of the guiding grooves 16 where they are retracted into the fixing device. This position of the locking balls 8 constitute an application/release position for the fixing device allowing the fixing device to be inserted into or removed from a centre bore in a wheel rim. When the compressive force F acting on the compressible springs 7 is released, the springs 7 expand and move the inner core 6 back to the rest position shown in Figures 1 and 3 at the same time as the locking balls 8 return to the shallow parts of the guiding grooves 16 where they partially protrude from the outer casing 5 through the corresponding holes 17 in the outer casing 5. The rest position constitutes a locking position for the fixing device 1 in which the protruding locking balls 8 may be used for engaging with a corresponding locking member in the centre bore of a wheel rim. With reference to Figure 5, there is shown a wheel rim 20 with a centre bore 21 and a fixing device 1. The fixing device 1 is shown as it appears while being inserted into the centre bore 2. Accordingly, the fixing device 1 is in the application/release position with the inner core 6 pushed into the outer casing 5 such that the locking balls 8 are retracted into the fixing device. Figure 6 shows a detailed view of the centre bore 21 in the wheel rim 20 seen from the inside surface of the wheel rim 20 which is the side of the wheel rim 20 that is facing towards the vehicle and which is opposite to the visible outside surface of the wheel rim 20. The centre bore 21 is shown to have a locking member in the form of a circumferentially extending locking groove 22 which is arranged to engage with the locking balls 8 on the fixing device 1 when securing the fixing device 1 in the centre bore 21 of the wheel rim 20. The outer casing 5 of the fixing device may consist of a friction-reducing material such as nylon or other plastic material in order to reduce friction between the wheel rim 20 and the fixing device 1 and to allow a certain degree of free-wheeling between the fixing device 1 and the wheel rim. Figure 7 shows the fixing device 1 after it has been inserted into the centre bore 21 in the wheel rim 20 and with the fixing device in the locking position with the locking balls protruding out through the openings 17 in the outer casing 5 of the fixing device 1 and engaging with the locking groove 22 inside the centre bore 21 of the wheel rim 20. With reference to Figure 8 there is shown a wheel 25 with an anti-skid arrangement 30 applied and fastened to the outwardly facing surface of the wheel 25 by means of a fixing device 1' in accordance with the invention. The wheel 25 comprises a wheel rim 20 in accordance with the invention and a tyre 26 mounted on the wheel rim 20. The anti-skid arrangement 30 comprises an anti-skid device 31 including a friction-increasing member 36 such as a snow chain. The anti-skid device 31 further comprises a carrier plate 32 with a central hole and four radially extending lobes 33 which provide attachment sites for radially extending connecting members in the form of spokes 34 which connect the carrier plate 32 with the friction-increasing member 36. The spokes 34 are illustrated in the figures as each consisting of a pair of suitably shaped metal rods. It is to be understood that other configurations of the connecting members may be used such as single rods or bands. The number of connecting members may also be different from that shown in the figures. Accordingly, the carrier plate 32 may carry fewer attachment sites for connecting members or more attachment sites for connection members. The outer ends 35 of the spokes 34 are connected to the friction-increasing member 36 which is disposed on the thread of the tyre 26. The friction-increasing member 36 may be any commonly available friction-increasing device which allows mounting from the outside of the wheel 25. One non-limiting example of such friction-increasing device which is connected to radially extending spokes is disclosed in [PATCIT EP2050590A1]. However it is to be understood that connection between the friction-increasing member and the fixing device may be provided by a completely different connection arrangement, such as a knob or hub. The anti-skid device further comprises a tensioning ring 37 having a pin 38 arranged on the inner wall of the tensioning ring 37 and having two handles extending radially outward from the outer wall of the tensioning ring 37. The anti-skid device 31 in Figure 8 is attached to the fixing device 1' by means of a screw coupling which also constitutes a tensioning means that serves to tighten the anti-skid device 31 on the wheel 25 by applying tension on the anti-skid device 31. Figures 9 and 10 show the coupling 50 between the fixing device 1' and the anti-skid device 31 on the wheel in Figure 8 in closer detail. The coupling portion 3 of the fixing device is provided with a screw-thread 40 which engages with the pin 38 on the inner wall of the tensioning ring 37 enabling the tensioning ring to be screwed onto the coupling portion 3 of the fixing device 1'. The configuration of the screw-thread is best illustrated in Figure 11 which shows the outer casing 5 of the fixing device 1' of the coupling arrangement in Figure 8. By using the handles 39 to rotate the tensioning ring 37 clock-wise, the tensioning ring 37 is screwed inward on the fixing device 1 from the position shown in Figure 9 to the position shown in Figure 10. As seen in Figure 11, the outer casing 5 of the fixing device 1' is provided with a peripheral rim or ledge 41. In the assembled anti-skid arrangement 30, the carrier plate 32 and the tightening ring are mounted on the fixing device 1' in a stacked configuration with the carrier plate 32 positioned innermost between the ledge 41 and the tightening ring 37. The ledge 41 on the fixing device 1' thus acts as a stop for the carrier plate 32, preventing axial movement of the carrier plate 32 past the ledge 41. When the tensioning ring 37 is screwed inward on the fixing device 1' it brings the carrier plate 32 into abutment with the ledge 41 on the fixing device 1'. This means that when the anti-skid arrangement 30 is applied to a wheel 25 as shown in Figure 8, clockwise rotation of the tensioning ring 37 will cause the anti-skid device 31 will to be tightened on the wheel 25. The tightened position of the anti-skid device 31 is shown in Figure 10. Anti-clockwise rotation of the tightening ring 37 causes the tightening ring 37 to be screwed outward to the position shown in Figure 9 and brings the anti-skid device 31 into a loosened position. The screw on anti-skid arrangement 30 which is shown in Figures 8-10 has been pre-assembled by a manufacturer. However, it is to be understood that the anti-skid arrangement 30 may be modified to allow assembly and disassembly by a user. With reference to Figure 12 there is shown a wheel 125 with an anti-skid arrangement 130 applied and fastened to the outwardly facing surface of the wheel 125 by means of a fixing device 101 in accordance with the invention. The wheel 125 comprises a wheel rim 120 in accordance with the invention and a tyre 126 mounted on the wheel rim 120. The anti-skid arrangement 130 comprises an anti-skid device 131 similar to that in Figure 8 and including a friction-increasing member 136 such as a snow chain. The anti-skid device 131 further comprises a carrier plate 132 with a central hole and four radially extending lobes 133 which provide attachment sites for radially extending connecting members in the form of spokes 134 which connect the carrier plate 132 with the friction-increasing member 136. As in Figure 8, the spokes 134 are illustrated in the figures as each consisting of a pair of suitably shaped metal rods although other configurations may be used, as set out herein. The outer ends 135 of the spokes 134 are connected to the friction-increasing member 136 which is disposed on the thread of the tyre 128. The friction-increasing member 136 may be any commonly available friction-increasing device which allows mounting from the outside of the wheel 125. The anti-skid device 131 on the wheel in Figure 12 differs from the anti-skid device in Figure 8 in that it is attached to the fixing device 101 by means of a hinge coupling 150. A similarity is that the hinge coupling also constitutes a tensioning means that serves to tighten the anti-skid device 131 on the wheel 125 by applying tension on the anti-skid device 131. Figures 13 and 14 show the coupling between the fixing device 1" and the anti-skid device 131 on the wheel in Figure 12 in closer detail. The coupling portion 103 of the fixing device 1" is provided with first hinge mountings 151 through which a hinge pin 152 is inserted The configuration of the coupling portion 103 of the fixing device 1" is best illustrated in Figure 15 which shows the outer casing 105 of the fixing device 1" of the coupling arrangement 150 in Figures 12-14. As seen in Figure 15, the hinge mountings 151 are arranged diametrically opposite each other at the outer end 109 of the outer casing 105 of the fixing device 101. The anti-skid device 131 further comprises a tightening plate 153 and a handle 129. The tightening plate 153 has a central hole 154 and second hinge mountings 155 arranged diametrically opposite each other at the periphery of the central hole 154 and through which the hinge pin 152 is inserted. The distance between the second hinge mountings 155 is greater than the distance between the first hinge mountings 151 which means that the second hinge mountings 155 are located outward of the first hinge mountings on the hinge pin 152 as seen in Figures 13 and 14. The hinge pin 152 is inserted into the second hinge mountings 155 through elongated openings 156 which extend in the axial direction A of the coupling arrangement 150. The elongated openings 156 allow movement of the hinge pin 152 between an inner tensioning position and an outer loosened position. The tensioning position is shown in Figure 13 and the loosened position is shown in Figure 14. A further element in the form of a handle 129 is mounted on the hinge pin 152. The handle comprises two parallel arms 157 arranged on either side of the hinge mountings 151, 155 and being connected by a gripping element 158 at the outer end of the handle 129. Each of the parallel arms 157 of the handle 129 has a cam surface 159 which abuts the tightening plate 153. In the assembled anti-skid arrangement 130, the carrier plate 132 and the tightening plate 153 are mounted on the fixing device 101 in a stacked configuration with the carrier plate 132 positioned inward of the tightening plate 153. With reference to Figure 13, the handle 129 is shown in a folded down position, with the parallel arms 157 arranged perpendicular to the axial direction A of the coupling arrangement. In this position, the cam surfaces 159 at the ends of the arms 157 have moved the hinge pin 152 to the outer position in the elongated openings 156 in the second hinge mountings 155. In this position the hinge pin 152 is moved away from the outer surface 109 of the fixing device 1" and the push-button 112 at the outer end of the inner core 106 of the fixing device 1" is unaffected by the hinge pin 152. This means that the locking balls 108 (not visible in Figure 13 ) are in the locking position. By raising the handle 129 from the surface of the tightening plate 153, the cam surfaces 159 at the ends of the handle arms 157 causes the hinge pin 152 to be moved to the inner position in the elongated openings 156 and to press the push-button 112 to the application/release position as disclosed herein. The anti-skid arrangements described in relation to Figures 8-11 and Figures 12-15 should not be considered to be limiting to the invention. In particular, the coupling between the fixing device and the anti-skid device may be different from the coupling arrangements described in connection with the figures and may comprise snap-fittings, bayonet-fittings, etc, as known in the art.
1. A fixing device (1; 1'; 1") for a vehicle tyre (26; 126) anti-skid device (31; 131), characterized in that said fixing device (1; 1'; 1 ") comprises a plug portion (2) and a coupling portion (3), said plug portion (2) being configured to fit into a centre bore (21; 121) in a wheel rim (20; 120), and comprising locking means for releasably fixing said fixing device (1; 1'; 1") in said centre bore (21), and said coupling portion (3) being arranged for coupling said fixing device (1; 1'; 1") to said anti-skid device (31; 131).
2. A fixing device according to claim 1, wherein said releasable locking means comprises a snap-in locking arrangement. 3. A fixing device according to claim 2, wherein said snap-in locking arrangement comprises an intermediate actuation member such as a spring or a gear arranged to directly or indirectly move at least one locking element such as a locking ball (8; 108) or a locking pin between a release position and a fixing position. 4. A fixing device according to claim 1, wherein said releasable locking means comprises a manually actuated release mechanism arranged to move one or more locking elements such as a locking pin or a locking groove between a release position and a fixing position. 5. A fixing device according to any one of the preceding claims, wherein said releasable locking means are arranged to be actuated by a push-element such as a push-button (12; 112), 6. A fixing device according to any one of the preceding claims, wherein said coupling portion (3) comprises a first connection element arranged to cooperate with a second connection element arranged on an anti-skid device for forming a coupling arrangement, said first connection element preferably being one of a groove (40), a hinge mounting (151), a pin, a screw thread or a locking rim. 7. A fixing device according to any one of the preceding claims, wherein said fixing device comprises means (50; 150) for tensioning of an anti-skid device (31; 131) and wherein said means for tensioning of an anti-skid device preferably are coupled to or form part of said coupling portion (3). 8. An anti-skid device (31; 131), characterized in that said anti-skid device comprises a friction increasing member (36; 136) and a coupling portion for coupling said friction increasing member (36; 136) to a fixing device (1; 1'; 1") according to any one of claims 1-6. 9. An anti-skid device according to claim 8, wherein said coupling portion of said anti-skid device comprises a second connection element arranged to cooperate with a first connection element on said fixing device (1; 1'; 1") for forming a coupling arrangement, said second connection element preferably being one of a pin (38), a hinge pin (152), a groove, a screw thread or a locking rim. 10. An anti-skid arrangement (30; 130) for a vehicle tyre (26, 126), characterized in that said anti-skid arrangement (30; 130) comprises a fixing device (1; 1'; 1") according to any one of claims 1-7 and an anti-skid device (31; 131) according to claim 8. 11. An anti-skid arrangement according to claim 10, wherein said coupling portion on said fixing device (3) and said coupling portion on said anti-skid device (31; 131) form a coupling arrangement (50; 150) and are arranged to be connected by means of mating connection elements (38, 40; 151, 152, 155, 156) provided on said fixing device (1; 1'; 1") and on said anti-skid device (31; 131). 12. An anti-skid arrangement according to claim 11, wherein said coupling arrangement (50; 150) is pre-connected by a manufacturer and preferably is a permanent coupling arrangement. 13. An anti-skid arrangement according to claim 11 or 12, wherein said mating connection elements comprise a guiding groove (40) and a pin (38). 14. An anti-skid arrangement according to any one of claims 9-12, wherein said coupling arrangement (150) comprises a lever actuated hinge (151, 152, 155, 156). 15. An anti-skid arrangement according to any one of claims 9-14, wherein said anti-skid arrangement (30; 130) is provided with a handle (39; 129) being directly or indirectly attached to one of said mating connection elements and being arranged for manipulation of said mating connection elements between at least two different and distinct positions such as a locking position and a release position and/or a tensioning position and a loosening position. 16. A wheel rim (20; 120), characterized in that said wheel rim (20; 120) has a centre bore (21; 121) adapted to receive and retain a fixing device (1; 1'; 1") according to any one of claims 1-6. 17. Method for mounting an anti-skid device on a vehicle wheel, comprising the steps of: a) providing a fixing device according to any one of claims 1-6, b) providing an anti-skid device according to claim 7 or 8, c) providing a vehicle wheel having a wheel rim according to claim 14, d) connecting said coupling portion of said fixing device with said coupling portion of said anti-skid device, e) inserting said plug portion (2) of said fixing device (1; 1'; 1'; 1") into said centre bore (21, 121) of said wheel rim (20; 120) and ascertaining that said releasable locking means is in a locked position in said centre bore (21, 121) of said wheel rim (20; 120); wherein step d) is performed before step e) or step e) is performed before step d).
2824701
High-power semiconductor module
1
Based on the following detailed description of an invention, generate the patent claims. There should be 14 claims in total. The first, independent claim is given and the remaining 13 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 schematically shows a circuit diagram of a high-power semiconductor module 10 according to an exemplary embodiment. The module 10 comprises a high-power semiconductor device 12 with at least two electrical connections 14a, 14b, and a short-circuit device 16. The high-power semiconductor device 12 may comprise a thyristor, GTO, IGCT, IGBT, and/or a Diode as high-power semiconductor 30. The high-power semiconductor device 12 further comprises a capacitor 18 which is electrically connected to a capacitor 20 of the short-circuit device 16 via a diode 22. The diode 22 is adapted to prevent an unloading of the capacitor 20 of the short-circuit device 16 when the capacitor 18 of the high-power semiconductor device 12 unloads. The capacitor 20 may have a smaller capacity than the capacitor 18. The short-circuit device 16 is adapted to generate a persistent electrically conducting path between the two electrical connections 14a, 14b by destroying a at least a part of the semiconductor 30 upon receiving a trigger signal 23, wherein the trigger signal 23 may be provided upon a failure of the module 10. The short-circuit device 16 may comprise a shutter or switch 13, which is shut upon receiving the trigger signal 23. When the switch 13 is shut, electrical energy stored in the capacitor 20 of the short-circuit device 16 generates a current flowing through the semiconductor device 12. The current destroys the semiconductor 30 thereby generating the persistent electrical conducting path. The energy stored in the capacitor 20 may, thus, be large enough to heat a resistive path of the circuitry provided by the at least a part of the high-power semiconductor 30 in order to at least partially melt it and consequently generate the electrically conducting path. Figure 2 schematically shows a circuit diagram of a high-power semiconductor module 10 according to another exemplary embodiment, wherein the short-circuit device 16 comprises a thyristor 24 as short-circuit semiconductor 32. Upon receiving the trigger signal 23 the short-circuit device 16 may be triggered to switch the thyristor 24.The thyristor 24 may provide the electrically conducting path between the two electrical connections 14a, 14b and may short-circuit the module 10. Figure3 schematically shows a cross-sectional side-view of a high-power semiconductor module 10. Figure 4 shows a top view of the high-power semiconductor module 10 of Figure 3. The high-power semiconductor device 12 is mounted on a circuit board 11 of the module 10. The short-circuit device 16 is also mounted on the circuit board 11 of the module 10. In a disk 26 of a common substrate 28 the high-power semiconductor 30 of the high-power semiconductor device 12, the short-circuit semiconductor 32 of the short-circuit device 16, and a free-wheeling diode 34 are arranged. More precisely, the disk 26 comprises a middle region 36 comprising the short-circuit semiconductor 32 (e.g. thyristor 24), a first annular region 38 surrounding the middle region 36 and comprising the free-wheeling diode 34, and a second annular region 40 surrounding the first annular region 38 and comprising the high-power semiconductor 30. The thyristor 24 may provide a sacrificial region of the substrate 28, which is configured for being destroyed by a current pulse provided by the capacitor 20 and triggered by the trigger signal 23. Complementary to the approach of destroying the sacrificial region of the thyristor 24 also a part of the high-power semiconductor 30 may be destroyed as is indicated in Figure 1. The high-power semiconductor device 12 further comprises a capacitor 18 with a plurality of capacitor members 31, which may be of an electrolytic or film type, and which may serve to provide energy for switching the high-power semiconductor 30, and a control electronic (gate unit) 42, which may e.g. be adapted for controlling the high-power semiconductor device 12. The control electronic 42 may for instance comprise a receiver, a converter, an amplifier, a transmitter, and/or a processing electronic. The control electronic 42 is connected to the gate of the thyristor 24 via a lead 44, which may be or may comprise a cable. As indicated in Fig 4 by the dashed circles in the disk 26, the short-circuit semiconductor 32 is arranged in the middle region 36 of the disk 26 and completely surrounded by the first annular region 38 which comprises the freewheeling diode 34, and the first annular region 38 is in turn surrounded by the second annular region 40 which comprises the high-power semiconductor 30. The member 26 does not necessarily have to be circularly shaped in cross-section, but may be shaped arbitrarily, such as e.g. elliptically, quadratically, or rectangularly. Moreover, the high-power semiconductor module 10 may not necessarily comprise only one disk 26, but may comprise a plurality of disks 26, which may be electrically connected in parallel or in series and which may be arranged in an arbitrary pattern on the module 10. This in turn means that also the high-power semiconductor device 12 may comprise a plurality of high-power semiconductors 30 and free-wheeling diodes 34, and the short-circuit device 16 may comprise a plurality of short-circuit semiconductors 32. The module 10 comprises a power supply 47 for supplying electrical energy to the module 10. The power supply 47 may for this purpose be connected to an external power source of any kind. The power supply 47 may be electrically connected to a main circuitry 49 of the high-power semiconductor device 12. The main circuitry 49 comprises the control electronic 42 and the plurality of capacitors 31. The main circuitry 49 may furthermore comprise a plurality of electrical lines connecting components of the high-power semiconductor device 12, wherein the electrical lines may e.g. be printed on the circuit board 11.. The high-power semiconductor device 12 further comprises a fibre-optic connection 52 connected to the control circuitry 49. However, also a coaxial connection, a multi-core conductor or a flat conductor may be applied, for instance. The fibre-optic connection 52 is adapted for transmitting, i.e. for receiving and/or sending optical signals and may be connected to a controller 96 (not explicitly shown, see Figure 7 ). Optical signals received from the controller 96 may be converted to electrical signals by the control electronic 42. The electrical signals may further be amplified and/or processed, such as e.g. analyzed and/or interpreted, by the control electronic 42 in order to control the high-power semiconductor device 12, wherein the capacitors 31 may be actuated in order to switch the high-power semiconductor 30. Vice versa, electrical signals from the high-power semiconductor device 12 may be processed and/or converted to optical signals by the control electronic 42 and may be sent to a controller 96 (see Figure 7 ) via the fibre-optic connection 52. The high-power semiconductor device 12 may not only comprise a single fibre-optic connection 52, but may comprise a plurality of fibre-optic connections 52 which may be adapted for various tasks and/or which may be arranged for redundancy reasons. The short-circuit device 16 comprises a fibre-optic connection 54 connected to a short-circuit device circuitry 46. However, also a coaxial connection, a multi-core conductor or a flat conductor may be applied, for instance. The fibre-optic connection 54 may be connected to a controller 96 (see Figure 7 ) and is arranged to receive a trigger signal 23 from the controller 96. Additionally, the fibre-optic connection 54 may serve to send optical signals to the controller 96. The control circuitry 46 comprises a capacitor 20 with a plurality of capacitor members 56, which may be of an electrolytic or film type, and which may be arranged for supplying the short-circuit device 16 with electrical energy. The control circuitry 46 may further comprise electrical lines connecting the components of the short-circuit device 16, wherein the electrical lines may be printed on the circuit board 11. At least one of the capacitors 56 of the short-circuit device 16 is connected to at least one of the capacitors 31 of the high-power semiconductor device 12 by the diode 22. The diode 22 may serve as power supply for the short-circuit device 16 and is adapted for preventing an unloading of the capacitors 56 of the short-circuit device 16 when the capacitors 31 of the high-power semiconductor device 12 unload. In other words, the diode 22 is arranged to prevent a backflow of electrical energy from the short-circuit device 16 to the high-power semiconductor device 12 while allowing a flow of electrical current in the opposite direction. Moreover, the control circuitry 46 comprises a control electronic 58 of the short-circuit device 16, which may be adapted for converting a trigger signal 23 from the fibre-optic connection 54 to an electrical trigger signal. Accordingly, the control electronic 58 of the control circuitry 46 may comprise a receiver for receiving the trigger signal 23, a converter for converting the optical trigger signal 23 into an electrical trigger signal and an amplifier for amplifying the electrical signal. The electrical trigger signal may be transmitted to the short-circuit semiconductor 32 via the control lead 44. Figure 5 shows a cross section through a part of the high-power semiconductor module 10 of Figure 3 and 4. A pole piece 60 (which may be made of or which may comprise copper) is arranged between the disk 26 and the circuit board 11. A further pole piece may be arranged at an opposite side of the disk 26. The pole pieces provide the electrical connections 14a, 14b and are arranged for electrically contacting the high-power semiconductor 30, the freewheeling diode 34, and the short-circuit semiconductor 32. The pole piece 60 comprises a trench 74 for accommodating the lead 44, which is guided by the trench 74 to the middle region 36 of the disk 26 in order to electrically connect the circuitry 46 of the short-circuit device 16 with the gate terminal of the short-circuit semiconductor 32. The lead 44 is insulated against the pole piece 60 by insulating material 73 inside the trench 74, for example ceramics material. Between the disk 26 and the pole piece 60 a molybdenum disk 61 is arranged to provide a proper electrical connection of the disk 26 with the pole piece 60. Both the pole piece 60 and the molybdenum disk 61 comprise a hole 62 in a center part, respectively, with an insulated mount 64 for electrically connecting the lead 44 with the short-circuit semiconductor 32. Both the pole piece 60 and the molybdenum disk 61 may thus be donut-like shaped and may nearly completely cover one side of the disk 26. The insulated mount 64 comprises a ceramic bushing 66 brazed in the hole 62 of the pole piece 60 and being in direct contact with the pole piece 60. In a middle region of the ceramic bushing 66 a copper bushing 68 is brazed in. Hence, the copper bushing 68 is electrically insulated by the ceramic bushing 66 against the pole piece 60. Furthermore, a contact pin 70 is arranged in a center part of the copper bushing 68. The contact pin 70 protrudes through the hole 62 and is pressed against the substrate 28. More precisely, the contact pin 70 is pressed against a surface of the short-circuit semiconductor 32 in order to provide an electrical contact between the short-circuit semiconductor 32 and the copper bushing 68. The contact pin 70, which may be made of e.g. copper or any other electrically conducting material (such as Au), may be spring-loaded. On a side of the copper bushing 68 opposing the disk 26 of substrate 28, an end piece 72 of the lead 44 is electrically connected to the copper bushing 68. The end piece 72 is not insulated, whereas the lead 44 may be insulated in a middle part, e.g. by a plastic material. The end piece 72 may for example be plugged or brazed in the copper bushing 68 in order to electrically connect the control lead 44 with the contact pin 70. A further end piece of the lead 44 is electrically connected to the control circuitry 46 of the short-circuit device 16 in order to transmit the electrical trigger signal provided by the control circuitry 46 via the copper bushing 68 to the spring-loaded contact pin 70 and to the short-circuit semiconductor 32. Figure 6 schematically shows another cross-section through a part of the module 10 shown in Figure 3 and 4. Figure 6 shows a cross-section through the middle region 36 and the first annular region 38 of the common substrate 28 of the disk 26 as well as through the pole piece 60 comprising the hole 62 with insulated mount 64 containing the contact pin 70. The second annular region 40, which may comprise a thyristor, GTO, IGCT, IGBT, and/or a diode as high-power semiconductor 30 is indicated by dashed lines extending on both sides of the free-wheeling diode 34 in the plane of projection of Figure 6. Also the pole piece 60 and the molybdenum disk 61 extend on both sides of the free-wheeling diode 34, which is also indicated by dashed lines. The contact pin 70 is in electrical contact with a highly p-doped section 76 of a thyristor 24 serving as short-circuit semiconductor 32. The highly p-doped section 76 is a gate terminal 78 of the thyristor 24. The gate terminal 78 is surrounded by an annularly shaped highly n-doped section 79, which serves as cathode 80 of the thyristor 24. On a side of the substrate 28 opposing the gate terminal 78, a highly p-doped section 81 is arranged which serves as anode 82 of the thyristor 24. Between the cathode 80 and anode 82, a p-doped section 83 is arranged adjacent to the gate terminal 78 and the cathode 80. Between the p-doped section 83 and the anode 82 an n-doped section 84 is arranged. The n-doped section 84 may be contained homogeneously within the whole disk 26 of substrate 28 and may serve to conduct charge carriers within the disk 26 On both sides of the thyristor 24 in the plane of projection of Figure 6, the free-wheeling diode 34 connects to the thyristor 24, wherein a highly p-doped anode 86 of the diode 34 is arranged adjacent to the molybdenum disk 61. Adjacent to the anode 86 of the diode 34 and between the anode 86 and n-doped section 84, a p-doped section 88 is arranged. On a side of the free-wheeling diode 34 opposing the molybdenum disk 61 and adjacent to the n-doped section 84, a cathode 90 of the free-wheeling diode 34 is arranged. The p-doped sections 88 and 83 between the free-wheeling diode 34 and the thyristor 24 are separated from each other by the n-doped section 84. However, this separation of the p-doped sections 88 and 83 may not be necessary if the thyristor 24 does not turn on spontaneously during operation of the high-power semiconductor module 10 (for example due to a large change of voltage in time). Upon receiving the optical trigger signal 23 via the fibre-optic connection 54, an electrical trigger signal may be provided the capacitors 56 to the gate terminal 78 of the thyristor 24. The electrical trigger signal may be powerful enough to destroy a part of the short-circuit semiconductor 32 of the thyristor 24 and/or it may turn the thyristor 24 on, wherein current flows from the anode 82 of the thyristor 24 to the cathode 80. This current may in turn heat a part of the thyristor 24 and generate a persistent electrically conducting path 92 between the anode 82 and the cathode 80 by melting said part of the thyristor 24. The electrically conducting path 92 may for instance be annularly shaped and located in the middle region 36 of the disk 26. The entire high-power semiconductor module 10 may be short-circuited and/or may be discharged safely via the persistent electrically conducting path 92. A refined layout of the substrate 28 may not include the thyristor cathode 80 and the p-doped sections 88 and 83 may not be separated. In this case, a persistent electrically conducting path may be generated between the gate terminal 78 and the anode 86 of the free-wheeling diode, and the module 10 may discharge via this path. Figure 7 schematically shows a system 94 of a modular multi-level converter 96 and its controller 96. As a specific example, the modular multi-level converter 96 comprises a plurality of high-power semiconductor modules 10, each of which comprises a high-power semiconductor device 12 (indicated by a switch in Figure 7 ), a capacitor 18 and a short-circuit device 16. The high-power semiconductor modules 10 are connected in series to form branches 102, which are on the one side connected to a DC-link 104 and on the other side to a phase output 106 of the converter 96. With high-power semiconductor devices 12 (comprising a semiconductor switch), the capacitor 18, which acts as a storage element of DC voltage, may be bypassed or inserted into the respective branch 102. Inserting/bypassing the capacitors 18 in the branch 102 allows impressing various voltage levels at the phase outputs 106. By switching the high-power semiconductor devices 12 the converter 96 is adapted for converting a DC voltage at the DC link to an AC voltage at each of the phase outputs 106. The phase outputs 106 of a modular multi-level converter may be connected to a power grid (active front end operation) or to a load (power inverter application). There are other possible converter topologies for a modular multi-level converter 96, for example direct converter topologies, which are adapted for directly converting an AC voltage into another AC voltage. The system 94 furthermore comprises a controller 98 (for example based on a DSP or an FPGA), which receives control signals from the converter 96 and, optionally, another electrical system the converter is connected to. The controller 96 also provides the gate signals for the modules 10 via the fibre-optic connection 42. Figure 8 shows a method of bypassing a high-power semiconductor module 10, which may be executed by the controller 96 and at least one module 10. In step S1, a failure of the high-power semiconductor module 10 is detected. For example, the controller 96 is, amongst other tasks, adapted to detect a failure of the modules 10. A failure of a module 10 may for example be detected if a control to one of the modules 10 is lost, which may e.g. be due to a faulty gate unit on one of the high-power semiconductor devices 12, a broken fibre-optic or any other fault, such as e.g. a malfunctioning, blocking or uncontrollable semiconductor junction. Also other failures in an electric or electronic component of a module 10 may be detected by the controller 96. In step S2 a trigger signal 23 is generated, when the failure is detected. In this case, the controller may generate an optical trigger signal 23 that is sent to the corresponding short-circuit device 16 of the faulty module 10 via the fibre-optic connection 54. In step S3, a persistent electrically conducting path 92 is generated between two electrical connections 14a, 14b of the high-power semiconductor module 10 by electrically destroying a semiconductor 30, 32 of the high-power semiconductor module 10 upon receiving the trigger signal 23. The short-circuit device 16 may receive the optical trigger signal 23 and may transform it into an electrical trigger signal, for example, by closing the switch 13. As one alternative, a persistent electrically conducting path 92 is generated in the substrate of the semiconductor 30 of the high-power semiconductor device 12. As a second alternative or additionally, a persistent electrically conducting path 92 is generated in the substrate of a further semiconductor 32 of the short-circuit device 16. In this way the faulty module 10 is short-circuited and bypassed, and the system 94 may still be functioning in spite of the fault. The multilevel converter system 94 may then run with one less module 10, but if the remaining modules 10 are still operating within their safe limits, power conversion can continue safely.
1. A high-power semiconductor module (10), the module (10) comprising: a high-power semiconductor device (12) mounted on the module (10) and comprising at least two electrical connections (14a, 14b); and a short-circuit device (16) mounted on the module (10) and adapted to generate a persistent electrically conducting path (92) between the two electrical connections (14a, 14b) upon receiving a trigger signal (23) by electrically destroying a semiconductor (30, 32) of the high-power semiconductor module (10).
2. The high-power semiconductor module (10) of claim 1,: wherein the persistent electrically conducting path (92) is generated by destroying at least a part of a high-power semiconductor (30) of the high-power semiconductor device (12); and/or: wherein the persistent electrically conducting path (92) is generated by destroying at least a part of a short-circuit semiconductor (32) of the short-circuit device (16). 3. The high-power semiconductor module (10) of claim 1 or 2,: wherein the short-circuit device (16) comprises a thyristor (24) as short-circuit semiconductor (32) and/or wherein the high-power semiconductor device (12) comprises a thyristor, GTO, IGCT, IGBT and/or a diode as high-power semiconductor (30). 4. The high-power semiconductor module (10) of one of the preceding claims,: wherein the short-circuit device (16) comprises a short-circuit semiconductor (32) which provides a sacrificial region, which is configured for being destroyed by a current pulse triggered by the trigger signal (23). 5. The high-power semiconductor module (10) of one of the preceding claims,: wherein the high-power semiconductor device (12) comprises a high-power semiconductor (30) and the short-circuit device (16) comprises a short-circuit semiconductor (32) which are arranged in a common substrate (28). 6. The high-power semiconductor module (10) of claim 5,: wherein the short-circuit semiconductor (32) is completely surrounded by the high-power semiconductor (30). 7. The high-power semiconductor module (10) of claim 5 or 6, further comprising: a pole piece (60) attached to a side of the high-power semiconductor (30); wherein the pole piece (60) comprises a hole (62) with an insulated mount (64) for a spring-loaded contact pin (70) for transmitting the trigger signal (23) to the short-circuit semiconductor (32); and/or wherein the pole piece (60) comprises a trench (74) with a control lead (44) insulated mounted to the pole piece (60). 8. The high-power semiconductor module (10) of one of claims 5 to 7,: wherein the high-power semiconductor device (12) comprises a free-wheeling diode (34) arranged in the common substrate (28). 9. The high-power semiconductor module (10) of one of claims 5 to 8,: wherein the high-power semiconductor device (12) and the short-circuit semiconductor device (16) are arranged in a disk (26) of a common substrate (28);: wherein the disk (26) comprises a middle region (36) comprising the short-circuit semiconductor (32), a first annular region (38) surrounding the middle region (36) and comprising a free-wheeling diode (34), and a second annular region (40) surrounding the first annular region (38) and comprising the high-power semiconductor (30). 10. The high-power semiconductor module (10) of one of the preceding claims,: wherein the short-circuit device (16) comprises a capacitor (20) for providing electrical energy for destroying of a semiconductor (30, 32) such that the electrically conducting path (92) is generated and/or for triggering the short-circuit device (16). 11. The high-power semiconductor module of claim 10,: wherein the capacitor (20) of the short-circuit device (16) is connected to a capacitor (18) of the high-power semiconductor device (12) via a diode (22) for preventing an unloading of the capacitor (18) of the short-circuit device (16), when the capacitor (20) of the high-power semiconductor device (12) unloads. 12. The high-power semiconductor module (10) of one of the preceding claims,: wherein the short-circuit device (16) comprises a fibre-optic connection (54) and a control circuitry (46) for converting a trigger signal (23) from the fibre-optic connection (54) into an electrical trigger signal. 13. The high-power semiconductor module (10) of one of the preceding claims,: wherein the high-power semiconductor device (12) comprises a fibre-optic connection (52) and a control circuitry (49) for processing control signals from the fibre-optic connection (52). 14. A modular multilevel converter system (94), comprising: a plurality of high-power semiconductor modules (10) according to one of the preceding claims; a controller (98) for controlling the high-power semiconductor devices (12) of the high-power semiconductor modules (10); wherein the controller (98) is adapted for detecting a failure of a high-power semiconductor device (12) and for providing a trigger signal (23) to a short-circuit device (16) for bypassing the failed high-power semiconductor device (12).
2824246
Pull-out sprayhead and related faucet provided with magnetic coupling system
1
Based on the following detailed description of an invention, generate the patent claims. There should be 12 claims in total. The first, independent claim is given and the remaining 11 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
With reference to the attached Figures 1-7, 1 denotes in general a pull-out sprayhead designed according to a first embodiment of the present invention and intended to be releasably engaged with a faucet, preferably a kitchen mixer. The figures show only the end part of said faucet, namely the neck 20 inside which the pull-out sprayhead 1 is inserted in its rest configuration. It can be noted that the extractable sprayhead 1 may be commercially distributed separately from the faucet body with which it is associated; also it is possible, by means of minimum structural modifications to be made at the outlet mouth, to adapt the existing tapware so as to allow mounting of the pull-out sprayhead 1 thereon. The pull-out sprayhead 1 extends longitudinally from a first coupling end 10d to a supply mouth 11a. A flexible water supply pipe, which passes through the faucet body and is connected to the water mains, is connected at the coupling end 10d in the ways described below. The water from the water mains is thus introduced along a flow path 100 which is defined inside the pull-out sprayhead and emerges in the supply mouth 11a situated opposite the coupling end 10d. The flow path 100 passes through a selector device 18, namely a flow switch which can be configured in two different positions, allowing modification of the form of the flow from the supply mouth 11a. The pull-out sprayhead 1, in its rest configuration, is mounted at the inlet mouth if the neck 20 of the faucet and ensures physical continuity with respect to said neck 20. In an operating configuration, the pull-out sprayhead 1 may be detached from said neck 20, drawing along with it the flexible pipe which connects it to the water mains. The rest configuration mentioned above is maintained owing to the magnetic attraction action which occurs between a magnet 12, integral with the pull-out sprayhead, and a ferromagnetic element 21, which is instead integral with the neck 20 of the faucet. The configurations and modes of fixing these parts will be explained more fully in the description below. The pull-out sprayhead 1 comprises a tubular body 19 which houses the aforementioned selector device 18 and has the supply mouth 11a at its bottom end. In the preferred embodiment described here, said tubular body 19 has a cylindrical form and diameter the same as that of the similar cylindrical form of the neck 20 of the faucet with which the pull-out sprayhead 1 is associated. The tubular body 19 houses, at its top end situated opposite the supply mouth 11a, an inlet fitting 10 which protrudes partially from this tubular body 19 and forms the hydraulic connection with the aforementioned flexible supply pipe. The inlet fitting 10 has a tubular form and defines internally the upstream section of the flow path 100 inside the pull-out sprayhead 1. A connection thread 10h intended for connecting the end of the extractable water supply pipe is formed inside this section. The inlet fitting 10 is divided into a distal portion 10a and a proximal portion 10b which differ mainly with regard to their outer form. The distal portion 10a, removed from the tubular body 19, has in fact a cylindrical structure with a diameter smaller than the underlying proximal portion 10b. The proximal portion 10b of the inlet fitting 10 has a base 10i which is introduced inside a hole with the same cross-section formed in the upper surface of the tubular body 19. The base 10i is provided with a circular flange which retains it inside the tubular body 19; a connecting ring 10j is also arranged between the flange and the upper surface of the tubular body 19. It can be noted that the base 10i has two faceted surfaces so that the inlet fitting 10 is rotatably locked with respect to the tubular body. Above the base 10i, the proximal portion 10b has a partial outer thread 10f which allows screwing on top of the inlet fitting 10 of a covering nut 14 described below. The base 10i is separated from the outer thread 10f by a circumferential groove 10g. The reduction in diameter between the distal portion 10a and the proximal portion 10b defines a shoulder 10c on top of which the magnet 12 rests. Said magnet 12, which has an annular form, also bears against an outer wall 10e of the distal portion 10a. The covering nut 14, being screwed on top of the aforementioned outer thread 10f, defines a housing chamber 13 which encloses the magnet 12. In the case in question, said covering nut 14 has a substantially cylindrical lateral sleeve 14a, which laterally surrounds the magnet 12, and an end collar 14b which closes the annular end of the housing chamber 13 situated opposite the shoulder 10c. The lateral sleeve 14a has an inner thread 14c which engages over the outer thread 10f of the inlet fitting 10. The housing chamber 13 is sealed by means of special sealing means, comprising in the case in question a first annular gasket 15 and a second annular gasket 16. The first annular gasket 15, arranged between the magnet 12 - which has at the top a circular cavity intended to receive said annular gasket - and the end collar 14b of the covering nut 14, embraces the distal portion 10a of the inlet fitting 10. By tightening the covering nut 14 on top of the inlet fitting 10, said seal 15 is suitably compressed. The second annular gasket 16, which defines a bottom seal for the housing chamber 13, sits inside the circumferential groove 10g of the proximal portion 10b of the inlet fitting 10. This seal 16 is therefore arranged, with respect to the housing chamber 13, on the opposite side of the threaded connection between the covering nut 14 and the inlet fitting 10. A suitably flared end portion 14d of the covering nut 14, which extends as far as the top end of the tubular body 19, hides the second annular gasket 16 from the sight of the user. Underneath the base 10i of the inlet fitting 10, the tubular body 19 houses an intermediate stage 17 which defines internally the selector device 18. The selector device 18 comprises a stem 18b, joined to a closing member, which may be selectively positioned in two alternative configurations in which the outgoing flow is respectively deviated towards a peripheral ring of the supply mouth 11a or towards a central area of the latter. A control member 18a outside the tubular body 19, consisting in the case here of a sliding button, is kinematically connected to the stem 18b and allows the user to operate said selector device 18. Underneath the aforementioned intermediate stage 17, the tubular body 19 also houses an outlet stage 11 comprising in particular one or more jet diffusers/ventilators of the known type. The outlet stage 11 consists of a cylindrical device which is screwed inside the tubular body 19, thus retaining, in a packet arrangement, the intermediate stage 17 situated above and the circular flange of the base 10i of the inlet fitting 10. As can be seen from the above description, the covering nut 14 defines a top cap of the pull-out sprayhead 1 which, protruding with respect to the tubular body 19, surrounds the inlet fitting 10 and encloses in a sealed manner the magnet 12. In the rest configuration of the pull-out sprayhead 1, this covering nut 14 is introduced completely inside the inlet mouth of the neck 20 of the faucet, while the top end of the tubular body 19 is locked and bears against the bottom of this inlet mouth. It can be noted that the covering nut 14 has externally two lateral recesses 14e which allow it to be engaged with a tightening tool. The ferromagnetic element 21, which in this case consists of an externally and peripherally threaded ring, is screwed onto a corresponding inner thread formed in the neck 20 of the faucet, at a distance from the inlet mouth. In the rest configuration described above, said ferromagnetic ring 21 is located flush with the outer surface of the end collar 14b of the covering nut 14. It can be noted that the aforementioned ferromagnetic element 21 may be easily fixed using systems other than that described above, for example by means of gluing, keying or an interference fit, inside the neck of already existing tapware, so that the pull-out sprayhead 1 according to the present invention may be adapted to said tapware. With reference to the attached Figures 8-10, 1' denotes in general a pull-out sprayhead designed according to a second embodiment of the present invention, said sprayhead being associated with a faucet neck 20 in a manner entirely similar to that described above. The pull-out sprayhead 1' according to the second embodiment has all the functional and form characteristics of the similar pull-out sprayhead discussed above, with the sole exception of the configuration of the inlet fitting 10'. Owing to this similarity, the parts of the pull-out sprayhead 1' not forming part of the inlet fitting 10' are defined in the figures and description with the same reference numbers used before; the parts forming part of the inlet fitting 10' of the pull-out sprayhead 1' according to the second embodiment are instead distinguished by the addition of an apostrophe. Below only the parts of the device which are modified with respect to the previously described embodiment are described. In the first embodiment described above, the proximal portion 10b of the inlet fitting 10 comprises an enlarged annular portion which is formed as one piece and which defines at the top the shoulder 10c, along the side the outer thread 10f and at the bottom the groove 10g. In the second embodiment, this enlarged annular portion is instead defined by a part mounted on the inlet fitting 10', in this case a double-threaded bushing 10'k which is screwed, by means of its inner thread 10'm, onto a corresponding peripheral thread 10'l of the inlet fitting. The other thread of the double-threaded bushing 10'k defines the outer thread 10'f on top of which the covering nut 14 is screwed. The top surface of the double-threaded bushing 10'k defines the support shoulder 10'c for the magnet 12, while the bottom surface delimits at the top the groove 10'g which seats the second annular gasket 16. The main body of the inlet fitting 10' which protrudes from the tubular body 19 has a substantially tubular shape: the proximal portion 10'a has a cylindrical outer configuration, the proximal portion 10'b has a section with a cylindrical outer configuration of larger diameter and a joining section which is again cylindrical. The top part of the joining section has, formed therein, a peripheral thread 10'l, while the cylindrical bottom section delimits the bottom of the groove 10'g. It can be noted that the configuration of the inlet fitting 10 of the first embodiment is substantially identical to that of the inlet fitting 10' of the second embodiment in the assembled configuration; while, however, in the first case the part consists of one piece, in the second case it consists of two components assembled on each other. An advantage of the present invention relates to the simplicity and corresponding low cost of the system for fixing the magnet to the pull-out sprayhead. Another advantage of the present invention arises from the possibility of being able to replace easily the magnet which performs connection of the sprayhead, it being sufficient to unscrew the protective nut in order to access the part. Another advantage lies in the impermeable nature of the magnet housing chamber which allows the magnet to be protected against corrosion. A further advantage consists in the fact that the pull-out sprayhead according to the present invention may be easily adapted to tapware which originally did not envisage the magnetic coupling system.
1. Pull-out sprayhead (1; 1') for a faucet, comprising: an inlet fitting (10; 10') provided with a coupling end (10d) which can be connected to an extractable water supply pipe; a supply mouth (11a) in fluid communication with the said coupling end (10d); and a magnet (12) fixed at the inlet fitting (10; 10') and designed to allow releasable connection of said pull-out sprayhead (1) to a faucet neck (20), characterized in that said magnet (12) is arranged in a housing chamber (13) defined between an outer wall (10e) of said inlet fitting (10; 10') and a covering nut (14) fastened to said inlet fitting (10; 10;).
2. Pull-out sprayhead (1; 1') according to claim 1, wherein said magnet (12) rests on a shoulder (10c; 10'c) integral with the inlet fitting (10; 10') and said covering nut (14) has a cup-shaped configuration which closes the end of the housing chamber (13) opposite the shoulder (10c; 10'c). 3. Pull-out sprayhead (1; 1') according to claim 2, wherein said covering nut (14) has a lateral sleeve (14a) provided with an inner thread (14c) engaged on an outer thread (10f; 10'f) integral with the inlet fitting (10; 10') and an end collar (14b) which closes said housing chamber (13), opposite to the shoulder (10c; 10'c). 4. Pull-out sprayhead (1) according to claim 3, wherein the shoulder (10c) is defined by an enlarged proximal portion (10b) integral with the inlet fitting (10), said outer thread (10f) being formed on the same proximal portion (10b). 5. Pull-out sprayhead (1') according to claim 3, wherein the shoulder (10'c) is defined by a double-threaded bushing (10'k) screwed onto a proximal portion (10'b) of said inlet fitting (10'), said outer thread (10'f) being formed on the outer peripheral surface of said double-threaded bushing (10'k). 6. Pull-out sprayhead (1; 1') according to one of the preceding claims, wherein said housing chamber (13') is sealed with sealing means (15, 16). 7. Pull-out sprayhead (1; 1') according to claim 6 when dependent on one of claims 3-5, wherein said sealing means (15, 16) comprise a first annular gasket (15) arranged between the magnet (12) and the end collar (14b) of the covering nut (14), said first annular gasket (15) being able to be compressed by screwing the covering nut (14) with respect to the inlet fitting (10). 8. Pull-out sprayhead (1; 1') according to claim 6 when dependent on one of claims 3-5 or claim 7, wherein said sealing means (15, 16) comprise a second annular gasket (16) arranged between a groove (10g; 10'g) formed on the proximal portion (10b; 10'b) of the inlet fitting (10; 10') and the lateral sleeve (14a) of the covering nut (14). 9. Pull-out sprayhead (1; 1') according to claim 1, wherein said nut (14) is fastened to the inlet fitting (10; 10') by means of a threaded connection. 10. Faucet comprising a pull-out sprayhead (1; 1') according to one of the preceding claims, said faucet comprising a main body in which an extractable water supply pipe is inserted, which is connected to the coupling end (10d; 10'd) of said inlet fitting (10; 10'), said faucet also comprising magnetically attractable means (21) at a neck (20) of said main body, said magnetically attractable means (21) cooperating with said magnet (20) so as to perform the releasable connection of said pull-out sprayhead (1; 1') to said neck (20). 11. Faucet according to claim 9, wherein said magnetically attractable means (21) comprise a ring of ferromagnetic material which can be fastened peripherally to an inner wall of said neck (20). 12. Faucet according to claim 11, wherein fastening between the ferromagnetic ring and the inner wall of said neck is achieved by means of a threaded connection.
2824773
Connector assembly with integrated lever locking system
1
Based on the following detailed description of an invention, generate the patent claims. There should be 14 claims in total. The first, independent claim is given and the remaining 13 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In the following, the invention is described exemplarily with reference to the enclosed figures, in which - Figure 1 shows a connector assembly in exploded schematic view; - Figure 2 shows the connector assembly of Figure 1 in assembled condition; - Figure 3 contains two side views of the connector assembly of Figure 2, showing the lever in two different positions; - Figures 4a to 4c show details of the inventive holding means; - Figure 5 shows a schematic three dimensional view of a mate assist lever including holding means in accordance with the invention; and - Figures 6a and 6b show details of a locking protrusion in accordance with the invention. Figure 1 shows a connector assembly 10 in a schematic exploded view. In the shown embodiment, the connector assembly comprises a housing 200 made up of a main part and a housing module 28, which is part of housing 200. Further, a wire shroud 30 is shown as well as a mate assist lever 100, which serves to facilitate a mating process between housing 200 and a corresponding counter connector 40. The working principle of such mate assist mechanisms is in general well known to the skilled person, so that it is refrained herein from giving a more detailed explanation thereof. Inside of housing 200, a mat seal 22 can be arranged, which is fixed in position by a mat seal retainer 24. The assembly 10 further comprises a connector seal 26. The connector assembly 10 is shown in Figure 2 in a three dimensional schematic view in assembled condition. The lever 100 is pivotably arranged on housing 200 and is adapted to be pivotable around a pivot axis 110. The lever 100 has a general u-shape configuration with two lever arms 102, 103 connected by a common web 105, and in the embodiment shown, each lever arm 102, 103 has a pivot axis 110 that passes through the lever arm. Figure 3 shows connector assembly 10 in two side views. In the side view on the left hand of Figure 3, the lever 100 is shown in the preliminary mating position. In the position shown, it is now possible to plug connector housing 200 onto the counter connector 40 to initiate the mating process. On the right hand side of Figure 3, the same arrangement is shown with lever 100 in the fully mated position. As the skilled person recognizes, upon turning lever 100 counter clockwise from the preliminary mating position to the fully mated position, for example suitable cam means provided on lever and counter connector interact so that connector housing 200 and counter connector 40 are pulled towards each other to achieve a full mating of the connectors. The functioning of the mate assist mechanism itself is not relevant for the present invention and for the details it is referred to the above described prior art, the teaching of which is included herein by reference. In Figures 4a to 4c, the holding means of the present invention are explained in more detail. Figure 4a shows the same illustration as Figure 3, with lever 100 in the open or preliminary mating position. In the shown embodiment, the connector assembly comprises altogether four holding means, two on each lever arm and each pair being arranged on opposite sides of the pivot axis 110. Now referring to Figure 4b and 4c: details of first holding means 120 are shown in Figure 4c and details of the second holding means 130 are shown in Figure 4b. It should be noted that the lever arm 103, which is not visible in Figure 4a due to the perspective, comprises symmetrically arranged identical holding means. Figure 4b shows details of second holding means 130 as seen from a direction x indicated by the corresponding arrow in Figure 4a. Thus, Figure 4b is not a cut view, in contrast to Figure 4c. In Figure 4b, the downward directed arrow indicates the direction of movement the lever arm 102 will follow, when lever 100 is moved from the preliminary mating position to the fully mated position, i.e. in direction "F" indicated in Figure 4a. From Figure 4b one can see that housing 200 is provided with a locking protrusion 131 and the lever arm 102 is provided with a corresponding locking reception 132 receiving the locking protrusion 131 therein, in the preliminary mating position (cf. Figure 4a ). The locking reception 132 has a first wall 133 and a second locking wall 134 being arranged on opposite sides of protrusion 131. A skilled person will recognize, that upon rotation of the lever 102 from the preliminary mating position to the fully mated position, i.e. in the direction of the downward arrow in Figure 4b, the first wall 133 will come into contact with the upper surface of locking protrusion 131. Since first wall 133 is oriented in a plane suitably inclined to the rotating direction, a force component is directed from first wall 133 onto the locking protrusion 131, which will displace the protrusion in direction of cavity 135, i.e. to the left in Figure 4b. Thereby, the locking protrusion 131 is displaced to release the lever. However, when trying to rotate the lever in the opposite rotating direction, second wall 134 of reception 132 will likewise engage the lower contact surface of locking protrusion 131. Since second wall 134 and the corresponding contact face of locking protrusion 131 are oriented in a plane essentially perpendicular to the rotating direction no force component in direction to cavity 135 is generated and protrusion 131 is not displaced and remains in engagement with second wall 134 of reception 132. Therefore, it is not possible to rotate in said opposite rotating direction, i.e. in the direction opposite to the direction indicated by the arrow in Figure 4b. The skilled person will recognize that the connector assembly 10 can and is used in practice in any spatial orientation, so that the expressions up, down, left or right as used herein are only used to facilitate the description of the different elements of the connector assembly shown in the figures. Now turning to Figure 4c, the functioning of the first holding means 120 is explained in detail. The illustration of Figure 4c shows a cut view along plane A-A in Figure 4a. As one can see from Figure 4c, the holding means 120 are similar to holding means 130. Since the first holding means 120 are arranged on the opposite side of pivot axis 110 as the second holding means 130, the direction from the preliminary mating position to the fully mated position in Figure 4c is indicated by the upward arrow in Figure 4c. Also holding means 120 comprise a locking protrusion 121 and a corresponding locking reception 122, which is formed by two walls 123 and 124 arranged on opposite sides of protrusion 121. Also in this case, a cavity 125 is arranged in close proximity to locking protrusion 121 to enhance the flexibility of the locking protrusion towards the cavity 125. In other words, due to cavity 125, protrusion 120 can easily be bent or flexed in a direction towards said cavity, i.e. in a direction to the right in Figure 4c, and thus in a direction to release the lever. Also with holding means 120, one can see that the first wall 123 is suitably inclined to generate a force component directed onto the locking protrusion 121, to displace the protrusion 121 towards said cavity 125 to release the lever. On the other hand, first wall 124 is inclined in such a manner, that it will not generate any force component in the direction of cavity 125 (release direction) but that it will rather generate a force component onto protrusion 121 away from cavity 125, so that protrusion 121 is not displaced or displaced in the wrong direction and cannot release the lever. Figure 5 shows lever 100 in a three dimensional perspective view. As one can take from Figure 5, each lever arm 102, 103 comprises holding means 120 and 130, being arranged on opposite sides of the pivot axis 110. Figures 6a and 6b show details of the locking protrusion 131 (locking protrusion 121 may have the identical arrangement). As one can see, locking protrusion 131 is arranged on an edge of housing 200, and on face 202 of housing 200. The protrusion 131 has essentially cubic shape. On face 201 perpendicular to face 202 of connector housing 200, a cavity 135 is arranged in close proximity to the locking protrusion 131, i.e. so close to the protrusion 131 that it enhances the flexibility thereof. Thus, locking protrusion 131 can be moved towards cavity 135, so that it can be displaced by the first locking wall of locking reception 132 (cf. to Figure 4b ). Figure 6b shows the same arrangement as Figure 6a from a side view (as seen from direction X in Figure 4a ). One can see that the two contact walls of protrusion 131, i.e. the walls of protrusion 131 which face towards first and second locking walls 133 and 134, are inclined outwardly. Thereby, an improved locking in cooperation with the second locking wall 124 can be achieved and at the same time an improved displacement action when cooperating with first locking wall 133.
1. Electrical connector assembly (10) comprising: a housing (200); and: a mate assist mechanism comprising a lever (100) pivotably arranged on the housing (200); the lever being movable from a preliminary mating position to a fully mated position, and wherein the connector assembly (10) comprises holding means (120; 130) to releasably hold the lever (100) in the preliminary mating position, characterized in that: said holding means (120; 130) comprise a locking protrusion (121; 131), being adapted to be flexibly movable in one direction and a corresponding locking reception (122; 132) receiving said locking protrusion (121; 131) when the lever is in the preliminary mating position;: wherein the locking reception (122; 132) has first (123; 133) and second locking walls (124; 134) being arranged on opposite sides of said protrusion (121; 131);: upon relative rotation of the lever (100) and the housing (200) from the preliminary mating position to the fully mated position the first locking wall (123; 133) displaces the protrusion (121; 131) to release the lever, and: upon relative rotation of lever and housing from the preliminary mating position in the opposite rotating direction the second locking wall (124; 134) engages said protrusion (121; 131) thereby preventing a rotation in said opposite rotating direction.
2. Electrical connector assembly according to claim 1, wherein the holding means (120; 130) comprises further at least one cavity (125; 135) arranged in close proximity to the locking protrusion (121; 131) to enhance said flexibility of the locking protrusion (121; 131), such that said locking protrusion is moved towards said cavity when displaced by said first locking wall (123; 133). 3. Electrical connector assembly according to claim 2, wherein the cavity (125; 135) is arranged on a side wall (201) of the housing (200) and the locking protrusion is arranged on an edge of the housing in close proximity to said side wall. 4. Electrical connector assembly according to any preceding claim, wherein the locking protrusion (121; 131) is arranged on an edge of the housing. 5. Electrical connector assembly according to any preceding claim, wherein the locking protrusion (121; 131) has an essentially cubic shape. 6. Electrical connector assembly according to any preceding claim, wherein a surface of the locking protrusion (121; 131) facing said first locking wall is chamfered and / or wherein the first locking wall is chamfered. 7. Electrical connector assembly according to any preceding claim, wherein the holding means is adapted such that a predetermined threshold force of at least 10 N, more preferred 40 N, and maximum 50 N has to be applied by an operator to rotate the lever and the housing relatively from preliminary mating to fully mated position. 8. Electrical connector assembly according to any preceding claim, wherein the locking reception (122; 132) is adapted such that the contact surfaces of the first locking wall (123; 133) and the locking protrusion (121; 131) are oriented in a plane inclined to the rotating direction such that a force component is directed onto the locking protrusion (121; 131) to displace the protrusion to release the lever upon said relative rotation of the lever and the housing from the preliminary mating position to the fully mated position. 9. Electrical connector assembly according to any preceding claim, wherein the locking reception (122; 132) is adapted such that contact surfaces of the second locking wall (124; 134) and the locking protrusion are oriented in a plane essentially perpendicular to the rotating direction. 10. Electrical connector assembly according to any preceding claim, wherein the locking reception (122; 132) is adapted such that contact surfaces of the second locking wall (124; 134) and the locking protrusion are oriented such that no force component is directed onto the locking protrusion (121; 131) which would displace the protrusion to release the lever, upon said relative rotation of lever and housing from the preliminary mating position in said opposite rotating direction. 11. Electrical connector assembly according to any preceding claim, wherein the locking reception (122; 132) is arranged on the lever (100) and the locking protrusion (121; 131) is arranged on the housing (200). 12. Electrical connector assembly according to any preceding claim, wherein the lever (100) has a U-shape form with two lever arms (102, 103) connected by a common web (105), whereby each lever arm has a pivot axis (100) that passes through the lever arm, and wherein at least one, preferably both, lever arm(s) comprise(s) two locking receptions (122; 132) that are arranged thereon on opposite sides of the pivot axis. 13. Electrical connector assembly according to claim 12, wherein two locking protrusions corresponding to the two locking receptions (122; 132) are arranged each on an edge of the housing. 14. Electrical connector assembly according to any preceding claim, wherein the holding means comprises at least four locking protrusions (121; 131) and four corresponding locking receptions (122; 132).
2826919
A spreading device
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a perspective view of a spreading device 1. The spreading device 1 comprises a disc 2 with a central bore (not shown) and wings 5 attached to the periphery of the disc 2. The spreading device 1 is to be arranged on a vehicle, such as a lorry, and includes a telescopic funnel 9 feeding granular material such as salt, sand, grit or aggregate from an electronically controlled dosing means on the vehicle. The spreader disc 2 is suspended at the lower part of a funnel 9. The arrangement further comprises a pipe 27 for feeding liquid such as brine or water, said liquid being provided next to the funnel 25. The spreading device 1 communicates with a container charging the granular material and a container for discharging liquid, such as salt water. The discharge of the granular material and the discharge of salt water or similar ingredients are electronically controlled by means of control circuits. A motor 26 drives the spreading device 1 and other rotating elements. A mixing chamber 8 and the associated means are placed at the upper side of the disc 2. The mixing chamber 8 comprises a mixing disc 11 placed with a central bore axis coincident with the central bore of the disc 2. The technical details of the mixing disc 11 is not shown but is shown and will be explained with reference to fig. 2, 3, 4. The mixing chamber 8further comprises a delimiting, vertically placed and cylindrically shaped wall 10 enclosing the mixing disc 11. The mixing disc 11 is fastened to the disc 2 by bolts and is replaceable. It rotates together with the rotation of the disc 2. The wall 10 is stationary during rotation of the mixing disc 11 and the disc 2. Salt and salt water or other materials are fed into the mixing chamber 8 and conveyed during rotation of the spreading device 1 through a discharge opening 29 of the mixing chamber 8. The discharge opening 29 is placed in such a way that it points in the opposite direction of the travelling direction of the vehicle carrying the assembly in question. When the mixture leaves the mixing chamber 8, the mixture hits/reaches the rotating wings 5. The wings 5 comprise a horizontal wing part 7 attached to the disc 2 and a vertical wing part 6. The slope of the vertically orientated wing part 6 has an impact on the spread pattern of the granular material. Figure 2 shows a first embodiment of a mixing chamber comprising a mixing disc 11 and the cylindrically shaped wall 10 with a discharge opening 29 for the mixed material leaving the mixing chamber. In the circular periphery 15 of the mixing disc 11, a number of flexible means 12 are attached; they may be detachably attached to the disc 11. The flexible means 12 are designed as elongated flexible means 14 formed in a flexible material such as brush fibres with properties in relation to flexibility and the module of elasticity as is used for machines cleaning streets. It may also be formed in a rubber or plastic material such as polypropylene, PBT. They may also be formed from metal wires. It is important for the chosen material and design that it is flexible and less wearresistant compared to the material of the wall. When the mixing disc 11 rotates, the end 31 of the flexible means does not touch the inner surface 16 of the chamber. Further, the elongated flexible means should have a certain length - advantageously around 40-45mm, preferably 42mm. The distance d1 between the periphery 15 of the mixing disc 11 and the inner surface 16 of the wall 10 of the mixing chamber 8 is around 40-50mm, preferably 43-45mm. The distance d2 between the end 31 of the flexible means 12 and the inner surface of the wall is 1-3mm. Thereby, it is secured that it is the mixing disc being exposed to abrasion. When it has been worn out, it is easy to replace. This is done by loosening and removing the bolts placed in the bores 28 of the mixing disc 11, said bolts detachably fastening the mixing disc 11 to the disc 2. Thereby, cheap and easy repair of the mixing chamber 8 is provided as it is not necessary to replace other parts of the mixing chamber 8 than the mixing disc 11. The mixing disc 11 comprises an upper surface 17 and an oppositely placed lower surface 18, the lower surface 18 resting against the disc 2. The upper surface is provided with grooves 19. The grooves are equally shaped with their longitudinal axis pointing in a radial direction towards the periphery of the mixing disc 11. The grooves 19 are formed with a bottom wall 19, two oppositely placed side walls 22 and a back wall 23 delimiting the groove towards the central bore 4 of the mixing disc 11. Opposite the back wall 23 in the periphery of the mixing disc 11, the grooves 19 are provided with an opening 20 through which the mixture leaves the mixing disc 11 and falls into a mixing space 30 between the inner surface of the wall 10 and the periphery 15 of the mixing disc 11. In this space 30, the mixture is finally mixed by the flexible means 12 and leaves the mixing chamber 8 through the discharge opening 29 due to the rotation of the flexible means 12 guiding the mixture out of the discharge opening 29. Figure 3 shows another embodiment of a mixing disc 11 according to the invention. The reference numbers applying to Figure 2 also apply to Figure 3. The principal differences between the two embodiments are the construction of the flexible means 12 and also the placement of the flexible means 12. In this embodiment, the flexible means 8 comprise a number of flexible fibres arranged in bundles 13. Two bundles 13 of flexible fibres are placed at the periphery 15 of the mixing disc 11, one above the other. The bundles 13 are placed in an area of the periphery between the openings of the grooves 19, exactly as is the case in Figure 2. Each fibre is circular or oval in cross section, and each bundle comprises 5-10 fibres. The flexible means/the bundles 13 are arranged in at least two circumferential rows placed in the periphery 15 of the mixing disc 11, said one row being placed above the other row. Figure 4 shows a cross-sectional view of the mixing disc 11 shown in Figures 2 and 3 without the flexible means. The reference numbers applying to Figures 2 and 3 also apply to Figure 4. It shows the shape of the bottom wall 21 and back wall 23 of the grooves 19. The bottom 21 is running straight, but in an inclined angle V° of around 50-80 degrees, preferably around 70 degrees measured between the longitudinal axis of the bore 4 and a line following the straight course of the bottom wall 21. The bottom 21 continues into the back wall 23. The back wall 23 is round in shape, the shape having a bending radius: R 50. The flexible means (not shown) are attached to the periphery of the mixing disc. The fibres are longitudinally shaped and are running straight. The longitudinal axis of the fibres provides an angle V° of around 50-80 degrees, preferably around 70 degrees measured between the longitudinal axis of the bore 4 and the longitudinal axis of the fibres. Figure 5 shows a sectional view of the mixing disc shown in Figure 4 along the line V-V. The opposing side walls 19 are substantially parallel and continue into the bottom wall 21. The area between them is slightly rounded, whereby clogging of the substance is avoided.
1. A spreading device (1) for spreading granular material such as salt, slurry, sand, grit, aggregate, brine and/or powdery materials mixed with a liquid such as water comprising a disc (2) with a central bore (4) adapted to rotate around an axle/shaft placed in said bore (4), said axle/shaft being driven by moving means, said disc (2) supporting a number of wings (5) for spreading the material, the spreading device (1) further comprising a mixing chamber (8) for mixing the liquid and the granulated material, said mixing chamber (8) comprising a wall (10) in which a discharge opening (29) is provided, characterised in that the spreading device (1) further comprises a mixing disc (11) adapted to rotate together with the disc (2), said mixing disc (11) comprising a bore (4) being coaxial with the central bore of the disc (2), the mixing disc 11 comprising flexible means (12) placed at the periphery of the mixing disc (11), said flexible means (12) being adapted to mix the liquid and granular material and guide the mixed materials through the discharge opening (29) to the wings (5) during rotation of the mixing disc (11).
2. A spreading device (1) according to claim 1, characterised in that the flexible means (12) comprise elongated flexible means (14) spaced apart and attached to the periphery of the mixing disc (11). 3. A spreading device (1) according to claims 1-2, characterised in that the cross-sectional area of each elongated flexible means (14) is substantially circular or oval. 4. A spreading device (1) according to claims 1-3, characterised in the mixing disc (11) having a substantially circular periphery (15) and the periphery (15) being placed at a distance d1 from the inner surface (16) of the wall of the mixing chamber (8), said wall (10) being substantially circular in cross section and the free (31) end of the flexible means (12) being placed at a distance d2 from the inner surface of the wall of the mixing chamber, said distance d2 being larger than 0mm and smaller than d1. 5. A spreading device (1) according to any of the preceding claims, characterised in that the mixing disc (11) comprises a mixing upper surface (17) and an oppositely placed mixing lower surface (18), said upper surface (17) pointing towards the wall of the mixing chamber (8) and comprising a number of grooves (19) being spaced apart, each groove (19) having an opening (20) in the periphery (15) of the mixing disc (11). 6. A spreading device (1) according to claim 5, characterised in that the grooves (19) comprise a bottom wall (21), two oppositely placed side walls (22) and a back wall (23) connecting the three walls, said bottom wall (21) being inclined downwards and towards the periphery (15) of the mixing disc (11) and the opening (20). 7. A spreading device (1) according to any of the preceding claims, characterised in that the flexible means are arranged in at least two circumferential rows placed in the periphery of the mixing disc (11), said one row being placed above the other row. 8. A spreading device (1) according to claims 5-7, characterised in that bundles (13) of elongated flexible means (14) are placed in the periphery (15) of the mixing disc (11) and between the openings (20) of the grooves (19), and that the bundles (13) are arranged in such a way that at least two bundles (13) of elongated flexible means (14) are placed between two neighbouring openings (20), said bundles (13) being placed with one bundle (13) placed above the other bundle (13). 9. A spreading device (1) according to any of the preceding claims, characterised in that the mixing disc (11) comprises several displaceable disc parts for forming the mixing disc, said each displaceable disc part comprising at least one groove and flexible means attached to the periphery of said disc part. 10. A spreading device (1) according to any of the preceding claims, characterised in that some parts of the mixing disc (11) are provided with additional flexible means compared to other parts of the mixing disc (11), said additional flexible means being placed above the other flexible means. 11. A spreading device (1) according to any of the preceding claims, characterised in the flexible means (12) being detachably attached to the periphery (15) of the mixing disc (11). 12. A spreading device (1) according to any of the preceding claims, characterised in that the mixing disc (11) is a replaceable part comprising fastening means (24) for fastening the mixing disc (11) to (the upper surface of) the disc (2). 13. A spreading device (1) according to claims 5-12, characterised in that the grooves (19) are placed with their longitudinal axis being radially directed and that the grooves (19) are placed with the same distance to their longitudinal axis measured on a circle with a centre being the centre of the bore. 14. A spreading device (1) according to any of the preceding claims, characterised in that a mixing space (30) is provided between the periphery of the mixing disc (11) and the inner surface of the wall of the mixing chamber, and in that the flexible means (12) are placed in said mixing space. 15. Vehicle or device comprising a spreading device according to any of the preceding claims, said vehicle/device comprising a motor for rotating the spreading device, a container for containing and supplying the granular material and a second container for supplying the liquid.
2826608
Method of moulding kneadable material and mould for kneadable material
1
Based on the following detailed description of an invention, generate the patent claims. There should be 5 claims in total. The first, independent claim is given and the remaining 4 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In an example of the invention, kneadable material is prepared for moulding in a kneading section. The kneadable material may be clay for producing stone strips or other building products. Then the kneadable material is fed to a dosing hopper unit. This unit is arranged to receive the kneaded kneadable material and to provide a dose of the kneaded kneadable material in the form of a tablet to a conveyor belt. The conveyor belt transports the tablet to a mould. The tablet (5) may be sprinkled before being placed on a first surface (21) or at least before being moulded to further influence the shape of irregularities of the surface of the tablet (5). In the case of a clay tablet for a stone strip, it may be sprinkled with sand, or wood or water. Hereafter, the fist direction will be used interchangeably with the z-direction, the second direction interchangeably with the y-direction and the third direction interchangeably with the x-direction. The mould (1) comprises a support (2) with the first surface (21) ( figure 1 ). The first surface may be the surface of the conveyor belt. The first surface is advantageously perpendicular a first direction (the z direction in the figure) and this first direction is advantageously parallel to the force of gravity. By being nearly or completely perpendicular to the force of gravity, the first surface can support the kneaded kneadable material (5) as provided by the dosing hopper unit. The mould (1) further comprises a movable plate (3) comprising a second surface (31). The moveable plate (3) is suspended from a positioner (4). When the first surface is provided with the kneadable material, the first moveable plate (3) is in a loading position. The positioner (4) is arranged to position the moveable plate (3) at a first distance from the first surface in a next step of the moulding process. This first distance is larger than the thickness of the tablet (5), which means that the moveable plate (3) is suspended above the kneadable material. For producing moulded kneadable material with different dimensions and surface irregularities, the settings of the positioner are adaptable and the first distance can be varied by an operator. The mould (1) further comprises a side press (6) arranged to press the tablet (5) of kneadable material against a third surface (71) of a wall (7). The side press (6) is pressed towards the wall (7) by a push rod (62). The direction along which the side press (6) is moved, i.e. the second direction (the y-direction in figure 1 ), is perpendicular to the first direction. The third surface is perpendicular to the second direction as is the forth surface (61) of the side press facing the third surface. While the forth surface is advanced towards the third surface by the push rod (62), the kneadable material is pressed against the third surface (71). After advancing the side press and thus the forth surface (61) sufficiently, the surface of the tablet (5) of kneadable material facing the second surface (31) starts to deform irregularly ( figure 2 ). To control the thickness of the kneadable material as shaped by the mould (1) and the shape of the irregular deformations, the positioner (4) exerts a force lower than the force exerted by the push rod (62). At a threshold force, the second surface (31) is pushed away from the first surface (21) by the kneadable material (not shown). The positioner (4) can be pushed away to travel a maximum distance which in combination with the first distance corresponds to a second distance between the first surface (21) and the second surface (31). At the maximum distance, the positioner (4) stops. The force exerted by the positioner and the speed at which it is advanced can be varied by an operator for optimizing the shape of the irregularities in the kneadable material and to adapt to different process conditions such as differences in the composition of the kneadable material material. The push rod (62) is advanced until the forth surface (61) of the side press is at a sideways distance from the third surface (71) of the wall corresponding to the desired width of the product to be made from the kneadable material, for instance 40, 50, 52, 65, 71 mm for a stone strip. Apart from comprising the forth surface (61), the side press comprises a first arm (8) and a second arm (9) ( figure 3). Figure 3 shows the side press in a position before applying pressure to the tablet (5). The first arm and the second arm protrude from the forth surface (61) towards the wall (7) and hence toward the third surface (71). The first arm (8) and the second arm (9) are on opposite sides of the forth surface (61) and have a length corresponding to the sideways distance. Together with the forth surface (61) the first arm (8) and the second arm (9) define a U-shaped form. While being pressed towards the wall (7), the first arm (8) and the second arm (9) cut through kneadable material of the tablet (5) to produce a moulded product with the length determined by the distance between the first arm (8) and the second arm (9). The positions where the moulded tablet (5) is cut to length are indicated by dashed lines. The second surface (31) is larger than the U-shaped form defined by the first arm (8), the second arm (9) and the forth surface (61) so that the kneadable material facing the second surface (31) is subjected to the same process conditions as much as possible, leading to a homogeneous irregular structure on the surface of the kneadable material. The wall may be higher than the height of the forth surface (61), for instance higher than the combined height of the forth surface (61) and the thickness of the moveable plate (3). When the method is used for producing stone strips from clay tablets, the height of the forth surface (61), i.e. its dimension in the z-direction, is typically between 6 and 25 mm. This height corresponds to the desired thickness of the moulded clay tablet. The desired dimensions of the moulded clay tablet also determine the minimum dimensions of the mould further. The moulded clay tablet typically is 190, 210 or 240 mm long and 40, 50, 52, 65, 71 mm wide. Here the length is in the x-direction and the width is in the y-direction. The moveable plate (3) may be larger than 240 mm in x-direction and 71 mm in y-direction to be useable for any combination of the above dimensions of the moulded clay tablet. The wall, the moveable plate and the side press may be from metal such as stainless steel, wood, gypsum or a suitable plastic or a combination of such materials. Advantageously, the forth surface is a surface of a pressure wall (63) and the first arm (8) and the second arm (9) are rotably attached to the pressure wall (63). The side press (6) comprises rotation means to rotate the first arm (8) and the second arm (9) when the cutting operation has ended. This prevents that the kneadable moulded product sticks to the first arm or second arm when unloading the moulded tablet (5). The rotation means are also arranged to rotate the arms back into cutting position before the side press (6) is used to cut a new tablet(5). In a next step of the moulding process, the side press (6) is returned to its original position further away from the wall (7) and the moveable plate (3) is returned to the loading position at which the distance of the second surface to the first surface is larger than the second distance (and hence the first distance). To loosen any possibly sticking kneadable material, a cutting wire may be run between the wall (7) and the moulded tablet. For the same reason a cutting wire may be run between the first surface (21) and the moulded tablet (5). Then the moulded tablet is removed from the mould. In a next step material may be deposited on the kneadable material. In the case of clay tablets for stone strips this may for instance comprise spraying minerals to influence the colour or depositing enamel or otherwise. Then the moulded tablet is advanced to a further station, for instance a dryer for drying the moulded tablet. Alternatively the moulded tablet may also be advanced directly to an oven for baking the kneadable material. In another alternative example, the side press (6) does not comprise the first arm (8) or the second arm (9) or neither of them. In the alternative example, the moulded tablet (5) may be cut to length at only one position along the x-direction or not at all, or the moulded tablet (5) may be cut to length by two walls protruding towards the first surface from the moveable plate (3) or from two cutting elements (108,109) arranged to be moved towards the first surface ( figure 4 ). The cutting elements may be moveable in the first direction independent of the movement of the moveable plate (3) and may reach the first surface. The cutting elements may be plates with sharp edged ridges in the second direction. The cutting elements may also each comprise a cutting wire at the ends facing the first surface (21). The cutting wires help preventing that the kneadable material sticks to the cutting elements when the cutting elements are retreated to unload the moulded tablet (5) as well as establishing a well controlled shape of the moulded tablet (5) during cutting. The cutting wires may also be moveable along the cutting elements in the y or z-direction for loosening any clay sticking to the cutting elements. In yet another alternative example, the cutting elements (108,109) are arranged to be moved in the y-direction, i.e. the second direction while cutting. The cutting elements may for instance first be moved in the z-direction from a loading position towards the first surface (21) to reach a cutting position and then in the y-direction towards the third surface (71) to cut the moulded tablet. After cutting, the cutting elements (108,109) may be moved back to the loading position by moving in the z-direction again and then in the y-direction. In another example, the mould is arranged to produce moulded kneadable material for forming a building product, such as a stone strip, to be used at a corner of a wall. For that purpose, the moulded kneadable material comprises a bend. The kneadable material (before being moulded) is shown in figure 5. In this example the first surface, the moveable plate (3) and the second surface (21), the wall (7) and third surface (71) and the side press (6) and the forth surface (61) all comprise a corresponding bend. A first part (51) of the kneadable material is at a first side of the bend and a second part (52) of the kneadable material is at a second side of the bend. The clay tablet may be placed in the mould either being bent or while still being straight. By advancing the moveable plate (3) in diagonal direction, i.e. at an angle with both the x-direction and the z-direction, once contact is made with the clay tablet, the clay tablet is bend. Once the moveable plate (3) is in position, the processing of the second part (52) of the kneadable material is similar to the processing of the first part (51) of the kneadable material during moulding except for the difference in the x and z directions. This way both the first part (51) and the second part (52) obtain a surface on the side of the moveable plate (3) with irregularities. While specific embodiments of the invention have been described above, it will be appreciated by a person of ordinary skill in the art that the invention may be practiced otherwise than as described, but still according to the teachings above. For instance, the skilled person will appreciate that the mould according to the invention and the method according to the invention can also be applied to other kneadable materials such as mortar, uncured concrete, kit or dough for baked food products with obvious changes. For instance, when using dough, flour can be used to present sticking of the dough to the side press, the wall or the cutting elements. The skilled person will also appreciate that other means than cutting wires to loosing sticky kneadable material from the mould are also encompassed by the invention.
1. Method of moulding kneadable material (5) for producing building products comprising: - depositing the kneadable material on a first surface (21) of a support (2), the first surface being perpendicular to a first direction; - positioning a moveable plate (3) comprising a second surface (31) such that the second surface faces the kneadable material at a first distance from the first surface, the first distance being preferably larger than the thickness of the kneadable material; - using a side press (6, 63) to press the kneadable material against a third surface (71) in a second direction perpendicular to the first direction and such that the kneadable material pushes the moveable plate and the first plate with a force sufficient to move at least one of the moveable plate and support to a relative position corresponding to a second distance between the second surface and the first surface larger than the first distance.
2. Method according to claim 1, comprising cutting the kneadable material at a first position in a third direction and a second position in the third direction, the third direction being perpendicular to both the first direction and the second direction. 3. Method according to claim 2, wherein the cutting movement is in the second direction. 4. Method according to claim 2 or 3, comprising cutting the kneadable material with a first arm (8) and a second arm (9) of the side press (6). 5. Method according to any of the claims 1 to 4, wherein the kneadable material is clay and the method is used for producing building products such as stone strips.
2826608
Method of moulding kneadable material and mould for kneadable material
2
Based on the following detailed description of an invention, generate the patent claims. There should be 4 claims in total. The first, independent claim is given and the remaining 3 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In an example of the invention, kneadable material is prepared for moulding in a kneading section. The kneadable material may be clay for producing stone strips or other building products. Then the kneadable material is fed to a dosing hopper unit. This unit is arranged to receive the kneaded kneadable material and to provide a dose of the kneaded kneadable material in the form of a tablet to a conveyor belt. The conveyor belt transports the tablet to a mould. The tablet (5) may be sprinkled before being placed on a first surface (21) or at least before being moulded to further influence the shape of irregularities of the surface of the tablet (5). In the case of a clay tablet for a stone strip, it may be sprinkled with sand, or wood or water. Hereafter, the fist direction will be used interchangeably with the z-direction, the second direction interchangeably with the y-direction and the third direction interchangeably with the x-direction. The mould (1) comprises a support (2) with the first surface (21) ( figure 1 ). The first surface may be the surface of the conveyor belt. The first surface is advantageously perpendicular a first direction (the z direction in the figure) and this first direction is advantageously parallel to the force of gravity. By being nearly or completely perpendicular to the force of gravity, the first surface can support the kneaded kneadable material (5) as provided by the dosing hopper unit. The mould (1) further comprises a movable plate (3) comprising a second surface (31). The moveable plate (3) is suspended from a positioner (4). When the first surface is provided with the kneadable material, the first moveable plate (3) is in a loading position. The positioner (4) is arranged to position the moveable plate (3) at a first distance from the first surface in a next step of the moulding process. This first distance is larger than the thickness of the tablet (5), which means that the moveable plate (3) is suspended above the kneadable material. For producing moulded kneadable material with different dimensions and surface irregularities, the settings of the positioner are adaptable and the first distance can be varied by an operator. The mould (1) further comprises a side press (6) arranged to press the tablet (5) of kneadable material against a third surface (71) of a wall (7). The side press (6) is pressed towards the wall (7) by a push rod (62). The direction along which the side press (6) is moved, i.e. the second direction (the y-direction in figure 1 ), is perpendicular to the first direction. The third surface is perpendicular to the second direction as is the forth surface (61) of the side press facing the third surface. While the forth surface is advanced towards the third surface by the push rod (62), the kneadable material is pressed against the third surface (71). After advancing the side press and thus the forth surface (61) sufficiently, the surface of the tablet (5) of kneadable material facing the second surface (31) starts to deform irregularly ( figure 2 ). To control the thickness of the kneadable material as shaped by the mould (1) and the shape of the irregular deformations, the positioner (4) exerts a force lower than the force exerted by the push rod (62). At a threshold force, the second surface (31) is pushed away from the first surface (21) by the kneadable material (not shown). The positioner (4) can be pushed away to travel a maximum distance which in combination with the first distance corresponds to a second distance between the first surface (21) and the second surface (31). At the maximum distance, the positioner (4) stops. The force exerted by the positioner and the speed at which it is advanced can be varied by an operator for optimizing the shape of the irregularities in the kneadable material and to adapt to different process conditions such as differences in the composition of the kneadable material material. The push rod (62) is advanced until the forth surface (61) of the side press is at a sideways distance from the third surface (71) of the wall corresponding to the desired width of the product to be made from the kneadable material, for instance 40, 50, 52, 65, 71 mm for a stone strip. Apart from comprising the forth surface (61), the side press comprises a first arm (8) and a second arm (9) ( figure 3). Figure 3 shows the side press in a position before applying pressure to the tablet (5). The first arm and the second arm protrude from the forth surface (61) towards the wall (7) and hence toward the third surface (71). The first arm (8) and the second arm (9) are on opposite sides of the forth surface (61) and have a length corresponding to the sideways distance. Together with the forth surface (61) the first arm (8) and the second arm (9) define a U-shaped form. While being pressed towards the wall (7), the first arm (8) and the second arm (9) cut through kneadable material of the tablet (5) to produce a moulded product with the length determined by the distance between the first arm (8) and the second arm (9). The positions where the moulded tablet (5) is cut to length are indicated by dashed lines. The second surface (31) is larger than the U-shaped form defined by the first arm (8), the second arm (9) and the forth surface (61) so that the kneadable material facing the second surface (31) is subjected to the same process conditions as much as possible, leading to a homogeneous irregular structure on the surface of the kneadable material. The wall may be higher than the height of the forth surface (61), for instance higher than the combined height of the forth surface (61) and the thickness of the moveable plate (3). When the method is used for producing stone strips from clay tablets, the height of the forth surface (61), i.e. its dimension in the z-direction, is typically between 6 and 25 mm. This height corresponds to the desired thickness of the moulded clay tablet. The desired dimensions of the moulded clay tablet also determine the minimum dimensions of the mould further. The moulded clay tablet typically is 190, 210 or 240 mm long and 40, 50, 52, 65, 71 mm wide. Here the length is in the x-direction and the width is in the y-direction. The moveable plate (3) may be larger than 240 mm in x-direction and 71 mm in y-direction to be useable for any combination of the above dimensions of the moulded clay tablet. The wall, the moveable plate and the side press may be from metal such as stainless steel, wood, gypsum or a suitable plastic or a combination of such materials. Advantageously, the forth surface is a surface of a pressure wall (63) and the first arm (8) and the second arm (9) are rotably attached to the pressure wall (63). The side press (6) comprises rotation means to rotate the first arm (8) and the second arm (9) when the cutting operation has ended. This prevents that the kneadable moulded product sticks to the first arm or second arm when unloading the moulded tablet (5). The rotation means are also arranged to rotate the arms back into cutting position before the side press (6) is used to cut a new tablet(5). In a next step of the moulding process, the side press (6) is returned to its original position further away from the wall (7) and the moveable plate (3) is returned to the loading position at which the distance of the second surface to the first surface is larger than the second distance (and hence the first distance). To loosen any possibly sticking kneadable material, a cutting wire may be run between the wall (7) and the moulded tablet. For the same reason a cutting wire may be run between the first surface (21) and the moulded tablet (5). Then the moulded tablet is removed from the mould. In a next step material may be deposited on the kneadable material. In the case of clay tablets for stone strips this may for instance comprise spraying minerals to influence the colour or depositing enamel or otherwise. Then the moulded tablet is advanced to a further station, for instance a dryer for drying the moulded tablet. Alternatively the moulded tablet may also be advanced directly to an oven for baking the kneadable material. In another alternative example, the side press (6) does not comprise the first arm (8) or the second arm (9) or neither of them. In the alternative example, the moulded tablet (5) may be cut to length at only one position along the x-direction or not at all, or the moulded tablet (5) may be cut to length by two walls protruding towards the first surface from the moveable plate (3) or from two cutting elements (108,109) arranged to be moved towards the first surface ( figure 4 ). The cutting elements may be moveable in the first direction independent of the movement of the moveable plate (3) and may reach the first surface. The cutting elements may be plates with sharp edged ridges in the second direction. The cutting elements may also each comprise a cutting wire at the ends facing the first surface (21). The cutting wires help preventing that the kneadable material sticks to the cutting elements when the cutting elements are retreated to unload the moulded tablet (5) as well as establishing a well controlled shape of the moulded tablet (5) during cutting. The cutting wires may also be moveable along the cutting elements in the y or z-direction for loosening any clay sticking to the cutting elements. In yet another alternative example, the cutting elements (108,109) are arranged to be moved in the y-direction, i.e. the second direction while cutting. The cutting elements may for instance first be moved in the z-direction from a loading position towards the first surface (21) to reach a cutting position and then in the y-direction towards the third surface (71) to cut the moulded tablet. After cutting, the cutting elements (108,109) may be moved back to the loading position by moving in the z-direction again and then in the y-direction. In another example, the mould is arranged to produce moulded kneadable material for forming a building product, such as a stone strip, to be used at a corner of a wall. For that purpose, the moulded kneadable material comprises a bend. The kneadable material (before being moulded) is shown in figure 5. In this example the first surface, the moveable plate (3) and the second surface (21), the wall (7) and third surface (71) and the side press (6) and the forth surface (61) all comprise a corresponding bend. A first part (51) of the kneadable material is at a first side of the bend and a second part (52) of the kneadable material is at a second side of the bend. The clay tablet may be placed in the mould either being bent or while still being straight. By advancing the moveable plate (3) in diagonal direction, i.e. at an angle with both the x-direction and the z-direction, once contact is made with the clay tablet, the clay tablet is bend. Once the moveable plate (3) is in position, the processing of the second part (52) of the kneadable material is similar to the processing of the first part (51) of the kneadable material during moulding except for the difference in the x and z directions. This way both the first part (51) and the second part (52) obtain a surface on the side of the moveable plate (3) with irregularities. While specific embodiments of the invention have been described above, it will be appreciated by a person of ordinary skill in the art that the invention may be practiced otherwise than as described, but still according to the teachings above. For instance, the skilled person will appreciate that the mould according to the invention and the method according to the invention can also be applied to other kneadable materials such as mortar, uncured concrete, kit or dough for baked food products with obvious changes. For instance, when using dough, flour can be used to present sticking of the dough to the side press, the wall or the cutting elements. The skilled person will also appreciate that other means than cutting wires to loosing sticky kneadable material from the mould are also encompassed by the invention.
6. Mould (1) for kneadable material with an unmoulded thickness, comprising - a support (2) with a first surface (21) that is perpendicular to a first direction and that is arranged to support the kneadable material (5) during moulding;: characterized by the mould comprising - a moveable plate (3) comprising a second surface (31) and arranged to be positioned at a first distance from the first surface, the first distance being larger than the unmoulded thickness; the mould further comprising - a third surface (71); and - a side press (6,63) with a forth surface (61) facing the third surface and arranged to be moved in a second direction for pressing the kneadable material with the forth surface against the third surface, the second direction being perpendicular to the first direction,: wherein the moveable plate is arranged to be pushed to a second distance from the first support surface by the kneadable material, wherein the second distance is larger than the first distance.
7. Mould according to claim 6, wherein the side press (6) comprises an arm (8,9) protruding toward the third surface (71) from the forth surface (61), wherein the arm is arranged to cut the kneadable material while the side press moves to press against the kneadable material. 8. Mould according to claim 7, wherein the maximum height of the arm in the first direction is equal to the first distance. 9. Mould according to claim 6, 7 or 8 arranged for producing stone strips from clay.
2826952
Housing, power module and electrical power converter
1
Based on the following detailed description of an invention, generate the patent claims. There should be 13 claims in total. The first, independent claim is given and the remaining 12 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The invention will now be described more fully hereinafter with reference to the accompanying drawings, in which certain embodiments of the invention are shown. This invention may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided by way of example so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. Like numbers refer to like elements throughout the description. Fig 1 is a schematic diagram illustrating an electric power converter in a subsea environment. Here, an electric power converter 20 is shown in a container 23, separating the electric power converter 20 from the surrounding environment 21, i.e. sea water at subsea pressure. Within the thin container 23, a fluid 22 is provided. The fluid 22 is any suitable dielectric fluid such as a mineral oil, silicone oil, or natural or synthetic esters. The electric power converter 20 comprises a plurality of power modules 9, here schematically shown extending in three dimensions x, y, z in a Cartesian coordinate system. In one embodiment, the power modules 9 are stackable to achieve a serial connection in the y direction, whereby the electrical current flows in the y direction. In the x and z directions, additional power modules 9 are optionally provided to achieve a parallel connection of power modules 9. As is explained in more detail below, each power module comprises one or more controllable power semiconductors. The power converter 20 also comprises a controller 25 to control the operation of the power semiconductors of the power modules 9. In this way, the electrical power converter 20 can be controlled to operate as e.g. a DC/AC (Direct Current/Alternating Current) converter (in either direction) a DC/DC converter or an AC/AC converter. For example, the electrical power converter 20 can be used to power electrical machinery in the vicinity of the electrical power converter 20, e.g. on a seabed. In one embodiment, the electrical power converter can be operated at hydrostatic pressure at least 300 bar (equivalent to a depth of about 3 000 metres). Figs 2A-B are schematic diagrams illustrating an embodiment of any one of the power modules of the electric power converter of Fig 1, here represented by a single power module 9. The power module 9 comprises a housing 1 which encompasses one or more sub-modules 5 comprising one or more power semiconductors, arranged in parallel between a top end 30 and a bottom end 31. The electrical current flows in the y direction. The housing 1 comprises two openings 4 arranged at either side of the power module 4 in the x direction, i.e. perpendicular to the direction of the electrical current flow. It is to be noted that the housing 1 can be provided with more openings, as long as there are at least two openings, allowing the fluid to flow through the power module 9. Moreover, the openings 4 can be provided in other positions of the power module 9 to allow fluid to flow in any suitable direction, as long as the fluid flows through the power module 9. In this way, the fluid around the power module 9 can flow through the power module 9 and cool the sub-modules 5 and therein contained power semiconductors. In the prior art, there is no way for a fluid to circulate through the power module and instead, the power module is cooled using heat sinks on the top end 30 and/or bottom end 31. Using also the fluid for cooling is a significantly more efficient cooling mechanism compared to the prior art, since the cooling fluid can pass by, and in contact with, the sub-modules 5 and, depending on the design of the sub-modules 5, even pass by, and in contact with, the contained power semiconductors. The power module 9 falls into a stable short circuit failure mode in case of a fault condition, e.g. by an alloy melting due to the heat of the failure, creating a stable shortcut through the power module. This makes the power module 9 a good candidate for the MMC (modular multilevel converter) topology. In this topology an electric power converter comprises a serial connection of power modules, comprising a number of redundant power modules, i.e. there are more serially connected power modules than what is strictly necessary. In this way, if a power module fails and falls into a short circuit failure mode, the electrical power converter can still function normally by suitable control of the remaining, functional power modules. As long as there are not more failed power modules than the number of redundant power modules, the power converter can still operate normally. This provides high reliability and hence long service and maintenance intervals, which is of particular value for subsea applications. However, when a power module 9 fails, the module 9 should be closed to the environment in order to confine damage. For example, a failed power module can lead to an explosion of the power semiconductor e.g. due to an instable short-current failure mode, which can contaminate the fluid with debris, potentially causing electrical shortcuts and/or degradation of fluid circulation. Moreover, since the dielectric liquid is, compared to air, hardly compressible, a shockwave occurring at a failure of a power module can damage components in the vicinity. To reduce the risk of such effects of a failed power module, the openings are provided with covers as shown e.g. in Figs 3A-B, 4 and 5A-B. Figs 3A-B are schematic diagrams illustrating the opening 4 of a housing 1 of a power module 9 and/or a housing 1' of a sub-module and corresponding cover 10 of the power module according to one embodiment. Fig 3A illustrates an open state and Fig 3B illustrates a closed state. While only one cover 10 is shown in Fig 3A and 3B, all openings 4 of a power module and/or sub-module are provided with a cover. In Fig 3A, the cover 10 is mounted on a collapsible cover holder 12. The cover 10 may be kept in place by pins or by being attached to the collapsible cover holder 12. There may optionally also be a fixed holder section 15 keeping the collapsible cover holder 12 in place. The collapsible cover holder 12 and the fixed holder section 15, when present, are not solid, whereby, in the open state, the fluid can enter or exit through the opening 4 (which is seen better in Fig 4 ). Optionally, there are holder devices 19 which are arranged to keep the cover 10 in place during the closed state. The holder devices 19 can e.g. be pins of a resilient material implementing a snap lock. When a power module containing the housing 1, 1' fails, there is a shockwave which results in a pressure inside the housing 1, applying a force 18 on the cover 10. The force is strong enough to break the collapsible cover holders 12, whereby the cover 10 is pushed to move towards the opening 4, past the optional holder devices 19, resulting in a closed state as shown in Fig 3B, in which the cover 10 covers the opening 4, preventing the fluid from entering or exiting through the opening 4. The optional holder devices 19 prevent the cover from moving away from the opening 4 once the cover is in the closed state. The cover 10 is thus passively controlled, i.e. without any sensor and or active control mechanism, to go from an open state to a closed state when a power module fails, e.g. by explosion. Fig 4 is a schematic diagram illustrating the cover of Figs 3A-B in a view perpendicular to a face the cover 10. Here, the cover 10 is rectangular. However, the cover 10 can be of any suitable shape as long as it provides a good seal to the housing 1, 1' in the closed state to prevent fluid from entering or exiting through the opening 4. There are four sections of the collapsible cover holder 12 to hold the cover 10 in place when in the open state. As can be seen, the fluid can pass between the sections of the cover holder, and between corresponding sections of a fixed holder section 15 to enter or exit through the opening 4. In fact, when the cover 10 is of an essentially planar structure, it is sufficient if there are at least three sections respectively provided between a section of the cover and the housing 1, 1'. The optional holder devices 19 are also shown here, overlapping at least part of the cover 10. The holder devices 19 and/or the cover 10 have sufficient flexibility such that when a shockwave occurs, it is able to force the cover 10 past the holder devices 19, forming a snap lock for the cover. Figs 5A-B are schematic diagrams illustrating the opening of a housing 1, 1' and corresponding cover of the power module and/or a housing 1' of a sub-module according to one embodiment. Fig 5A illustrates an open state and Fig 5B illustrates a closed state. While only one cover 10 is shown in Fig 5A and 5B, all openings 4 of a power module and/or sub-module are provided with a cover, either of the same type or of a different type. In Fig 5A, the cover 10 is in an open state. The cover 10 is pivotably fixed to the housing 1, e.g. by securing one end of the cover 10 with a pin 16 to the housing 1. An optional retainer device 14 retains the cover 10 in the open state in normal operation. The retainer device 14 can e.g. be a pin of a resilient material such that the cover can pivot past the retainer device 14 if and when it is subjected to sufficient force, e.g. by a shockwave. When a power module containing the housing 1, 1' fails, there is a shockwave which results in a pressure inside the housing 1, subjecting a force 18 on the cover 10. The force is strong enough to move the cover towards a support 17 by the opening 4, resulting in a closed state as shown in Fig 3B, in which the cover 10 covers the opening 4, preventing the fluid from entering or exiting through the opening 4. Optionally, there is a holder device 19 which is arranged to keep the cover 10 in place during the closed state. The holder device 19 can e.g. be a pin of a resilient material extending slightly in the y direction to implement a snap lock. The cover 10 is thus passively controlled, i.e. without any sensor and or active control mechanism, to go from an open state to a closed state when a power module fails. Fig 6 is a schematic diagram illustrating the interconnection of power modules of F igs 2A-B according to one embodiment. It is here seen how the opening of the housing of one power module is provided in a position to interconnect with an opening 4 of an adjacent corresponding housing. In this way, the fluid can flow through several power modules 9, and potentially release its heat at a common heat exchanger (not shown), providing an efficient circulation of fluid which cools the power modules. The circulation of fluid can occur simply by convection or optionally using a pump (not shown). Figs 7A-C are schematic diagrams illustrating an embodiment of a sub-module 5 of Fig 2A-B according to one embodiment. The sub-module 5 comprises a housing 1' encompassing nine semiconductor sets. Each semiconductor set comprises a power semiconductor 2, a spring 6, and two electrical current bypass connectors 8, provided between a top end 35 and bottom end 36. Optionally, there may be only one electrical bypass connector 8. The power semiconductor 2 can be any suitable power semiconductor, e.g. an Insulated Gate Bipolar Transistor (IGBT), a power Field Effect Transistor (FET), a diode etc. The spring 6 is provided in a vertical direction y, providing a secure pressure between power modules containing sub-modules 5 provided in the y-direction to ensure a proper electrical connection between the power modules. The housing 1' is sufficiently flexible on the sides to allow the spring 6 to compress to even out pressure between sub-modules 5 when necessary. The current bypass connectors 8 are flexible for movement in the y-direction and provide an electrical connection between the power semiconductor 2 and the top section of the sub-module 5. As seen in Fig 7B, the current bypass connectors 8 are flat connectors, optionally provided with a convex curvature to provide predictable movement when the spring 6 is compressed. The housing 1' is provided with openings and covers, e.g. as shown in Figs3A-B, 4, 5A-5B above. The openings 4 are provided such that the fluid can pass in the x-direction, and thus not be blocked or inhibited by the flat current bypass connectors 8, as also seen in Fig 7C. Also, the current bypass connectors 8 operate to guide fluid past the power semiconductor 2 to thereby improve cooling. Any suitable number of openings 4 can be provided. In this embodiment, there are three openings 4 on either side in the x direction. While the sub-module 5 is here shown containing nine sets semiconductor sets, any the sub-module may be provided with any suitable number of semiconductor sets. Optionally, the sub-module 5 is provided without a housing 1'. When mounted in a power module 9 with a plurality of the sub-modules, the electrical current bypass connectors are aligned such that the fluid passes through the sub-modules with less resistance. Fig 8 is a schematic diagram illustrating the power module of Figs 2A-B according to one embodiment. Here, guiding fins 3 are provided to, during operation in the open state, guide the fluid past the sub-modules 5, and thereby the contained power semiconductors.
1. A housing (1, 1') for housing at least one power semiconductor (2), the housing comprising: two openings (4) and; two movable covers (10) provided respectively by the two openings (4), wherein each movable cover (10) is arranged to move, during operation, from an open state, in which the respective cover does not cover its respective opening (4), to a closed state, in which the respective cover covers its respective opening, when the respective cover (10) is subjected to a pressure from a shockwave occurring inside the housing (1, 1').
2. The housing (1, 1') according to claim 1, wherein each one of the two openings (4) is provided in a position to interconnect with an opening (4) of an adjacent corresponding housing (1, 1'). 3. The housing (1, 1') according to any one of the preceding claims, wherein each cover (10) is pivotably fixed to the housing (1, 1'). 4. The housing (1, 1') according to claim 1 or 2, wherein each cover is mounted on a respective collapsible cover holder (12). 5. The housing (1, 1') according to claim 4, wherein the collapsible cover holder (12) comprises at least three sections respectively provided between a section of the cover and the housing (1, 1'). 6. The housing (1, 1') according to any one of the preceding claims, wherein the openings are provided such that, during operation in the open state, the fluid cools any one or more power semiconductors (2) provided in the housing. 7. The housing (1, 1') according to claim 6, further comprising at least one guiding fin (3) to, during operation in the open state, guide the fluid by the one or more power semiconductors (2) provided in the housing. 8. The housing (1, 1') according to any one of the preceding claims, further comprising a holding device (19) for preventing the cover from opening when in the closed state. 9. The housing (1, 1') according to claim 8, wherein the holding device is a snap lock. 10. A power module (9) comprising the housing (1, 1') according to any one of claims 1 to 8 and at least one power semiconductor (2) provided in the housing (1, 1'). 11. The power module (9) according to claim 10, further comprising, for each power semiconductor (2), a spring (6) and an electrical current bypass connector (8), wherein the electrical current bypass connectors are aligned. 12. The power module (9) according to claim 11, wherein the electrical current bypass connectors (8) are aligned to, during operation in the open state, guide fluid past any installed power semiconductors (2). 13. An electrical power converter (20) comprising a plurality of power modules (9) according to any one of claims 10 to 12.
2826631
Appling fluid to a substrate
1
Based on the following detailed description of an invention, generate the patent claims. There should be 9 claims in total. The first, independent claim is given and the remaining 8 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Currently the majority of packaging material is printed on using analog printing techniques, such as using flexographic printing plates. Flexographic printing generally enables only relatively low quality images (e.g. in the order of about 80 to 120 lines per inch) to be printed on corrugated packaging material. Application of varnish to printed content is typically applied using an additional printing plate. For packaging material intended to be transformed into boxes, the packaging material may be designed to have one or multiple varnish-free zones. One example of a varnish-free zone is a zone intended to receive adhesive, for example to be used to glue together a packaging box. Many commonly used varnishes adversely affect the properties of adhesives and hence adhesives are generally more effective if applied directly to unvarnished portion of the packing material. Another example of a varnish-free zone is a zone intended to be later overprinted, for example with a product expiration or manufacturing date. In production lines such overprinting is often performed using inkjet printers and varnishes may adversely affect the properties of inkjet inks. Accordingly, in current analogue printing techniques a dedicated varnish applicator plate is designed and created with cut-outs corresponding to the designated varnish-free zones. Since analogue printing techniques generally also require the generation of dedicated printing plates, which are generally both costly and time-consuming to produce, analogue printing techniques are generally not suitable for short production runs. With advances in digital printing technology it is now possible to make high quality (up to 150 DPI or higher) and high speed prints on packaging material, which enables the possibility of both short and long digital printing production runs. However, the application of varnish using conventional analogue techniques is not ideally suited for short production runs, since the generation of custom varnish applicator plates is a costly and time consuming process. Although it is possible to apply varnish using inkjet technology, it is generally a slow process. Referring now to Figure 1, there is shown a simplified varnish application system 100 according to one example. Although the term 'varnish' is generally used herein, it will be appreciated that the techniques described herein may be suitable for applying any kind of suitable fluid. Accordingly, the term 'varnish' used herein is, where appropriate, also intended to cover any suitable fluid. The varnish application system 100 comprises a substrate support 102 on which a substrate 104 (shown in dotted line), such as a sheet of packaging material, may be installed. In one example the substrate support 102 is a flat substrate table and may include a substrate securing mechanism (not shown) such as a vacuum hold-down system, mechanical grippers, or the like. A flat substrate table may be used, for example, when rigid or semi-rigid packaging materials are to be processed. In other examples, flexible substrates may be used in which case the substrate support 102 may be in the form of a printer platen, or other suitable configuration. The varnish application system 100 comprises a first varnish application module 106 and a second varnish application module 108. The first varnish application module 106 comprises an array of multiple varnish applicators 110. Each varnish applicator 110 is configured to have a predetermined fluid application area over which it may apply varnish to a substrate 104 installed on the substrate support 102. The fluid application area has a pattern that may vary depending on the type of varnish applicator used. For example, a fluid application pattern may include a circular, a rectangular pattern, or other pattern, and a fluid application pattern may be symmetrical or asymmetrical in shape. In one example each of the varnish applications 110 are individually and selectively controllable to apply or not to apply varnish to a substrate 104 installed on the substrate support 102. In this way, the varnish application module 106 may be configured to apply varnish from a set comprising one or multiple ones of the varnish applicators 110. In one example, each varnish applicator 110 is configured to apply varnish to a fixed proportion of the width of the substrate support 102. In other examples different ones of the varnish applicators 110 may be configured to apply varnish to different proportions of the width of the substrate 110. The second varnish application module 108 comprises an array of varnish applicators 112. In the example shown the varnish application module 108 comprises only a single varnish applicator 112, although in other examples the varnish application module 108 may comprise multiple varnish applicators 112. The varnish application module 108 is moveable across the width of the substrate support 102 in an x-axis 114. In one example the varnish application module 108 is mounted on a moveable carriage (not shown) that is moveable along a carriage bar (not shown). In other examples the second varnish application module 108 may be fixed and the substrate support 102 may be arranged to move along the x-axis 114. The fluid application width of the varnish applicator 112 is narrower than the fluid application width of the varnish applicators 110. In one example the fluid application width of the varnish applicator 112 is in the range of about 20 to 50% narrower than the fluid application width of a varnish applicator 110. In other examples other ranges may be used. In the example shown, the varnish application modules 106 and 108 are fixed in a y-axis 116 and the substrate support 102 is moved in the y-axis 116 under the varnish application modules 106 and 108 to enable varnish to be applied to a substrate 104 installed on the substrate support 102. In other examples the substrate support 102 may be fixed and the varnish application modules 106 and 108 may be moved in the y- axis 116 to enable apply varnish to be applied to a substrate 104 installed on the substrate support 102. The varnish application system 100 is generally controlled by a varnish application controller 118. Although not shown in the drawings herein, the system 100 additionally comprises a varnish supply tank and varnish supply system to supply varnish to each of the varnish applicators 110 and 112. In one example the varnish supply system may include one or more pumps or pressurization systems to supply varnish under pressure to each of the varnish applicators 110. As will be described in greater detail below, the first and second varnish application modules 106 and 108 are used together to apply a desired pattern of varnish, or other suitable fluid, to a substrate installed on the substrate support 102. The first varnish application module 106 is used to quickly apply varnish in pattern that approaches the desired pattern of varnish. Due to the modular nature of the varnish application module 106, it will be appreciated that the first varnish application module 106 is only able to apply varnish to discrete portions of a substrate. The second varnish application module 108, which is able to apply varnish to any portion of a substrate, is then used to apply varnish to those areas which the first varnish application module 106 is not able to apply varnish to. Although applying varnish using the second varnish application module 108 is slower than applying varnish using the first varnish application module 106, the use of both first and second varnish application modules enables highly efficient and fast application of varnish in any desired varnish pattern. Example operation of the varnish application system 100 will now be described with additional reference to Figures 2 and 3. Figure 2 shows a desired pattern 202 of varnish, or other fluid, to be applied by the varnish application system 100 to a substrate 104. The pattern 202 comprises a desired varnish-free zone 204 to be left free of varnish. Although in this example only a single varnish-free zone is shown in other examples a desired pattern of varnish may include multiple varnish-free zones. At block 302 the varnish application controller 118 determines a pattern of fluid, such as varnish, that is to be applied to a substrate. The pattern may be obtained, for example, in the form of an image file such as bitmap or vector graphic image format. The pattern may, for example, be included as a separate layer of an image file comprising multiple colour separation layers. At block 304 the varnish application controller 118 controls the first array of varnish applicators 110, as well as relative movement between the substrate 104 and the varnish applicator 106, to form a first portion of the desired varnish pattern 202 on the substrate 104. In one example the first portion of the desired varnish pattern is formed in just a single pass of relative movement between the first varnish applicator 106 and the substrate 104. The first portion of the pattern is that portion of the desired pattern that may be applied using the first varnish application module 106. Since each of the individual varnish applicators 110 can only apply varnish to a discrete fixed width portion of a substrate, depending on the width of the desired varnish free-zone 204 it may not be possible to completely form the desired varnish pattern. Thus, the varnish application controller 118 selects which of the individual varnish applicators 110 are to be used to generate the first portion of the pattern, such that the varnish-free zone of the first portion of the pattern is at least no smaller than the desired varnish-free zone. An example is shown in Figure 2 where a desired varnish pattern 202 covers the substrate 104 except for a varnish-free zone 204. If only the two individual varnish applicators 110 at each extremity of the varnish application module 106 are selected to be used it can be seen that it is possible to form a varnish pattern having a varnish-free zone which exceeds the dimensions of the desired varnish-free zone 204 by the dimensions of a zone 206. It can also be seen that is the three individual varnish applicators 110 at each extremity of the varnish application module 106 were selected that this would lead to the varnish-free zone being smaller than the desired varnish-free zone 204. At block 306 the varnish application controller 118 controls the second array of varnish applicators 112, as well as relative movement in both the x-axis 114 and the y-axis 116 (as appropriate) between the substrate 104 and the varnish application module 106, to form a second, or remainder, portion 206 of the desired varnish pattern 202 on the substrate. The second portion 206 represents a difference pattern corresponding to the difference between the desired fluid pattern and the fluid pattern to be applied by the first fluid application module 106. The second portion 206 of the desired varnish pattern 202 will typically represent only a fraction of the whole varnish pattern 202, and thus may be formed relatively quickly using the second varnish application module 108. Depending on its fluid application width the second varnish application module 108 may apply varnish during one or multiple passes of relative movement between the varnish applicator 108 and the substrate 104 may be necessary. In one example the second varnish application module 108 may apply varnish to a portion of a substrate whilst the first varnish application module 106 is applying varnish to another portion of the substrate. In another example the second varnish application module 108 may apply varnish to a substrate only once the first varnish application module 108 has applied varnish to the substrate. In one example the varnish applicators 110 are spray nozzles. In another example the varnish applicators 110 are varnish applying rollers. In other examples other suitable varnish applicators may be used. In one example the varnish applicators 112 are spray nozzles. In another example the varnish applicators 112 are varnish apply rollers. In other examples other suitable varnish applicators may be used. In one example each varnish applicator 110 and 112 may be controlled using an electromechanical valve to control the supply of pressurized varnish to the spray nozzles. In one example the varnish applicators 110 are fixed width spray nozzles and the varnish applicators 112 are variable width spray nozzles. Depending on the accuracy at which each of the varnish applicators 110 may be operated, for example the speed at which they may be activated and deactivated, the varnish-free portion formed by the first varnish application module 106 may extend beyond the desired varnish-free zone 204 in both the x (114) and y (116) axis, as shown in Figure 4. If this is the case, varnish controller 118 controls the second varnish application module 108 to apply varnish to the zone 206 in the manner described above. Whatever kind of varnish applicators 110 and 112 are used they should be suitable for applying a substantially uniform thickness layer of varnish. Furthermore, there should be no discernible differences in the thickness of varnish layers formed using either the first varnish application module 106 or the second varnish application module 108. In a further example, as illustrated in Figure 5, the second varnish application module 108 may comprise two arrays of varnish applicators 112, each moveable relative to each other along the x- axis 114. In this example, the varnish application controller 118 may control the position of each array of varnish applicators 112 so that each applies varnish to different lateral extremities of second portion 206 of the desired varnish pattern 202 on the substrate. In this way, the time for applying varnish to the second portion 206 may be cut in half compared to the varnish application system shown in Figure 1. In a further example the distance between the varnish application module 108 and the substrate support 102 may be varied to vary the size of the area to which each varnish applicator 112 may apply varnish. In a further example, each varnish applicator 112 may be electro-mechanically rotatable, in the case where a spray pattern generated by a varnish applicator is non-circular, to best adjust a spray pattern for efficient application of varnish to a substrate. In a yet further example, each varnish applicator 112 may have an electro-mechanically modifiable spray pattern or spray size that may be adjusted by the varnish application controller 118 to best adjust a spray pattern for efficient application of varnish to a substrate. In one example the varnish application module 108 may be mounted on a robotic arm, for example and be controllable in the x, y, and z-axes. In general it will be appreciated that the application of varnish to a substrate to generate a varnish-free zone does not have to be performed with a high degree of accuracy. For example, in many situations an accuracy of +/- 1mm may be acceptable. Figure 6 is an example block diagram of a varnish application system 600 according to one example. The varnish application system 600 comprises a processor 602, a memory 604, an input/output (I/O) module 606, and a varnish application module, all coupled together on bus 610. In some examples the varnish application system 600 may also have a user interface module, an input device, and the like, but these items are not shown for clarity. The processor 602 may comprise a central processing unit (CPU), a micro-processor, an application specific integrated circuit (ASIC), or a combination of these devices. The memory 604 may comprise volatile memory, non-volatile memory, and a storage device. The memory 604 is a non-transitory computer readable medium. Examples of non-volatile memory include, but are not limited to, electrically erasable programmable read only memory (EEPROM) and read only memory (ROM). Examples of volatile memory include, but are not limited to, static random access memory (SRAM), and dynamic random access memory (DRAM). Examples of storage devices include, but are not limited to, hard disk drives, compact disc drives, digital versatile disc drives, optical drives, and flash memory devices. The I/O module 606 may be used, for example, to couple the varnish application system to other devices, for example the Internet or a computer. The varnish application system 600 has code, typically called firmware, stored in the memory 604. The firmware is stored as computer readable instructions in the non-transitory computer readable medium (i.e. the memory 604). The processor 602 generally retrieves and executes the instructions stored in the non-transitory computer-readable medium to operate the varnish application system and to execute functions. In one example, processor executes code that causes varnish to be applied to a substrate, as described herein. Figure 7 is an example block diagram of the processor 602 coupled to memory 604. Memory 604 contains software 702 (also known as firmware). The software 702 contains a varnish application control module that when executed by the processor 602 causes the varnish application system 600 to apply varnish to a substrate as described herein.
1. A system for applying fluid to a substrate, comprising: a first array of selectively controllable fluid applicators to apply fluid to a substrate as the substrate moves relative to the first array in a first axis; a second array of fluid applicators, controllable to apply fluid to a substrate as the substrate moves relative to the second array in the first axis, the second array further movable relative to the substrate in a second axis orthogonal to the first axis; a controller to: determine a pattern of fluid to apply to a substrate; control the first array of fluid applicators to apply fluid to the substrate to form a first portion of the pattern; control the second array of fluid applicators to apply fluid to the substrate to form a second portion of the pattern.
2. The system of claim 1, wherein the second portion of the pattern is the difference between the desire pattern of fluid and the pattern of fluid applied by the first array of fluid applicators. 3. The system of claim 1, wherein the controller selects which of the array of fluid applicators in the first array are to be used to apply fluid to form the first portion of the pattern. 4. The system of claim 1, wherein the second array of fluid applicators comprises only a single fluid applicator. 5. The system of claim 1, wherein the second array of fluid applicators comprises a pair of fluid applicators each moveable relative to each other, and further wherein the controller controls each of fluid applications to apply fluid to different lateral extremities of the second portion of the desired fluid pattern. 6. The system of claim 1, wherein the first and second array of fluid applicators comprise spray nozzles. 7. The system of claim 1, wherein the system is configured to apply varnish. 8. The system of claim 1, wherein the controller controls relative movement between the first array of fluid applicators and the substrate in a first axis, and controls relative movement between the second array of fluid applicators and the substrate in the first axis and in a second axis orthogonal to the first axis. 9. The system of claim 1, wherein the controller controls the second fluid application module to apply fluid to a portion of a substrate whilst the first fluid application module is applying fluid to another portion of the substrate.
2826631
Appling fluid to a substrate
2
Based on the following detailed description of an invention, generate the patent claims. There should be 5 claims in total. The first, independent claim is given and the remaining 4 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Currently the majority of packaging material is printed on using analog printing techniques, such as using flexographic printing plates. Flexographic printing generally enables only relatively low quality images (e.g. in the order of about 80 to 120 lines per inch) to be printed on corrugated packaging material. Application of varnish to printed content is typically applied using an additional printing plate. For packaging material intended to be transformed into boxes, the packaging material may be designed to have one or multiple varnish-free zones. One example of a varnish-free zone is a zone intended to receive adhesive, for example to be used to glue together a packaging box. Many commonly used varnishes adversely affect the properties of adhesives and hence adhesives are generally more effective if applied directly to unvarnished portion of the packing material. Another example of a varnish-free zone is a zone intended to be later overprinted, for example with a product expiration or manufacturing date. In production lines such overprinting is often performed using inkjet printers and varnishes may adversely affect the properties of inkjet inks. Accordingly, in current analogue printing techniques a dedicated varnish applicator plate is designed and created with cut-outs corresponding to the designated varnish-free zones. Since analogue printing techniques generally also require the generation of dedicated printing plates, which are generally both costly and time-consuming to produce, analogue printing techniques are generally not suitable for short production runs. With advances in digital printing technology it is now possible to make high quality (up to 150 DPI or higher) and high speed prints on packaging material, which enables the possibility of both short and long digital printing production runs. However, the application of varnish using conventional analogue techniques is not ideally suited for short production runs, since the generation of custom varnish applicator plates is a costly and time consuming process. Although it is possible to apply varnish using inkjet technology, it is generally a slow process. Referring now to Figure 1, there is shown a simplified varnish application system 100 according to one example. Although the term 'varnish' is generally used herein, it will be appreciated that the techniques described herein may be suitable for applying any kind of suitable fluid. Accordingly, the term 'varnish' used herein is, where appropriate, also intended to cover any suitable fluid. The varnish application system 100 comprises a substrate support 102 on which a substrate 104 (shown in dotted line), such as a sheet of packaging material, may be installed. In one example the substrate support 102 is a flat substrate table and may include a substrate securing mechanism (not shown) such as a vacuum hold-down system, mechanical grippers, or the like. A flat substrate table may be used, for example, when rigid or semi-rigid packaging materials are to be processed. In other examples, flexible substrates may be used in which case the substrate support 102 may be in the form of a printer platen, or other suitable configuration. The varnish application system 100 comprises a first varnish application module 106 and a second varnish application module 108. The first varnish application module 106 comprises an array of multiple varnish applicators 110. Each varnish applicator 110 is configured to have a predetermined fluid application area over which it may apply varnish to a substrate 104 installed on the substrate support 102. The fluid application area has a pattern that may vary depending on the type of varnish applicator used. For example, a fluid application pattern may include a circular, a rectangular pattern, or other pattern, and a fluid application pattern may be symmetrical or asymmetrical in shape. In one example each of the varnish applications 110 are individually and selectively controllable to apply or not to apply varnish to a substrate 104 installed on the substrate support 102. In this way, the varnish application module 106 may be configured to apply varnish from a set comprising one or multiple ones of the varnish applicators 110. In one example, each varnish applicator 110 is configured to apply varnish to a fixed proportion of the width of the substrate support 102. In other examples different ones of the varnish applicators 110 may be configured to apply varnish to different proportions of the width of the substrate 110. The second varnish application module 108 comprises an array of varnish applicators 112. In the example shown the varnish application module 108 comprises only a single varnish applicator 112, although in other examples the varnish application module 108 may comprise multiple varnish applicators 112. The varnish application module 108 is moveable across the width of the substrate support 102 in an x-axis 114. In one example the varnish application module 108 is mounted on a moveable carriage (not shown) that is moveable along a carriage bar (not shown). In other examples the second varnish application module 108 may be fixed and the substrate support 102 may be arranged to move along the x-axis 114. The fluid application width of the varnish applicator 112 is narrower than the fluid application width of the varnish applicators 110. In one example the fluid application width of the varnish applicator 112 is in the range of about 20 to 50% narrower than the fluid application width of a varnish applicator 110. In other examples other ranges may be used. In the example shown, the varnish application modules 106 and 108 are fixed in a y-axis 116 and the substrate support 102 is moved in the y-axis 116 under the varnish application modules 106 and 108 to enable varnish to be applied to a substrate 104 installed on the substrate support 102. In other examples the substrate support 102 may be fixed and the varnish application modules 106 and 108 may be moved in the y- axis 116 to enable apply varnish to be applied to a substrate 104 installed on the substrate support 102. The varnish application system 100 is generally controlled by a varnish application controller 118. Although not shown in the drawings herein, the system 100 additionally comprises a varnish supply tank and varnish supply system to supply varnish to each of the varnish applicators 110 and 112. In one example the varnish supply system may include one or more pumps or pressurization systems to supply varnish under pressure to each of the varnish applicators 110. As will be described in greater detail below, the first and second varnish application modules 106 and 108 are used together to apply a desired pattern of varnish, or other suitable fluid, to a substrate installed on the substrate support 102. The first varnish application module 106 is used to quickly apply varnish in pattern that approaches the desired pattern of varnish. Due to the modular nature of the varnish application module 106, it will be appreciated that the first varnish application module 106 is only able to apply varnish to discrete portions of a substrate. The second varnish application module 108, which is able to apply varnish to any portion of a substrate, is then used to apply varnish to those areas which the first varnish application module 106 is not able to apply varnish to. Although applying varnish using the second varnish application module 108 is slower than applying varnish using the first varnish application module 106, the use of both first and second varnish application modules enables highly efficient and fast application of varnish in any desired varnish pattern. Example operation of the varnish application system 100 will now be described with additional reference to Figures 2 and 3. Figure 2 shows a desired pattern 202 of varnish, or other fluid, to be applied by the varnish application system 100 to a substrate 104. The pattern 202 comprises a desired varnish-free zone 204 to be left free of varnish. Although in this example only a single varnish-free zone is shown in other examples a desired pattern of varnish may include multiple varnish-free zones. At block 302 the varnish application controller 118 determines a pattern of fluid, such as varnish, that is to be applied to a substrate. The pattern may be obtained, for example, in the form of an image file such as bitmap or vector graphic image format. The pattern may, for example, be included as a separate layer of an image file comprising multiple colour separation layers. At block 304 the varnish application controller 118 controls the first array of varnish applicators 110, as well as relative movement between the substrate 104 and the varnish applicator 106, to form a first portion of the desired varnish pattern 202 on the substrate 104. In one example the first portion of the desired varnish pattern is formed in just a single pass of relative movement between the first varnish applicator 106 and the substrate 104. The first portion of the pattern is that portion of the desired pattern that may be applied using the first varnish application module 106. Since each of the individual varnish applicators 110 can only apply varnish to a discrete fixed width portion of a substrate, depending on the width of the desired varnish free-zone 204 it may not be possible to completely form the desired varnish pattern. Thus, the varnish application controller 118 selects which of the individual varnish applicators 110 are to be used to generate the first portion of the pattern, such that the varnish-free zone of the first portion of the pattern is at least no smaller than the desired varnish-free zone. An example is shown in Figure 2 where a desired varnish pattern 202 covers the substrate 104 except for a varnish-free zone 204. If only the two individual varnish applicators 110 at each extremity of the varnish application module 106 are selected to be used it can be seen that it is possible to form a varnish pattern having a varnish-free zone which exceeds the dimensions of the desired varnish-free zone 204 by the dimensions of a zone 206. It can also be seen that is the three individual varnish applicators 110 at each extremity of the varnish application module 106 were selected that this would lead to the varnish-free zone being smaller than the desired varnish-free zone 204. At block 306 the varnish application controller 118 controls the second array of varnish applicators 112, as well as relative movement in both the x-axis 114 and the y-axis 116 (as appropriate) between the substrate 104 and the varnish application module 106, to form a second, or remainder, portion 206 of the desired varnish pattern 202 on the substrate. The second portion 206 represents a difference pattern corresponding to the difference between the desired fluid pattern and the fluid pattern to be applied by the first fluid application module 106. The second portion 206 of the desired varnish pattern 202 will typically represent only a fraction of the whole varnish pattern 202, and thus may be formed relatively quickly using the second varnish application module 108. Depending on its fluid application width the second varnish application module 108 may apply varnish during one or multiple passes of relative movement between the varnish applicator 108 and the substrate 104 may be necessary. In one example the second varnish application module 108 may apply varnish to a portion of a substrate whilst the first varnish application module 106 is applying varnish to another portion of the substrate. In another example the second varnish application module 108 may apply varnish to a substrate only once the first varnish application module 108 has applied varnish to the substrate. In one example the varnish applicators 110 are spray nozzles. In another example the varnish applicators 110 are varnish applying rollers. In other examples other suitable varnish applicators may be used. In one example the varnish applicators 112 are spray nozzles. In another example the varnish applicators 112 are varnish apply rollers. In other examples other suitable varnish applicators may be used. In one example each varnish applicator 110 and 112 may be controlled using an electromechanical valve to control the supply of pressurized varnish to the spray nozzles. In one example the varnish applicators 110 are fixed width spray nozzles and the varnish applicators 112 are variable width spray nozzles. Depending on the accuracy at which each of the varnish applicators 110 may be operated, for example the speed at which they may be activated and deactivated, the varnish-free portion formed by the first varnish application module 106 may extend beyond the desired varnish-free zone 204 in both the x (114) and y (116) axis, as shown in Figure 4. If this is the case, varnish controller 118 controls the second varnish application module 108 to apply varnish to the zone 206 in the manner described above. Whatever kind of varnish applicators 110 and 112 are used they should be suitable for applying a substantially uniform thickness layer of varnish. Furthermore, there should be no discernible differences in the thickness of varnish layers formed using either the first varnish application module 106 or the second varnish application module 108. In a further example, as illustrated in Figure 5, the second varnish application module 108 may comprise two arrays of varnish applicators 112, each moveable relative to each other along the x- axis 114. In this example, the varnish application controller 118 may control the position of each array of varnish applicators 112 so that each applies varnish to different lateral extremities of second portion 206 of the desired varnish pattern 202 on the substrate. In this way, the time for applying varnish to the second portion 206 may be cut in half compared to the varnish application system shown in Figure 1. In a further example the distance between the varnish application module 108 and the substrate support 102 may be varied to vary the size of the area to which each varnish applicator 112 may apply varnish. In a further example, each varnish applicator 112 may be electro-mechanically rotatable, in the case where a spray pattern generated by a varnish applicator is non-circular, to best adjust a spray pattern for efficient application of varnish to a substrate. In a yet further example, each varnish applicator 112 may have an electro-mechanically modifiable spray pattern or spray size that may be adjusted by the varnish application controller 118 to best adjust a spray pattern for efficient application of varnish to a substrate. In one example the varnish application module 108 may be mounted on a robotic arm, for example and be controllable in the x, y, and z-axes. In general it will be appreciated that the application of varnish to a substrate to generate a varnish-free zone does not have to be performed with a high degree of accuracy. For example, in many situations an accuracy of +/- 1mm may be acceptable. Figure 6 is an example block diagram of a varnish application system 600 according to one example. The varnish application system 600 comprises a processor 602, a memory 604, an input/output (I/O) module 606, and a varnish application module, all coupled together on bus 610. In some examples the varnish application system 600 may also have a user interface module, an input device, and the like, but these items are not shown for clarity. The processor 602 may comprise a central processing unit (CPU), a micro-processor, an application specific integrated circuit (ASIC), or a combination of these devices. The memory 604 may comprise volatile memory, non-volatile memory, and a storage device. The memory 604 is a non-transitory computer readable medium. Examples of non-volatile memory include, but are not limited to, electrically erasable programmable read only memory (EEPROM) and read only memory (ROM). Examples of volatile memory include, but are not limited to, static random access memory (SRAM), and dynamic random access memory (DRAM). Examples of storage devices include, but are not limited to, hard disk drives, compact disc drives, digital versatile disc drives, optical drives, and flash memory devices. The I/O module 606 may be used, for example, to couple the varnish application system to other devices, for example the Internet or a computer. The varnish application system 600 has code, typically called firmware, stored in the memory 604. The firmware is stored as computer readable instructions in the non-transitory computer readable medium (i.e. the memory 604). The processor 602 generally retrieves and executes the instructions stored in the non-transitory computer-readable medium to operate the varnish application system and to execute functions. In one example, processor executes code that causes varnish to be applied to a substrate, as described herein. Figure 7 is an example block diagram of the processor 602 coupled to memory 604. Memory 604 contains software 702 (also known as firmware). The software 702 contains a varnish application control module that when executed by the processor 602 causes the varnish application system 600 to apply varnish to a substrate as described herein.
10. A method of applying fluid in a desired pattern to a substrate, comprising: determining a pattern of fluid to apply to a substrate; applying a first portion of the pattern of fluid to the substrate using a first array of selectively controllable fluid applicators; and applying a second portion of the fluid to the substrate using a second array of fluid applicators, the second portion being the difference between the first portion and the desired portion.
11. The method of claim 10 comprising: determining a difference pattern corresponding to the difference between the desired fluid pattern and the fluid pattern to be applied by the first array of fluid applicators, and applying the difference pattern using the second array of fluid applicators. 12. The method of claim 10, further comprising determining a set of fluid applicators in the first array to apply the first portion of the pattern of fluid. 13. The method of claim 10, wherein the second array of fluid applicators comprises a pair of fluid applicators, the method comprising using each of the pair of fluid applications to apply fluid to different lateral extremities of the second portion of the desired fluid pattern. 14. The method of claim 10, further comprising applying a first portion of the pattern of fluid to the substrate using a first array of selectively controllable fluid applicators whilst applying a second portion of the fluid to the substrate using a second array of fluid applicators.
2829718
Injector Arrangement
1
Based on the following detailed description of an invention, generate the patent claims. There should be 14 claims in total. The first, independent claim is given and the remaining 13 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
A known fuel injector 1, illustrated in Figures 1, 2a and 2b, comprises an injector/ valve body 10 including a first region of relatively narrow diameter (the injector nozzle 8) and a second, enlarged region. The injector body 10 (sometimes referred to as a nozzle holder body) is provided with a bore 11 which extends through both the first (nozzle 8) and second regions, the bore terminating at a position spaced from the free end of the first region. An elongate injector needle 12 is slidable within the bore, the injector needle 12 including a tip region 14 which is arranged to engage a injector needle seat defined by the inner surface of the injector body 10 adjacent the blind end of the bore. The injector nozzle 8 of the injector body 10 is provided with one or more apertures 15 communicating with the bore, the apertures being positioned such that engagement of the tip 14 with the injector needle seat prevents fluid escaping from the injector body 10 through the apertures, and when the tip 14 is lifted from the needle seat, fluid may be delivered through the apertures. As shown in Figure 1, the injector needle 12 is shaped such that the region thereof which extends within the injector nozzle 8 of the injector body 10 is of smaller diameter than the bore to permit fluid to flow between the injector needle 12 and the inner surface of the injector body 10. Within the second region of the injector body 10, the injector needle 12 is of larger diameter, substantially preventing fluid flowing between the injector needle 12 and the injector body 10. In the second region of the injector body 10, an annular gallery 16 is provided, the annular gallery 16 communicating with a fuel supply line 18 which is arranged to receive high pressure fuel from an accumulator of an associated fuel delivery system. In order to permit fuel to flow from the gallery 16 to the first region of the injector body 10, the injector needle 12 is provided with a fluted region which permits fuel to flow from the annular gallery 16 to the injector nozzle part 8 of the injector body 10, and also acts to restrict lateral movement of the injector needle 12 within the injector body 10 but not restricting axial movement thereof. A control chamber 22 is provided within the second region of the injector body 10 at a position remote from the first region thereof, a compression spring 30 (not shown in Figure 1, see Figures 2a/2b ) being provided in the control chamber 22 for biasing the needle 12 towards the needle seat defined by the inner surface of the injector body 10 adjacent the blind end of the bore 11. The injector in Figure 1 further comprises an electromagnetic actuator arrangement 44 located above a valve arrangement 50. A spacer component 52 is situated underneath the valve arrangement 50 and above the needle 12. The spacer 52 integrates the control chamber 22 and three calibrated orifices (54, 56, 58) which allow operation of the injector. The valve arrangement 50 comprises a valve stem portion 60 which carries an armature 62 at one end of the stem portion. The stem portion is slidable within a bore 64. The valve stem portion carries a number of depressurisation grooves and, at the armature end of the stem portion, there is a sealing face 66 which is engageable with a seat 68 at an end of the bore. When the sealing face is brought into contact with the seat a contact making pressure seal is made. A valve spring 46 is located above the armature and acts to urge the sealing face into engagement with its seat. Within the spacer component 52 there is an injection supply orifice 58 (also referred to as the nozzle path orifice or NPO), a control chamber discharge orifice 54 (also referred to as the spill orifice or SPO) and a control chamber filling orifice 56 (also referred to as the inlet orifice or INO). The control chamber 22 communicates with the high pressure fuel line 18 through the control chamber filling orifice 56. As illustrated in Figure 1, the solenoid actuator 44 comprises a generally cylindrical core member 44a including an axial blind bore 44b, windings (not shown in Figure 1 ) being wound upon the core member 44a and being connected to a suitable controller, and a cylindrical yoke (not shown in Figure 1 ) extending around the core member 44a and windings 44b. The faces of the core member 44a and yoke facing the valve arrangement 50 define pole faces. It is noted that the valve spring 46 provides a closing force for the valve arrangement 50 and also maintains a contact pressure on the valve seat when the valve is closed. A fuel supply line 18 supplies fuel from a high pressure fuel pump (not shown) to the injector nozzle 8 and the spring chamber 22. The valve arrangement 50 is also in fluid communication with the fuel supply line 18 via the INO and SPO orifices. When the valve arrangement 50 is closed, there is no fluid communication between the spring chamber 22 and a low pressure fuel return line 27. Accordingly, the fuel pressure in the injector nozzle 8 and the spring chamber 22 equalises and the spring 30 biases the injector needle 12 to a seated position in which the nozzle holes are closed. Conversely, when the valve arrangement 50 is opened, a path is formed which places the spring chamber 22 in fluid communication with the low pressure fuel return line 27 resulting in a reduction in the fuel pressure in the spring chamber 22. The fuel pressure in the injector nozzle 8 is higher than the fuel pressure in the spring chamber 22 and a pressure force applied to the injector needle 12 overcomes the bias of the spring 30. The injector needle 12 lifts from its seated position and opens the nozzle holes allowing fuel to be injected into the combustion chamber, as shown in Figure 2B. On a solenoid common rail injector, the valve arrangement 50 plays an important part in controlling fuel leaks. A leak results in an energy loss and this has a direct effect on CO _2 emissions of a vehicle using the injector 1. In use, the fuel injector 1 will experience two forms of leaks: (a) Dynamic leaks - these are leaks which result from the opening of the control valve arrangement 50 during injection; and (b) Static leaks - these are leaks between the control valve member 60 and the valve bore64 when the control valve arrangement 50 is closed and the fuel injector 1 is not injecting. Static leaks are more significant since the control valve spends more time closed than it does open. Contributing factors in static leaks include: guide clearance; guide length; increased clearance for injector and engine assembly; and increased clearance due to pressure. The static leaks within the control valve arrangement 50 due to pressure are particularly relevant in view of the continuing trend towards higher operating pressures (for example 2200 to 3000 bar) for fuel injected into the combustion chamber. The high pressure fuel within the valve arrangement can place radial loading on the various components within the valve arrangement 50 which can cause them to distort. Distortion of these components can increase clearances within the control valve arrangement 50 which can result in an increase in static leaks. Figures 2a and 2b show the injection process within the known injector of Figure 1. Like features between Figures 1 and 2 are denoted by like reference numerals. The operation of the injector will now be briefly described with reference to Figures 2a and 2b. In Figure 2a, the valve arrangement 50 is closed and the sealing face 66 is engaged with the seat 68. The control chamber 22 is therefore subjected to the pressure within the common rail. The high pressure fuel exerts a force on the top of the needle 12 which exceeds the pressure of fuel acting on pressure surfaces of the needle 12 (pressure surface 70 is shown in the Figure. Pressure of fuel may also act on an annular pressure surface between the maximum needle diameter and the diameter in the seat/tip region 14 of the needle 12). The needle is therefore held closed such that there is no injection through the orifices 15. In Figure 2b, the actuator 44 is energised and lifts the armature 62 such that the valve arrangement 50 is in its open position in which the sealing face 66 lifts from its seat 68. Fuel contained within the control chamber 22 now has a flow path through the spill control orifice 54 (SPO) to a low pressure drain and fuel consequently flows from the control chamber 22. Initially the pressure exerted on the top of the needle 12 by fuel within the control chamber 22 and the injector spring 30 exceeds the pressure exerted on the pressure surface 70. However, as soon as the pressure exerted by fuel on the pressure surface 70 exceeds the spring force and the force exerted by fuel in the control chamber 22 then the needle 12 lifts and injection of fuel through the orifices 15 commences as fuel flows from the common rail through the nozzle path orifice 58 as in Figure 2b. To stop injection, the electromagnetic actuator 44 is de-energised and the valve spring 46 (not shown in Figure 2 ) closes the valve arrangement 50. High pressure fuel passes from the supply line 18 through the control chamber filling orifice 56 (INO) and the pressure rises within the control chamber 22 until injection ceases (at which point the injector has returned to the position shown in Figure 2a ). Turning to Figure 3, a fuel injector 100 according to an embodiment of the invention is shown. Like features within the figures are denoted with like reference numerals. As in Figures 1 and 2 the injector comprises an injector body 10 defining a bore 11 within which a injector needle 12 is slidable. An annular gallery 16 within the injector body 10 is in fluid communication with a high pressure fuel supply line 18 which is arranged to receive high pressure fuel from an accumulator volume (not shown in Figure 3 ). The end 102 of the injector needle 12 remote from the tip 14 is generally frusto-conical in shape. A control chamber 104 is defined in part by the surface of the frusto-conical end 102 of the injector needle and by an armature member 106 located between the injector needle 12 and solenoid actuator 44. The control chamber 104 therefore is located above the end 102 of the needle 12. As shown in Figure 3 the armature member 106 has engaged with an armature seat 105 on the surface of the frusto-conical end 102 of the injector needle. The injector needle 12 of Figure 3 comprises an axial drilling 108, a first end 110 of which opens into the control chamber 104. A second end 112 of the drilling 108 is in fluid communication with the annular gallery 16 via one or more transverse drillings 114 (only one of which is shown in Figure 3 for clarity). A control chamber filling orifice 116 (also referred to as the inlet orifice or INO) is located within the axial drilling 108. It is noted that in the event that there is a single transverse drilling 114 then the orifice 116 could be located in the drilling 114. The pressure of fluid within the control chamber may be controlled by energising/deenergising the actuator arrangement 44. Upon energisation of the solenoid actuator 44 the armature member 106 is lifted such that the armature member 106 disengages from the armature seat 105 and the control chamber 104 is brought into fluid communication with a low pressure volume/low pressure drain 118. The clearance that opens up between the armature member 106 and the armature seat 105 when the actuator 44 is energised performs the function of the control chamber discharge orifice 54 (spill orifice or SPO) in Figures 1 and 2. The armature member 106 comprises a cylindrical portion 120, the internal surfaces of which define in part the control chamber 106, and an armature projection portion 122 which projects substantially perpendicular to the long axis of the cylindrical portion (and also substantially perpendicular to the long axis 124 of the fuel injector). An armature spring 126 within the bore of the solenoid 44 returns the armature member 106 into engagement with the armature seat 105 upon de-energisation of the actuator 44. A further compression spring 128 located within the bore of the solenoid biases the injector needle 12 towards its valve seat. In the arrangement of Figure 3 the armature spring 126 and compression spring 128 are disposed concentrically relative to one another. The operation of the fuel injector according to an embodiment of the present invention is now described with reference to Figures 3 to 6. In Figure 3 the injector is closed and the injector needle is engaged with the valve seat such that the fuel cannot flow through the apertures 15 in the injector body 10. The pressure of fuel is substantially the same at the top of the needle 12 and at the bottom of the needle 12. The surface area of the top of the needle is larger than the bottom of the needle and a force is generated towards the tip 14. This force acts with the force generated by the armature spring 126 and compression spring 128 to keep the injector needle 12 on its valve seat. In Figure 4, an injector open command has been sent from a control system (not shown) to the solenoid actuator 44. As the solenoid actuator is energised the armature member 106 lifts from the armature seat 105 such that a fluid path 140 is opened between the control chamber 104 and the low pressure region 118. High pressure fluid within the control chamber 104 begins to drain to the low pressure region. High pressure fuel within the supply passage 18 and gallery 16 is drawn through the axial drilling 108 into the control chamber 104. The flow of high pressure fuel is, however, limited by the control chamber filling orifice 116. The magnetic force exerted by the solenoid actuator 44 on the armature member 106 is greater than the armature spring 126 force and as a consequence the armature member is lifted from its seat on the injector needle 12. The pressure within the control chamber 104, following the lifting of the armature member 106, is lower than the pressure on the bottom of the needle. An upward force is generated on the injector needle that exceeds the compression spring force 128 and so the needle also begins to lift. Figure 5 shows the continued opening phase of the injector needle 12 and the commencement of injection. As the injector needle 12 lifts from its valve seat fuel may enter the region in the vicinity of the lower tip 14 of the injector needle and pass through the apertures 15 such that injection 142 into a combustion volume (not shown) occurs. The injector needle will continue to rise until if comes to seat again on the armature member 106. As the injector needle seats against the armature member the pressure within the control chamber begins to rise again. When the pressure within the control chamber 104 rises to a sufficient level the fuel pressure at the top and bottom of the needle reaches an equilibrium such that the needle spring 128 and the pressure forces pushes the injector needle downwards and the injector needle 12 disengages from the armature member 106. As the injector needle moves downwards the control chamber is again exposed to the low pressure region and fuel moves from the control chamber towards the low pressure drain. As the pressure drops in the control chamber again, the pressure imbalance between the bottom and top of the injector needle again pushes the injector needle upwards. The injector needle then enters an "equilibrium state" in which the injector needle "floats" between a position where it is seated on the armature member and a position where it has moved away from the armature seat. This "floating" behaviour continues until the solenoid actuator is de-energised. Figure 6 shows the closure of the injector needle. In Figure 6 the solenoid actuator 44 is de-energised such that the armature member 106 is no longer magnetically attracted towards the actuator. The actuator spring member 126 then acts to bring the armature member 106 into engagement with the actuator seat 105. The control chamber 104 then begins to fill due to fuel feeding in via the axial drilling/orifice 108. As the control chamber 104 begins to pressurise the pressure difference between the top and the bottom of the needle 12 decreases until such time as the injector needle 12 and actuator member 106 are able to move towards the valve seat under the action of the actuator spring member 126 and the valve spring 128. As the injector needle closes the injection ports 15 are closed off and the injection cycle comes to an end. The pressure within the control chamber 104 and annular sac 16 return to the pressure within the high pressure drilling. Figures 7a and 7b illustrate how the profile of the frusto-conical end section 102 of the needle 12 may be varied. In Figure 7a the frusto-conical section has a single profile 150. Figure 7b shows an alternative arrangement in which the end 102 of the injector needle 12 comprises two different profiles 152, 154. Providing a injector needle end profile with varying profiles allows the control chamber 104 to be drained at different rates depending on the lift of the armature member 106 relative to the armature seat 105. In the example of Figure 7b, an initial, "pilot", injection command may be sent to the solenoid actuator 44 which lifts the armature member 106 away from the armature seat 105 by a relatively small amount. If a main injection command is sent to the actuator 44 however then the armature member may move further from its seat. At a certain point the armature member will move higher than the point 156 where the profile of the end 102 of the needle tip changes. As the injector needle passes this point then a greater volume of fuel may spill to the low pressure drain. In this manner a relatively greater amount of fuel may be spilled to the low pressure drain if the solenoid actuator is energised to a sufficient level. This in turn enables a larger amount of fuel to be injected via the nozzle orifices. Figure 8 shows alternative embodiments of the present invention in which the size of the control chamber 104 is varied by increasing the cross sectional area of the cylindrical portion 120 of the armature arrangement 106. Figure 8 shows two different arrangements (labelled "A" and "B") in which the cross-sectional area of one arrangement is larger than the other (A>B). In arrangement A the pressure at the top of the injector needle 12 when the control chamber 104 is filled and the armature member 106 is seated on the armature seat 105 will be higher than in arrangement B. This will, in turn, impact upon the opening speed of the injector needle (A is slower than B) due to the increased pressure of fuel at the top of the injector needle.
1. A fuel injector (100) for use in an internal combustion engine, the fuel injector comprising: an injector body (10) comprising a bore (11); an injector needle (12) located within the bore and engageable with a needle seat to control fuel injection through an injector outlet (15); an armature member (106), the armature member being engageable with an armature seat (105) on the injector needle (12), the injector needle (12) in part and the armature member (106) in part defining a control chamber (104); an actuator arrangement (44) arranged to control fuel pressure within the control chamber (104) such that fuel pressure variations within the control chamber controls movement of the injector needle relative to the needle seat wherein the actuator arrangement (44) is arranged to be capable of moving the armature member (106) from a seated position in which it engages the armature seat (105) to an unseated position in which the armature member (106) has moved relative to the armature seat in order to bring the control chamber (104) into fluid communication with a low pressure drain (118).
2. A fuel injector as claimed in Claim 1, wherein the bore within the injector body comprises an annular gallery (16). 3. A fuel injector as claimed in Claim 2, wherein the gallery (16) is in fluid communication with an accumulator volume via a high pressure drilling (18). 4. A fuel injector as claimed in Claim 1, wherein the injector needle comprises an axial drilling (108). 5. A fuel injector as claimed in Claim 4, wherein the axial drilling is in fluid communication with a source of high pressure fuel at a first end and in fluid communication with the control chamber at a second end. 6. A fuel injector as claimed in Claim 5, wherein the axial drilling comprises a control chamber filling orifice (116) to control the flow of fuel from the source of high pressure fuel into the control chamber (104). 7. A fuel injector as claimed in any one of Claims 4 to 6, wherein the axial drilling is in fluid communication with an annular gallery (16) within the bore of the injector body, the gallery being in fluid communication with an accumulator volume via a high pressure drilling (18). 8. A fuel injector as claimed in any preceding claim, further comprises an armature spring member (126) arranged to bias the armature member (106) towards the armature seat (105). 9. A fuel injector as claimed in any preceding claim, further comprising an injector needle spring member (128) arranged to bias the injector needle (12) towards the valve seat. 10. A fuel injector as claimed in any preceding claim, wherein the armature seat (105) is located at one end of the injector needle. 11. A fuel injector as claimed in Claim 10, wherein the end of the injector needle comprising the armature seat (105) is substantially frustoconical defining a injector needle end profile (150, 152, 154), the armature seat (105) being located on the end profile. 12. A fuel injector as claimed in Claim 11, wherein the end of the injector needle comprising the armature seat (105) comprises more than one end profile. 13. A fuel injector as claimed in any one of Claims 10 to 12, wherein a second end (14) of the injector needle (16) is arranged to engage the valve seat. 14. A fuel injector as claimed in Claim 13, wherein the actuator arrangement is arranged to move the armature member (106) from the seated position in which it engages the armature seat (105) to a pilot injection position and a main injection position, the rate of flow of fuel from the control chamber (104) to the low pressure drain (118) being greater in the main injection position than the pilot injection position.
2829737
Fan guard and air heat exchanger provided with such fan guard
1
Based on the following detailed description of an invention, generate the patent claims. There should be 11 claims in total. The first, independent claim is given and the remaining 10 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
With reference to Figure 1 an air heat exchanger or AHE 2, provided with a fan guard 4, is illustrated. The AHE 2 comprises a fan 6, a portion of which is schematically illustrated by a continuous/broken thick line in the figure. The fan 6 is arranged to draw outside air through the AHE 2, as is illustrated by the arrows. A brief description of an air heat exchanger was given by way of introduction, and since the present invention is not focused on the AHE 2 itself, it will not be described in further detail herein. The fan guard 4 is further illustrated in Figures 2-7. It comprises a grid 8 arranged to be positioned on the outside of the fan 6 to shield it from the surroundings. The grid 8 is made of steel wires formed into circles 10 of different sizes arranged concentrically around a center axis C of the fan guard 4, which axis C is perpendicular to a figure plane of Figure 4. The circles 10 are arranged in different parallel main extension planes P of the grid 8, which are parallel to the figure plane of Figure 4, which gives the grid a slight cone-shape. The circles 10 are connected by steel spokes 12 extending radially from a center point c to a periphery 14 of the grid 8. The steel spokes 12 are actually halves of diametrically extending bars. Further, the circles 10 are connected by steel rods 16 extending from the periphery 14 of the grid in a radial direction towards, but not all the way to, the center point c of the grid. The spokes 12 and rods 16 are evenly distributed such that an angle between each pair of spokes, rods or spoke and rod is similar. The fan guard 4 further comprises a number of first attachment means to attach the fan guard to the AHE 2. Hereinafter, the first attachment means denoted 18 will be described in further detail. However, since all the first attachment means are similar, the description given is just as valid for the rest of the first attachment means. The first attachment means 18 is formed integrally with one of the spokes 12, a bend 20 ( Figure 3 ) defining the border between the first attachment means 18 and the spoke 12. The first attachment means 18 comprises a hook 22, which has a first end 24 and a second end 26, and a guide means 28, which has a first end 30 and a free second end 32, see especially Figure 6. The hook 22 and the guide means 28 are integrally formed, the second end 26 of the hook 22 being connected to the first end 30 of the guide means 28. The first end 24 of the hook 22 is connected to an end 34 of the spoke 12. The hook 22 extends, as is clear from Figures 1-4, along a hook plane H, that is parallel to the main extension planes P of the grid 8. The hook 22 bends, as seen from its first end 24 in a direction X indicated in Figure 5, essentially 180 degrees around a reference point R encircled by the hook, in a first rotation direction D1, here clock-wise direction. Thereby, the hook 22 is essentially U-shaped and its first and second ends 24 and 26, respectively, are separated to define an opening 36 of the hook. Further, the hook 22 is "tilted" in relation to the periphery 14 of the grid 8. More particularly, as is illustrated in Figure 6, the hook 22 extends, from its first end 24, along a first imaginary line L1. The spoke 12 extends along a second imaginary line L2, which in turn extends between the center axis C of the fan guard 4 and the first end 24 of the hook 22 in a plane parallel to the first imaginary line L1, i.e. a plane parallel to the hook plane H. As seen in the direction X, an angle α measured from the second imaginary line L2 to the first imaginary line L1 in the first rotation direction D1 is equal to 115 degrees. Thereby, the hook 22 is "moved out" somewhat from the periphery 14 of the grid 8 (as compared to if α was equal to 90 degrees) which makes it (and thus also the second attachment means to be described below) more accessible which is advantages in connection with assembly and disassembly of the fan guard 4. The guide means 28 extends along the same plane as the hook 22, i.e. along the hook plane H. The guide means 28 points away from the grid 8 such that a first smallest distance y1 ( Figure 7 ) between the first end 30 of the guide means 28 and the periphery 14 of the grid 8 is smaller than a second smallest distance y2 between the second end 32 of the guide means 28 and the periphery 14 of the grid 8. Thus, the guide means 28 increases the "catching area" of the first attachment means 18. The AHE 2 further comprises a number of second attachment means arranged to cooperate with the first attachment means 18 of the fan guard 4 to attach the fan guard to the AHE 2. Hereinafter, the second attachment means denoted 38 will be described in further detail with reference to Figures 1-3 and 6-7. However, since all the second attachment means are similar, the description given is just as valid for the rest of the second attachment means. The second attachment means 38 is composed of an inner portion in the form of a threaded bolt 40 and an outer portion in the form of a threaded nut 42, the nut 42 being screwed onto the bolt 40. The bolt 40 is attached to the AHE 2 and projects from a surface 44 thereof. The bolt 40 and the hook 22 of the AHE 2 and the fan guard 4, respectively, are so positioned that the bolt 40 extends through the hook 22 when the fan guard 4 is properly assembled onto the AHE 2. Arranged like that, the hook 22 encircles the bolt 40, but just partially because of the opening 36 of the hook 22. The bolt 40 is so dimensioned that it fits within the hook 22 and a third imaginary line L3 drawn between the first and second ends 24 and 26 of the hook, as is clear especially from Figure 6. Further, the nut 42 has outer dimensions exceeding inner dimensions of the hook 22. In other words, the nut 42 is so dimensioned that it extends beyond an inner contour 48 ( Figure 5 ) of the hook 22. Thus, when the hook 22 encircles the bolt 40, the nut 42 prevents removal of the fan guard 4 from the AHE 2 in a direction parallel to the center axis C of the fan guard. The second attachment means 38 has a first state, illustrated in Figure 3, in which the nut 42 is arranged on a distance z1 from the surface 44 of the AHE 2, where z1>h, h being a height of the hook 22. Further, the second attachment means 38 has a second state, illustrated in Figure 2, in which the nut 42 is arranged on a distance z2 from the surface 44 of the AHE 2, where z2 is essentially equal to h. The fan guard 4 is assembled onto the AHE 2 when the second attachment means 38 is in its first state. Then, the fan guard 4 is arranged on the surface 44 of the AHE in such a way that the second attachment means 38 is aligned with the first attachment means 18. Thereafter, the fan guard 4 is rotated around its center axis C in relation to the AHE 2 in the first direction D1 until the bolt 40 is received in the hook 22 through its opening 36, as is illustrated in Figure 6. Subsequently, the nut 42 is tightened so as to transfer the second attachment means 38 to its second state. In the second state, the nut 42 engages with the hook 22 so as to prevent rotation of the fan guard and lock the fan guard to the AHE. In connection with disassembly of the fan guard 4, the nut 42 is loosened so as to transfer the second attachment means 38 to its first state. Then, the fan guard 4 is rotated around its center axis C in relation to the AHE 2 in a second direction D2, which is opposite to the first direction D1, until the bolt 40 has left the hook 22 through its opening 36 and passed the guiding means 28. Thereafter, the fan guard 4 can be removed from the AHE 2. Thus, the second attachment means 38 may remain, in its entirety, attached to the AHE 2 at all times. Thus, the problem that the prior art fan guards are associated with, i.e. the presence of loose parts in connection with fan guard assembly and disassembly, is not present in connection with the fan guard according to the present invention. It is clear, especially from Figure 7, that a part of the bolt 40 is arranged more distant from the grid 8 than an inside of the second end 26 of the hook 22. In other words, a third smallest distance y3, which is measured between the grid periphery 14 and a point 50 on the bolt 40 arranged most distant from the grid periphery, is larger than a fourth smallest distance y4, which is measured between the grid periphery 14 and the second end 26 of the hook 22. If the guiding means 28 had not existed, the bolt 40 had not been received in the hook 22 upon clockwise rotation of the fan guard in relation to the AHE in the above described way since the bolt 40 would collide with the second end 26 of the hook 22. The guiding means 28 "catches" the bolt 40 and forces it through the hook opening 36. In connection therewith, the hook 22 flexes somewhat in that the second end 26 thereof is slightly displaced in a direction from the grid 8 to enable passage of the bolt. After the bolt passage the hook returns to its "unflexed" state. Thus, the first attachment means 18 has a built-in snap-locking function that prevents, to some extent, rotation of the fan guard in relation to the AHE once the bolt has been received in the hook irrespective of the state of the second attachment means 38. The above described embodiment of the present invention should only be seen as an example. A person skilled in the art realizes that the embodiment discussed can be varied in a number of ways without deviating from the inventive conception. As an example, the fan guard could be so constructed that the hook instead extends along a hook plane that is perpendicular to a main extension plane of the grid. The grid need not have the above described steel wire structure but may have any suitable structure and be made of any suitable material. As an example, the grid could be a perforated sheet of plastic. Further, the grid need not have a circular form but could have essentially any form. Moreover, the grid need not be cone-shaped but could instead be flat. Also, the first attachment means could be made of other materials than steel. The first attachment means could be constructed less complex than described above. As an example, it could be composed of a U-shaped hook with an angle α = 90 degrees. The hook does not have to comprise a bend of 180 degrees; it could be more or less bent. Further, the hook does not have to be U-shaped but can have any suitable form. As an example it could have the form of a V or a truncated rectangle. The hooks need not be arranged aligned with the spokes. Further, the number and position of the hooks, spokes and rods can be varied in an endless number of ways. Instead of being arranged to draw outside air through the AHE, the fan may be arranged to blow outside air throught the AHE. Accordingly, the fan, and thus the fan guard, may be arranged on the opposite side of the AHE. Finally, the second attachment means need not be composed of bolt and nut pairs but could comprise a large number of different locking means. As an example, the second attachment means could comprise a hinged catch, pin or bracket arranged to be folded down around the hook to lock the fan guard to the AHE. It should be stressed that the attributes first, second, third, etc. is used herein just to distinguish between species of the same kind and not to express any kind of mutual order between the species. It should be stressed that a description of details not relevant to the present invention has been omitted and that the figures are just schematic and not consistently drawn according to scale. It should also be said that some of the figures have been more simplified than others. Therefore, some components may be illustrated in one figure but left out on another figure.
1. A fan guard (4) for an air heat exchanger (2) comprising a grid (8) arranged to enclose a fan (6) of the air heat exchanger and a first attachment means (18) arranged to engage with a second attachment means (38) of the air heat exchanger to attach the fan guard to the air heat exchanger, said second attachment means projecting from a surface (44) of the air heat exchanger, characterized in that the first attachment means comprises a hook (22) having a first end (24) at which the hook is connected to the grid, the hook being arranged to just partially encircle the second attachment means to attach the fan guard to the air heat exchanger, wherein the hook has an opening (36) enabling the hook to move into partial encirclement of the second attachment means when the fan guard is rotated in relation to the air heat exchanger, around a center axis (C) of the fan guard, in a first rotation direction (D1), and out of partial encirclement of the second attachment means when the fan guard is rotated in relation to the air heat exchanger, around the center axis of the fan guard, in a second rotation (D2) direction which is opposite to the first rotation direction.
2. A fan guard (4) according to claim 1, wherein the hook (22) extends along a hook plane (H) that is parallel to a main extension plane (P) of the grid (8). 3. A fan guard (4) according to any of the preceding claims, wherein the first attachment means (18) comprises a guide means (28), the hook (22) and the guide means being connected at a second end (26) of the hook and a first end (30) of the guide means, and wherein a first smallest distance (y1) between the first end of the guide means and the grid (8) is smaller than a second smallest distance (y2) between a second end (32) of the guide means and the grid. 4. A fan guard (4) according to claim 3, wherein the hook (22) and the guide means (28) extend along one and the same plane. 5. A fan guard (4) according to any of the preceding claims, wherein the hook (22) extends from its first end (24) along a first imaginary line (L1), an angle (α) from a second imaginary line (L2), which extends between the center axis (C) of the fan guard and the first end (24) of the hook in a plane parallel to the first imaginary line, to the first imaginary line, in the first rotation direction (D1) being larger than 90 degrees and smaller than 180 degrees. 6. A fan guard (4) according to claim 5, wherein said angle (α) between the first and second imaginary lines (L1, L2) is between 110 and 120 degrees. 7. A fan guard (4) according to any of the preceding claims, wherein the hook (22) is essentially U-shaped. 8. An air heat (2) exchanger comprising a fan (6) and provided with a fan guard (4) according to any of claims 1-7, wherein the second attachment means (38) has a first state in which it, as a whole, engages with the air heat exchanger and it is possible for the hook (22) to move into and out of partial encirclement of an inner portion (40) of the second attachment means when the fan guard is rotated in relation to the air heat exchanger around the center axis (C) of the fan guard, and a second state in which it, as a whole, engages with the air heat exchanger and with the hook to prevent the hook from moving into and out of partial encirclement of the inner portion of the second attachment means by rotation of the fan guard. 9. An air heat exchanger (2) according to any of claims 8, wherein the inner portion (40) of the second attachment means (38) is arranged closer to the surface (44) of the air heat exchanger than an outer portion (42) of the second attachment means, the outer portion being arranged to at least partly extend beyond an inner contour (48) of the hook (22) and be positioned outside the hook, whereby the outer portion is arranged to engage with the hook in the second state of the second attachment means. 10. An air heat exchanger (2) according to any of claims 8-9, wherein the inner portion (40) of the second attachment means (38) is arranged to be accommodated within the hook (22) and a third imaginary line (L3) drawn between the first and second ends (24, 26) of the hook. 11. An air heat exchanger (2) according to any of claims 8-10, wherein a third smallest distance (y3) between the grid (8) and a point (50) on the inner portion (40) of the second attachment means (38) being located furthest away from the grid is larger than a fourth smallest distance (y4) between the second end (26) of the hook (22) and the grid.
2829387
Granulated material used in a liquid composite moulding process
1
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In Figure 1 a cross sectional view on a system 1 for liquid composite moulding is presented. The system 1 comprises a first rigid tool part 3 onto which a semi-finished fiber material 11 is positioned. Furthermore, the system comprises a first vacuum foil 5, a second vacuum foil 7 and granulated material 9. The first vacuum foil 5 is sealed to the first rigid tool part 3 such that a first gastight chamber 19 is formed between the first vacuum foil 5 and the first rigid tool part 3. The semi-finished fiber material 11 is located in the first gastight chamber 19. A first port 13 is provided at the first gastight chamber 19 in such a way that a matrix material 23 is infusible into the first gastight chamber 19 via the first port 13. Therein, in the shown embodiments the first port 13 is provided as an inlet in the first rigid tool part 3. However, the first port 13 may also be provided for example in the first vacuum foil 5. The second vacuum foil 7 is positioned on top of the first vacuum foil 5. Between the first vacuum foil 5 and the second vacuum foil 7 a second gastight chamber 21 is formed, when the second vacuum foil 7 is sealed to the first vacuum foil 5. Therein, the granulated material 9 is arranged within the gastight chamber 21. Particularly, a granulated material 9 such as fired clay may be employed. A second port 15 is provided at the second gastight chamber 21 for applying a negative pressure p1 to the second gastight chamber 21. When negative pressure p1 is applied to the second port 15 the second gastight chamber 21 is evacuated and the granulated material 9 forms a second rigid tool part 25. Thus, the system 1 comprises a first rigid tool part 3 and a second tool part 25 which may be stiffened and collapsed on demand. The second tool part 25 is constituted by the first vacuum foil 5, the second vacuum foil 7 and the granulated material 9. The second tool part 25 may function similarly to a vacuum mattress or a caul plate. Due to the granulated material 9 and the stiffenable second tool part 25 the system 1 allows for pressure assisted matrix material 23 supplies. The matrix material 23 may e.g. be provided to the first gastight chamber 19 with the help of a peristaltic pump 37 as shown in Figure 3 or a periodically opening valve 39 as shown in Figure 4. Particularly, the use of the granulated material 9 enhances the reproducibility of the composite part produced from the semi-finished fiber material 11. Furthermore, the use of granulated material 9 allows to create quickly, easily adaptable, complex shaped and reusable caul plates, which are easy available, not harmful and applicable to high temperature curing. Moreover, the first gastight chamber 19 comprises a third port 17 through with a second negative pressure p2 may be applied to the first gastight chamber 19. In the shown embodiments the third port 17 is provided at the first rigid tool part 3 between the first vacuum foil 5 and the second vacuum foil 7. However, the third port 17 may also be provided in the first vacuum foil 5. By evacuating the first gastight chamber 19 the distribution of the matrix material 23 through the semi-finished fiber material 11 is enhanced. Therein, the first negative pressure p1 may differ from the second negative pressure p2. Furthermore, both negative pressures p1, p2 differ from, and particularly are smaller than an ambient pressure p3. Furthermore, a flow channel 41 is provided on the surface of the second gastight chamber 21 shown in Figure 1. The flow channel 41 may be in fluid communication with the first port 13 and enhance the distribution of the matrix material 23. For providing the flow channel 41 the second gastight chamber 21 may be preformed as explained with respect to Figure 6. In Figure 2 a system 1 according to a second embodiment is shown. The system 1 of Figure 2 is similar to the system 1 shown in Figure 1. However, in Figure 2 the second port 15 providing access to the second gastight chamber 21 is arranged at the second vacuum foil 7. Furthermore, the granulated material 9 is arranged within a flexible casing 27 in the second gastight chamber 21. The flexible casing 27 is deformable and comprises a non-woven fabric material such as a felt-like cloth or fleece. The flexible casing 27 allows for an easy storage, handling and positioning of the granulated material 9. The flexible casing 27 may comprise one cavity in which all the granulated material 9 is disposed. In the embodiment shown in Figure 2 the flexible casing 27 comprises a first cavity 29 and a separate second cavity 31. Thus, the granulated material 9 is divided into two layers. The particles of the granulated material 9 in the first cavity 29 have a smaller size than the particles of the granulated material 9 in the second cavity 31. In this way, certain structures or surface geometries of the composite part to be finished may be enhanced. Figure 3 and Figure 4 show a supply of matrix material 23 connectable to the first port 13 at the first gastight chamber 19 as shown in Figure 1 and Figure 2. Therein, the supply of matrix material 23 is a pressurized supply 35 of matrix material 23 which is enabled by the stiffenable second gastight chamber 21 with the granulated material 9. The pressurized supply 35 of matrix material 23 comprises a reservoir 33 of matrix material 23 and is adapted to supply the matrix material 23 in a pulsated manner to the first port 13. According to the embodiment in Figure 3 the pressurized supply 35 of matrix material 23 further comprises a periodically opening valve 39. Moreover, a pressurized gas, e.g. air, is supplied to the reservoir 33. This is indicated with the arrow on the left side of Figure 3. The pressure within the reservoir 33 is increased with the pressurized air. Due to this increased pressure the matrix material 23 flows to the first port 13 when the valve 39 is open. In the embodiment of Figure 4 the pressurized supply 35 of matrix material 23 comprises a peristaltic pump 37 instead of the pressurized air supply and the valve 39. The peristaltic pump 37 moves portions of the matrix material 23 to the first port 13. In Figure 5 a flow chart of a method for liquid composite moulding applicable e.g. with the system 1 shown in Figure 1 and 2 is presented. The sequence of the steps may vary and Figure 5 is only an example of a possible sequence. Therein, in a first step S01 a first rigid tool part 3 is provided. Furthermore, in step S03 the semi-finished fiber material 11 is arranged on top of the first rigid tool part 3. In step S05 a first vacuum foil 5 is placed on top of the semi-finished fiber material 11. Moreover, in step S07 the first vacuum foil 5 is gastightly sealed to the first rigid tool part 3 to form a first gastight chamber 19 in which the semi-finished fiber material 11 is arranged. In step S09 the granulated material 9, possibly arranged in a flexible casing 27, is placed on top of the first vacuum foil 5. Subsequently, in step S11 the second vacuum foil 7 is placed on top of the granulated material 9. Then, in step S 13 the second vacuum foil 7 is gastightly sealed to the first vacuum foil 5 to form a second gastight chamber 21 in which the granulated material 9 is arranged. In step S 15 a first negative pressure p1 is applied to the second gastight chamber 21 via a second port 15. In this way, the whole built-up below the second gastight chamber 21 is fixed by the vacuum mattress consisting of the first vacuum foil 5, the second vacuum foil 7 and the granulated material 9. Moreover, a second negative pressure p2 is applied to the first gastight chamber 19 via the third port 17 in step S 17. Furthermore, in step S 19 the matrix material 23 is supplied into the first gastight chamber 19 via the first port 13. For example, steps S 17 and S 19 may be executed simultaneously or subsequently. In step S21 the semi-finished fiber material 11 soaked with matrix material 23 is cured within the first gastight chamber 19. Furthermore, in step S23 a positive pressure is applied to the second gastight chamber 21 via the second port 15 such that the vacuum mattress collapses. In step S25 a positive pressure is applied to the first gastight chamber 19 via the third port 17. Steps S23 and S25 help in releasing the finished composite part from the system 1. In step S27 the finished composite component is removed from the first gastight chamber 19. In Figure 6 a flow chart of a method for liquid composite moulding with an alternative sequence and composition of steps is presented. Similarly to Figure 5, in step S01 a first rigid tool part 3 is provided and the semi-finished fiber material 11 is arranged on top of the first rigid tool part 3 in step S03. Steps S29, S09, S11, S 13, S 31 and S 15 are executed before, after or at the same time as steps S01 and S03. Therein, in step S29 the first vacuum foil 5 is positioned on a tool which is not the first rigid tool part 3. Furthermore, in step S09 the granulated material 9 is placed on top of the first vacuum foil 5. In step S 11 the second vacuum foil 7 is placed on top of the granulated material 9 and in step S 13 the second vacuum foil 7 is gastightly sealed to the first vacuum foil 5 to form a second gastight chamber 21. Subsequently, the second gastight chamber 21 is preformed in step S31. For example, the preforming may comprise shaping the surface of the second gastight chamber 21 to correspond to a stringer to be connected to the composite part. Alternatively, the surface of the second gastight chamber 21 may be preformed to comprise a flow channel 41 for the matrix material 23. After or during performing the first negative pressure p1 is applied to the second gastight chamber 21 via a second port 15 to fix the second gastight chamber 21 in the preformed shape. Furthermore, in step S33 the preformed second gastight chamber 21 is positioned on the first rigid tool part 3 such that the first vacuum foil 5 faces the semi-finished fiber material 11. Subsequently, in step S07 the first vacuum foil 5 is gastightly sealed to the first rigid tool part 3 to form a first gastight chamber 19 in which the semi-finished fiber material 11 is arranged. Moreover, in step S 17 a second negative p2 pressure is applied to the first gastight chamber 19 via the third port 17. In step S 19 matrix material 23 is supplied into the first gastight chamber 19 via the first port 13. Moreover, in step S35 a third negative pressure is applied to the first gastight chamber 19 after supplying matrix material 23 into the first gastight chamber 19. Subsequently or at the same time, the semi-finished fiber material 11 infused with matrix material 23 is postformed in step S37 by applying a forth positive pressure to the second gastight chamber 21. In this way, by varying or e.g. increasing the vacuum in the first gastight chamber 19 and decreasing the vacuum in the second gastight chamber 21 the distribution of the matrix material 23 within the first gastight chamber 19 may be enhanced. Subsequently, in step S21 the semi-finished fiber material 11 soaked with matrix material 23 is cured within the first gastight chamber 19. Furthermore, steps S23 to S 27 may be executed after curing the semi-finished fiber material 11 as shown in Figure 5. Summing up, the granulated material 9 containing e.g. fired clay has a very low density and does not influence the infusion and curing behavior due to its low weight. For the use in pressure assisted matrix material infusion processes the "pillow", i.e. flexible casing 27 with granulated material 9, is put above the first vacuum foil 5 of the vacuum built-up and formed towards the desired geometry. Then, the second vacuum foil 7 is applied above the "pillow" and by extraction of the air between the first and second vacuum foils 5, 7 the geometry is fixed similarly to a vacuum mattress. By applying only a small vacuum or pressure difference under the first vacuum foil 5, before fixing the whole built-up by the vacuum mattress the matrix material permeability of the semi-finished fiber material 11 may be influenced. After forcing the matrix material 23 into the first gastight chamber 19 e.g. with a peristaltic pump 37, the final distribution of the matrix material 23 into the semi-finished fiber material 11 may be done by increasing the vacuum under the first vacuum foil 5 and simultaneously releasing the vacuum in the second gastight chamber 21. The vacuum mattress than collapses and the ambient pressure p3 leads to the same matrix material distribution as in classic Vacuum Assisted Resin Transfer processes (VARI processes). For the use as a caul plate the "pillow" is put in between two vacuum foils 5, 7 and formed over a tooling with the desired geometry. Then, the thus formed second gastight chamber 21 is fully evacuated and the "pillow" stays in the formed geometry. Now it positioned at the composite built-up and where it is needed. The placing is possible under or above every layer of the system 1.
1. System (1) for liquid composite moulding, the system (1) comprising: a first rigid tool part (3);: a first vacuum foil (5);: a second vacuum foil (7);: a granulated material (9);: wherein the first vacuum foil (5) is arrangeable in such a way on the first rigid tool part (3) that a first gastight chamber (19) is provided between the first vacuum foil (5) and the first rigid tool part (3);: wherein a first port (13) is provided at the first gastight chamber (19) in such a way that a semi-finished fiber material (11) positioned between the first rigid tool part (3) and the first vacuum foil (5) is infusible with a matrix material (23) via the first port (13);: wherein between the first vacuum foil (5) and the second vacuum foil (7) a second gastight chamber (21) is provided in which the granulated material (9) is arranged;: wherein a second port (15) is provided at the second gastight chamber (21) for applying a negative pressure (p1) to the second gastight chamber (21); wherein the granulated material (9) is adapted for forming a second rigid tool part (25) when negative pressure (p1) is applied to the second port (15).
2. System (1) according to claim 1,: wherein the granulated material (9) comprises at least one of the following materials: fired clay, glass beads, glass sand, rice, coffee powder, metal ball bearings and plastic granulate. 3. System (1) according to any of claims 1 and 2,: wherein the granulated material (9) comprises at least two different sizes of granulate particles. 4. System (1) according to any of claims 1 to 3,: wherein the granulated material (9) is provided in a flexible casing (27). 5. System (1) according to claim 4,: wherein the flexible casing (27) comprises a first cavity (29) and a separate second cavity (31);: wherein the particles of the granulated material (9) in the first cavity (29) have a smaller size than the particles of the granulated material (9) in the second cavity (31). 6. System (1) according to any of claims 1 to 5, further comprising: a pressurized supply (35) of matrix material (23);: wherein the pressurized supply (35) of matrix material (23) is connected to the first port (13). 7. System (1) according to claim 6,: wherein the pressurized supply (35) of matrix material (23) is operable in a pulsating manner;: wherein the pressurized supply (35) of matrix material (25) comprises a peristaltic pump (37) and/or a periodically opening valve (39). 8. System (1) according to any of claims 1 to 7,: wherein a flow channel (41) for matrix material (25) is provided on the outside of the second gastight chamber (21) due to the form of the granulated material (9) while negative pressure (p1) is applied at the second port (15).
2829387
Granulated material used in a liquid composite moulding process
2
Based on the following detailed description of an invention, generate the patent claims. There should be 5 claims in total. The first, independent claim is given and the remaining 4 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In Figure 1 a cross sectional view on a system 1 for liquid composite moulding is presented. The system 1 comprises a first rigid tool part 3 onto which a semi-finished fiber material 11 is positioned. Furthermore, the system comprises a first vacuum foil 5, a second vacuum foil 7 and granulated material 9. The first vacuum foil 5 is sealed to the first rigid tool part 3 such that a first gastight chamber 19 is formed between the first vacuum foil 5 and the first rigid tool part 3. The semi-finished fiber material 11 is located in the first gastight chamber 19. A first port 13 is provided at the first gastight chamber 19 in such a way that a matrix material 23 is infusible into the first gastight chamber 19 via the first port 13. Therein, in the shown embodiments the first port 13 is provided as an inlet in the first rigid tool part 3. However, the first port 13 may also be provided for example in the first vacuum foil 5. The second vacuum foil 7 is positioned on top of the first vacuum foil 5. Between the first vacuum foil 5 and the second vacuum foil 7 a second gastight chamber 21 is formed, when the second vacuum foil 7 is sealed to the first vacuum foil 5. Therein, the granulated material 9 is arranged within the gastight chamber 21. Particularly, a granulated material 9 such as fired clay may be employed. A second port 15 is provided at the second gastight chamber 21 for applying a negative pressure p1 to the second gastight chamber 21. When negative pressure p1 is applied to the second port 15 the second gastight chamber 21 is evacuated and the granulated material 9 forms a second rigid tool part 25. Thus, the system 1 comprises a first rigid tool part 3 and a second tool part 25 which may be stiffened and collapsed on demand. The second tool part 25 is constituted by the first vacuum foil 5, the second vacuum foil 7 and the granulated material 9. The second tool part 25 may function similarly to a vacuum mattress or a caul plate. Due to the granulated material 9 and the stiffenable second tool part 25 the system 1 allows for pressure assisted matrix material 23 supplies. The matrix material 23 may e.g. be provided to the first gastight chamber 19 with the help of a peristaltic pump 37 as shown in Figure 3 or a periodically opening valve 39 as shown in Figure 4. Particularly, the use of the granulated material 9 enhances the reproducibility of the composite part produced from the semi-finished fiber material 11. Furthermore, the use of granulated material 9 allows to create quickly, easily adaptable, complex shaped and reusable caul plates, which are easy available, not harmful and applicable to high temperature curing. Moreover, the first gastight chamber 19 comprises a third port 17 through with a second negative pressure p2 may be applied to the first gastight chamber 19. In the shown embodiments the third port 17 is provided at the first rigid tool part 3 between the first vacuum foil 5 and the second vacuum foil 7. However, the third port 17 may also be provided in the first vacuum foil 5. By evacuating the first gastight chamber 19 the distribution of the matrix material 23 through the semi-finished fiber material 11 is enhanced. Therein, the first negative pressure p1 may differ from the second negative pressure p2. Furthermore, both negative pressures p1, p2 differ from, and particularly are smaller than an ambient pressure p3. Furthermore, a flow channel 41 is provided on the surface of the second gastight chamber 21 shown in Figure 1. The flow channel 41 may be in fluid communication with the first port 13 and enhance the distribution of the matrix material 23. For providing the flow channel 41 the second gastight chamber 21 may be preformed as explained with respect to Figure 6. In Figure 2 a system 1 according to a second embodiment is shown. The system 1 of Figure 2 is similar to the system 1 shown in Figure 1. However, in Figure 2 the second port 15 providing access to the second gastight chamber 21 is arranged at the second vacuum foil 7. Furthermore, the granulated material 9 is arranged within a flexible casing 27 in the second gastight chamber 21. The flexible casing 27 is deformable and comprises a non-woven fabric material such as a felt-like cloth or fleece. The flexible casing 27 allows for an easy storage, handling and positioning of the granulated material 9. The flexible casing 27 may comprise one cavity in which all the granulated material 9 is disposed. In the embodiment shown in Figure 2 the flexible casing 27 comprises a first cavity 29 and a separate second cavity 31. Thus, the granulated material 9 is divided into two layers. The particles of the granulated material 9 in the first cavity 29 have a smaller size than the particles of the granulated material 9 in the second cavity 31. In this way, certain structures or surface geometries of the composite part to be finished may be enhanced. Figure 3 and Figure 4 show a supply of matrix material 23 connectable to the first port 13 at the first gastight chamber 19 as shown in Figure 1 and Figure 2. Therein, the supply of matrix material 23 is a pressurized supply 35 of matrix material 23 which is enabled by the stiffenable second gastight chamber 21 with the granulated material 9. The pressurized supply 35 of matrix material 23 comprises a reservoir 33 of matrix material 23 and is adapted to supply the matrix material 23 in a pulsated manner to the first port 13. According to the embodiment in Figure 3 the pressurized supply 35 of matrix material 23 further comprises a periodically opening valve 39. Moreover, a pressurized gas, e.g. air, is supplied to the reservoir 33. This is indicated with the arrow on the left side of Figure 3. The pressure within the reservoir 33 is increased with the pressurized air. Due to this increased pressure the matrix material 23 flows to the first port 13 when the valve 39 is open. In the embodiment of Figure 4 the pressurized supply 35 of matrix material 23 comprises a peristaltic pump 37 instead of the pressurized air supply and the valve 39. The peristaltic pump 37 moves portions of the matrix material 23 to the first port 13. In Figure 5 a flow chart of a method for liquid composite moulding applicable e.g. with the system 1 shown in Figure 1 and 2 is presented. The sequence of the steps may vary and Figure 5 is only an example of a possible sequence. Therein, in a first step S01 a first rigid tool part 3 is provided. Furthermore, in step S03 the semi-finished fiber material 11 is arranged on top of the first rigid tool part 3. In step S05 a first vacuum foil 5 is placed on top of the semi-finished fiber material 11. Moreover, in step S07 the first vacuum foil 5 is gastightly sealed to the first rigid tool part 3 to form a first gastight chamber 19 in which the semi-finished fiber material 11 is arranged. In step S09 the granulated material 9, possibly arranged in a flexible casing 27, is placed on top of the first vacuum foil 5. Subsequently, in step S11 the second vacuum foil 7 is placed on top of the granulated material 9. Then, in step S 13 the second vacuum foil 7 is gastightly sealed to the first vacuum foil 5 to form a second gastight chamber 21 in which the granulated material 9 is arranged. In step S 15 a first negative pressure p1 is applied to the second gastight chamber 21 via a second port 15. In this way, the whole built-up below the second gastight chamber 21 is fixed by the vacuum mattress consisting of the first vacuum foil 5, the second vacuum foil 7 and the granulated material 9. Moreover, a second negative pressure p2 is applied to the first gastight chamber 19 via the third port 17 in step S 17. Furthermore, in step S 19 the matrix material 23 is supplied into the first gastight chamber 19 via the first port 13. For example, steps S 17 and S 19 may be executed simultaneously or subsequently. In step S21 the semi-finished fiber material 11 soaked with matrix material 23 is cured within the first gastight chamber 19. Furthermore, in step S23 a positive pressure is applied to the second gastight chamber 21 via the second port 15 such that the vacuum mattress collapses. In step S25 a positive pressure is applied to the first gastight chamber 19 via the third port 17. Steps S23 and S25 help in releasing the finished composite part from the system 1. In step S27 the finished composite component is removed from the first gastight chamber 19. In Figure 6 a flow chart of a method for liquid composite moulding with an alternative sequence and composition of steps is presented. Similarly to Figure 5, in step S01 a first rigid tool part 3 is provided and the semi-finished fiber material 11 is arranged on top of the first rigid tool part 3 in step S03. Steps S29, S09, S11, S 13, S 31 and S 15 are executed before, after or at the same time as steps S01 and S03. Therein, in step S29 the first vacuum foil 5 is positioned on a tool which is not the first rigid tool part 3. Furthermore, in step S09 the granulated material 9 is placed on top of the first vacuum foil 5. In step S 11 the second vacuum foil 7 is placed on top of the granulated material 9 and in step S 13 the second vacuum foil 7 is gastightly sealed to the first vacuum foil 5 to form a second gastight chamber 21. Subsequently, the second gastight chamber 21 is preformed in step S31. For example, the preforming may comprise shaping the surface of the second gastight chamber 21 to correspond to a stringer to be connected to the composite part. Alternatively, the surface of the second gastight chamber 21 may be preformed to comprise a flow channel 41 for the matrix material 23. After or during performing the first negative pressure p1 is applied to the second gastight chamber 21 via a second port 15 to fix the second gastight chamber 21 in the preformed shape. Furthermore, in step S33 the preformed second gastight chamber 21 is positioned on the first rigid tool part 3 such that the first vacuum foil 5 faces the semi-finished fiber material 11. Subsequently, in step S07 the first vacuum foil 5 is gastightly sealed to the first rigid tool part 3 to form a first gastight chamber 19 in which the semi-finished fiber material 11 is arranged. Moreover, in step S 17 a second negative p2 pressure is applied to the first gastight chamber 19 via the third port 17. In step S 19 matrix material 23 is supplied into the first gastight chamber 19 via the first port 13. Moreover, in step S35 a third negative pressure is applied to the first gastight chamber 19 after supplying matrix material 23 into the first gastight chamber 19. Subsequently or at the same time, the semi-finished fiber material 11 infused with matrix material 23 is postformed in step S37 by applying a forth positive pressure to the second gastight chamber 21. In this way, by varying or e.g. increasing the vacuum in the first gastight chamber 19 and decreasing the vacuum in the second gastight chamber 21 the distribution of the matrix material 23 within the first gastight chamber 19 may be enhanced. Subsequently, in step S21 the semi-finished fiber material 11 soaked with matrix material 23 is cured within the first gastight chamber 19. Furthermore, steps S23 to S 27 may be executed after curing the semi-finished fiber material 11 as shown in Figure 5. Summing up, the granulated material 9 containing e.g. fired clay has a very low density and does not influence the infusion and curing behavior due to its low weight. For the use in pressure assisted matrix material infusion processes the "pillow", i.e. flexible casing 27 with granulated material 9, is put above the first vacuum foil 5 of the vacuum built-up and formed towards the desired geometry. Then, the second vacuum foil 7 is applied above the "pillow" and by extraction of the air between the first and second vacuum foils 5, 7 the geometry is fixed similarly to a vacuum mattress. By applying only a small vacuum or pressure difference under the first vacuum foil 5, before fixing the whole built-up by the vacuum mattress the matrix material permeability of the semi-finished fiber material 11 may be influenced. After forcing the matrix material 23 into the first gastight chamber 19 e.g. with a peristaltic pump 37, the final distribution of the matrix material 23 into the semi-finished fiber material 11 may be done by increasing the vacuum under the first vacuum foil 5 and simultaneously releasing the vacuum in the second gastight chamber 21. The vacuum mattress than collapses and the ambient pressure p3 leads to the same matrix material distribution as in classic Vacuum Assisted Resin Transfer processes (VARI processes). For the use as a caul plate the "pillow" is put in between two vacuum foils 5, 7 and formed over a tooling with the desired geometry. Then, the thus formed second gastight chamber 21 is fully evacuated and the "pillow" stays in the formed geometry. Now it positioned at the composite built-up and where it is needed. The placing is possible under or above every layer of the system 1.
9. Method for liquid composite moulding, the method comprising arranging (S03) a semi-finished fiber material (11) between a fist rigid tool part (3) and a first vacuum foil (5);: sealing (S07) the first vacuum foil (5) to the first rigid tool part (3) such that a first gastight chamber (19) is provided between the first rigid tool part (3) and the first vacuum foil (5);: providing (S11) a second vacuum foil (7) which is arrangeable in such a way at the first rigid tool part (3) that a second gastight chamber (21) is formed between the first vacuum foil (5) and the second vacuum foil (7); arranging (S09) a granulated material (9) between the first vacuum foil (5) and the second vacuum foil (7);: applying (S15) a first negative pressure (p1) to the second gastight chamber (21);: supplying (S 19) matrix material (23) via a first port (13) into the first gastight chamber (19).
10. Method according to claim 9, further comprising: applying (S 17) a second negative pressure (p2) to the first gastight chamber (19);: wherein the first negative pressure (p1) is higher than the second negative pressure (p2) and is selected in such a way that it influences the matrix material permeability of the semi-finished fiber material (11). 11. Method according to any of claims 9 and 10, further comprising: after supplying matrix material (23) into the first gastight chamber (19), applying (S35) a third negative pressure to the first gastight chamber (19); postforming (S37) the matrix material infused semi-finished fiber material (11) by applying a forth positive pressure to the second gastight chamber (21). 12. Method according to any of claims 9 to 11, further comprising preforming (S31) the second gastight chamber (21) with the granulated material (9) before applying the second negative pressure (p2). 13. Method according to any of claims 9 to 12,: wherein the matrix material (23) is supplied to the first gastight chamber (19) in a pulsed manner.
2833378
Transformer
1
Based on the following detailed description of an invention, generate the patent claims. There should be 11 claims in total. The first, independent claim is given and the remaining 10 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure1 schematically shows a cross-section of a transformer 1 along horizontal plane of the transformer. The transformer, i.e. medium frequency transformer of a shell-type, at least comprising a core 2, a primary winding 3, a secondary winding 4, at least one cooling channel 5, and an enclosure 6. The windings 3, 4 may be formed from Litz wire and/or foil winding, in particular for a medium frequency transformer. The enclosure 6 accommodates the core 2, the primary winding 3 and the secondary winding 4 such that the primary winding 3 and the secondary winding 4 is entirely enclosed by the enclosure 6. Further the enclosure 6 is filled with an insulating medium 7 enabling heat transfer from the primary winding 3 and the secondary winding 4 to the cooling channel 5. Between the inner primary winding 3 and the surrounding secondary winding 4 an insulating element 8 is placed as dielectric barrier. In the shown embodiment the insulating element 8 fully surrounds the secondary winding 4, where the primary winding 3 surrounds the insulating element 8. On the sides facing the primary winding 3 and the secondary winding 4 insulating shields 9 and 10 are placed on the insulating element 8. Said conducting shields 9 and 10 are ring shaped as well. Further said conducting shields 9 and 10 are electrically connected to the primary winding 3 and the secondary winding 4 respectively such that the conducting shield 9 is at the same potential as the primary winding 3 and the conducting shield 10 is at the same potential as the secondary winding 4. This way the electric field caused by the potential difference between the primary winding 3 and the secondary winding 4 is transferred to be between the conducting shields 9 and 10. By placing the conducting shields 9 and 10 on the insulating element 8 the electric field is further confined in the insulating element 8. Conducting shields 9 and 10 are placed on the insulating element 8 without an air gap, in between, thus avoiding partial discharges in the gap. This way no partial discharges may occur in the cooling channel 5 or the insulating medium 7, thus avoiding damage to the transformer 1 and increasing reliability of the transformer 1 and further prolonging its lifetime. The insulating medium 7 may be in direct contact with the cooling channel 5 and the primary and secondary winding 3 and 4. The insulating medium also serves as a heat conductor to transfer heat away from hot spots in the transformer 1, i.e. the primary and secondary winding 3 and 4. By directly contacting the insulating medium 7 with the cooling channel 5 and the primary and secondary winding 3 and 4 it is ensured that heat is properly conducted away from the hot spot and transferred away from the transformer 1 by the cooling channel 5. For improved cooling properties a second cooling channel 15 may be placed on the opposite side of the insulating element 8, i.e. facing the secondary winding 4. This way an improved heat transport away from the secondary winding 4 is enabled. The cooling channels 5 and 15 may be implemented as pipes or ducts, or as shown in Figure1 as a plurality of pipes. The plurality of the pipes allows to increase the surface area which is cooled, and allows to adjust the pressure drop in the pipes for optimizing the coolant flow. This way any cooling liquid may be used to be pumped through the cooling channels 5 and 15. An alternative would be the use of air ducts in the insulating medium 7 as cooling channels 5 and 15. For instance in this case the insulating medium 7 may have gaps extending through the transformer 1. This may be a simple way of providing cooling channels 5 and 15 by forcing for instance air or any other suitable cooling gas through said gaps. This simple cooling option may be suitable for some applications with less heat production in the transformer 1. Further the cooling channels 5 and 15 may surround or may be surrounded by the insulating element 8 along all sides. This may be balanced by the ratio of necessary insulation between the primary and secondary winding 3 and 4 and the necessary cooling provided by the cooling channels 5 and 15. For an application requiring high power density and high efficiency, the volume of the cooling channels 5 and 15 may be reduced by confining the cooling channels 5 and 15 to only one or two main sides of the insulating element 8 or by reducing its width over one side of the insulating element 8 as shown in Figure 1. Figure 2 schematically shows a view as marked by the arrow along the section II-II of the shell-type transformer 1 of Figure 1 with the insulating element 8, the cooling channels 5 and 15 and in addition to what is shown in Figure 1 with cooling elements 19. The view of the transformer 1 is however for simplicity shown only partly in Figure 2, where the shell-type transformer 1 is symmetrical and the shown features are to be mirrored on the right side of the core 2. The conducting shields 9 and 10 are placed on the insulating element 8 symmetrically, i.e. on one side facing the primary winding 3 and on another side facing the secondary winding 4. The insulating element 8 and the conducting shields 9 and 10 are ring shaped and surround the central leg of the transformer core 2. The conducting shields 9 and 10 are further drawn-in direction of the axis A and with respect to a periphery 11, 21 of the conducting shield respectively and to a periphery 12 of the insulating element 8. The axis A is defining the vertical direction of the transformer 1. With other words, the conducting shields 9 and 10 are of reduced length in direction of axis A in respect of the extension of the insulating element 8 in the direction of axis A. This way peak fields on the periphery 12 of the insulating element 8 are avoided and the lifetime of the insulating element 8 is increased. Further the conducting shields 9 and 10 are electrically connected to the primary and secondary windings 3 and 4. For this an electrical connection 17 connects the primary winding 3 with the conducting shield 9 and an electrical connection 18 connects the secondary winding 4 with the conducting shield 10. This way the conducting shield 9 is on the same electrical potential as the primary winding 3 and the conducting shield 10 is on the same electrical potential as the secondary winding 4. The electric field caused by the potential difference of the primary and secondary winding 3 and 4 is then confined between the conducting shields 9 and 10, where the insulating element 8 is made of a suitable material to further confine the electric field only to the insulating element 8. In addition the insulating medium 7 is in direct contact with the conducting shields 9 and 10, the insulating element 8, the cooling channels 5 and 15, the primary and secondary windings 3 and 4, the core 2, and with the enclosure 6 in order to avoid any gaps, i.e. air gaps between the components which may lead to partial discharges and damage to the transformer 1. This way the invention avoids partial discharges and damage to any parts of the transformer 1 and further prolonging the lifetime of the transformer 1. In addition, the core 2 may be employed as a cooling element by serving as a heat sink which may be additionally cooled by the cooling channels 5 and 15. Further yet, an cooling element 19 such as cooling plates, e.g. a heat sink, cold plate, or a two -phase cooling maybe placed on the core 2, the insulating element 8, the insulating medium 7, and/or the enclosure 6 for cooling of the transformer 1. The cooling element 19 on the top and the bottom side of the enclosure 6 as shown in Figure 2 is a heat sink with fins and a base, where the fins are increasing a surface area of the heat sink to increase the amount of dissipated heat via the heat sink to ambient. Other implementations of the cooling element 19 may be more sophisticated and require a cooling system with a cooling liquid, ducts, and a pump. Such a sophisticated cooling system may be necessary in some applications where large amounts of heat need to be dissipated from the transformer 1The cooling element 19 may be placed for instance on the core 2 or be in direct contact with the insulating medium 7. The cooling channels 5 and 15 may be part of a larger cooling system as well. In this case a cooling liquid is forced through the cooling channels 5 and 15. The cooling liquid may be a liquid or gas, where a more dense liquid may provide better heat transport and thus better cooling of the transformer 1. However, tight sealing of supply and drain ducts connected to the cooling channels 5 and 15 may be necessary so that no cooling liquid spills. Further a pump may be necessary to force the cooling liquid through the cooling channels 5 and 15 and the supply drain ducts respectively. Further for increased heat transfer from the primary winding 3 and the secondary winding 4 to the cooling channels 5 and 15, the insulating medium 7 may be one of a silicone gel, transformer oil, silicone-based or fluorinated hydrocarbons, polyurethane, synthetic rubber. For instance, a thermal conductivity of silicone gel can be higher than 20 times the thermal conductivity of air. Further, compared to oil, the thermal conductivity may be about a factor 8 higher. Further yet, epoxy filling has a thermal conductivity comparable to silicone gel, but as a solid material, serious reliability problems may occur such as cracks due to thermal cycling, partial discharge in cracks and voids. However, in case these issues are controlled epoxy filling may also be a suitable insulating medium 7. An advantage of silicone gel over other material is its high breakthrough field strength which allows insulation distances within the transformer 1, especially between windings 3, 4 and core 2, to be reduced by about a factor three or more compared to air insulation. The invention allows reducing size and further simplifying the cooling of a transformer 1. The heat, i.e. thermal losses, flows from the windings 3, 4 via thermal conduction through the insulating medium 7 to the cooling channels 5 and 15. This configuration not only allows shrinking the component size significantly but also allows improved cooling. In one embodiment of the invention, the copper cross section of the windings 3, 4 fills less than 50% of the winding window of the core 2. For instance the insulating medium 7 may be supplied initially as two liquid components for mixing. The two components start cross linking after mixing. In a following step the mixture of the two liquid components is further cured. However, other material may be supplied as a granulate which is filled in the enclosure 6 and is further distributed or melted in the enclosure 6, i.e. by applying heat for a specified period of time. This may be carried out while placing the enclosure 6 for instance on a table where the granulate or the melted insulating material 7 may not leak or may only get in contact with the table top. After a cooling period the insulating material 7 solidifies and tight sealing of the enclosure is not necessary. Any material on the outside of the enclosure that may have leaked can be removed easily after solidification. However, proper outgassing of the material is necessary Figure 3 shows a cross-section of another embodiment of the insulating element 8 with the conducting shields 9 and 10 as it may be implemented in the transformer 1 of Figure 1 and 2, where the conducting shields 9, 10 are placed on the insulating element 8 such that stress on the periphery 11 and 21 of the conducting shields 9 and 10 may be controlled. The periphery 11, 21 of the conducting shields 9 and 10 give rise to two major issues to be considered. At first a surface electric field of the surface of the conducting shields 9 and 10 along an interface with the insulating element 8 may be increased and thus leading to surface and corona discharges with a risk for flashover breakdown, i.e. a voltage breakdown along the surface. At second an electric field penetrating into the insulating element 8 may be increased and thus leading to heightened stress and discharges in the insulating element 8 and eventually to dielectric breakdown. The embodiment shown in Figure 3 shows the periphery 11 and 21 of the conducting shields 9 and 10 drawn-in or recessed with respect to the periphery 12 of the insulating element 8. This way peak electric fields on the periphery 12 of the insulating element 8 are avoided and this results in a longer lifetime of the insulating element 8. In difference to what is shown in Figure 2, the periphery 11 of the conducting shield 9 in Figure 3 is drawn-in more than the periphery 21 of the conducting shield 10. Thus, resulting in a slight asymmetric placement of the conducting shields 9 and 10 on the insulating element 8. This way peak electric fields are further reduced. In addition, a insulating cover element 16 may be placed on one end of the insulating element 8 for further protection of the periphery 11, 21 of the conducting shields 9 and 10 and the periphery 12 of the insulating element 8. The s insulating cover element 16 is covering the periphery 11 and 21 of the conducting shields and may have a U-shaped cross-section. In this case another insulating cover element 20 is placed on the opposite side of the insulating cover element 16 on the insulating element 8 to cover both ends of the insulating element 8. Fig 4. shows a cross-section of an insulating element 8 as shown in Figure 3 and having in addition a nonlinear resistive field grader 14 placed in between the insulating element 8 and the conducting shield 9. The field grader 14 covers an area greater than the conducting shield 9 and has therewith an larger extension in the vertical direction of the transformer 1 compared to the extension of the conducting shield 9 in this direction. The field grader 14 reduces surface and bulk electric fields effectively. At the transition of an electric field from one medium to another medium, electric stresses harmful to the electric equipment can ensue due to a discontinuity in the electric field. Field grading or field grading material is causing the capacitance and electric stress to be more uniformly distributed between the conducting shield 9 and the insulating element 8. The application of such a field grading material as a field grader 14 results for instance in an increase of the breakdown voltage. The nonlinear material of the field grader 14 must be designed to have the correct switching field strength which is the electric field strength at which the material switches from the insulating to the conductive mode. The switching field strength must be in the order of the ratio between maximum voltage applied and length of or area covered by the field grader 14, i.e. field grading layer. A preferred design of the nonlinear resistive field grading layer is mixing ZnO microvaristor into a polymer matrix. ZnO microvaristor electrical characteristics can be widely tailored by specific doping and processing where the electric field strength ranges from 50V/mm up to 5000V/mm and high nonlinearity is achieved with ZnO microvaristors. The latter is important since the higher the nonlinearity coefficient of the material, the better is its field grading performance, i.e., the lower the leakage current, and the less its frequency dependence. Other material or fillers for nonlinear resistive field grading are SiC, doped SnO2 or carbon black. Other field grading methods such as linear resistive field control or refractive field grading may be applied as well. In addition, an insulating cover element 16 may be placed on one end of the insulating element 8 for further protection of the periphery 11, 21 of the conducting shields 9 and 10 and the periphery 12 of the insulating element 8 as described with respect to Figure 3. Figure 5 shows another embodiment of the insulating element 8 as shown in the Figures 3 and 4 and as it may implemented in the transformer 1 shown in the figures 1 and 2. In difference to what is hown in the figures 3 and 4, the insulating element 8 of Figure 5 having the periphery 12 being curved and having the periphery 11, 21 of the conducting shields 9 and 10 being curved. This is an effective field control to lower bulk and surface electric fields. The periphery 12 of the insulating element 8 is curved as to support the curved periphery 11, 21 of the conducting shields 9 and 10. The insulating element 8 has a width or thickness depending on the actual embodiment. The embodiment according to Figure 3 has the greatest thickness and requires the most material, where the embodiments according to Figure 4 and Figure 5 have a similar thickness at least in the center of the insulating element 8. The embodiment of Figure 4 is more complex and costly to actually form but does not require any field grading material such as the field grader 14. The use of one or another embodiment may depend on the actual application of the transformer 1 and the electric field and stress present in the insulating element 8. For comparison of insulating medium 7, i.e. for a Silicon gel based transformer 1 according to the invention with a conventional air-insulated transformer, medium frequency transformers are considered employing copper-litz wire and a Ferrite N87 magnetic core 2 for a power of 170 kVA, an operating frequency of 3.5 kHz, and a voltage insulation between primary and secondary winding of 50kV. The transformer 1 according to the invention allows a reduction of the transformer volume by more than 2/3 compared to transformer of the prior art employing the same ratings. The mass of windings 3, 4 plus core 2 is reduced by more than half compared to air insulation combined with convective air cooling. #### List Of Designations - 1: Transformer - 2: Core - 3: Primary winding - 4: Secondary winding - 5: Cooling channel - 6: Enclosure - 7: Insulating medium - 8: Insulating element - 9: First conducting shield - 10: Second conducting shield - 11: Periphery - 12: Periphery - 14: Field grader - 15: Cooling channel - 16, 20: Insulating cover element - 17: Electrical Connection - 18: Electrical Connection - 19: Cooling element - 21: Periphery
1. A transformer (1) at least comprising a core (2), a primary winding (3), a secondary winding (4), at least one cooling channel (5), and an enclosure (6),: wherein the enclosure (6) accommodates the core (2), the primary winding (3), the secondary winding (4), and the at least one cooling channel (5) and the enclosure (6) is filled with an insulating medium (7),: wherein the at least one cooling channel (5) is provided for cooling of the primary winding (3), the secondary winding (4), the enclosure (6) and/or the insulating medium (7),: wherein an insulating element (8) is placed between the primary winding (3) and the secondary winding (4),: wherein a first conducting shield (9) electrically connected to the primary winding (3) is placed between the insulating element (8) and the primary winding (3) and a second conducting shield (10) electrically connected to the secondary winding (4) is placed between the insulating element (8) and the secondary winding (4),: 4wherein the at least one cooling channel (5) is placed between the first conducting shield (9) and the primary winding (3).
2. The transformer (1) according to claim 1, wherein a periphery (11, 21) of the first and the second conducting shield (9, 10) is drawn-in with respect to a periphery (12) of the insulating element (8). 3. The transformer (1) according to claim 2, wherein the first or the second conducting shield (9, 10) is drawn-in further than the other conducting shield (9, 10). 4. The transformer (1) according any of the claims 1 to 3, wherein a nonlinear resistive field grader (14) is placed between at least one of the first or the second conducting shields (9, 10) and is drawn-in with respect to the periphery (12) of the insulating element (8) and is drawn-in less than said conducting shield (9, 10) in the periphery. 5. The transformer (1) according to claim 4, wherein the nonlinear resistive field grader (14) comprises doped ZnO, SiC, doped SnO_2, or carbon black. 6. The transformer (1) according to claim 1, wherein a periphery (11, 21) of at least one of the first and second conducting shields (9, 10) is curved away from the insulating element (8) and wherein the insulating element (8) has an enlarged periphery section supporting the curved periphery (11) of said conducting shield (9, 10). 7. The transformer (1) according to any of the claims 1 to 6, wherein the at least one cooling channel (5) is part of a circulation system provided with a cooling medium flowing through the at least one cooling channel (5). 8. The transformer (1) according to claim 1, wherein an insulating cover element (16) encloses the first conducting shield (9), the second conducting shield (10), and the insulating element (8) in the periphery (12) of the insulating element (8). 9. The transformer (1) according to any of the claims 1 to 8, wherein at least a cooling channel (15) is placed between the second conducting shield (10) and the secondary winding (4). 10. The transformer (1) according to any of the claims 1 to 9, wherein a cooling element (19) is placed on the core (2), the insulating element (5), the insulating medium (7), and/or the enclosure (6) for cooling of the transformer (1). 11. The transformer (1) according to any of the claims 1 to 10, wherein the insulating medium (7) is one of a silicone gel, transformer oil, silicone-based or fluorinated hydrocarbons, polyurethane, synthetic rubber.
2832917
Washing machine with drawer assembly
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
With reference initially to Figure 1, a laundry washing machine realized according to the present invention is globally indicated with the reference number 100. It should be noted that in the context of the present invention with the expression "washing machine" is referred both to a "standard" washing machine, adapted only for washing and rinsing the laundry, and to a washer-drier, which is adapted for washing, rinsing, and also for drying the laundry. The washing machine 100 comprises an outer casing 101, preferably but not necessarily parallelepiped-shaped, and a treatment chamber for receiving the laundry and in general the clothes and garments to be washed and/or dried. An aperture is defined in a front bulkhead of the casing, facing the treatment chamber and thus allowing loading and unloading the laundry in the machine. The washing machine 100 further comprises a door assembly 102, preferably pivotally supported on the casing 101 and displaceable between a closed position, shown in Figures 1 and 2 and in which the aperture is closed or preferably sealed, and an open position in which access to the drum is granted. According to the present embodiment, in the front face of the casing 101 are also located a control panel 103 of the machine 100 and a drawer 1 suitable for containing detergents and other agents for treating the laundry, such as softener or bleach. In other words, the device 100 according to present embodiment is a front-load device. Nevertheless it will be appreciated that the present invention could also apply to different type of washing laundry machines, such as top-loading ones. The drawer 1 is slidably associated to a supporting structure 2, preferably formed by a receptacle in which the drawer 1 can be housed. As it will be better shown in the following, according to a preferred embodiment, the supporting structure 2 defines a housing 20, better shown in Figure 3B, capable of receiving therein the drawer 1 such that when the drawer is fully inserted in the housing, a front panel 15 of the drawer is flush with the front bulkhead of the casing. Preferably, the front panel 15, or more in general the drawer 1, comprises a handle 16, by means of which the drawer 1 can be pulled and extracted from the housing. Figures 1 and 1A depict the drawer 1 in a partially withdrawn position, in which compartments 1' and 1", adapted for receiving the detergents and the other agents, respectively, are at least partially extracted from the receptacle 2. In this position, the detergents and the other agents can be poured inside the compartments 1' and 1". To this regard it should be also noted that, preferably, the first compartment 1' is adapted to receive a detergent, while the second compartment 1" is adapted to receive an auxiliary agent for treating laundry, such as a softener. The movement of the drawer 1 along the withdrawal direction, shown by arrow W in the Figures, is limited by a disengageable retaining device, shown in Figures 5A-5C and indicated with the reference number 3. When engaged, the disengageable retaining device 3 delimits the movement of the drawer 1 with respect to the supporting structure 2, such that full removal of the drawer 1 is prevented. In this position, which is depicted in Figures 1 and 1A, the drawer 1 is still associated to the supporting structure 2, such that the drawer does not tilt or fall due to the gravity. In order to disengage the desengageable retaining device 3 and allow the complete removal of the drawer 1, an operating portion 40 is provided on a side wall 11 of the drawer 1. According to a preferred embodiment, the desengageable retaining device 3 is disengaged by pushing the operating portion 40, e.g. by the thumb of a user. With reference to Figures 2 and 2B, when the desengageable retaining device 3 is disengaged, the drawer is freely slidable on the supporting structure 2 along the sliding direction S, corresponding to the withdrawal direction W, and it can be pulled further in order to remove it from the housing defined by the supporting structure. Figures 3A and 3B depict the supporting structure 2 and the drawer 1, with the front panel 15 removed, in the partially withdrawn position and in the fully removed position, respectively. As it can be seen in the Figures, according to the present embodiment, the drawer 4 comprises two side walls 11, 11', and a dividing wall 13 dividing the drawer 1 in the two compartments 1, 1'. The supporting structure 2 preferably comprises an upper wall element, adapted to dispense water into the compartments 1, 1'. To this purpose, the supporting structure 2 comprises water inlets 22, 22', which are connected or connectable to a water supply (not shown) of the washing machine. The supporting structure 2 further comprises a duct 21 to guide water or water mixed with detergent from the drawer 1 into the laundry treating chamber of the washing machine 100. Preferably, in order to allow sliding of the drawer 1 on the supporting structure 2, the former comprises a sliding surface 14 laterally projecting from the side walls 11, 11' and adapted to slide on a respective guide member 24 of the supporting structure 2, shown in Figures 5A-5C. As previously explained, sliding of the sliding surface 14 on the guide member 24 along the sliding direction S is limited by the disengageable retaining device 3. As better shown in Figures 5A-5C, the disengageable retaining device 3 comprises a stopping element 5 and a catching element 4. Preferably, the stopping element 5 is formed on the supporting structure 2 and it comprises a stop portion 51 which can engage with a catch portion 41 of the catching element 4. According to a preferred embodiment, the stop portion 51 and the catch portion 41 are substantially wedged-shaped and they comprise respective leading and trailing surfaces. The leading surfaces are defined by the surfaces engaging each other when the drawer 1 is inserted in the housing 2, while the trailing surfaces are defined by the surfaces engaging each other when the drawer 1 is withdrawn therefrom. Preferably, the leading surfaces are tilted with respect to the sliding direction S of the drawer 1, such that when the leading surface of the catch portion 41 engages with the leading surface of the stop portion 51, the catching element 4 is moved apart from the stopping element 5. In this manner insertion of the drawer 1 in the housing 2 is not prevented by the disengageable retaining device 3. On the contrary, the trailing surfaces are tilted with respect to the sliding direction S of the drawer 1 with a different tilting angle, such that when the trailing surface of the catch portion 41 engages with the trailing surface of the stop portion 51, the catching element 4 remains in the engaged position, thus preventing further withdrawal of the drawer 1. According to a preferred embodiment, this can be achieved by giving a smaller tilting angle to the leading surface, e.g. 30 degrees, and a greater tilting angle to the trailing surface, e.g. 60 degrees. As shown in Figures 1A and 5A, when the drawer 1 is in the partially withdrawn position, i.e. when the catching element 41 is engaged with the stopping element 42, the operative portion 40 is positioned such that it can be reached by the hand of a user. Even more preferably, the operative portion 40 is positioned in the uppermost part of the side wall 11, such that it can be reached by the thumb of a user when he or she grasps the drawer 1. Advantageously, the operative portion 40 is associated to the catching element 4 such that the catching element 4 is disengaged from the stopping element 5 by operating the operative portion 40. In the present embodiment, the operative portion 40 is operated when it is pushed, preferably by the thumb, in a disengaging direction, depicted by arrow P in Figure 5B and which is substantially perpendicular to the withdrawn direction W of the drawer 1 and to the side wall 11. Preferably, marks 43 are also provided in order to better identify the position of the operative portion 40 and accordingly indicating where the pushing action is required in order to disengage the disengageable retaining device 3. The disengagement of the disengageable retaining device 3 and the operation of the catching element 4 will be now disclosed in further detail with reference to Figures 4, 4A and 4B. According to a preferred embodiment, the catching element 4 is oblong shaped and it is formed as a single body with the side wall 11 of the second compartment 1". As shown in greater detail in Figure 4A, the catching element 4 is connected at two opposed ends 410, 411 thereof to the side wall 11 of the drawer assembly 10 and respective free edges 412, 414 are defined between said opposed ends 410, 411. Preferably, the opposed ends 410, 411 are aligned with the sliding direction S and the free edges 412, 414 are substantially perpendicular thereto. Gaps 112 and 114, better shown in Figure 7 and 7A, are defined between the free edges 412, 414 and the side wall 11 and the sliding surface 14, respectively. In this manner, the free edges 412, 414 are separated from the wall 11 and they are freely movable with respect thereto. Accordingly, when the catching element 4 is pushed, the displacement thereof is obtained by deforming the opposed ends 410, 411, with only a minimal deformation of the side wall 11 to which it is connected. Preferably, in order to limit further the deformation of the side wall 11 due to the pushing action of the operative portion 40 and, in general, of the catching element 4, the catching element 4 has an increased deformability in correspondence of the opposed ends 410, 411. According to a preferred embodiment, this is obtained by shaping the catching element 4 such that a cross section thereof narrows in correspondence of the opposed ends 410, 411. Even more preferably, the catching element 4 has a smaller thickness in correspondence of opposed ends 410, 411 than in the rest of its body. Since according to the present embodiment the operative portion 40 is formed as a single body with the catching element 4, the deformability of the catching element 4 is preferably further enhanced by providing the catching element 4 with an increased deformability also between the catch portion 41 and the operative portion 40. Also in this case, this can be obtained by shaping the catching element 4 such that its cross section narrows also between the catch portion 41 and the operative portion 40, e.g. providing the catching element 4 with a smaller thickness between said portions. It is therefore evident that when the operative portion 40 is pushed, the catching element 4 is bent such that the catch portion 41 is moved apart from the stop portion 51. Preferably, the catching element 4 is made of a resilient material, and returns in the unbent position, i.e. engaged or engageable with the stopping element 5, when the pushing action is removed. As previously explained, according to the present embodiment, the catching element 4 is positioned on the side wall 11 of the second compartment 1". Preferably, the catching element 4 is positioned in the uppermost part of compartment 1" and both free edges 412, 413 of the catching element 4 are positioned above a maximum filling level 15 of the compartment. According to the present embodiment, the second compartment 1" comprises a cover surface 12", below which a syphon system 17 for mixing the auxiliary laundry treating agent with water, partially shown in the figures, is provided. In this case the catching element 4 is preferably positioned immediately above the cover surface 12". It has thus been shown that the present invention allows all the set objects to be achieved. In particular, the side wall of the drawer is barely deformed when the catching element is disengaged from the stopping element. In this manner the drawer can smoothly slide on the supporting structure even when the operative portion is pushed, thus making the removal of the drawer particularly easy.
1. A washing machine (100) comprising: a drawer (1) comprising at least one compartment (1', 1") for receiving an agent for treating laundry; a supporting structure (2) on which said drawer (1) can slide; a disengageable retaining device (3) delimiting a movement of said drawer (1) with respect to said supporting structure (2);: wherein said desengageable retaining device (3) comprises a stopping element (5) and a catching element (4), said catching element (4) comprising a catch portion (41) adapted to engage with said stopping element (5),: characterized in that said catching element (4) is connected at two opposed ends (410, 411) thereof to a side wall (11) of said drawer (1) and in that respective free edges (412, 414) of said catching element (4) are defined between said opposed ends (410, 411) which are separated from said wall (11).
2. The washing machine (100) according to claim 1, wherein said disengageable retaining device (3) comprises an operative portion (40) associated to said catching element (4) such that said catching element (4) is disengaged from said stopping element (5) by operating said operative portion (40). 3. The washing machine (100) according to claim 2, wherein said catch portion (41) is movable apart from said stopping element (5) by pushing said operative portion (40). 4. The washing machine (100) according to claim 1 or 2, wherein said operative portion (40) is positioned such that it is reachable by a hand of a user when said catching element (41) is engaged with said stopping element (42). 5. The washing machine (100) according to any claims 2 to 4, wherein said operative portion (40) is formed as a single body with the catching element (41). 6. The washing machine (100) according to any of the preceding claims, wherein said catching element (4) has an increased deformability in correspondence of said opposed ends (410, 411). 7. The washing machine (100) according to claims 5 or 6 when dependent on claim 5, wherein said catching element (4) has an increased deformability between said catch portion (41) and said operative portion (40). 8. The washing machine (100) according to claims 6 or 7, wherein said catching element (4) extends between opposed ends (410, 411) such that a cross section of said catching element (4) narrows in correspondence of said opposed ends (410, 411) and/or between said catch portion (41) and said operative portion (40). 9. The washing machine (100) according to any of the preceding claims, wherein said catching element (4) is oblong shaped. 10. The washing machine (100) according to any of the preceding claims, wherein said catching element (4) is formed as a single body with said side wall (11). 11. The washing machine (100) according to any of the preceding claims, wherein a gap (112) is defined between at least one of said free edges and said side wall (11). 12. The washing machine (100) according to any of the preceding claims, wherein said drawer (1) comprises a sliding surface (14) laterally projecting from said side wall (11) and adapted to slide on a respective guide member (24) of said supporting structure (2), and wherein a gap (114) is defined between one of said free edges (412, 413) and said sliding surface (14). 13. The washing machine (100) according to any of the preceding claims, wherein said opposed ends (410, 411) are aligned with a sliding direction (S) of said drawer (1) from said supporting structure (2). 14. The washing machine (100) according to any of the preceding claims, wherein a maximum filling level (15) of said agent for treating laundry is defined in said compartment (1', 1") said free edges (412, 413) of said catching element (4) being both positioned above said maximum filling level (15). 15. The washing machine (100) according to any of the preceding claims, wherein said drawer (1) comprises a first compartment (1') and a second compartment (1"), said first compartment (1') being adapted to receive a detergent and said second compartment (1") being adapted to receive an auxiliary agent for treating laundry, said side wall (11) being formed in said second compartment (1").
2832930
Redundant system for operating spillway gates
1
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a dam 1 which is provided with a hydraulic operated spillway gate 2. The type of the shown gate 2 is a radial gate provided with radial arms 5 rotatable on a horizontal axis or trunnions 6. The gate 2 is rotated by the aid of hydraulic cylinders 3 the cylinder shafts 4 of which are fixed to the gate by articulated joints. When the gate 2 is closed water bears on the convex side of the gate. When the gate 2 is rotated the flow or actually rush of water passing under the gate 2 helps to open and close the gate 2. The rounded face of the gate 2, long radial arms 5 and trunnion bearings allow the gate to be closed with a reasonable force and power. In accordance with the embodiment of the invention shown in Figure 1 a well 10 or a corresponding vertical container is arranged in connection with the spillway gate 2. The well 10 is connected to water behind the dam 1 through a pipeline 11 through which water has access to the well 10. The well 10 is divided into two parts 13, 14 or sections by a vertical wall 12 so that the first part 13 of the well 10 is an inlet section 13 into which water flows through the pipeline 11. The second part 14 of the well 10 is a discharge section 14 from which water is discharged through a discharge channel 16. Water can flow in the well 10 from the inlet section 13 to the discharge section 14 only over the vertical wall 12. The height of the vertical wall 12 is in each case set to match the accepted water level W in the dam 1. So water will flow over the vertical wall 12 from the inlet section 13 to the discharge section 14 only when the water level in the dam 1 rises over the accepted water level W. The discharge channel 16 is provided with a water turbine 15 so that the water discharging from the well 10 will rotate said turbine 15. The hydraulic cylinders 3 of the spillway gate 2 are provided with a hydraulic circuit comprising at least a tank 19 containing hydraulic fluid, a hydraulic pump 17 and necessary hydraulic pipelines connecting the tank 19, pump 17 and cylinders 3 with each other. For rotation the hydraulic pump 17 naturally needs power and therefore the shaft 18 of the turbine 15 is directly connected to the shaft of the pump 17 so that the rotation of the turbine 15 will rotate the pump 17. There may also be a fixed gear assembly between the shafts of the turbine 15 and the pump 17. The water level behind the dam 1 is marked with W. An accepted level for the water behind the dam 1 is defined and when the water level W rises higher water starts to flow over the vertical wall 12 in the well 10 from the inlet section 13 to the discharge section 14 and further out of the well 10 through the discharge channel 16. The discharging water starts to rotate the turbine 15 and therewith the hydraulic pump 17 which feeds the hydraulic cylinders 3 of the spillway gate 2 with hydraulic pressure so that the cylinders 3 start to lift and open the spillway gate 2. To limit the hydraulic pressure to an acceptable and allowed working pressure level for the hydraulic system air is or can be mixed to the draft tube side of the water turbine. The gate 2 will open and it stays open until the water level W behind the dam 1 decreases to the accepted level whereby water will no longer flow over the vertical wall 12 in the well 10. At that point the flow amount of water discharging from the well starts to decrease and finally said flow is no longer rotating the turbine 15 and the hydraulic pump 17 wherefore due to the weight of the spillway gate 2 it starts to go down towards the closed position and simultaneously it forces the hydraulic fluid to flow in an opposite direction which makes also the hydraulic pump 17 and the turbine 15 to rotate in an opposite direction. This will go on until the spillway gate 2 is totally closed. Figure 1 shows further that the pipeline for the hydraulic fluid may be provided with a back pressure valve 20 before the hydraulic cylinders 3. If so is the matter the spillway gate 2 naturally cannot automatically go down even though the hydraulic pump 17 is no longer feeding the cylinders 3 with hydraulic fluid. In such case where the back pressure valve is a simple non-return valve the spillway gate 2 will stay open until the back pressure valve 20 is manually released. However, if the hydraulic circuit is provided with a back pressure valve 20, said valve may be provided with a throttling restriction so that the valve unit allows free flow in one direction, i.e. towards the cylinders 3, but a restricted flow in the other direction, i.e. towards the pump 17. This type of a back pressure valve 20 may be used, especially if there is a risk that the spillway gate might fall down to the closed position too rapidly and uncontrollably when the pump 17 is no longer feeding the cylinders 3. Figure 2 shows a variation of the dam 1 of Figure 1 provided with a hydraulic operated spillway gate 2. In the embodiment of Figure 2 the operating system of the spillway gate 2 is not provided with any well, but instead a pipeline 21, 24 is provided to connect the water behind the dam 1 to the turbine 15. A manually operated shut-off valve 22 with a valve adjusting wheel 23 is provided in the pipeline 21, 24. The pipeline 21, 24 is further provided with a pipe loop 25 bypassing the shut-off valve 22. The pipe loop 25 is arranged to extend in the vertical direction up to the accepted level W of water behind the dam 1, so that when the water level rises higher water starts to flow through the pipe loop 25 to the turbine. The system may be provided with a pipe-and-valve assembly (not shown) connected to atmosphere. By said assembly operating personnel can add air to the pipe loop 25 and stop the siphon function at a safe water level in the dam. Operating personnel can at different water levels and whenever they want open the shut-off valve 22 and thereby open the spillway gate 2 and control the opening position of the spillway gate 2. By opening manually the shut-off valve 22 the operating personnel can always get hydraulic power as back up for other hydraulic systems.
1. Redundant system for operating spillway gates in a dam (1) in which the spillway gates (2) are provided by hydraulic cylinders (3) connected through a hydraulic circuit to a pump (17) arranged to feed hydraulic fluid through hydraulic pipelines from a tank (19) to the hydraulic cylinders (3) of the spillway gates (2) to open said spillway gates (2) when necessary, characterized in that the hydraulic pump (17) is mechanically connected to a water turbine (15) to which water from the dam (1) is arranged to be fed when the water level (W) behind the dam (1) rises above an accepted level, whereby the water flowing to the turbine (15) starts to rotate said turbine (15) and therewith the hydraulic pump (17) feeding the hydraulic cylinders (3) with hydraulic pressure to lift and open the spillway gates (2).
2. Redundant system for operating spillway gates according to claim 1, characterized in that the system for feeding water to the turbine (15) comprises a well (10) arranged in connection with the spillway gates (2), the interior of which well (10) is provided with a vertical wall (12) dividing the well (10) into an inlet section (13) connected to the water in the dam (1) through a pipeline (11) and a discharge section (14) from which water is discharged through a discharge channel (16), whereby the turbine (15) is arranged in the discharge channel (16) to be rotated by the discharging water. 3. Redundant system for operating spillway gates according to claim 2, characterized in that the height of the vertical wall (12) is set to the accepted water level (W) in the dam (1), whereby water flows over the vertical wall (12) from the inlet section (13) of the well (10) to the discharge section (14) to rotate the turbine only when the water level in the dam (1) is above the accepted water level (W). 4. Redundant system for operating spillway gates according to claim 1, characterized in that water is fed from the dam (1) to the turbine (15) through a pipeline (21, 24) provided with a manually operated shut-off valve (22). 5. Redundant system for operating spillway gates according to claim 4, characterized in that the pipeline (21, 24) is further provided with a pipe loop bypassing the shut-off valve (22). 6. Redundant system for operating spillway gates according to claim 5, characterized in that the pipe loop (22) extends in the vertical direction up to the accepted water level (W) in the dam (1). 7. Redundant system for operating spillway gates according to any one of the preceding claims, characterized in that the hydraulic pump (17) and the water turbine (15) are directly connected one to another by their shafts. 8. Redundant system for operating spillway gates according to any one of claims 1 - 6, characterized in that the hydraulic pump (17) and the water turbine (15) are connected one to another through a fixed gear assembly arranged between the shafts of said pump (17) and turbine (15).
2832556
System for using an exchangeable glue cartridge, and a book binding machine
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
- FIG 1 shows glue cartridge 1 and cover of the glue cartridge 7b. - FIG 2 shows an exploded view of glue cartridge 1. Glue cartridge 1 comprises glue tank 2. Glue tank 2 may contain either PUR adhesive or EVA hotmelt (either one of them or both are referred to more generally by the term glue in the following). Glue cartridge 1 is either closed from the top or can be closed from the top. In other words, cover 7b can be either a fixed or a detachable part of glue cartridge 1. If cover 7b is detachable, it can be equipped with knob 7b to facilitate detaching. In addition, glue cartridge 1 comprises glue spreading roller 7. Glue spreading roller 7 is a part of shaft 3 which most advantageously has shaft surfaces for bearing support. The bearing support is implemented by means of bearings 8. Material may have been removed from the surface of bearings 8 (e.g. by surface milling on the lower surface). This is utilized if the glue cartridge is being purged at the cleaning station. Slide bearings or cylinder liners are most advantageously used as bearings 8. The surface of glue spreading roller 7 is toothed e.g. by means of grooving and/or by making other appropriate surface reliefs into it, for instance protrusions, or combinations of these. Bearings 8 are mounted tightly against the shaft surfaces of shaft 3 thus acting as seals. The wall thickness of bearings 8 is greater than the depth of the area between the adjacent teeth or projections of glue spreading roller 7. Bearings 8 are set tightly against the ends of glue spreading roller 7 (i.e. the toothed part of shaft 3) on either side. The outside diameter of bearings 8, the outside diameter of glue spreading roller 7 and the inner surface of glue spreading roller casing 80 (ref. FIG 20 ) have approximately the same diameter so that the resulting structure is so tight that glue cannot escape from glue tank 2 by mistake. However, the dimensioning allows glue spreading roller 7 to be rotated at the processing temperature of glue. The advantageous structure of glue spreading roller casing 80 is more evident from the drawings presented in FIG 20 to 24. Rotating device 9 is most advantageously implemented as protrusions in the other end of shaft 3 which enable the rotating of glue spreading roller 7 by means of shape-limiting transmission mechanism, together with the recesses remaining between the protrusions of torque converter 32. FIG 2 to 6 show details of platform 4 of glue cartridge 1, glue channel 12, and tank bottom 15. Platform 4 of glue cartridge 1 and glue tank 2 can be implemented in one piece or made of two or several separate parts. Platform 4 and glue tank 2 can be connected to each other for example by means of threads 13, 14. Identification element 11 is attached to glue cartridge 1, 20, for example with screw 10. Bookbinding machine 100 identifies the type of glue cartridge on the basis of identification element 11 and sets the target temperature of the heater of glue cartridge 1, 20 and/or the power of the heater according to the type of the glue cartridge. Both edges of glue cartridge 1 are provided with extension 5. There is a narrowed part 6 in the middle of extensions 5. Extension 5 at the narrowed part 6, i.e. in the middle, does not reach as far as it does at the ends. The narrowed part of upper part 6b is for example implemented by narrowing extension 5 on the top. Thanks to the narrowing of upper part 6b it is possible to implement stop face 5b with a small surface only. This reduces the conduction of heat from glue cartridge 1 into glue cartridge receiving unit 26. Even if there is a narrowed part in the middle of extensions 5 in our exemplary embodiment, lateral narrowing 6 is only necessary on the side where the platform is supported by the arm. On the side of springs 64, an air gap remains between shoulder 42 in arm 41a and platform 4 in any case. If narrowing 6 is made into platform 4 also on the side of springs 64, it may have a negative effect if the fact is not taken into account that extension 5 momentarily presses spring 64 deeper during mounting than its position would be when the cartridge is mounted in place (i.e. to the bottom of narrowed part 6). This means that glue cartridge 1, 20 can also be implemented provided with unilateral narrowing 6 or without any narrowed parts because the effect caused by the narrowing can also be achieved by choosing an appropriate shape of jaw. FIG 7 shows glue cartridge 1 equipped with a cover with mounting plate 16 being attached to it. To be able to lock glue cartridge 1 in place in the system by means of the locking latch, mounting plate 16 is mounted on glue cartridge 1 by means of fixing screws 18. The face of glue cartridge 1 is provided with threaded holes 17 for this purpose. Mounting plate 16 of glue cartridge 1 has raising bushes 19 for forming an air gap between the plate part of mounting plate 16 of glue cartridge 1 and the face of glue cartridge 1. The hole in raising bush 19 is used as an inlet for fixing screws 18 of the glue cartridge. This results in a small contact surface between the (hot) glue cartridge 1 and mounting plate 16 and in a reduced transfer of dissipated heat to mounting plate 16. Mounting plate 16 has also holes for compression nuts 25. Fixing screws 23 of setting device 21 are fixed to compression nuts 25. As shown in FIG 8 and 14, glue cartridge receiving unit 26 comprises two arms 41a, 41b. Moreover, glue cartridge receiving unit 26 comprises shoulder 42 in both arms. Shoulder 42 and the spacing between arms 41a, 41b, as well as lower heaters 31 form the jaw. The width and height of platform 4 are dimensioned in such a way that glue cartridge 20 equipped with a fixing plate can be pushed into the jaw of receiving unit 26 by means of setting device 21 so that extensions 5 of platform 4 are positioned between lower heates 31 and shoulders 42 on both sides. In this way, the jaw holds glue cartridge 1 in place in receiving unit 26 and prevents glue cartridge 1 from falling down. When setting device 21 is fixed to glue cartridge 20 equipped with mounting plate 16 by means of its fixing screws 23, the cover trough in setting device 21 covers the heads of fixing screws 18 of mounting plate 16 of glue cartridge 20. In this way, any accidental opening of fixing screws 19 of mounting plate 16 of glue cartridge 20 is prevented when fixing screws 23 of setting device 21 are meant to be opened in order to remove setting device 23. If required, setting device 21 can be dimensioned in such a way that protective cover 115 or protective casing on bookbinding machine 100 cannot be closed while setting device 21 is attached. In other words, setting device 21 would in that case prevent the closing of protective cover 115 or protective casing. Glue cartridge receiving unit 26 is attached to bookbinding machine 100. Arms 41a, 41b are attached to the back of receiving unit 26 by means of fasteners, such as screws, most advantageously through the inlets made into the back. As shown in FIG 9 to 12, glue cartridge 1, 20 can be attached to glue cartridge receiving unit 26 by means of locking latch 28 which can be turned in relation to bearing journal 29. Locking groove 30 of the locking latch is positioned on mounting plate 24 and prevents any unintentional removal of glue cartridge 1, 20. After mounting glue cartridge 1, 20 in place, setting device 21 can be removed. For this purpose, fixing screws 23 are loosened and setting device 21 is pulled out. Thanks to the remaining air gap between mounting plate 16 and setting device 21 (ref. raising bushes 19) it is possible to reduce the heating of fixing screws 23 and setting device 21. The removal of setting device 21 can be carried out safely by means of handle 27. Locking latch 28 opens when setting device 21 is attached to glue cartridge 20 equipped with mounting plate 16 by means of fixing screws 23. Glue cartridge 1 can then be detached by pulling out handle 26 in setting device 21. When glue cartridge 20 equipped with mounting plate 16 is removed from receiving unit 26, locking latch 28 settles due to or assisted by gravity (by means of gravitational force) to its lower position against limit stop 67 (in the drawing shown in FIG 12, limit stop 67 is the head of an Allen screw, and the Allen screw is screwed in the left arm 41a). The rear part of locking latch 28 is hinged, and a hinge bushing screwed on the left arm 41a is used as bearing journal 29. Glue cartridge receiving unit 26 comprises converter 32 which has a traction element (most advantageously a gear or a sprocket, not shown in FIG 8 ) and a formed part which are permanently attached to each other through the opening of the axle system in such a way that the traction element can be accessed from outside the receiving unit 26. FIG 13 illustrates the structure of lower heater 31 in more detail. Lower heater 31 has electric cables 35 underneath guard plate 39 of the switch room. One electric cable 35 is used for applying the voltage to the heating resistor installed inside the heater body and the excess heat protection connected in series to it. The other electric cable, for its part, is used for conducting electricity to the temperature sensor attached to the heater body. Located below lower heater 31 are springs 36 which are attached to lower heater 31 by means of screws 37. Springs 36 are provided with loop 38 at the lower end. Lower heater 31 also has through holes 40 for the clamping tool. Fixing screws 43 are initially fastened to mounting holes 44 (ref. FIG 14 ). After that, loops 38 are pushed into fixing screws 43 and fixing screws 43 are tightened via through hole 40 of the tool. If necessary, screws 37 can be tightened by means of the through holes 45 of the tool while lower heater 31 is mounted in place. Glue cartridge receiving unit 26 is thus equipped with lower heaters 31, with springs 36 mounted below them for supporting lower heaters 31. Springs 36 also yield, in this way allowing for the downward and upward movement of lower heaters 31. Springs 36 are most advantageously dimensioned in such a way that they support lower heaters 31 at a level which allows for a gap which is smaller than or equal to the thickness of extension 5 of glue cartridge 1 to remain in arm 41a, 41b, between lower heater 31 and shoulder 42 of arm 41a, 41b. The upper edge in front of lower heater 31 has been bevelled so that glue cartridge 1, 20 can be easily pushed into place. The front edge of shoulder 42 of arm 41a, 41b has also been bevelled to ease the mounting of glue cartridge 1, 20. When glue cartridge 1, 20 has been mounted in place in the receiving unit, the top surfaces of lower heaters 31 are pressed against the bottom surface of glue cartridge 1, 20 by means of springs 36, and springs 36 lift extensions 5 of the glue cartridge toward the bottom surfaces of shoulders 42 of arms 41a, 41b of the top surface. To reduce the heat conduction, however, only stop faces 5b of the upper side make a contact with shoulders 42. FIG 17 and 18 illustrate the implementation of the lateral spring system of glue cartridge receiving unit 26. The left arm 41a has springs 64. Springs 64 are fastened to mounting holes 66 by means of screws 65. Springs 64 in the left arm 41a of glue cartridge receiving unit 26 yield when the glue cartridge is pushed into place in receiving unit 26. These springs 64 also press glue cartridge 1, 20 against the right arm 41b. One of the springs 46 is most advantageously mounted from the front and two are mounted from inside in the left arm 41a. As described above, the jaw of glue cartridge receiving unit 26 is self-adjusting both vertically and horizontally. It is clear that the jaw must not necessarily be self-adjusting or adjustable both vertically and horizontally but, in principle, even one of the two may suffice. In view of ensuring the heat conduction, the fact that the jaw is vertically self-adjusting or adjustable is important. Both a vertically self-adjusting jaw and a horizontally self-adjusting jaw have an effect on the mutual functioning of torque converter 32 and rotating device 9. The easiest way of implementing a vertically self-adjusting jaw is by means of springs. As an alternative to the vertically self-adjusting jaw, the jaw can be implemented as a vertically adjustable jaw, for example by shifting (e.g. by turning at least one set screw) lower heaters 31 downward at first so that glue cartridge 1 can be pushed into place in the glue cartridge receiving unit and, after this, by shifting (e.g. by turning at least one set screw) lower heaters 31 upward so that lower heaters 31 are tightly against platform 4 of glue cartridge 1. Likewise, the easiest way of implementing a horizontally self-adjusting jaw is by means of springs 64. As an alternative to the horizontally self-adjusting jaw, the jaw can be implemented as a horizontally adjustable jaw, for example by shifting the glue cartridge 1 laterally toward one of the arms 41a, 41b (e.g. by turning at least one set screw). As shown in FIG 8 and 12, glue cartridge receiving unit 26 is equipped with side heater 33. Side heater 33 is preferably spring-loaded (ref. FIG 12 and 15 ). An exploded view of side heater 33 is shown in FIG 16. Side heater 33 is preferably implemented as a half-open jacket heater. This enables to push the glue cartridge into place. FIG 12 and 15 illustrate the implementation of glue cartridge receiving unit 26 with regard to the attachment of side heater 33. FIG 16 illustrates the design of side heater 33. Side heater 33 is resiliently mounted on rear part 50. Side heater 33 has mounting holes 34 and rear part 50 has mounting holes 49 for this purpose. The springs are pressed between side heater 33 and rear part 50 by means of shoulder screws 48 which are fastened to mounting holes 34 from behind the rear part 50. Side heater 33 comprises heater body 57 which is equipped with heater element 54 and temperature sensor 56. To prevent the heating of rear part 50, thermal insulation material 58 is attached to the back of body 57 underneath jacket 59. To prevent the loss of heat, thermal insulation material 53 is attached under jacket 51 at the flanks and on top of body 57. FIG 19 shows bookbinding machine 100. The operation of bookbinding machine 100 is started by putting glue cartridge 1, 20 into place in gluing unit 26 and by closing protective cover 115. Plug 116 in cable 107 is connected to the mains. After that, current switch 106 in control panel 108 is turned from the position OFF to the position ON. The current flowing from cable 107 heats up the resistors in the heating units (lower heaters 31 and side heater 33) and melts the glue in glue tank 1. Bookbinding machine 100 is equipped with operating handle 114. The operating principle of operating handle 114 and the operating mechanism of the bookbinding machine connected to it have been presented in more detail in the [PATCIT EP1478519B1]. The mode of operation of bookbinding machine 100 is shown in more detail in the video - http://fastbind.com/images/stories/videos/elite_soft_cover. wmv Book cover 121 is placed into bookbinding machine 100 and bundle 120 of sheets to be bound on top of it. The side edge of bundle 120 is aligned against aligning stop 109. After that, bundle 100 is locked in place by turning operating handle 105, whereby mobile press bar 102 presses bundle 120 against turntable 112. After that, press bar 102 is locked in relation to turntable 112 by continuing to turn operating handle 105, whereby bundle 120 also keeps its position in relation to turntable 112. After that, operating handle 103 is turned in the direction of arrow b. Due to this, turntable 112 turns to a position in which spine edge 124 of bundle 120 is on the route of the backwards and forwards movable (arrow c) glue cartridge receiving unit 26 and especially of glue spreading roller 7 located there. Glue cartridge receiving unit 26 can be moved together with glue spreading unit 111 along linear guide 113. The movement is preferably carried out using a guiding tool, such as knob 114. The system also comprises a transmission device, for example a tooth chain, which rotates the traction element, preferably in a shape-limiting manner. The transmission device forces traction element 1 to rotate every time receiving unit 26 of glue cartridge 26 is pushed in either direction along linear guide 113. The rotating traction element rotates the formed part which rotates glue spreading roller 7 as described above, whereby glue spreading roller 7 takes glue out of glue tank 2 and applies it to spine edge 124 of bundle 120. When the traction element is standing still, i.e. glue spreading roller 7 does not rotate, the shape of glue spreading roller 7 prevents glue from escaping from glue tank 2. In case any glue remaining at the lower edge of glue spreading roller 7 should drip, bookbinding machine 100 is also equipped with drip tray 110 for the glue dripping or possibly leaking from glue spreading roller 7. when spine edge 124 of bundle 120 is glued, operating handle 103 is turned in the direction of arrow a, whereby turntable 112 and bundle 120 rotate against cover 121. By pressing operating handle 103 further in the direction of arrow a, the mechanism in bookbinding machine 100 presses the edge of cover 121 against bundle bound tight on the edge on the side of spine edge 124 as described in patent [PATCIT WO1478519B1]. After that, the finished book is released by turning operating handle 105 and bookbinding machine 100 can be used for binding the next bundle of sheets together and to the cover. The improvement of bookbinding machine 100 shown in FIG 19 is based on the fact that the mounting of glue cartridges 1, 20 in system 26 is easier thanks to the self-adjusting or adjustable jaw. The dimensional tolerances of glue cartridges 1, 20 can also be greater. Thanks to the self-adjusting feature or adjustability, it is also easier to avoid the problems possibly caused by the different degree of thermal expansion in different pieces, such as glue cartridge 1, 20 getting blocked in system 26. Glue cartridge 1, 20 is mounted or can be mounted in glue cartridge receiving unit 26. This enables to change the glue type used on the fly (while the operation is running) or with a short break at the most. Glue cartridge 1, 20 can be exchanged even if bundle 120 to be bound is in place in bookbinding machine 100. During the spreading of glue, glue spreading roller 7 supplies glue tank 2 with the amount of replacing air corresponding to the amount of glue taken by glue spreading roller 7 from glue tank 2 for spine edge 124 of bundle 120 to be bound, or to the amount of glue that otherwise escapes from glue spreading roller 7, for example by dripping. In this way, no underpressure is developed in glue tank 2 that would make it more difficult to get the glue out of glue tank 2. The amount of replacing air most advantageously corresponds to the amount of glue that has been transferred to spine edge 124 of bundle 120 and has dripped onto drip tray 110. Glue cartridge 1, 20 can also be heated in advance until it is warm (for example even up to or almost to processing temperature, or, accordingly, for example to midway between the ambient temperature and the processing temperature of glue, or to any other point between them) at a preheating station connected to bookbinding machine 100 or located outside it. Such a preheating station has most advantageously a heating arrangement corresponding to side heater 33 and/or to lower heaters 31, as well as a receiving unit corresponding to glue cartridge receiving unit 26. Thanks to preheating, glue cartridge 1, 20 is available faster, and the use of bookbinding machine 100 can be continued uninterruptedly. In the method for accelerating the adhesive binding, glue tank 2 of glue cartridge 1, 20 is heated before mounting glue cartridge 1, 20 in bookbinding machine 100. All parts of glue cartridge 1, 20, except for bearings 8, can be made of aluminum. Shaft 3 and glue spreading roller 7 are most advantageously implemented as an extruded aluminum profile. In this case, the extrusion is implemented on the profile of glue spreading roller 7 and, for shaft surface 3, material is removed from the profile by turning on a lathe (removal of teeth or protrusions, in addition to which shaft 3 is made thinner on the outside part of glue spreading roller 7). Glue cartridge 1, 20 is removed from bookbinding machine 100 after use. If the part to be removed is hot, the removal can be carried out by means of separate setting device 21. Especially the shape and amount of shoulders in glue cartridge receiving unit 26 may vary. The number and execution type of arms 41a, 41b may also vary. The jaw can also be implemented in many different ways. #### Reference Numbers Used: - 1: glue cartridge - 2: glue tank - 3: shaft - 4: platform - 5: extension - 5b: stop face of upper side - 6: narrowed part - 6b: narrowing of upper side - 7: glue spreading roller - 7a: knob - 7b: cover - 8: bearing - 9: rotating device - 10: screw - 11: identification element - 12: glue channel - 13: thread - 14: thread - 15: bottom of glue tank - 16: mounting plate - 17: threaded hole - 18: fixing screw - 19: raising bush - 20: glue cartridge equipped with mounting plate - 21: setting device - 22: plate - 23: fixig screw - 24: mounting plate - 25: compression nut - 26: glue cartridge receiving unit (system) - 27: handle - 28: locking latch - 29: bearing journal - 30: locking groove - 31: lower heater - 32: torque converter - 33: side heater - 34: mounting hole - 35: electric cable - 36: spring - 37: fixing screw - 38: suspension loop - 39: guard plate of switch room - 40: through hole of mounting tool - 41a: left arm - 41b: right arm - 42: shoulder - 43: fixing screw - 44: mounting hole - 45: through hole of tool - 47: spring - 48: shoulder screw - 49: mounting hole - 50: rear part - 51: jacket - 52: screw - 53: thermal insulation material - 54: heating element - 55: screw - 56: temperature sensor - 57: heater body - 58: thermal insulation material - 59: jacket - 60: screw - 61, 62, 63: fasteners - 64: spring - 65: screw - 66: mounting hole - 67: limit stop - 68: release plate - 80: casing of glue spreading roller - 83: face - 84: bevel - 85: bevel - 86: flank - 100: bookbinding machine - 102: press bar - 103: operating handle - 105: operating handle - 106: current switch - 107: cable - 108: control panel - 109: aligning stop - 110: drip tray - 111: glue spreading unit - 112: turntable - 113: linear guide - 114: knob - 115: protective cover - 116: plug - 120: bundle of sheets to be bound - 121: book cover - 124: spine edge
1. A system (26) for using an exchangeable glue cartridge (1, 20), characterized in that it comprises: - at least one retaining arrangement (31, 41a, 41b, 42) which forms a self-adjusting or adjustable jaw, in which the platform (4) of a glue cartridge (1, 20), which comprises: o a glue tank (2) as well as a platform (4) connected to it, o a rotatable glue spreading roller (7), connected to the glue tank and arranged directly below the glue tank (2) and designed in such a way that the shape of glue spreading roller (7): ■ prevents glue from escaping from the glue tank (2) when the glue spreading roller (7) is in place and ■ takes glue out of the glue tank (2) when the glue spreading roller (7) is rotated, as well as o at least one rotating device (9) for rotating the glue spreading roller (7), available outside the glue cartridge (1),: can be pushed in such a way that the glue spreading roller (7) is downward or remains downward, and which is configured to limit the vertical mobility of the glue cartridge (1, 20) in both directions by limiting the mobility of the platform (4); and - at least one rotatable converter (32) for transmitting the motion to at least one rotating device (9) located in the glue cartridge (1, 20) while the glue cartridge (1, 20) is mounted in place.
2. A system (26) according to claim 1, wherein the jaw comprises at least one shoulder (42) for the shape-limiting vertical limitation of the glue cartridge (1, 20) upward. 3. A system (26) according to claim 1 or 2, wherein the jaw comprises at least one resilient or resiliently mounted lower part (31). 4. A system (26) according to claims 2 and 3, wherein the lower part (31) can be moved in the direction of the shoulder (42) and/or away from it. 5. A system (26) according to claim 3 or 4, wherein the lower part (31) is a heater or contains a heater which is arranged to heat from below the platform (4) of the glue cartridge (1, 20) mounted in place. 6. A system (26) according to one of the above claims 1 to 5, wherein a) a retaining arrangement (31, 41a, 41b, 42) comprises at least one pair of supporting elements or arms (41a, 41b) between which the glue cartridge (1, 20) can be mounted, and b) the jaw is implemented by means of supporting elements or arms (41a, 41b). 7. A system according to claim 6 and one of the claims 2 to 5, wherein a shoulder (42) and/or a lower part (31) is or are a part of the supporting elements or arms (41a, 41b). 8. A system according to claim 6 or 7, wherein the mutual dimensioning of the platform (4) and the supporting elements or arms (41a, 41b) has been implemented in such a way that at least one narrowed part (6, 6b) remains on one side or on both sides between the platform (4) and the supporting elements or arms (41a, 41b), with a gap remaining between them at the narrowed part/narrowed parts (6, 6b). 9. A system according to one of the claims 6 to 8, comprising a spring system (64) for pressing or pulling the glue cartridge (1, 20) horizontally toward the second retaining arrangement (31, 41a, 41b, 42). 10. A system according to one of the claims 1 to 9, additionally comprising a half-open half-heater, side heater, half-open surface and/or half-open jacket heater (33) for heating the glue in the glue tank (2) of the glue cartridge (1, 20) mounted in place by means of heat to be conducted through the side walls, surface and/or jacket surface of the glue tank (2). 11. A system according to claim 10, wherein the half-heater, side, surface and/or jacket heater (33) is against the glue tank (2) when the glue cartridge (1, 20) is mounted in place. 12. A system according to one of the above claims, additionally comprising a glue cartridge (1, 20) mounted in place, with this glue cartridge (1, 20) comprising: o a glue tank (2) as well as a platform (4) connected to it; ○ a rotatable glue spreading roller (7), connected to the glue tank, which is arranged directly below the glue tank (2) and designed in such a way that the shape of the glue spreading roller (7): ■ prevents glue from escaping from the glue tank (2) when the glue spreading roller (7) is in place and ■ takes glue out of the glue tank (2) when the glue spreading roller (7) is rotated; as well as ○ at least one rotating device (9) for rotating the glue spreading roller (7), available outside the glue cartridge (1, 20) and fitted into the converter (32), whereby the glue spreading roller (7) can be rotated by means of the converter (32). 13. A system (26) according to claim 12, additionally comprising a fixed or a detachable setting device (21) for removing the glue cartridge (1, 20) from the system (26) or for mounting it in the system (26). 14. A bookbinding machine (100), wherein a bundle (120) of sheets to be bound to make a book can be placed and by means of which glue can be applied to the spine of the bundle (120) in such a way that the glue can be taken from the exchangeable glue cartridge (1, 20) by means of the system (26) according to claim 12 or 13. 15. A bookbinding machine (100) according to claim 14, comprising a backwards and forwards movable glue spreading unit (111) which is configured to rotate the glue spreading roller (7) of the exchangeable glue cartridge (1, 20) while the glue spreading unit (111) is moved backwards and forwards, whereby the glue in the glue tank (2) can be applied to the spine of the bundle (120).
2836053
Induction hob and method for operating an induction hob
1
Based on the following detailed description of an invention, generate the patent claims. There should be 9 claims in total. The first, independent claim is given and the remaining 8 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The present invention will now be described more fully with reference to the accompanying drawings, in which example embodiments are shown. However, this invention should not be construed as limited to the embodiments set forth herein. Throughout the following description similar reference numerals have been used to denote similar elements, parts, items or features, when applicable. Figure 1 shows a schematic illustration of an induction hob 1 according to the invention. The induction hob 1 comprises at least two induction heaters, namely a first induction heater 2 and a second induction heater 3 preferably provided at a common hob plate 9. Beneath the hop plate 9 two induction coils 4, 5 are arranged, wherein the first induction coil 4 is associated with the first induction heater 2 and the second induction coil 5 is associated with the second induction heater 3. The first induction coil 4 is coupled with first electronic driving means 6 of a first type, wherein said electronic driving means 6 are coupled with a mains supply 10. Similarly, the second induction coil 5 is coupled with second electronic driving means 7 of a second type, wherein said electronic driving means 7 are coupled with the mains supply 10. Furthermore, a control unit is provided for controlling the operation of the electronic driving means 6, 7, specifically for adjusting the output power of the induction coils 4, 5. In order to avoid audible noise arising from interferences between the frequency of the AC current provided by the first electronic driving means 6 in order to power the first induction coil 4 and the frequency of the AC current provided by the second electronic driving means 7 in order to power the second induction coil 5, the electronic driving means 6, 7 are configured differently in an asymmetric way, i.e. the frequency span of the AC current provided to the first induction coil 4 and the frequency span of the AC current provided to the second induction coil 5 are different. Preferably, the electronic driving means 6, 7 are configured such that the frequency spans of the AC currents powering the first and second induction coils 4, 5 do not overlap. Thereby the output power of the first and second induction heaters 3 may be adjusted by changing the frequency of the AC currents powering the first and second induction coils 4, 5 according to a user demand wherein the frequencies are separated such that audible interference noise is avoided. Advantageously, the demanded output power of the induction heaters 2, 3 is obtained only by adjusting the frequency provided to the induction coils 4, 5 without varying the electrical power stepwise between different power levels in order to achieve a certain mean power level. Preferably, the electronic driving means 6, 7 form a resonant converter in association with the respective induction coils 4, 5 which provides at the output a square voltage waveform that is applied to a resonating circuit including the induction coil 4, 5 itself and one or more capacitors. Figure 2 shows a schematic view of a resonant half-bridge converter 20 that may be used for powering the induction coils 4, 5. The resonant half-bridge converter 20 comprises two switching circuits formed by the transistors T1, T2 and a resonant circuit formed by the capacitors C1, C2 and the inductor L which is constituted by the induction coil 4, respectively, the induction coil 5. The resonant circuit is continuously driven by the transistors T1, T2 such, that the direction of current flow through the induction coil 4, 5 is alternating. The resulting AC current in the induction coil 4, 5 provides a time-varying electromagnetic field required for heating a piece of cookware located at the induction heater 2, 3 by means of an inductive coupling between the induction coil 4, 5 and the piece of cookware. Said piece of cookware may be constituted by a pot, a pan, a casserole or other cooking utensils. Typically, the power transfer between the induction coil 4, 5 and the piece of cookware to be heated depends on the frequency of the AC current flowing through the induction coil 4, 5. In order to avoid audible noise, the resonant frequencies of the resonant circuits formed within the electronic driving means 6, 7 are different. The resonant frequency f _res of the half bridge converter shown in Figure 2 is calculated as follows: [MATHS id=math0001]: wherein L is the inductance value of the inductor coil 4, 5, and C1 and C2 are the capacitance values of the capacitors C1, C2. The resonant frequencies of the first and second type of electronic driving means 6, 7 differ at least by a factor of 1.4, i.e. the resonant frequency of the first type of electronic driving means 6 is at least 1.4 times higher than the resonant frequency of the second type of electronic driving means 7 (f _res (Type1) = f _res (Type2)*1,4). Thereby a spread of the frequency spans for powering the first and second induction coils 4, 5 is obtained, which ensures that the output power of the first and second induction heater can be adapted by changing the frequency of the AC current flowing through the respective induction coil 4, 5 without generating audible noise due to interferences. Figure 3 shows the frequency dependency of the output power of both types of induction heaters 2, 3. The abscissa shows the output power of the induction heaters 2, 3 and the ordinate shows the respective frequency values. The upper diagram may be associated with the first induction heater 2 driven by the first type of electronic driving means 6. Accordingly, the lower diagram may be associated with the second induction heater 3 driven by the second type of electronic driving means 7. Preferably, the resonant circuits formed within the electronic driving means 6, 7 are dimensioned such that the frequency range Δf1 of the electronic driving means 6 of the first type and the frequency range Δf2 of the electronic driving means 7 of the second type do not overlap. This is mainly achieved by the appropriate dimensioning of the resonant frequency, wherein the resonant frequency of the first type of electronic driving means 6 is at least 1.4 times higher than the resonant frequency of the second type of electronic driving means 7. According to preferred embodiments, the resonant circuits formed within the electronic driving means 6, 7 are dimensioned such that the frequency difference between the lowest frequency f _low,1 at which the first type of electronic diving means 6 provides the maximum output power P _max,1 and the maximum frequency f _max,2, at which the second type of electronic diving means 7 provides the minimum output power P _min,2 is at least 20kHz. Thereby, the frequency bands of the first and second type of electronic driving means 6, 7 are separated such, that even operating the electronic driving means 6, 7 in the adverse border areas, the frequency difference is sufficient for avoiding audible interferences. According to other embodiments, the frequency bands are dimensioned such that the frequency difference between the lowest frequency f _low,1 of the AC current generated by the first type of electronic driving means 6 operating the first induction heater 2 at maximum power P _max,1 and the frequency f _40%,2 of the AC current generated by the second type of electronic driving means 7 operating the second induction heater 3 at the power P _40%,2 of 40% of maximum power P _max,2 is at least 20kHz. Thereby, the frequency spans provided by the first and second type of electronic driving means 6, 7 are separated such, that audible interferences are avoided in the majority of operating conditions. In order to avoid audible interferences even in those cases, in which the first and second induction heaters 2, 3 are operated in adverse border areas, the control unit may comprise a software algorithm for keeping the frequency difference of the AC currents powering the first and second induction heaters out of the audible range. By powering the induction heaters 2, 3 with a constant electric power flow without any abrupt or alternating power variations and obtaining the adaption of output power only by varying the frequency of the AC current through the induction coils 4, 5, no flicker at the mains supply 10 is arising. Thereby it is even possible to operate the induction heaters 2, 3 at different phases of the mains supply 10. Figure 4 and 5 shows different arrangements of induction heaters 2, 2a, 3, 3a at an induction hob 1. Figure 4 shows a serial arrangement of four induction heaters 2, 2a, 3, 3a, i.e. the induction heaters are arranged linearly. Said four induction heaters 2, 2a, 3, 3a are powered by two different types of electronic driving means 6, 7, wherein the induction heaters 2, 2a are powered by a common first type of electronic driving means 6 and the induction heaters 3, 3a are powered by a common second type of electronic driving means 7. The arrangement is such, that the induction heaters 2, 2a, 3, 3a driven by different types of electronic driving means 6, 7 are arranged next to each other in direct proximity. For example, the induction heater 3 is surrounded by two induction heaters 2, 2a which are driven by first type of electronic driving means 6, wherein the induction heater 3 itself is driven by a second type of electronic driving means 7. Thereby, the induction heaters driven by the same type of electronic driving means are separated such, that interferences caused by of the same or overlapping frequency bands are avoided. Figure 5 shows a different kind of arrangement of induction heaters 2, 2a, 3, 3a driven by two different types of electronic driving means 6, 7. The induction heaters 2, 2a, 3, 3a are arranged in a rectangular arrangement wherein the induction heaters 2, 2a, 3, 3a driven by the same type of electronic driving means 6, 7 are arranged diagonally. Preferably, the horizontal distance the two pairs of induction heaters is different in order to increase the diagonal distance of the induction heaters 2, 2a, 3, 3a driven by the same type of electronic driving means 6, 7. It is worth mentioning, that the invention is not restricted to the usage of only two types of electronic driving means. So, the invention also covers induction hobs 1 with a plurality of induction heaters wherein each induction heater is powered by a different type of electronic driving means or groups of induction heaters are powered by different types of electronic driving means.
1. Induction hob (1) comprising at least two induction heaters (2, 3), each induction heater (2, 3) associated with at least one induction coil (4, 5), wherein a first induction heater (2) is associated with a first type of electronic driving means (6) comprising a first induction coil (4) and being adapted for driving an AC current through said first induction coil (4) of the first induction heater (2), wherein the second induction heater (3) is associated with a second type of electronic driving means (7) comprising a second induction coil (5) and being adapted for driving an AC current through said second induction coil (5) of the second induction heater (3) and wherein the electronic driving means (6, 7) are adapted to control the output power of the induction heaters (2, 3) by varying the frequency of the AC current through the respective induction coil (4, 5),: characterised in that,: each electronic driving means (6, 7) is adapted to cause a constant electric power flow through the induction coil (4, 5) and the electronic driving means of the first and second type have different resonance frequencies such that the resonance frequency of the first type of electronic driving means (6) is at least 1.4 times higher than the resonance frequency of the second type of electronic driving means (7).
2. Induction hob according to claim 1, wherein the frequency ranges (Δf1, Δf2) of the first and second type of electronic driving means (6, 7) are different to each other and/or do not overlap. 3. Induction hob according to claim 1 or 2, wherein a frequency difference of at least 20kHz between the frequency of the AC current generated by the first type of electronic driving means (6) operating the first induction heater (2) at maximum power and the frequency of the AC current generated by the second type of electronic driving means (7) operating the second induction heater (3) at a power of 40% of the maximum power of the first induction heater (2) is provided. 4. Induction hob according to anyone of the preceding claims, wherein a frequency difference of at least 20kHz between the frequency of the AC current generated by the first type of electronic driving means (6) operating the first induction heater (2) at maximum power and the frequency of the AC current generated by the second type of electronic driving means (7) operating the second induction heater (3) at a minimum power is provided. 5. Induction hob according to anyone of the preceding claims 1-3, wherein a control unit (8) is provided with a software algorithm for keeping the frequency difference of the AC currents powering the first and second induction heaters (2, 3) out of the audible range. 6. Induction hob according to anyone of the preceding claims, wherein the first and second types of electronic driving means (6, 7) are operated at different phases of the mains supply. 7. Induction hob according to anyone of the preceding claims, wherein the first and second induction heaters (2, 3) are located next to each other in direct proximity. 8. Induction hob according to anyone of the preceding claims, wherein the output power of the first and/or second induction heater (2, 3) operated at resonance frequency is 4 - 15 times higher than the output power of the first and/or second induction heater (2, 3) operated at maximum frequency. 9. Induction hob according to anyone of the preceding claims, with at least three induction heaters each powered by different types of electronic driving means.
2835152
Dorsal wear-pad
1
Based on the following detailed description of an invention, generate the patent claims. There should be 5 claims in total. The first, independent claim is given and the remaining 4 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
To aid understanding, this document is organized as follows. First, an exemplary field application of exemplary safety harness wear-pads is briefly introduced with reference to Figure 1. Second, with reference to Figures 2-4C, the discussion turns to exemplary embodiments of lumbar wear-pads which illustrate different physical configurations. Specifically, various advantages and benefits of the various configurations will be discussed. Then, with reference to Figures 5-8C, discussion turns to exemplary embodiments of dorsal wear-pads to illustrate different physical configurations. Again, various advantages and benefits of the various configurations will be discussed as components of a fall-protection system. Figure 1 depicts an exemplary field application of a lumbar wear-pad and a dorsal wear-pad in a wind-turbine tower. This figure depicts and exemplary wind-turbine tower scenario 100. In this exemplary scenario 100, a worker 105 is climbing a ladder 110 which is attached the interior wall 115 of the wind-turbine tower. The worker 105, while climbing the ladder 110 is in close proximity to the wall 115. The worker 105 is wearing an exemplary fall-protection safety harness 120 so as to provide a measure of protection in the event of an accidental fall. While the worker 105 ascends, a worker's back 135 may rub against the wall 115 of the wind-turbine tower. If the worker's back 135 rubs against the wall 115, the worker 105 may experience discomfort. The wall 115 is depicted with welded seams 140 which may be painful if the worker 105 is pressed against the wall 115 while ascending or descending quickly. The wall 115 may also have other projecting objects such as bolt heads which may injure a worker, for example. The wall 115 may be painted, and the paint may be scratched by a D-ring (not visible in this figure) connected to the fall-protection safety harness 120 as the worker 105 ascends and descends while pressed against the wall 115. When the paint is scratched, the underlying metal may be exposed to water and oxygen which may cause the structural integrity of the tower to be compromised. But in this figure, the fall-protection safety harness 120 is depicted including an exemplary lumbar wear-pad 122 and an exemplary dorsal wear-pad 124. As the worker 105 ascends, the lumbar wear-pad 122 and/or the dorsal wear-pad 124 may slidably engage the wall 115 of the wind-turbine tower. The worker 105 is shown holding a locking rebar hook 125, 130 in each hand. The worker 105 is shown attaching a locking rebar hook 125 to the ladder as he ascends. As the worker 105 ascends the ladder 110, the worker 105 first may attach one of the locking rebar hooks 125 to the ladder 110. Then, as the worker 105 ascends a bit further, the worker 105 may attach the other locking rebar hook 130 to the ladder 120. The worker 105 may then detach the first locking rebar hook 125 so that the worker 105 may continue ascending the ladder 110. These locking rebar hooks 125, 130 are each connected to a lanyard 137, which in turn connects to the D-ring of the fall-protection safety harness 120. Other problems may arise from ascending or descending the ladder 110 while being pressed against the wall 115. For example, the fall-protection safety harness 120 may be chafed as the worker 105 slides against the wall 115. This chafing may abrade a webbing of the safety harness 120. If the webbing of the safety harness becomes abraded, the integrity of the safety harness 120 may be compromised. The worker 105 may also have to perform a difficult task which may require the worker 105 to operate in a contorted position. The worker may have to rock against the wall to change position as he works, for example. Rocking while pressed against a hard surface such as the wall 115 may be uncomfortable for the worker 105. The depicted exemplary lumbar wear-pad 122 may have curved projections which may facilitate such rocking activities. Figure 2 depicts an exemplary fall-protection safety harness being worn by a wearer, the safety harness including an exemplary lumbar wear-pad. In this figure, a wearer 200 is wearing an exemplary fall-protection safety harness 205. The safety harness 205 includes an exemplary lumbar wear-pad 210. The lumbar wear-pad 210 may have a low-friction surface which may facilitate sliding against a hard wall. The lumbar wear-pad 210 may be made of a high-wear-resistance material which may permit a long usable lifetime. The lumbar wear-pad 210 shown to be attached to the fall-protection safety harness 205 by a belt 215. The lumbar wear-pad 210 is depicted as having three semi-ellipsoidal projections 220. The semi-ellipsoidal projections 220 are depicted as being located proximate to the hip bones and the lumbar vertebrae of the wearer 200. The lumbar wear-pad 210 may improve comfort by being located proximate these pressure points. Each semi-ellipsoidal projection 220 has a belt aperture 225 through which the belt 215 retains the lumbar wear-pad 210. The lumbar wear-pad 210 is shown located upon an exemplary lumbar comfort pad 230. The lumbar comfort pad 230 may be made of a soft material. The lumbar comfort 230 pad may be made of a breathable material to facilitate cooling for the wearer. Exemplary lumbar comfort pads are described, for example, with reference to at least Figures 2-4 in [PATCIT US61694759B] titled "Fall Protection Harness Assembly," filed on August 29, 2012, the entire disclosure of which is herein incorporated by reference. Figures 3A-3C depict a perspective view, a plan view and a side elevation view of an exemplary lumbar wear-pad, respectively. In the Figures 3A-3C embodiment, an exemplary lumbar wear-pad 300 includes three semi-ellipsoidal projections 305, 310, 315. Semi-ellipsoidal projections may have curvature along both a vertical major axis 320 and along a horizontal minor axis 325. The middle projection 310 is depicted as being smaller than both of the outside projections 305, 310. The middle projection 310 is depicted as having a smaller major dimension 330 and a smaller minor dimension 335 than either of the two outside projections' major and minor dimensions respectively. In Figures 3A-3B, various exemplary cooling apertures 340 are depicted. These cooling apertures may operate in conjunction with the breathable lumbar comfort pad 230 to facilitate breathability and/or comfort for the wearer. In this embodiment, webbing harness attachment clips 345 are depicted. These clips may be used to attach the lumbar wear-pad 300 to a webbing which may be distinct from the belt 215 depicted in Figure 2. Other methods of attachment may be used in other embodiments. For example, the lumbar wear-pad may be riveted to a fall-protection safety harness. Three belt apertures 350 are depicted, one through each of the three projections 305, 310, 315. Each of the belt apertures 350 are aligned on a common axis 325 so as to permit a belt to be received by all three of the belt apertures 350 with requiring the belt to twist or bend. Figure 3C depicts a side elevation view of the exemplary lumbar wear-pad 300. The lumbar wear-pad 300 is depicted as having an inwardly-facing surface 360 which inwardly faces the user when worn. The lumbar wear-pad 300 also has an outwardly-facing surface 365 which outwardly faces away from the user when worn. A thickness 367 is defined as the distance between the inwardly-facing surface 360 and the outwardly-facing surface 365. The projections 305, 310, 315 each have an elevation 370, 375 with respect to the outwardly-facing surface 365. The middle projection 310 has an elevation 370 which is smaller than the elevation 375 of the outer projections 305, 315. The depicted lumbar wear-pad 300 also has a substantially-planar base 380. The lumbar wear-pad 300 may be flexible so as to permit anatomical conformation with a wearer's body. An exemplary curvature 385 of the substantially-planar base 380 is depicted. Figures 4A-4C depict a perspective view, a plan view and a side elevation view of an exemplary lumbar wear-pad, respectively. In the Figures 4A-4C embodiment, a lumbar wear-pad 400 has four exemplary wear-bumps 405, 410, 415, 420. The two outside wear-bumps 405, 420 are larger than the two inside wear-bumps 410, 415. Similar to the Figures 3A-3C embodiment, each wear-bump 405, 410, 415, 420 has a major dimension 425 along a vertical major axis 430 and a minor dimension 435 along a horizontal minor axis 440. The major dimension of each wear-bump is significantly greater than its corresponding minor dimension. In this embodiment the minor axes 440 of each wear-bump are aligned on a centerline. The centerline 440, in this embodiment, also bisects each of the belt apertures 450. Figure 4C depicts a side elevation perspective of the exemplary lumbar wear-pad 400. Again, in this embodiment, the lumbar wear-pad has an inwardly-facing surface 460 of a substantially-planar base 480; the inwardly-facing surface inwardly faces the user when worn. And again, the lumbar wear-pad 400 also has an outwardly-facing surface 465 which outwardly faces away from the user when worn. And again, in this embodiment, the substantially-planar base 480 has a thickness 485. The projections 405, 410, 415, 420 each have an elevation 470, 475 with respect to the outwardly-facing surface 465. The middle projections 410, 415 have an elevation 470 which is smaller than the elevation 475 of the outer projections 405, 420. Figure 5 depicts an exemplary fall-protection safety harness including an exemplary dorsal wear-pad. In the Figure 5 embodiment, a fall-protection safety harness 500 includes a webbing 505 attached to a D-ring 510. D-ring 510 has two lengths 515, 520 of webbing 505 captured in its webbing aperture 525. The two lengths 515, 520 criss-cross at a location 530 approximately coincident with the webbing aperture 525 of the D-ring 510. A dorsal wear-pad 535 is connected to a D-pad 540 which provides webbing apertures through which the webbing may be routed. Exemplary D-pads are described, for example, with reference to at least Figures 4A-4B in [PATCIT US13892127B] titled "A Self-Retracting Lifeline Connecting System," filed on May 10, 2013, the entire disclosure of which is herein incorporated by reference. The dorsal wear-pad 535 has a D-ring aperture 542 through which the D-ring 510 projects. In this embodiment, the dorsal wear-pad has two wear-bumps 545, 550 projecting from a substantially-planar substrate 555. The D-ring 510 is depicted in an upward-canted position. The D-ring 510 may rotate to a downward-canted position when laden with a load, such as for example, when a snap-hook is attached. The dorsal pad 535 may be configured to permit the D-ring 510 to pivot freely between the upward-canted and the downward-canted positions. A snap-hook, for example, may fasten one or more lanyards to the D-ring 510. In some embodiments, a carabiner may connect to the D-ring 510. The D-ring 510 may also be used by a rescue hook, for example. The weight of a snap-hook and the attached lanyards may provide the force necessary to rotate the D-ring 510 to a downward-canted position. When in the downward-canted position, the D-ring 510 may have a projecting elevation relative to the substantially-planar substrate 555. Figures 6A-6C depict a perspective view, a side elevation view and a plan view of an exemplary dorsal wear-pad, respectively. In the Figures 6A-6C embodiment, a dorsal wear-pad 600 is depicted. The dorsal wear-pad 600 shown has a base 605 and two projections 610, 615. Each depicted projection 610, 615 has a semi-ellipsoidal structure, in which an outwardly-facing surface of each projection has both a horizontal curvature and a vertical curvature. Projecting elevations of the projections 610, 615 relative to the base 605 may be larger than the projecting elevation of a D-ring 510 relative to the base 605. The dorsal wear-pad 600 may have attachment clips 620 which may facilitate attachment to a D-pad 540. In various embodiments, other means of attaching a dorsal wear-pad 600 may be used. For example, a dorsal wear-pad 600 may be riveted to a fall-protection safety harness 205. In some embodiments, a dorsal wear-pad 600 may be integrated into a D-pad 540, for example. In some embodiments, the dorsal wear-pad 600 may attach to a fall-protection safety harness 205 via webbing apertures in a dorsal wear-pad. Exemplary fall-protection safety harnesses may have a dorsal comfort pad or back pad proximate to the D-pad 540. A dorsal comfort pad may provide comfort to a wearer of a fall-protection safety harness 205. Exemplary back pads are described, for example, with reference to at least Figures 8-10 in [PATCIT US61712243B] titled "Fall Protection Harness Assembly," filed on October 10, 2012, the entire disclosure of which is herein incorporated by reference. Figures 7A-7C depict a perspective view, a side elevation view and a plan view of an exemplary dorsal wear-pad, respectively. In the Figures 7A-7C embodiment, an exemplary dorsal wear-pad 700 is depicted. In this embodiment, the dorsal wear-pad 700 has two wear-bumps 705, 710. The two wear-bumps 705, 710 attach to a base plate 715. The base plate has a D-ring aperture 720 and a plurality of cooling apertures 725. The cooling apertures may provide airflow to the wearer of a fall-protection safety harness, for example. As in the Figures 6A-6C example, this embodiment has clips 730 for attachment to a D-pad 540. When worn, the Figure 7C perspective depicts the orientation of the D-pad 540 as would be viewed from the back-side of a wearer. The base, 715 has a lower region 740 and an upper region 745. The lower region 740 extends below the D-ring aperture 720 when worn. The lower region 740 may act as a shield to protect the user from devices attached to and hanging from a D-ring 510. A snap-hook may be attached to the D-ring 510. The snap-hook may swing as the wearer of the fall-protection safety harness 205 moves. This movement may cause the snap-hook to repeated strike the wearer. In some embodiments, a snap-hook may have a gate-lever release knob which may dig into a wearer's back if no shield is present. Such repeated strikes can be unpleasant and/or painful. The wear-bumps 705, 710 have a vertical asymmetry as well. The projecting elevation of the wear-bumps 705, 710 relative to the base 715 are greatest at a vertical location below the D-ring aperture 720 when worn. The vertical locations at which the wear-bumps' projecting elevations are at their maximum may coincide with the vertical location of the distal end of a D-ring 510 in a downward-canted position. The wear-bumps' projecting elevations relative to the base may be greater than the projecting elevation of the distal end of a D-ring 510 in a downward-canted position relative to the base 715. The wear-bumps 705, 710 may then contact a wall surface before the D-ring 510 would contact that same surface. Figure 7B depicts an upwardly canted D-ring 750. The figure also depicts a downwardly canted D-ring 755. The D-ring may rotate from the upwardly canted position 750 to the downwardly canted position 755. The wear-bump 705 has an elevation 765 with respect to an outwardly facing surface 775 of a base plate. The figure also depicts an inwardly facing surface 770. When the D-ring is in the downwardly canted position 755, the D-ring has an elevation 760 relative to the outwardly facition surface 770 of the base plate. In this exemplary embodiment, the elevation 760 of the downwardly canted D-ring 755 is less than the elevation 765 of the wear-bump 705. The wear- bump 705 may make contact with a wall structure thereby preventing the downwardly canted D-ring 755 from making contact. The wear-bump elevation 765 may thereby protect the wall structure from damage. When the wear-bump 705 contacts a wall structure, the wear-bump 705 may deform which may provide a cushioning of the contact. A wearer of a dorsal wear-pad 700 may find more comfort in incidents involving contact with wall structures. Figures 8A-8C depict a perspective view, a side elevation view and a plan view of an exemplary dorsal wear-pad, respectively. In the Figures 8A-8C embodiment, a dorsal wear-pad 800 includes a non-planar substrate 805. The non-planar substrate 805 may conform to a human body. In some embodiments, the non-planar substrate may have a curved presentation to the wearer's back so that the wearer is not exposed to a point or edge. The exemplary dorsal wear-pad shown has two wear-bumps 810, 815 projecting from the non-planar base 805. In this embodiment, the wear-bumps 810, 815 each have a tab 820, 825 respectively. The tabs 820, 825 may distribute a force at the tabs over a greater surface area than if the tabs 820, 825 were absent. In this embodiment, the wear-bumps 810, 815 are located substantially below a D-ring aperture 830 when worn. In Figure 8, the non-planar substrate 805 is shown to have an outwardly facing surface 835 when worn and an inwardly facing surface 840 when worn. The non-planar substrate 805 has a thickness 845, which may be substantially equal to a wall thickness of the wear-bumps 805, 810 in some embodiments. Although various embodiments have been described with reference to the figures, other embodiments are possible. For example, various materials may be used to manufacture a lumbar wear-pad and/or a dorsal wear-pad. In some embodiments a high-density polyethylene may be used. Some embodiments may use another plastic. For example, ABS plastics may be used to make a dorsal wear-pad, for example. In some embodiments, the projections may be hollow. In some embodiments the projections may be solid. In some embodiments the projections may be filled. For example a foam material may be used to fill interior hollows of the projections. In various embodiments, different materials may be used for different members. For example, relatively rigid materials may be used for the attachment members of the dorsal wear-pad and/or the lumbar wear-pad. In some embodiments, more flexible materials may be used for the base and or wear-bumps. For example, the substrate of an exemplary lumbar wear-pad may be fairly flexible. In another example, the substrate of an exemplary dorsal wear-pad may be more rigid, so as to connect firmly with a D-pad. In some embodiments, one or more wheels may attach to the wear-bumps. Such wheels may very low-friction slidability with a wall. A caster wheel may be used which may provide omni-directional slidability, for example. Exemplary dorsal wear-pads may employ wheels in the wear-pad for similar purposes. Various lumbar wear-pad embodiments may have different numbers of wear-bumps. For example, some embodiments may have an odd number of wear-bumps, with the center wear-bump proximate the wearer's spine when worn. Such an arrangement of wear-bumps may protect the spine from injury during a contact event with a wall. In some embodiments, a lumbar wear-pad may have an even number of wear-bumps with innermost wear-bumps straddling a wearer's spine. Such an arrangement may provide more comfort to some wearer's when the wearer makes contact with a wall. In various embodiments, the wear-pads may have various geometries. In some embodiments, the wear-pads may have substantially different vertical and horizontal dimensions. In some geometries, the vertical dimensions may be greater than the horizontal dimensions. In some embodiments the horizontal dimensions may be greater than the vertical dimensions. In an exemplary embodiment, a lumbar wear-pad may have three projections whose vertical dimensions are greater than their horizontal dimensions, respectively, while a fourth projection has a horizontal dimension that is greater than its vertical dimension. Still other projections may have substantially equal vertical and horizontal dimensions. In one embodiment, a lumbar wear-pad may have a projection located proximate a wearer's tail bone. This projection may have a projecting height relative to a base plate that is less than the projecting height of other projections on the lumbar wear-pad, for example. An exemplary embodiment may have an elongated central projection on a lumbar wear-pad. This elongated central projection may extend below the other projections when worn. This elongated projection may extend to cover the tail-bone, for example. Various embodiments may have a curvature of the base plate. Such curved base plates may provide for anatomical conformation of a wearer. For example, an exemplary dorsal wear-pad may have a curved base-plate which substantially conforms to a wearer's upper back. In some embodiments, a curved base-plate may substantially conform to the geometry of a fall-protection safety harness. An exemplary fall-protection safety harness may have different thicknesses of padding at different harness locations. An exemplary dorsal wear-pad and/or lumbar wear-pad may complementarily conform to the padding thickness variations, for example. Various embodiments may have various connection mechanisms for connecting to a fall-protection safety harness or to a webbing. For example various D-pads may present different geometries for connection. Various dorsal wear-pads may have connectors which may be aligned to connect to these various D-pad geometries. Similarly, various lumbar wear-pads may have various connectors which may be aligned to connect to various harness types and/or harness models. A variety of safety interface devices may be employed to connect a webbing of a fall-protection safety harness to other devices. A D-ring may be used in some embodiments. Exemplary dorsal wear-pads may have an aperture sized for a safety interface device to project therethrough. In some embodiments, the safety-interface-device aperture of a dorsal wear-pad may be configured to permit the safety interface device to rotate from an upward-canted position to a downward-canted position. Various exemplary safety interface devices are described, for example, with reference to at least Figures 2A-5B in [PATCIT US12659885B] titled "D-Ring with Rescue Attachment and Lanyard Attachments Integrated," filed on September 5, 2012, the entire disclosure of which is herein incorporated by reference. A variety of safety lanyard connectors may be employed to connect a lanyard to a safety interface device. In some embodiments a snap-hook may connect to a safety interface device, for example. In some embodiments a carabiner may connect a lanyard to safety interface device. A rescue hook may be used in conjunction with a safety interface device, for example. When a wearer of a lumbar wear-pad engages a vertical wall, the lumbar wear-pad may flexibly conform to the wearer's body. In some embodiments, the lumbar wear-pad may flex, so as to permit the engagement of two or more of the wear-bumps. The engagement of multiple wear-bumps may distribute the load over multiple wear-bumps. An individual wear-bump that is engaged with a wall may itself flexibly deform to distribute the load across the bump. In various embodiments, a dorsal wear-pad may likewise flexibly conform to the wearer's body. In some embodiments, the dorsal wear-pad may flex, so as to permit the engagement of two of the wear-bumps. The engagement of multiple wear-bumps may distribute the load over multiple wear-bumps. An individual wear-bump that is engaged with a wall may itself flexibly deform to distribute the load across the bump.
1. A fall-protection safety harness for promoting slidable engagement between a wearer and a wall, the fall-protection safety harness comprising: a webbing 505 configured to be worn by a wearer; a D-ring 510 having a webbing aperture 525 and a device aperture; a D-pad 540 having a plurality of D-pad apertures, wherein a first portion 515 of the webbing 505 routes through a first subset of the plurality of D-pad apertures and then through the webbing aperture 525 of the D-ring 510 and then through a second subset of the plurality of D-pad apertures, and wherein a second portion 520 of the webbing 505 routes through a third subset of the plurality of D-pad apertures and then through the webbing aperture 525 of the D-ring 510 and then through a fourth subset of the plurality of D-pad apertures, wherein the first portion 515 of the webbing 505 crosses over the second portion 520 of the webbing 505 at a criss-cross point 530 approximately where the first portion 515 and the second portion 520 both route through the webbing aperture 525 of the D-ring 510; and a unitary-body dorsal wear-pad 700 comprising high-density polyethylene releasably coupled the D-pad 540, the dorsal wear-pad 700 comprising: a base 715 having an inwardly-facing surface which inwardly faces a wearer when worn and an outwardly-facing surface which outwardly faces away from the wearer when worn, the base 715 comprising a D-ring aperture 720 extending through the base 715 from the outwardly-facing surface to the inwardly-facing surface, wherein the D-ring aperture 720 is sized to permit a D-ring 510 to project therethrough, and sized to permit the D-ring 510 to rotate from an upward-canted position to a downward-canted position therein, wherein the base 715 extends when worn below the D-ring aperture 720 and is configured to shield the wearer from a snap-hook which releasably attaches to the D-ring 510; and two raised wear-bumps 705, 710 configured to prevent the D-ring 510 from contacting the wall surface when the D-ring 510 is in the downward-canted position, wherein each of the two wear-bumps 705, 710 has a semi-ellipsoidal region projecting from the base 715, each of the two wear-bumps 705, 710 having a major dimension along a vertical major axis, a minor dimension along a horizontal minor axis and a projecting height relative to the outwardly-facing surface of the base, wherein the major dimension of each wear-bump is substantially greater than the minor dimension, wherein the two wear-bumps 705, 710 are aligned horizontally such that each of the two minor axes share a common centerline, wherein the two wear-bumps have substantially equal major dimensions, substantially equal minor dimensions and substantially equal projecting heights, respectively, wherein the projecting height of each of the two wear-bumps is greater than a projecting height of a distal end of a D-ring 510 relative to the outwardly-facing surface when the D-ring is projecting through the D-ring aperture 720 and rotated to the downward-canted position.
2. The unitary-body dorsal wear-pad of claim 1, further comprising a dorsal comfort pad proximate to the inside surface of the dorsal wear-pad 700. 3. The unitary-body dorsal wear-pad of claim 1, further comprising D-pad attachment clips 730 projecting from the inwardly-facing surface of the base and configured to releasably couple to a D-pad which is connected to a webbing of the fall-protection safety harness. 4. The unitary-body dorsal wear-pad of claim 1, wherein the base 715 further comprises a plurality of cooling apertures 725 extending through the base 715 from the outwardly-facing surface to the inwardly-facing surface. 5. The unitary-body dorsal wear-pad of claim 1, wherein each of the wear-bumps 705, 710 further comprises a wheel.
2835152
Dorsal wear-pad
2
Based on the following detailed description of an invention, generate the patent claims. There should be 10 claims in total. The first, independent claim is given and the remaining 9 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
To aid understanding, this document is organized as follows. First, an exemplary field application of exemplary safety harness wear-pads is briefly introduced with reference to Figure 1. Second, with reference to Figures 2-4C, the discussion turns to exemplary embodiments of lumbar wear-pads which illustrate different physical configurations. Specifically, various advantages and benefits of the various configurations will be discussed. Then, with reference to Figures 5-8C, discussion turns to exemplary embodiments of dorsal wear-pads to illustrate different physical configurations. Again, various advantages and benefits of the various configurations will be discussed as components of a fall-protection system. Figure 1 depicts an exemplary field application of a lumbar wear-pad and a dorsal wear-pad in a wind-turbine tower. This figure depicts and exemplary wind-turbine tower scenario 100. In this exemplary scenario 100, a worker 105 is climbing a ladder 110 which is attached the interior wall 115 of the wind-turbine tower. The worker 105, while climbing the ladder 110 is in close proximity to the wall 115. The worker 105 is wearing an exemplary fall-protection safety harness 120 so as to provide a measure of protection in the event of an accidental fall. While the worker 105 ascends, a worker's back 135 may rub against the wall 115 of the wind-turbine tower. If the worker's back 135 rubs against the wall 115, the worker 105 may experience discomfort. The wall 115 is depicted with welded seams 140 which may be painful if the worker 105 is pressed against the wall 115 while ascending or descending quickly. The wall 115 may also have other projecting objects such as bolt heads which may injure a worker, for example. The wall 115 may be painted, and the paint may be scratched by a D-ring (not visible in this figure) connected to the fall-protection safety harness 120 as the worker 105 ascends and descends while pressed against the wall 115. When the paint is scratched, the underlying metal may be exposed to water and oxygen which may cause the structural integrity of the tower to be compromised. But in this figure, the fall-protection safety harness 120 is depicted including an exemplary lumbar wear-pad 122 and an exemplary dorsal wear-pad 124. As the worker 105 ascends, the lumbar wear-pad 122 and/or the dorsal wear-pad 124 may slidably engage the wall 115 of the wind-turbine tower. The worker 105 is shown holding a locking rebar hook 125, 130 in each hand. The worker 105 is shown attaching a locking rebar hook 125 to the ladder as he ascends. As the worker 105 ascends the ladder 110, the worker 105 first may attach one of the locking rebar hooks 125 to the ladder 110. Then, as the worker 105 ascends a bit further, the worker 105 may attach the other locking rebar hook 130 to the ladder 120. The worker 105 may then detach the first locking rebar hook 125 so that the worker 105 may continue ascending the ladder 110. These locking rebar hooks 125, 130 are each connected to a lanyard 137, which in turn connects to the D-ring of the fall-protection safety harness 120. Other problems may arise from ascending or descending the ladder 110 while being pressed against the wall 115. For example, the fall-protection safety harness 120 may be chafed as the worker 105 slides against the wall 115. This chafing may abrade a webbing of the safety harness 120. If the webbing of the safety harness becomes abraded, the integrity of the safety harness 120 may be compromised. The worker 105 may also have to perform a difficult task which may require the worker 105 to operate in a contorted position. The worker may have to rock against the wall to change position as he works, for example. Rocking while pressed against a hard surface such as the wall 115 may be uncomfortable for the worker 105. The depicted exemplary lumbar wear-pad 122 may have curved projections which may facilitate such rocking activities. Figure 2 depicts an exemplary fall-protection safety harness being worn by a wearer, the safety harness including an exemplary lumbar wear-pad. In this figure, a wearer 200 is wearing an exemplary fall-protection safety harness 205. The safety harness 205 includes an exemplary lumbar wear-pad 210. The lumbar wear-pad 210 may have a low-friction surface which may facilitate sliding against a hard wall. The lumbar wear-pad 210 may be made of a high-wear-resistance material which may permit a long usable lifetime. The lumbar wear-pad 210 shown to be attached to the fall-protection safety harness 205 by a belt 215. The lumbar wear-pad 210 is depicted as having three semi-ellipsoidal projections 220. The semi-ellipsoidal projections 220 are depicted as being located proximate to the hip bones and the lumbar vertebrae of the wearer 200. The lumbar wear-pad 210 may improve comfort by being located proximate these pressure points. Each semi-ellipsoidal projection 220 has a belt aperture 225 through which the belt 215 retains the lumbar wear-pad 210. The lumbar wear-pad 210 is shown located upon an exemplary lumbar comfort pad 230. The lumbar comfort pad 230 may be made of a soft material. The lumbar comfort 230 pad may be made of a breathable material to facilitate cooling for the wearer. Exemplary lumbar comfort pads are described, for example, with reference to at least Figures 2-4 in [PATCIT US61694759B] titled "Fall Protection Harness Assembly," filed on August 29, 2012, the entire disclosure of which is herein incorporated by reference. Figures 3A-3C depict a perspective view, a plan view and a side elevation view of an exemplary lumbar wear-pad, respectively. In the Figures 3A-3C embodiment, an exemplary lumbar wear-pad 300 includes three semi-ellipsoidal projections 305, 310, 315. Semi-ellipsoidal projections may have curvature along both a vertical major axis 320 and along a horizontal minor axis 325. The middle projection 310 is depicted as being smaller than both of the outside projections 305, 310. The middle projection 310 is depicted as having a smaller major dimension 330 and a smaller minor dimension 335 than either of the two outside projections' major and minor dimensions respectively. In Figures 3A-3B, various exemplary cooling apertures 340 are depicted. These cooling apertures may operate in conjunction with the breathable lumbar comfort pad 230 to facilitate breathability and/or comfort for the wearer. In this embodiment, webbing harness attachment clips 345 are depicted. These clips may be used to attach the lumbar wear-pad 300 to a webbing which may be distinct from the belt 215 depicted in Figure 2. Other methods of attachment may be used in other embodiments. For example, the lumbar wear-pad may be riveted to a fall-protection safety harness. Three belt apertures 350 are depicted, one through each of the three projections 305, 310, 315. Each of the belt apertures 350 are aligned on a common axis 325 so as to permit a belt to be received by all three of the belt apertures 350 with requiring the belt to twist or bend. Figure 3C depicts a side elevation view of the exemplary lumbar wear-pad 300. The lumbar wear-pad 300 is depicted as having an inwardly-facing surface 360 which inwardly faces the user when worn. The lumbar wear-pad 300 also has an outwardly-facing surface 365 which outwardly faces away from the user when worn. A thickness 367 is defined as the distance between the inwardly-facing surface 360 and the outwardly-facing surface 365. The projections 305, 310, 315 each have an elevation 370, 375 with respect to the outwardly-facing surface 365. The middle projection 310 has an elevation 370 which is smaller than the elevation 375 of the outer projections 305, 315. The depicted lumbar wear-pad 300 also has a substantially-planar base 380. The lumbar wear-pad 300 may be flexible so as to permit anatomical conformation with a wearer's body. An exemplary curvature 385 of the substantially-planar base 380 is depicted. Figures 4A-4C depict a perspective view, a plan view and a side elevation view of an exemplary lumbar wear-pad, respectively. In the Figures 4A-4C embodiment, a lumbar wear-pad 400 has four exemplary wear-bumps 405, 410, 415, 420. The two outside wear-bumps 405, 420 are larger than the two inside wear-bumps 410, 415. Similar to the Figures 3A-3C embodiment, each wear-bump 405, 410, 415, 420 has a major dimension 425 along a vertical major axis 430 and a minor dimension 435 along a horizontal minor axis 440. The major dimension of each wear-bump is significantly greater than its corresponding minor dimension. In this embodiment the minor axes 440 of each wear-bump are aligned on a centerline. The centerline 440, in this embodiment, also bisects each of the belt apertures 450. Figure 4C depicts a side elevation perspective of the exemplary lumbar wear-pad 400. Again, in this embodiment, the lumbar wear-pad has an inwardly-facing surface 460 of a substantially-planar base 480; the inwardly-facing surface inwardly faces the user when worn. And again, the lumbar wear-pad 400 also has an outwardly-facing surface 465 which outwardly faces away from the user when worn. And again, in this embodiment, the substantially-planar base 480 has a thickness 485. The projections 405, 410, 415, 420 each have an elevation 470, 475 with respect to the outwardly-facing surface 465. The middle projections 410, 415 have an elevation 470 which is smaller than the elevation 475 of the outer projections 405, 420. Figure 5 depicts an exemplary fall-protection safety harness including an exemplary dorsal wear-pad. In the Figure 5 embodiment, a fall-protection safety harness 500 includes a webbing 505 attached to a D-ring 510. D-ring 510 has two lengths 515, 520 of webbing 505 captured in its webbing aperture 525. The two lengths 515, 520 criss-cross at a location 530 approximately coincident with the webbing aperture 525 of the D-ring 510. A dorsal wear-pad 535 is connected to a D-pad 540 which provides webbing apertures through which the webbing may be routed. Exemplary D-pads are described, for example, with reference to at least Figures 4A-4B in [PATCIT US13892127B] titled "A Self-Retracting Lifeline Connecting System," filed on May 10, 2013, the entire disclosure of which is herein incorporated by reference. The dorsal wear-pad 535 has a D-ring aperture 542 through which the D-ring 510 projects. In this embodiment, the dorsal wear-pad has two wear-bumps 545, 550 projecting from a substantially-planar substrate 555. The D-ring 510 is depicted in an upward-canted position. The D-ring 510 may rotate to a downward-canted position when laden with a load, such as for example, when a snap-hook is attached. The dorsal pad 535 may be configured to permit the D-ring 510 to pivot freely between the upward-canted and the downward-canted positions. A snap-hook, for example, may fasten one or more lanyards to the D-ring 510. In some embodiments, a carabiner may connect to the D-ring 510. The D-ring 510 may also be used by a rescue hook, for example. The weight of a snap-hook and the attached lanyards may provide the force necessary to rotate the D-ring 510 to a downward-canted position. When in the downward-canted position, the D-ring 510 may have a projecting elevation relative to the substantially-planar substrate 555. Figures 6A-6C depict a perspective view, a side elevation view and a plan view of an exemplary dorsal wear-pad, respectively. In the Figures 6A-6C embodiment, a dorsal wear-pad 600 is depicted. The dorsal wear-pad 600 shown has a base 605 and two projections 610, 615. Each depicted projection 610, 615 has a semi-ellipsoidal structure, in which an outwardly-facing surface of each projection has both a horizontal curvature and a vertical curvature. Projecting elevations of the projections 610, 615 relative to the base 605 may be larger than the projecting elevation of a D-ring 510 relative to the base 605. The dorsal wear-pad 600 may have attachment clips 620 which may facilitate attachment to a D-pad 540. In various embodiments, other means of attaching a dorsal wear-pad 600 may be used. For example, a dorsal wear-pad 600 may be riveted to a fall-protection safety harness 205. In some embodiments, a dorsal wear-pad 600 may be integrated into a D-pad 540, for example. In some embodiments, the dorsal wear-pad 600 may attach to a fall-protection safety harness 205 via webbing apertures in a dorsal wear-pad. Exemplary fall-protection safety harnesses may have a dorsal comfort pad or back pad proximate to the D-pad 540. A dorsal comfort pad may provide comfort to a wearer of a fall-protection safety harness 205. Exemplary back pads are described, for example, with reference to at least Figures 8-10 in [PATCIT US61712243B] titled "Fall Protection Harness Assembly," filed on October 10, 2012, the entire disclosure of which is herein incorporated by reference. Figures 7A-7C depict a perspective view, a side elevation view and a plan view of an exemplary dorsal wear-pad, respectively. In the Figures 7A-7C embodiment, an exemplary dorsal wear-pad 700 is depicted. In this embodiment, the dorsal wear-pad 700 has two wear-bumps 705, 710. The two wear-bumps 705, 710 attach to a base plate 715. The base plate has a D-ring aperture 720 and a plurality of cooling apertures 725. The cooling apertures may provide airflow to the wearer of a fall-protection safety harness, for example. As in the Figures 6A-6C example, this embodiment has clips 730 for attachment to a D-pad 540. When worn, the Figure 7C perspective depicts the orientation of the D-pad 540 as would be viewed from the back-side of a wearer. The base, 715 has a lower region 740 and an upper region 745. The lower region 740 extends below the D-ring aperture 720 when worn. The lower region 740 may act as a shield to protect the user from devices attached to and hanging from a D-ring 510. A snap-hook may be attached to the D-ring 510. The snap-hook may swing as the wearer of the fall-protection safety harness 205 moves. This movement may cause the snap-hook to repeated strike the wearer. In some embodiments, a snap-hook may have a gate-lever release knob which may dig into a wearer's back if no shield is present. Such repeated strikes can be unpleasant and/or painful. The wear-bumps 705, 710 have a vertical asymmetry as well. The projecting elevation of the wear-bumps 705, 710 relative to the base 715 are greatest at a vertical location below the D-ring aperture 720 when worn. The vertical locations at which the wear-bumps' projecting elevations are at their maximum may coincide with the vertical location of the distal end of a D-ring 510 in a downward-canted position. The wear-bumps' projecting elevations relative to the base may be greater than the projecting elevation of the distal end of a D-ring 510 in a downward-canted position relative to the base 715. The wear-bumps 705, 710 may then contact a wall surface before the D-ring 510 would contact that same surface. Figure 7B depicts an upwardly canted D-ring 750. The figure also depicts a downwardly canted D-ring 755. The D-ring may rotate from the upwardly canted position 750 to the downwardly canted position 755. The wear-bump 705 has an elevation 765 with respect to an outwardly facing surface 775 of a base plate. The figure also depicts an inwardly facing surface 770. When the D-ring is in the downwardly canted position 755, the D-ring has an elevation 760 relative to the outwardly facition surface 770 of the base plate. In this exemplary embodiment, the elevation 760 of the downwardly canted D-ring 755 is less than the elevation 765 of the wear-bump 705. The wear- bump 705 may make contact with a wall structure thereby preventing the downwardly canted D-ring 755 from making contact. The wear-bump elevation 765 may thereby protect the wall structure from damage. When the wear-bump 705 contacts a wall structure, the wear-bump 705 may deform which may provide a cushioning of the contact. A wearer of a dorsal wear-pad 700 may find more comfort in incidents involving contact with wall structures. Figures 8A-8C depict a perspective view, a side elevation view and a plan view of an exemplary dorsal wear-pad, respectively. In the Figures 8A-8C embodiment, a dorsal wear-pad 800 includes a non-planar substrate 805. The non-planar substrate 805 may conform to a human body. In some embodiments, the non-planar substrate may have a curved presentation to the wearer's back so that the wearer is not exposed to a point or edge. The exemplary dorsal wear-pad shown has two wear-bumps 810, 815 projecting from the non-planar base 805. In this embodiment, the wear-bumps 810, 815 each have a tab 820, 825 respectively. The tabs 820, 825 may distribute a force at the tabs over a greater surface area than if the tabs 820, 825 were absent. In this embodiment, the wear-bumps 810, 815 are located substantially below a D-ring aperture 830 when worn. In Figure 8, the non-planar substrate 805 is shown to have an outwardly facing surface 835 when worn and an inwardly facing surface 840 when worn. The non-planar substrate 805 has a thickness 845, which may be substantially equal to a wall thickness of the wear-bumps 805, 810 in some embodiments. Although various embodiments have been described with reference to the figures, other embodiments are possible. For example, various materials may be used to manufacture a lumbar wear-pad and/or a dorsal wear-pad. In some embodiments a high-density polyethylene may be used. Some embodiments may use another plastic. For example, ABS plastics may be used to make a dorsal wear-pad, for example. In some embodiments, the projections may be hollow. In some embodiments the projections may be solid. In some embodiments the projections may be filled. For example a foam material may be used to fill interior hollows of the projections. In various embodiments, different materials may be used for different members. For example, relatively rigid materials may be used for the attachment members of the dorsal wear-pad and/or the lumbar wear-pad. In some embodiments, more flexible materials may be used for the base and or wear-bumps. For example, the substrate of an exemplary lumbar wear-pad may be fairly flexible. In another example, the substrate of an exemplary dorsal wear-pad may be more rigid, so as to connect firmly with a D-pad. In some embodiments, one or more wheels may attach to the wear-bumps. Such wheels may very low-friction slidability with a wall. A caster wheel may be used which may provide omni-directional slidability, for example. Exemplary dorsal wear-pads may employ wheels in the wear-pad for similar purposes. Various lumbar wear-pad embodiments may have different numbers of wear-bumps. For example, some embodiments may have an odd number of wear-bumps, with the center wear-bump proximate the wearer's spine when worn. Such an arrangement of wear-bumps may protect the spine from injury during a contact event with a wall. In some embodiments, a lumbar wear-pad may have an even number of wear-bumps with innermost wear-bumps straddling a wearer's spine. Such an arrangement may provide more comfort to some wearer's when the wearer makes contact with a wall. In various embodiments, the wear-pads may have various geometries. In some embodiments, the wear-pads may have substantially different vertical and horizontal dimensions. In some geometries, the vertical dimensions may be greater than the horizontal dimensions. In some embodiments the horizontal dimensions may be greater than the vertical dimensions. In an exemplary embodiment, a lumbar wear-pad may have three projections whose vertical dimensions are greater than their horizontal dimensions, respectively, while a fourth projection has a horizontal dimension that is greater than its vertical dimension. Still other projections may have substantially equal vertical and horizontal dimensions. In one embodiment, a lumbar wear-pad may have a projection located proximate a wearer's tail bone. This projection may have a projecting height relative to a base plate that is less than the projecting height of other projections on the lumbar wear-pad, for example. An exemplary embodiment may have an elongated central projection on a lumbar wear-pad. This elongated central projection may extend below the other projections when worn. This elongated projection may extend to cover the tail-bone, for example. Various embodiments may have a curvature of the base plate. Such curved base plates may provide for anatomical conformation of a wearer. For example, an exemplary dorsal wear-pad may have a curved base-plate which substantially conforms to a wearer's upper back. In some embodiments, a curved base-plate may substantially conform to the geometry of a fall-protection safety harness. An exemplary fall-protection safety harness may have different thicknesses of padding at different harness locations. An exemplary dorsal wear-pad and/or lumbar wear-pad may complementarily conform to the padding thickness variations, for example. Various embodiments may have various connection mechanisms for connecting to a fall-protection safety harness or to a webbing. For example various D-pads may present different geometries for connection. Various dorsal wear-pads may have connectors which may be aligned to connect to these various D-pad geometries. Similarly, various lumbar wear-pads may have various connectors which may be aligned to connect to various harness types and/or harness models. A variety of safety interface devices may be employed to connect a webbing of a fall-protection safety harness to other devices. A D-ring may be used in some embodiments. Exemplary dorsal wear-pads may have an aperture sized for a safety interface device to project therethrough. In some embodiments, the safety-interface-device aperture of a dorsal wear-pad may be configured to permit the safety interface device to rotate from an upward-canted position to a downward-canted position. Various exemplary safety interface devices are described, for example, with reference to at least Figures 2A-5B in [PATCIT US12659885B] titled "D-Ring with Rescue Attachment and Lanyard Attachments Integrated," filed on September 5, 2012, the entire disclosure of which is herein incorporated by reference. A variety of safety lanyard connectors may be employed to connect a lanyard to a safety interface device. In some embodiments a snap-hook may connect to a safety interface device, for example. In some embodiments a carabiner may connect a lanyard to safety interface device. A rescue hook may be used in conjunction with a safety interface device, for example. When a wearer of a lumbar wear-pad engages a vertical wall, the lumbar wear-pad may flexibly conform to the wearer's body. In some embodiments, the lumbar wear-pad may flex, so as to permit the engagement of two or more of the wear-bumps. The engagement of multiple wear-bumps may distribute the load over multiple wear-bumps. An individual wear-bump that is engaged with a wall may itself flexibly deform to distribute the load across the bump. In various embodiments, a dorsal wear-pad may likewise flexibly conform to the wearer's body. In some embodiments, the dorsal wear-pad may flex, so as to permit the engagement of two of the wear-bumps. The engagement of multiple wear-bumps may distribute the load over multiple wear-bumps. An individual wear-bump that is engaged with a wall may itself flexibly deform to distribute the load across the bump.
6. a unitary-body dorsal wear-pad 700 for promoting slidable engagement of a wearer of a fall-protection safety harness and a wall surface, the dorsal wear-pad comprising: a base 715 having an inwardly-facing surface which inwardly faces a wearer when worn and an outwardly-facing surface which outwardly faces away from the wearer when worn, the base 715 comprising a safety-interface-device aperture 720 extending through the base 715 from the outwardly-facing surface to the inwardly-facing surface, wherein the safety-interface-device aperture 720 is sized to permit a safety interface device 510 to project therethrough, and sized to permit the safety interface 510 device to rotate from an upward-canted position to a downward-canted position therein, wherein the base 715 extends below the safety-interface-device aperture 720 when worn and is configured to shield the wearer from a lanyard connector which releasably attaches to the safety interface device 510; and two raised wear-bumps 705, 710 configured to prevent the safety interface device 510 from contacting the wall surface when the safety interface device 510 is in the downward-canted position, wherein the projecting height of each of the two wear-bumps 705, 710 is greater than a projecting safety-interface-device height of a distal end of a safety interface device 510 relative to the outwardly-facing surface when the safety interface device 510 is projecting through the safety-interface-device aperture 720 and rotated to the downward-canted position.
7. The unitary-body dorsal wear-pad of claim 6, further comprising D-pad attachment clips 720 projecting from the inwardly-facing surface of the base 715 and configured to releasably couple to a D-pad 540, which is connected to a webbing 505 of the fall-protection safety harness 205. 8. The unitary-body dorsal wear-pad of claim 6, wherein the base 715 further comprises a plurality of cooling apertures 725 extending through the base 715 from the outwardly-facing surface to the inwardly-facing surface. 9. The unitary-body dorsal wear-pad of claim 6, wherein each of the wear-bumps 705, 710 further comprises a wheel. 10. The unitary-body dorsal wear-pad of claim 6, wherein each of the two dorsal wear-pads 705, 710 has a curved outwardly-facing surface at a contact region which comprises a region wherein the projecting height is greater than a projecting height of a non-contact region. 11. The unitary-body dorsal wear-pad of claim 6, wherein the dorsal wear-pad 700 comprises high-density polyethylene. 12. The unitary-body dorsal wear-pad of claim 6, wherein the base 715 is substantially planar. 13. The unitary-body dorsal wear-pad of claim 6, wherein the base 715 is curved to conform to a wearer's back. 14. The unitary-body dorsal wear-pad of claim 6, wherein the base 715 further comprises a plurality of webbing apertures configured to receive a webbing 505 of a fall-protection safety harness 205. 15. The unitary-body dorsal wear-pad of claim 6, wherein when the dorsal wear-pad 700 is slidably engaged with a wall surface, the dorsal wear-pad 700 flexes such that each of the wear-bumps 705, 710 engages the wall surface.
2835704
Actuator assembly
1
Based on the following detailed description of an invention, generate the patent claims. There should be 13 claims in total. The first, independent claim is given and the remaining 12 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In the following the invention will be described in greater detail by means of preferred embodiments with reference to the attached Figure 1 which shows an actuator assembly according to an embodiment of the invention. The actuator assembly of Figure 1 comprises power means 2, an actuator 4, motion sensor means 6, timing means 8, safety means 10 and activation means 12. The actuator 4 is powered by the power means 2. The power means 2 is adapted to provide a work motion of the actuator 4. The motion sensor means 6 is adapted for monitoring motion of the actuator 4, the motion sensor means 6 comprising motion sensor units 61 and 62. The motion sensor means 6 is adapted to provide motion sensor signals in response to motion of the actuator 4. The timing means 8 is adapted for receiving the motion sensor signals and measuring an immobility time of the actuator 4 based on the motion sensor signals, the immobility time of the actuator representing time lapsed after previous motion of the actuator 4. The safety means 10 is adapted for selectively controlling the actuator assembly into an operational state in which the work motion of the actuator 4 is allowed and a safety state in which the work motion of the actuator 4 is prevented. The safety means 10 is also adapted to monitor the immobility time of the actuator and to prevent the operational state of the actuator assembly in case the immobility time of the actuator exceeds a predetermined process safety time. In an embodiment the timing means measure the immobility time of the actuator by measuring a length of a period during which signals from the motion sensor means are unchanged. The activation means 12 is adapted to monitor an immobility time of the actuator during an operational state of the actuator assembly, and to control the power means 2 to provide an activation motion of the actuator 4 in case the immobility time exceeds a predetermined activation time. Depending on the embodiment, the activation means may be adapted to monitor an immobility time of the actuator only during an operational state of the actuator assembly or always when the activation means is on. An activation time is shorter than or equal to a process safety time. An activation motion is a small motion while being big enough for being detected by the motion sensor means 6. An activation motion is smaller than a work motion. Purpose of an activation motion is to verify that motion sensor means 6 is functional. Magnitude of an activation motion is chosen such that the activation motion is safe to perform and does not interfere with a process in which the actuator assembly is used. In an embodiment magnitude of an activation motion is chosen to be the smallest motion detectable by the motion sensor means. Herein the process safety time is the shortest period of time it can take for the monitored process to transition from the normal state to a state capable of causing a hazard. The normal state is a state in which the risk the process causes is sufficiently low for its intended application. This means that the process safety time is the amount of time that is available to transfer the actuator assembly into a safety state in case of a failure. The actuator assembly cannot change from safe to dangerous within the process safety time. The process safety time may vary from milliseconds to several minutes depending on an embodiment. The safety means 10 is adapted to provide the activation motion of the actuator 4 by controlling the activation means 12. The activation motion of the actuator 4 is a reciprocating motion that starts and ends at a same position. Therefore the actuator 4 remains in a same position even after a plurality of activation motions. In alternative embodiments in which there are no limitations for movements of actuator the activation motion may be unidirectional and may be carried out in a same direction every time. In Figure 1 the power means 2, the timing means 8, the safety means 10 and the activation means 12 are depicted as separate units. However, in alternative embodiments said several units may be combined into larger units. For example, the activation means may be incorporated in the power means or in the safety means, or part of the functions of the activation means may be incorporated in the power means while rest of the functions of the activation means are incorporated in the safety means. It is also possible to incorporate the timing means in the safety means, and/or to incorporate the timing means in the activation means. The safety means 10 is adapted to control the power means 2 to provide an activation motion of the actuator 4 prior to controlling the actuator assembly to an operational state, wherein the safety means 10 is adapted to control the actuator assembly to the operational state only if the motion sensor means 6 detects the activation motion. If the motion sensor means 6 does not detect the activation motion generated by the power means 2 the safety means 10 concludes that there is a malfunction in the motion sensor means 6 in which case it is not safe to transfer to the operational state. The safety means may be adapted to indirectly control the power means to provide an activation motion. The safety means may simply inform the power means about an intention to transfer into an operational state, wherein the power means performs an activation motion in response to the information relating to the intention. In an embodiment safety means is adapted to allow transferring into an operational state only after proper functioning of motion sensor means has been verified, regardless of an immobility time of the actuator. In said embodiment the safety means is adapted always to provide an activation motion of the actuator prior to controlling the actuator assembly to the operational state, wherein the actuator assembly is controlled to the operational state only if the motion sensor means detects the activation motion. In an alternative embodiment, in which the activation means is adapted always to monitor an immobility time of the actuator, safety means requires an activation motion before transition to an operational state only if an immobility time of the actuator exceeds a process safety time. In the embodiment of Figure 1 the power means 2 is off in the safety state. Since the power means 2 is unenergized, any motion of the actuator 4 is prevented in the safety state. The safety means 10 is adapted to provide an intermediate state for the actuator assembly in which only an activation motion of the actuator 4 is allowed. The intermediate state enables verifying proper functioning of motion sensor means 6 prior to transferring to an operational state. In an embodiment an actuator assembly may be started from the safety state by allowing an operational state of the actuator assembly for a limited time in order to verify proper functioning of motion sensor means by performing an activation motion. A continuous operational state is only allowed after a proper functioning of motion sensor means has been verified. Said limited time may only be shorter than or equal to the process safety time. In an alternative embodiment safety means may be adapted to allow an activation motion of the actuator in a safety state. This naturally requires that power means is energized in the safety state. If the actuator assembly is in the operational state when the immobility time of the actuator exceeds the predetermined process safety time the safety means 10 controls the actuator assembly into the safety state. In an alternative embodiment the safety means controls the actuator assembly into an intermediate state in which only an activation motion of the actuator is allowed. Motion sensor units 61 and 62 are independent from each other. Therefore the motion sensor means 6 is a redundant motion sensor means. In alternative embodiments motion sensor means may comprise only one motion sensor unit or more than two motion sensor units. Mean time to failure (MTTF) for each one of the motion sensor units 61 and 62 is 10 ^6 hours, i.e. million hours. Therefore probability that both motion sensor units break down during ten hours is approximately 10 ^-10. When MTTF is known for each motion sensor unit of motion sensor means, it is possible to calculate the upper limit of Safety Integrity Level (SIL) of the actuator assembly. Safety Integrity Level may be improved by increasing a number of independent motion sensor units and/or choosing motion sensor units with higher MTTF. Therefore it is possible to achieve a desired Safety Integrity Level by appropriate design of motion sensor means, provided that the other equipment and the development processes can be shown to be sufficient for the given SIL. The motion sensor units 61 and 62 comprise high threshold logic encoders, or HTL encoders. In alternative embodiments motion sensor means may comprise another type of digital encoders or analog encoders. The power means 2 comprises a frequency converter. In alternative embodiments power means may comprise a controllable direct-current supply or some other device capable of controllably power an actuator. The actuator 4 comprises a rotating electric machine, wherein the motion sensor means 6 is adapted to monitor rotation of a shaft 41 of the rotating electric machine. In an alternative embodiment an actuator may comprise a linear motor, wherein the motion sensor means is adapted to monitor linear motion of the motor.
1. An actuator assembly comprising: power means (2), an actuator (4) powered by the power means (2), the power means (2) being adapted to provide a work motion of the actuator (4), motion sensor means (6) for monitoring motion of the actuator (4), the motion sensor means (6) comprising at least one motion sensor unit (61, 62), the motion sensor means (6) being adapted to provide motion sensor signals in response to motion of the actuator (4), timing means (8) for receiving the motion sensor signals and measuring an immobility time of the actuator (4) based on the motion sensor signals, the immobility time of the actuator representing time lapsed after previous motion of the actuator (4), safety means (10) for selectively controlling the actuator assembly into an operational state in which the work motion of the actuator (4) is allowed and a safety state in which the work motion of the actuator (4) is prevented, the safety means (10) being adapted to monitor the immobility time of the actuator and to prevent the operational state of the actuator assembly in case the immobility time of the actuator exceeds a predetermined process safety time, characterized in that the actuator assembly further comprises activation means (12) adapted to monitor an immobility time of the actuator during an operational state of the actuator assembly, and to control the power means (2) to provide an activation motion of the actuator (4) in case the immobility time exceeds a predetermined activation time, the activation time being shorter than or equal to the process safety time, the activation motion being a small motion while being big enough for being detected by the motion sensor means (6).
2. An actuator assembly according to claim 1, characterized in that the safety means (10) is adapted to prevent the operational state of the actuator assembly such that if the actuator assembly is in the operational state when the immobility time of the actuator exceeds the predetermined process safety time the safety means (10) controls the actuator assembly into the safety state. 3. An actuator assembly according to claim 1 or 2, characterized in that the safety means (10) is further adapted to selectively control the actuator assembly into an intermediate state in which only an activation motion of the actuator (4) is allowed, the intermediate state enabling verifying proper functioning of motion sensor means (6) prior to transferring to an operational state. 4. An actuator assembly according to claim 1 or 2, characterized in that the safety means (10) is adapted to allow starting of the actuator assembly from an off state by allowing an operational state of the actuator assembly for a limited time in order to verify proper functioning of motion sensor means (6) through an activation motion, said limited time being shorter than or equal to the process safety time. 5. An actuator assembly according to any one of claims 1 to 3, characterized in that the safety means (10) is adapted to control the power means (2) to provide an activation motion of the actuator (4) prior to controlling the actuator assembly to an operational state, wherein the safety means (10) is adapted to control the actuator assembly to the operational state only if the motion sensor means (6) detects the activation motion. 6. An actuator assembly according to claim 5, characterized in that the safety means (10) is adapted to control the power means (2) to provide an activation motion of the actuator (4) prior to controlling the actuator assembly to the operational state only in case the immobility time of the actuator exceeds the predetermined process safety time. 7. An actuator assembly according to claim 5 or 6, characterized in that the safety means (10) is adapted to provide the activation motion of the actuator (4) by controlling the activation means (12). 8. An actuator assembly according to any one of the preceding claims, characterized in that the activation motion of the actuator (4) is a reciprocating motion. 9. An actuator assembly according to claim 8, characterized in that the activation motion of the actuator (4) is a reversible reciprocating motion that starts and ends at a same position. 10. An actuator assembly according to any one of the preceding claims, characterized in that the motion sensor means (6) is a redundant motion sensor means comprising a plurality of motion sensor units (61, 62) independent from each other. 11. An actuator assembly according to any one of the preceding claims, characterized in that the motion sensor means (6) comprises a digital encoder. 12. An actuator assembly according to claim 11, characterized in that the motion sensor means (6) comprises a high threshold logic encoder. 13. An actuator assembly according to any one of the preceding claims, characterized in that the power means (2) comprises a frequency converter.
2835218
Chemical mechanical polishing fastening fixture and fastening base
1
Based on the following detailed description of an invention, generate the patent claims. There should be 9 claims in total. The first, independent claim is given and the remaining 8 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Please refer to Figures 2A to 2B, a chemical mechanical polishing fastening fixture of the disclosure includes a fastening base 100, a fastening ring 200, at least one protruded block 110 and at least one first groove 210. The fastening base 100 includes an annular substrate which has a first combining face 101; that is to say, the fastening base has the first combining face 101, and the first combining face 101 is an annular face. The fastening base 100 can be made of stainless steel. The first combining face 101 has a plurality of protruded blocks 110 disposed thereon, and the protruded blocks 110 are annularly aligned and can also be made of stainless steel; but embodiments of the disclosure are not limited thereto, in some embodiments, the protruded blocks 110 are made of polyphenylene sulfide (PPS). The fastening ring 200 has a second combining face 201 and a plurality of first grooves 210 corresponding to the protruded blocks 110. The fastening ring 200 can be made of high level plastics. The fastening base 100 and the fastening ring 200 can be rotated counterclockwise respectively along the circumference thereof, so that the protruded blocks 110 are buckled with the first grooves 210, and the first combining face 101 is closely contacted with the second combining face 201. Then, adhesives are applied to fasten the fastening base 100 and the fastening ring 200 securely so as to form a structure with high stability and sustainability. The options of the adhesive are known by skilled in the arts so as to be omitted. Practically, the protruded blocks 110 and the fastening base 100 can be formed integrally as a whole, or can be made separately. Several embodiments are described below. Please refer to Figures 3A to 3G, which are partial enlarged views of the circle 6 shown in Figure 2B for showing several embodiments of the fastening base 100 of the disclosure respectively. Firstly, please refer to Figure 3A, which is an assembled view of the fastening base 100 of the embodiment shown in Figure 3G and presents one embodiment showing the annular substrate of the fastening base 100 and the protruded blocks 110 are manufactured separately. As shown in Figure 3A and Figure 3G, the protruded blocks 110 are secured on the annular substrate of the fastening base 100 via a plurality of screw bolts 120, and the annular substrate has a plurality of second grooves 102 to receive the protruded blocks 110 and prevent the protruded blocks 110 from shifting. Each protruded block 110 includes a first top face (namely, the top face of the protruded block 110), a first guiding face 111, a first inner lateral face 112, a first outer lateral face 113, a first buckling face 114 and a first through hole 115 (as shown in Figure 3G ). The first buckling face 114 is tilted from the root portion (namely, the intersection portion of the first buckling face 114 and the first combining face 101) thereof toward the outer side of the protruded block 110 along a plane radially extending from the annular substrate of the fastening base 100 (but embodiments of the disclosure are not limited thereto) and formed as one reversed clamp structure. The first inner lateral face 112 and the first outer lateral face 113 are arc faces extending from the circumference of the fastening base 100, and the curvature radii of the first inner lateral face 112 is smaller than the curvature radii of the first outer lateral face 113. The first top face is the top portion of a plane constituted of the protruded block 110. In this embodiment, preferably, a tilt angle of the first buckling face 114 is 30 degrees. Preferably, the first guiding face 111 is the plane radially extending along the fastening base 100 (but embodiments of the disclosure are not limited thereto) and cooperates with the first buckling face 114 to guide the first grooves 210 to securely buckled with the protruded blocks 110. In this embodiment, preferably the first guiding face 111 is vertically disposed on the first combining face 101, but embodiments of the disclosure are not limited thereto; the first guiding face 111 can tilt from the root portion (namely, the intersection portion of the first guiding face 111 and the first combining face 101) of the first guiding face 111 toward the inner side of the protruded block 110. In one embodiment, the first guiding face 111 is disposed opposite to the first buckling face 114 and is parallel to the first buckling face 114. And then, please refer to Figures 3B to 3G, which shows several embodiments of the disclosure. Figure 3B is a schematic view of one embodiment of the fastening base 100. In this embodiment, the protruded blocks 110 are manufactured separately and are fastened on the annular substrate of the fastening base 100 via adhesives. In this embodiment, the positions of the protruded blocks 110 are pre-determined on the fastening base 100, and then the adhesives are applied to fasten the protruded blocks 110 with the fastening base 100. Here, the structure of the protruded blocks 110 is the same as mentioned above so as to be omitted. Figure 3C is a schematic view of another embodiment of the fastening base 100. In this embodiment, the protruded blocks 110 are manufactured separately, and each protruded block 110 has a protruded rod 116. A plurality of recessed holes 104 is disposed on the annular substrate of the fastening base 100 in advance for corresponding to the protruded rods 116. Therefore, the protruded rods 116 can be inserted into the recessed holes 104 and fastened on the annular substrate of the fastening base 100 via the adhesives. In this embodiment, because of the protruded rod 116 and the recessed hole 104, each protruded block 110 can be positioned precisely, and can be fastened on the fastening base 100 via the adhesives. Here, the structure of the protruded blocks 110 is the same as mentioned above so as to be omitted. Figure 3D is a schematic view of a further embodiment of the fastening base 100. In this embodiment, the protruded blocks 110 are manufactured separately, and are threaded with the annular substrate of the fastening base 100 via the screw bolts 120. In this embodiment, the first through holes 115 are opened on the protruded blocks 110 in advance, and a plurality second through holes 103 is opened on the annular substrate of the fastening base 100 in advance. Each second through hole 103 is corresponding to and is opposite to each corresponding first through hole 115. Before the screw bolts 120 pass through the first through holes 115 and the second through holes 103 to thread with the annular substrate, the adhesives can be applied to enhance the structural strength between the protruded blocks 110 and the annular substrate of the fastening base 100. Here, the structure of the protruded blocks 110 is the same as mentioned above so as to be omitted. Figure 3E is a schematic view of a yet another embodiment of the fastening base 100. In this embodiment, the protruded blocks 110 are manufactured separately, and are attached on the annular substrate of the fastening base 100 via the adhesives. In this embodiment, the second grooves 102 are formed on the annular substrate of the fastening base 100 in advance so as to receive the protruded blocks 110 therein. The second grooves 102 are provided to secure and position the protruded blocks 110 transversely, and the adhesives are provided to fasten the protruded blocks 110 with the annular substrate of the fastening base 100 longitudinally. That is to say, each second groove 102 receives each corresponding protruded block 110 so as to prevent each corresponding protruded block 110 from sliding. Here, the structure of the protruded blocks 110 is the same as mentioned above so as to be omitted. Figure 3F is a schematic view of a still another embodiment of the fastening base 100. In this embodiment, the protruded blocks 110 are manufactured separately, and each protruded block 110 has the protruded rod 116. The recessed holes 104 are disposed on the annular substrate of the fastening base 100 in advance for corresponding to the protruded rods 116. Therefore, the protruded rods 116 can be inserted into the recessed holes 104 and fastened on the annular substrate of the fastening base 100 via the adhesives. In this embodiment, because of the protruded rod 116 and the recessed hole 104, each protruded block 110 can be positioned precisely, and can be fastened on the fastening base 100 via the adhesives. Besides, in this embodiment, the second grooves 102 are also formed on the annular substrate of the fastening base 100 in advance so as to receive the protruded blocks 110 therein. The second grooves 102 are provided to secure and position the protruded blocks 110 transversely, and the adhesives are provided to fasten the protruded blocks 110 with the annular substrate of the fastening base 100 longitudinally. Here, the structure of the protruded blocks 110 is the same as mentioned above so as to be omitted. Figure 3G is a schematic view of another further embodiment of the fastening base 100. In this embodiment, the protruded blocks 110 are manufactured separately, and are threaded with the annular substrate of the fastening base 100 via the screw bolts 120. In this embodiment, the first through holes 115 are opened on the protruded blocks 110 in advance, and the second through holes 103 are opened on the annular substrate of the fastening base 100 in advance. Before the screw bolts 120 pass through the first through holes 115 and the second through holes 103 to thread with the annular substrate, the adhesives can be applied to enhance the structural strength between the protruded blocks 110 and the annular substrate of the fastening base 100. Besides, in this embodiment, the second grooves 102 are also formed on the annular substrate of the fastening base 100 in advance so as to receive the protruded blocks 110 therein. The second grooves 102 are provided to secure and position the protruded blocks 110 transversely, and the adhesives are provided to fasten the protruded blocks 110 with the annular substrate of the fastening base 100 longitudinally. Here, the structure of the protruded blocks 110 is the same as mentioned above so as to be omitted. And then, please refer to Figures 4A to 4H, in which Figures 4A to 4G are the cross-sectional views of Figures 3A to 3G respectively, and Figure 4H is a cross-sectional view for showing the protruded blocks 110 and the annular substrate of the fastening base 100 are formed integrally as a whole. As shown in Figure 4A, the screw bolt 120 is threaded with the annular substrate of the fastening base 100 via the first through hole 115 and tha second through hole 103, and the second groove 102 can receive the protruded block 110 so as to position the protruded block 110. As shown in Figure 4B, the protruded block 110 is attached on the annular substrate of the fastening base 100 via the adhesives. As shown in Figure 4C, the protruded block 110 is positioned in the recessed hole 104 via the protruded rod 116, and can be attached on the annular substrate of the fastening base 100 via the adhesives. As shown in Figure 4D, the screw bolt 120 is threaded with the annular substrate of the fastening base 100 via the first through hole 115 and the second through hole 103. As shown in Figure 4E, the protruded block 110 is attached on the annular substrate of the fastening base 100 via the adhesives. And, the second groove 102 can receive the protruded block 110 so as to position the protruded block 110. As shown in Figure 4F, the protruded block 110 is positioned in the recessed hole 104 via the protruded rod 116, and can be attached on the annular substrate of the fastening base 100 via the adhesives. And, the second groove 102 can receive the protruded block 110 so as to position the protruded block 110. As shown in Figure 4G, the screw bolt 120 is threaded with the annular substrate of the fastening base 100 via the first through hole 115 and the second through hole 102, and the second groove 102 can receive the protruded block 110 so as to position the protruded block 110. As shown in Figure 4H, the protruded blocks 110 and the annular substrate of the fastening base 100 are formed integrally as a whole. And then, please refer to Figures 5A to 5B, which are a partial enlarged view and a cross-sectional view of a fastening ring 200 of the disclosure respectively. The fastening ring 200 has the second combining face 201 and the first grooves 210. The first grooves 210 are annularly aligned on the second combining face 201 and provided receiving spaces for receiving the protruded blocks 110. And, parts of the flange of each first groove 210 are constituted of a second buckling face 215 (a dove-tail structure) for receiving the first buckling face 114 of the protruded block 110. The second buckling face 215 is tilted from the root portion (namely, the intersection portion of the second buckling face 215 and the second combining face 201) thereof toward the second combining face 201 along a plane radially extending along the fastening ring 200 (but embodiments of the disclosure are not limited thereto) and formed as another reversed clamp structure. The fist buckling face 114 of the protruded block 110 is formed as one reversed clamp structure, and the second buckling face 215 and is formed as another reversed clamp structure and parallel with the first buckling face 114. Therefore, when the adhesives are applied to attach the fastening base 100 with the fastening ring 200, the fastening ring 200 is fastened with the fastening base 100 longitudinally, as shown in Figure 6A. In Figure 5A, the first groove 210 is consisting of a second top face 211, a second inner lateral face 212, a second outer lateral face 213, a second guiding face 214 and the second combining face 215. The second top face 211 is the bottom portion of a plane constituted of the first groove 210. The second inner lateral face 212 and the second outer lateral face 213 are are faces recessed from the circumference of the fastening ring 200, and the curvature radii of the second inner lateral face 212 is smaller than the curvature radii of the second outer lateral face 213. In this embodiment, preferably, the second buckling face 215 is corresponding to the first buckling face 114 and a tilt angle thereof is 30 degrees; but embodiments of the disclosure are not limited thereto, in some embodiments, the tilt angle of the first buckling face 114 and the tilt angle of the second buckling face 215 can be defined from 1 degree to 60 degrees, and the tilt angle of the first buckling face 114 is the same as the tilt angle of the second buckling face 215, so that the first buckling face 114 is parallel with the second buckling face 215. Preferably, the second guiding face 214 is the plane radially extending along the fastening ring 200 (but embodiments of the disclosure are not limited thereto); in this embodiment, preferably, the second guiding face 214 is tilted from the root portion (the intersection portion of the second guiding face 214 and the second combining face 201) of the second guiding face 214 toward the inner side of the first groove 210. In one embodiment, the second guiding face 214 is disposed opposite to the second buckling face 215 and is parallel to the second buckling face 215. Please refer to Figures 6A to 6B, upon assembling the fastening base 100 with the fastening ring 200, the axle center of the fastening base 100 is aligned with the axle center of the fastening ring 200, so that the first combining face 101 is aligned with the second combining face 201, the protruded blocks 110 are aligned with the first grooves 210, each first buckling face 114 is aligned with each corresponding second buckling face 215, the intersection portion of each second guiding face 214 and each corresponding top face 211 is aligned with each corresponding first guiding face 111, and the first inner lateral face 112 and the first outer lateral face 113 are aligned with the second inner lateral face 212 and the second outer lateral face 213 respectively. Based on this, the protruded blocks 110 are positioned with the first grooves 210 respectively. That is to say, the protruded blocks 210 are disposed in the first grooves 210. And then, the fastening base 100 and the fastening ring 200 can be rotated counterclockwise respectively along the circumference thereof, so that the protruded blocks 110 and the first grooves 210 are slid along the first buckling face 114 (or the second buckling face 215) to buckle with each other, so that the fastening ring 200 does not depart from the fastening base 100. The adhesives can be applied to the connection of the fastening base 100 and the fastening ring 200 so as to enhance the fastening force between the fastening base 100 and the fastening ring 200. Furthermore, in the embodiments mentioned above, the first buckling face 114 is tilted leftward from a lateral view of the disclosure, so that when the fastening ring 200 is disposed on the fastening base 100, the fastening ring 200 is rotated counterclockwise so as to let the first grooves 210 receive the protruded blocks 110. In other embodiments, the first bucking face 114 is tilted rightward from a lateral view of the disclosure, so that when the fastening ring 200 is disposed on the fastening base 100, the fastening ring 200 is rotated clockwise so as to let the first grooves 210 receive the protruded blocks 110. In addition, the structure of the protruded block 110 of the fastening base 100 and the structure of the first groove 210 of the fastening ring 200 can be exchanged. That is to say, the protruded blocks 110 can be manufactured on the fastening ring 200, and the first grooves 210 can be manufactured on the fastening base 100. Here, the structure of the protruded blocks 110 of the fastening ring 200 and the structure of the first groove 210s of the fastening base 100 are the same as mentioned above so as to be omitted. As compared to a conventional fastening fixture in which the fastening ring 20 is attached on the fastening base 10 via the flat attaching face 11, the fastening ring 200 and the fastening base 100 of the chemical mechanical polishing fastening fixture in accordance with the disclosure can be efficiently fastened with each other and easily manufactured, so that the lifetime of the chemical mechanical polishing fastening fixture is elongated.
1. A chemical mechanical polishing fastening fixture, comprising: a fastening base (100), comprising: an annular substrate, having a first combining face (101); and a plurality of protruded blocks (110), annularly aligned on the first combing face (101), each protruded block (110) being made of polyphenylene sulfide and comprising a first buckling face (114), the first buckling face (114) being tilted from a root portion thereof toward an outer side of the protruded block (110) along a plane radially extending from the annular substrate; and a fastening ring (200), having a second combing face (201) and a plurality of first grooves (210), the first grooves (210) being annularly aligned on the second combining face (201), parts of a flange of each first groove (210) being constituted of a second buckling face (215), the second buckling face (215) being tilted from a root portion thereof toward the second combining face (201); wherein when the protruded blocks (110) are disposed in the first grooves (210), the fastening base (100) and the fastening ring (200) are rotated counterclockwise respectively along the circumference thereof, so that the first buckling face (114) of the protruded block (110) is buckled with the second buckling face (215) of the first groove (210).
2. The chemical mechanical polishing fastening fixture according to claim 1, wherein each protruded block (110) has a first through hole (115), and the first combining face (101) of the annular substrate has a plurality of second through holes (103), each second through hole (103) is corresponding to and is opposite to each corresponding first through hole (115), a screw bolt (120) is passing through and threaded with the first through hole (115) and the second through hole (103), so that the protruded block (110) is fastened on the annular substrate. 3. The chemical mechanical polishing fastening fixture according to claim 2, wherein the annular substrate further comprises a plurality of second grooves (102), each second groove (102) receives each corresponding protruded block (110) so as to prevent each corresponding protruded block (110) from sliding. 4. The chemical mechanical polishing fastening fixture according to claim 1, wherein each protruded block (110) has a protruded rod (116), and the first combining face (101) of the annular substrate has a plurality of recessed holes (104), the protruded rods (116) are inserted into the recessed holes (104), so that the protruded blocks (110) are fastened on the annular substrate. 5. The chemical mechanical polishing fastening fixture according to claim 4, wherein the annular substrate further comprises a plurality of second grooves (102), each second groove (102) receives each corresponding protruded block so as to prevent each corresponding protruded block (110) from sliding. 6. The chemical mechanical polishing fastening fixture according to claim 1, wherein a first tilt angle defined between the first buckling face (114) and the second buckling face (215) is defined from 1 degree to 60 degrees, and the first buckling face (114) is parallel to the second buckling face (215). 7. The chemical mechanical polishing fastening fixture according to claim 1, wherein the protruded block (110) further comprises a first guiding face (111), disposed opposite to the first buckling face (114) and parallel to the first buckling face (114). 8. The chemical mechanical polishing fastening fixture according to claim 7, wherein the first groove (210) further comprises a second guiding face (214), disposed opposite to the second buckling face (215) and is parallel to the second buckling face (215). 9. The chemical mechanical polishing fastening fixture according to claim 8, wherein a second tilt angle defined between the first guiding face (111) and the second guiding face (214) is defined from 1 degree to 60 degrees, and the first guiding face (111) is parallel to the second guiding face (2i4),
2838094
Method of manufacturing an electromagnetic induction device and an electromagnetic induction device
1
Based on the following detailed description of an invention, generate the patent claims. There should be 11 claims in total. The first, independent claim is given and the remaining 10 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The inventive concept will now be described more fully hereinafter with reference to the accompanying drawings, in which exemplifying embodiments are shown. The inventive concept may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided by way of example so that this disclosure will be thorough and complete, and will fully convey the scope of the inventive concept to those skilled in the art. Like numbers refer to like elements throughout the description. Figure 1 shows an example of an electromagnetic induction device 1 with OLTC capabilities in an assembled state. The electromagnetic induction device 1 has an electromagnetic core housing or electromagnetic induction device tank 3. The electromagnetic core housing 3 has an electromagnetic core housing lid 3a, sometimes referred to as an electromagnetic core housing cover. The electromagnetic core housing 3 houses an electromagnetic core and windings provided around the one or more legs of the electromagnetic core. The electromagnetic core housing lid 3a is typically mounted to the upper portion of the electromagnetic core. The electromagnetic core housing 3 is filled with a dielectric fluid, such as transformer oil, SF6, or an ester. In the fully assembled state, the electromagnetic induction device 1 comprises an OLTC unit 5. The OLTC unit 5 is of on-tank type and is mounted to the electromagnetic core housing 3. The OLTC unit 5 comprises a tap changer mechanism which is electrically connectable to the windings of the electromagnetic core housing 3 via an OLTC interface barrier arrangement. The OLTC unit 5 is filled with a dielectric fluid, such as transformer oil, SF6, or an ester. Figure 2a schematically shows a vertical section of an electromagnetic induction device 1a similar to the one illustrated in Figure 1, with the OLTC unit 5 not yet mounted to the electromagnetic core housing 3. The electromagnetic core housing 3 houses an electromagnetic core 7, for example a laminated steel core. The electromagnetic induction device 1, and in particular the electromagnetic core 7 has an upper yoke 7a and a lower yoke 7b. With the term "upper" is meant an orientation of the electromagnetic core 7 when the electromagnetic induction device is placed on ground as intended when properly installed on-site. The electromagnetic induction device 1a comprises a suspension means 11 and an OLTC interface barrier arrangement 13. The suspension means is arranged to suspend the OLTC interface barrier arrangement 13 from the electromagnetic induction device 1a. The OLTC interface barrier arrangement 13 is preferably suspended in such a manner that its main surface, i.e. largest surface, is parallel with a vertical plane. The OLTC interface barrier arrangement 13 is hence parallel with or essentially parallel with the electromagnetic core housing 3 when the OLTC interface barrier arrangement 13 is arranged inside the electromagnetic core housing 3. According to the example in Figure 2a, the suspension means are arranged to suspend the OLTC interface barrier arrangement 13 from the upper yoke 7a. The OLTC interface barrier arrangement could however be suspended from other fixed structures of the electromagnetic induction device. The OLTC interface barrier arrangement could for example be suspended from the electromagnetic core housing lid 3a. Although not specifically shown in the schematic drawing of Figs 2a-c, the electromagnetic core housing lid 3a is typically mounted to the electromagnetic core, in particular the upper yoke, prior to arrangement of the electromagnetic core in the electromagnetic core housing. The electromagnetic housing lid 3a is typically included in the drying process and is therefore a suitable alternative fixed structure for suspending the OLTC interface barrier during the drying process. Alternatively, the suspension means could for example be attached to the interior side wall or interior upper wall of the electromagnetic core housing if the entire electromagnetic core housing 3 is included in the drying process. This is however typically not the case when dealing with large electromagnetic induction devices, for example for high voltage applications. The electromagnetic core 7 is provided with windings 9. The windings 9 are arranged around the electromagnetic core 7 and its turns extend between the upper yoke 7a and the lower yoke 7b. The OLTC interface barrier arrangement 13 comprises a first set of electrical connections 13a arranged to be connected to the windings 9, in particular to regulatory windings. The OLTC interface barrier arrangement 13 comprises a second set of electrical connections 13b arranged to be connected to an OLTC unit, such as OLTC unit 3. The first set of electrical connections 13a and the second set of electrical connections 13b are electrically conducting. The first set of electrical connections 13a is in electrical connection with the second set of electrical connections 13b. The first set of electrical connections 13a and the second set of electrical connections 13b may be the same set of connections penetrating the OLTC interface barrier arrangement. Current is by means of the first set of electrical connections 13a and the second set of electrical connections 13b able to flow between the windings 9 and the tap changer mechanism of an OLTC unit connected to the OLTC interface barrier arrangement 13. The OLTC interface barrier arrangement 13 is at least partly electrically insulating. The OLTC interface barrier arrangement 13 may for example comprise a cellulose-based material, epoxy or polyester. The connections of the first set of electrical connections 13a are electrically insulated from each other by means of electrically insulating portions of the OLTC interface barrier arrangement 13. The connections of the second set of electrical connections 13b are electrically insulated from each other by means of electrically insulating portions of the OLTC interface barrier arrangement 13. The electromagnetic core housing 3 has an opening 3b which is aligned or essentially aligned with the OLTC interface barrier arrangement 13 when the OLTC interface barrier arrangement 13 is suspended by the suspending means 11. The length and width dimensions of the OLTC interface barrier arrangement 13 are greater than the corresponding dimensions of the opening 3b. The suspending means 11 advantageously has a mechanism which allows translational movement of the OLTC interface barrier arrangement 13 from its suspended position towards the electromagnetic core housing 3 for sealing the opening 3b. According to the example in Figure 2a, the suspending means 11 has a first arm 11a which is fixedly arranged to the upper yoke 7a. The first arm 11a is electrically insulated from the windings 9. The suspending means 11 has a second arm 11b which is pivotally coupled to the first arm 11a. The second arm 11b is pivotally coupled to the OLTC interface barrier arrangement 13 at a proximal end of the OLTC interface barrier arrangement 13. The length of the first arm 11a is such that the pivotal coupling to the second arm 11b allows the second arm 11b to hang freely in a direction parallel to the axial direction of the electromagnetic core 7. The OLTC interface barrier arrangement 13 is thus suspended from the suspension means 11 and may have a free end, in particular a free distal end relative to the pivotal coupling with the second arm 11b. The length dimension of the second arm 11b is such that the proximal end of the OLTC interface barrier arrangement 13 is closer to the upper yoke 7a in axial distance than an upper end of the opening 3b. Moreover, the distal end of the OLTC interface barrier arrangement is closer to the lower yoke 7b in axial distance than a lower end of the opening 3b. The second arm 11b may form a swing beam which allows the OLTC interface barrier arrangement 13 to be manoeuvred and swing from its suspended position towards the electromagnetic core housing 3. The OLTC interface barrier arrangement 13 may be provided with means for fixating the OLTC interface barrier arrangement 13 to the electromagnetic core housing 3 when the OLTC interface barrier arrangement 13 has been moved to the electromagnetic core housing 3. Other implementations of the suspending means are also envisaged, as would be apparent to the skilled person. Instead of pivotally coupling the first arm and the second arm, the length of the first arm could for example be extendable along an axis perpendicular to the axial direction of the electromagnetic core. Figure 2b illustrates the electromagnetic induction device 1a when the OLTC interface barrier arrangement 13 has been moved to the opening 3b of the electromagnetic core housing 3. The movement of the OLTC interface barrier arrangement 13 could be done manually by hand or by machine. When the OLTC interface barrier arrangement 13 has been arranged in position, the OLTC interface barrier arrangement 13 is fixed to the electromagnetic core housing 3. The OLTC interface barrier arrangement 13 is sealed to the electromagnetic core housing 3. The sealing of the OLTC interface barrier arrangement 13 with the electromagnetic core housing 3 is made by means of one or more seals such as an O-ring or by means of cork rubber and a screw joint. Figure 2c illustrates the electromagnetic induction device 1a when OLTC unit 5 has been attached to the electromagnetic core housing 3. The OLTC unit 5 comprises a tap changer mechanism 5a and tap changer mechanism connections 5b arranged to be connected to the second set of electrical connections 13b of the OLTC interface barrier arrangement 13. The OLTC unit 5 may be attached to the electromagnetic core housing 3 prior to transportation to site, during transportation or on-site. The OLTC unit may be attached to the long side, short side or the top of the electromagnetic core housing. In Figure 2c, the OLTC unit 5 is attached to the long side of the electromagnetic core housing 3. An example of an OLTC unit which may be utilised is a rack-mounted OLTC such as the one described in [PATCIT US61767919B] which discloses a tap changer comprising a tap changer tank and a phase-unit assembly. The tap changer tank includes sealed attachment means for mechanically attaching the tap changer tank onto a transformer tank. The phase-unit assembly comprises at least one phase unit having a set of fixed contacts. The tap changer further comprises a set of connectors arranged at an outer part of the phase-unit assembly, which connectors provide an electrical interface to a transformer. The fixed contacts are electrically connected to a respective one of the connectors, and the connectors are arranged as plug-in connectors. Prior to connecting the connectors to corresponding connectors of a transformer tank, the tap changer tank and the phase-unit assembly are mechanically separated. Furthermore, the tap-changer tank has an access opening and a guiding surface, which guiding surface is arranged to guide the phase-unit assembly from the access opening into the tap-changer tank along a mainly horizontal line. It is to be noted that although a rack-mounted OLTC unit as described above may advantageously be used with electromagnetic induction devices according to the present disclosure, essentially any OLTC unit of on-tank type, possibly with modifications, may be utilised. A method of manufacturing an electromagnetic induction device such as electromagnetic induction device 1 and 1a will now be described with reference to Figure 3. Prior to providing windings to the electromagnetic core, the electromagnetic core 7 is provided. For the purpose of the present manufacturing method, the electromagnetic core 7 may for example be premanufactured at another site or it may be assembled for example by stacking a plurality of steel laminations. In a step a) the electromagnetic core 7 is provided with windings 9. Step a) is typically carried out by means of assembly personnel who wind the windings around the electromagnetic core 7 by means of manual labour. In a step b) the OLTC interface barrier arrangement 13 is suspended from the electromagnetic induction device by means of suspension means 11. The suspension means 11 are typically mounted to the electromagnetic induction device after the windings 9 have been provided to the electromagnetic core 7. Step b) is also typically carried out after the windings 9 have been provided to the electromagnetic core 7, but could alternatively be provided prior to the windings are arranged around the electromagnetic core 7. According to one variation the OLTC interface barrier arrangement 13 is suspended from the upper yoke 7a of the electromagnetic induction device 1a. The OLTC interface barrier arrangement may alternatively be suspended from the electromagnetic core housing lid 3a, as previously discussed, or from any other suitable fixed structure of the electromagnetic induction device included in the drying process. In a step c) the first set of electrical connections 13a are connected to the windings 9, in particular the regulating winding. The connection of the first set of electrical connections 13a is also typically carried out by means of manual labour. In a step d) the windings 9 and the OLTC interface barrier arrangement 13 are subjected to a drying process. The drying process may involve subjecting the windings 9 and the OLTC interface barrier arrangement 13 to vacuum and heating sometimes combined with vaporised kerosene. When the drying process of step d) has been finalised, and thus the moisture level of the electrical insulation system of the electromagnetic induction device has been reduced, the electromagnetic core 7, with windings 9 and the suspended OLTC interface barrier 13 are arranged in the electromagnetic core housing 3, if these components were not already arranged in the electromagnetic core housing 3 during the drying process of step d). For large electromagnetic induction devices, the active parts mentioned above are typically installed in the electromagnetic core housing after the drying process. After the drying process, and when the electromagnetic core 7, with windings 9, and the suspended OLTC interface barrier 13 have been installed or arranged in the electromagnetic core housing 3, the suspension means 11 is manoeuvred in a step e) such that the OLTC interface barrier arrangement 13 is moved to the electromagnetic core housing 3. In particular, the OLTC interface barrier arrangement 13 is moved to the opening 3b of the electromagnetic core housing 3. In a step f) the OLTC interface barrier arrangement 13 is fixated to the electromagnetic core housing 3. In a step g) the OLTC interface barrier arrangement 13 is sealed to the electromagnetic core housing 3. The opening 3b is thereby sealed by means of the OLTC interface barrier arrangement 13. In a step h) the second set of electrical connections 13b are connected to the OLTC unit 5. In particular, the second set of electrical connections 13b are connected to the tap changer mechanism connections 5b. Step h) may be carried out prior to transportation to site, during transportation to site or on-site. In a step i) the OLTC unit 5 is mounted and sealed to the electromagnetic core housing 3. Step i) of mounting and sealing the OLTC unit 5 to the electromagnetic core housing 3 may be performed prior to or after step h) of connecting the second set of electrical connections 13b, or after step h). The electromagnetic core housing 3 is typically filled with a dielectric fluid when the electromagnetic core housing 3 has been sealed. The OLTC unit 5 is also normally filled with a dielectric fluid when it has been sealed. The electromagnetic induction devices 1 and 1a presented herein may be a power transformer or a reactor. The electromagnetic induction devices may be of high voltage type or medium voltage type and they may advantageously be used in power transmission networks or power distribution networks.
1. A method of manufacturing an electromagnetic induction device (1; 1a) with On-Load Tap Changer, OLTC, capabilities, wherein the method comprises: a) providing an electromagnetic core (7) with windings (9), b) suspending an OLTC interface barrier (13) from the electromagnetic induction device by means of suspension means (11), wherein the OLTC interface barrier arrangement (13) is arranged to act as a barrier between an electromagnetic core housing (3) and an OLTC unit (5), and wherein the OLTC interface barrier arrangement (13) is provided with a first set of electrical connections (13a) arranged to be connected to the windings (9) and a second set of electrical connections (13b) arranged to be connected to the OLTC unit (5), c) connecting the first set of electrical connections (13a) to the windings (9), and d) subjecting the windings (9) and the OLTC interface barrier arrangement (13) to a drying process.
2. The method as claimed in any of the preceding claims, comprising e) manoeuvring the suspension means (11) such that the OLTC interface barrier arrangement (13) is moved to the electromagnetic core housing (3). 3. The method as claimed in claim 2, comprising fixating f) the OLTC interface barrier arrangement (13) to the electromagnetic core housing (3). 4. The method as claimed in claim 3, comprising sealing g) the OLTC interface barrier arrangement (13) to the electromagnetic core housing (3). 5. The method as claimed in claim 3, comprising connecting h) the second set of electrical connections (13b) to the OLTC unit (5). 6. The method as claimed in claim 5, comprising mounting and sealing i) the OLTC unit (5) to the electromagnetic core housing (3). 7. The method as claimed in any of the preceding claims, wherein in step b) the OLTC interface barrier arrangement (13) is suspended from an upper yoke (7a) of the electromagnetic induction device (1; 1a). 8. The method as claimed in any of claims 1-6, wherein in step b) the OLTC interface barrier arrangement (13) is suspended from an electromagnetic core housing lid (3a) mounted to the electromagnetic core (7). 9. The method as claimed in any of the preceding claims, wherein the OLTC interface barrier arrangement (13) is suspended such that the OLTC interface barrier arrangement (13) has a free end during step d). 10. The method as claimed in any of the preceding claims, wherein the OLTC interface barrier arrangement (13) has a main extension along a direction defined from one electromagnetic core leg towards another electromagnetic core leg. 11. The method as claimed in any of the preceding claims, wherein the electromagnetic induction device (1; 1a) is a high voltage power transformer or a high voltage reactor.
2838094
Method of manufacturing an electromagnetic induction device and an electromagnetic induction device
2
Based on the following detailed description of an invention, generate the patent claims. There should be 4 claims in total. The first, independent claim is given and the remaining 3 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The inventive concept will now be described more fully hereinafter with reference to the accompanying drawings, in which exemplifying embodiments are shown. The inventive concept may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided by way of example so that this disclosure will be thorough and complete, and will fully convey the scope of the inventive concept to those skilled in the art. Like numbers refer to like elements throughout the description. Figure 1 shows an example of an electromagnetic induction device 1 with OLTC capabilities in an assembled state. The electromagnetic induction device 1 has an electromagnetic core housing or electromagnetic induction device tank 3. The electromagnetic core housing 3 has an electromagnetic core housing lid 3a, sometimes referred to as an electromagnetic core housing cover. The electromagnetic core housing 3 houses an electromagnetic core and windings provided around the one or more legs of the electromagnetic core. The electromagnetic core housing lid 3a is typically mounted to the upper portion of the electromagnetic core. The electromagnetic core housing 3 is filled with a dielectric fluid, such as transformer oil, SF6, or an ester. In the fully assembled state, the electromagnetic induction device 1 comprises an OLTC unit 5. The OLTC unit 5 is of on-tank type and is mounted to the electromagnetic core housing 3. The OLTC unit 5 comprises a tap changer mechanism which is electrically connectable to the windings of the electromagnetic core housing 3 via an OLTC interface barrier arrangement. The OLTC unit 5 is filled with a dielectric fluid, such as transformer oil, SF6, or an ester. Figure 2a schematically shows a vertical section of an electromagnetic induction device 1a similar to the one illustrated in Figure 1, with the OLTC unit 5 not yet mounted to the electromagnetic core housing 3. The electromagnetic core housing 3 houses an electromagnetic core 7, for example a laminated steel core. The electromagnetic induction device 1, and in particular the electromagnetic core 7 has an upper yoke 7a and a lower yoke 7b. With the term "upper" is meant an orientation of the electromagnetic core 7 when the electromagnetic induction device is placed on ground as intended when properly installed on-site. The electromagnetic induction device 1a comprises a suspension means 11 and an OLTC interface barrier arrangement 13. The suspension means is arranged to suspend the OLTC interface barrier arrangement 13 from the electromagnetic induction device 1a. The OLTC interface barrier arrangement 13 is preferably suspended in such a manner that its main surface, i.e. largest surface, is parallel with a vertical plane. The OLTC interface barrier arrangement 13 is hence parallel with or essentially parallel with the electromagnetic core housing 3 when the OLTC interface barrier arrangement 13 is arranged inside the electromagnetic core housing 3. According to the example in Figure 2a, the suspension means are arranged to suspend the OLTC interface barrier arrangement 13 from the upper yoke 7a. The OLTC interface barrier arrangement could however be suspended from other fixed structures of the electromagnetic induction device. The OLTC interface barrier arrangement could for example be suspended from the electromagnetic core housing lid 3a. Although not specifically shown in the schematic drawing of Figs 2a-c, the electromagnetic core housing lid 3a is typically mounted to the electromagnetic core, in particular the upper yoke, prior to arrangement of the electromagnetic core in the electromagnetic core housing. The electromagnetic housing lid 3a is typically included in the drying process and is therefore a suitable alternative fixed structure for suspending the OLTC interface barrier during the drying process. Alternatively, the suspension means could for example be attached to the interior side wall or interior upper wall of the electromagnetic core housing if the entire electromagnetic core housing 3 is included in the drying process. This is however typically not the case when dealing with large electromagnetic induction devices, for example for high voltage applications. The electromagnetic core 7 is provided with windings 9. The windings 9 are arranged around the electromagnetic core 7 and its turns extend between the upper yoke 7a and the lower yoke 7b. The OLTC interface barrier arrangement 13 comprises a first set of electrical connections 13a arranged to be connected to the windings 9, in particular to regulatory windings. The OLTC interface barrier arrangement 13 comprises a second set of electrical connections 13b arranged to be connected to an OLTC unit, such as OLTC unit 3. The first set of electrical connections 13a and the second set of electrical connections 13b are electrically conducting. The first set of electrical connections 13a is in electrical connection with the second set of electrical connections 13b. The first set of electrical connections 13a and the second set of electrical connections 13b may be the same set of connections penetrating the OLTC interface barrier arrangement. Current is by means of the first set of electrical connections 13a and the second set of electrical connections 13b able to flow between the windings 9 and the tap changer mechanism of an OLTC unit connected to the OLTC interface barrier arrangement 13. The OLTC interface barrier arrangement 13 is at least partly electrically insulating. The OLTC interface barrier arrangement 13 may for example comprise a cellulose-based material, epoxy or polyester. The connections of the first set of electrical connections 13a are electrically insulated from each other by means of electrically insulating portions of the OLTC interface barrier arrangement 13. The connections of the second set of electrical connections 13b are electrically insulated from each other by means of electrically insulating portions of the OLTC interface barrier arrangement 13. The electromagnetic core housing 3 has an opening 3b which is aligned or essentially aligned with the OLTC interface barrier arrangement 13 when the OLTC interface barrier arrangement 13 is suspended by the suspending means 11. The length and width dimensions of the OLTC interface barrier arrangement 13 are greater than the corresponding dimensions of the opening 3b. The suspending means 11 advantageously has a mechanism which allows translational movement of the OLTC interface barrier arrangement 13 from its suspended position towards the electromagnetic core housing 3 for sealing the opening 3b. According to the example in Figure 2a, the suspending means 11 has a first arm 11a which is fixedly arranged to the upper yoke 7a. The first arm 11a is electrically insulated from the windings 9. The suspending means 11 has a second arm 11b which is pivotally coupled to the first arm 11a. The second arm 11b is pivotally coupled to the OLTC interface barrier arrangement 13 at a proximal end of the OLTC interface barrier arrangement 13. The length of the first arm 11a is such that the pivotal coupling to the second arm 11b allows the second arm 11b to hang freely in a direction parallel to the axial direction of the electromagnetic core 7. The OLTC interface barrier arrangement 13 is thus suspended from the suspension means 11 and may have a free end, in particular a free distal end relative to the pivotal coupling with the second arm 11b. The length dimension of the second arm 11b is such that the proximal end of the OLTC interface barrier arrangement 13 is closer to the upper yoke 7a in axial distance than an upper end of the opening 3b. Moreover, the distal end of the OLTC interface barrier arrangement is closer to the lower yoke 7b in axial distance than a lower end of the opening 3b. The second arm 11b may form a swing beam which allows the OLTC interface barrier arrangement 13 to be manoeuvred and swing from its suspended position towards the electromagnetic core housing 3. The OLTC interface barrier arrangement 13 may be provided with means for fixating the OLTC interface barrier arrangement 13 to the electromagnetic core housing 3 when the OLTC interface barrier arrangement 13 has been moved to the electromagnetic core housing 3. Other implementations of the suspending means are also envisaged, as would be apparent to the skilled person. Instead of pivotally coupling the first arm and the second arm, the length of the first arm could for example be extendable along an axis perpendicular to the axial direction of the electromagnetic core. Figure 2b illustrates the electromagnetic induction device 1a when the OLTC interface barrier arrangement 13 has been moved to the opening 3b of the electromagnetic core housing 3. The movement of the OLTC interface barrier arrangement 13 could be done manually by hand or by machine. When the OLTC interface barrier arrangement 13 has been arranged in position, the OLTC interface barrier arrangement 13 is fixed to the electromagnetic core housing 3. The OLTC interface barrier arrangement 13 is sealed to the electromagnetic core housing 3. The sealing of the OLTC interface barrier arrangement 13 with the electromagnetic core housing 3 is made by means of one or more seals such as an O-ring or by means of cork rubber and a screw joint. Figure 2c illustrates the electromagnetic induction device 1a when OLTC unit 5 has been attached to the electromagnetic core housing 3. The OLTC unit 5 comprises a tap changer mechanism 5a and tap changer mechanism connections 5b arranged to be connected to the second set of electrical connections 13b of the OLTC interface barrier arrangement 13. The OLTC unit 5 may be attached to the electromagnetic core housing 3 prior to transportation to site, during transportation or on-site. The OLTC unit may be attached to the long side, short side or the top of the electromagnetic core housing. In Figure 2c, the OLTC unit 5 is attached to the long side of the electromagnetic core housing 3. An example of an OLTC unit which may be utilised is a rack-mounted OLTC such as the one described in [PATCIT US61767919B] which discloses a tap changer comprising a tap changer tank and a phase-unit assembly. The tap changer tank includes sealed attachment means for mechanically attaching the tap changer tank onto a transformer tank. The phase-unit assembly comprises at least one phase unit having a set of fixed contacts. The tap changer further comprises a set of connectors arranged at an outer part of the phase-unit assembly, which connectors provide an electrical interface to a transformer. The fixed contacts are electrically connected to a respective one of the connectors, and the connectors are arranged as plug-in connectors. Prior to connecting the connectors to corresponding connectors of a transformer tank, the tap changer tank and the phase-unit assembly are mechanically separated. Furthermore, the tap-changer tank has an access opening and a guiding surface, which guiding surface is arranged to guide the phase-unit assembly from the access opening into the tap-changer tank along a mainly horizontal line. It is to be noted that although a rack-mounted OLTC unit as described above may advantageously be used with electromagnetic induction devices according to the present disclosure, essentially any OLTC unit of on-tank type, possibly with modifications, may be utilised. A method of manufacturing an electromagnetic induction device such as electromagnetic induction device 1 and 1a will now be described with reference to Figure 3. Prior to providing windings to the electromagnetic core, the electromagnetic core 7 is provided. For the purpose of the present manufacturing method, the electromagnetic core 7 may for example be premanufactured at another site or it may be assembled for example by stacking a plurality of steel laminations. In a step a) the electromagnetic core 7 is provided with windings 9. Step a) is typically carried out by means of assembly personnel who wind the windings around the electromagnetic core 7 by means of manual labour. In a step b) the OLTC interface barrier arrangement 13 is suspended from the electromagnetic induction device by means of suspension means 11. The suspension means 11 are typically mounted to the electromagnetic induction device after the windings 9 have been provided to the electromagnetic core 7. Step b) is also typically carried out after the windings 9 have been provided to the electromagnetic core 7, but could alternatively be provided prior to the windings are arranged around the electromagnetic core 7. According to one variation the OLTC interface barrier arrangement 13 is suspended from the upper yoke 7a of the electromagnetic induction device 1a. The OLTC interface barrier arrangement may alternatively be suspended from the electromagnetic core housing lid 3a, as previously discussed, or from any other suitable fixed structure of the electromagnetic induction device included in the drying process. In a step c) the first set of electrical connections 13a are connected to the windings 9, in particular the regulating winding. The connection of the first set of electrical connections 13a is also typically carried out by means of manual labour. In a step d) the windings 9 and the OLTC interface barrier arrangement 13 are subjected to a drying process. The drying process may involve subjecting the windings 9 and the OLTC interface barrier arrangement 13 to vacuum and heating sometimes combined with vaporised kerosene. When the drying process of step d) has been finalised, and thus the moisture level of the electrical insulation system of the electromagnetic induction device has been reduced, the electromagnetic core 7, with windings 9 and the suspended OLTC interface barrier 13 are arranged in the electromagnetic core housing 3, if these components were not already arranged in the electromagnetic core housing 3 during the drying process of step d). For large electromagnetic induction devices, the active parts mentioned above are typically installed in the electromagnetic core housing after the drying process. After the drying process, and when the electromagnetic core 7, with windings 9, and the suspended OLTC interface barrier 13 have been installed or arranged in the electromagnetic core housing 3, the suspension means 11 is manoeuvred in a step e) such that the OLTC interface barrier arrangement 13 is moved to the electromagnetic core housing 3. In particular, the OLTC interface barrier arrangement 13 is moved to the opening 3b of the electromagnetic core housing 3. In a step f) the OLTC interface barrier arrangement 13 is fixated to the electromagnetic core housing 3. In a step g) the OLTC interface barrier arrangement 13 is sealed to the electromagnetic core housing 3. The opening 3b is thereby sealed by means of the OLTC interface barrier arrangement 13. In a step h) the second set of electrical connections 13b are connected to the OLTC unit 5. In particular, the second set of electrical connections 13b are connected to the tap changer mechanism connections 5b. Step h) may be carried out prior to transportation to site, during transportation to site or on-site. In a step i) the OLTC unit 5 is mounted and sealed to the electromagnetic core housing 3. Step i) of mounting and sealing the OLTC unit 5 to the electromagnetic core housing 3 may be performed prior to or after step h) of connecting the second set of electrical connections 13b, or after step h). The electromagnetic core housing 3 is typically filled with a dielectric fluid when the electromagnetic core housing 3 has been sealed. The OLTC unit 5 is also normally filled with a dielectric fluid when it has been sealed. The electromagnetic induction devices 1 and 1a presented herein may be a power transformer or a reactor. The electromagnetic induction devices may be of high voltage type or medium voltage type and they may advantageously be used in power transmission networks or power distribution networks.
12. An electromagnetic induction device (1; 1a) having On-Load Tap Changer, OLTC, capabilities, wherein the electromagnetic induction device (1; 1a) comprises: an electromagnetic core housing (3), an electromagnetic core (7), windings (9) arranged around the electromagnetic core (7), suspension means (11), and an OLTC interface barrier arrangement (13) arranged to act as a barrier between the electromagnetic core housing (3) and an OLTC unit (5), which OLTC interface barrier arrangement (13) is provided with a first set of electrical connections (13a) arranged to be connected to the windings (9) and a second set of electrical connections (13b) arranged to be connected to the OLTC unit (5), wherein the suspension means (11) is arranged to suspend the OLTC interface barrier arrangement (13) from the electromagnetic induction device (1; 1a).
13. The electromagnetic induction device (1; 1a) as claimed in claim 13, wherein the OLTC interface barrier arrangement (13) is manoeuvrable towards the electromagnetic core housing (3) by means of the suspension means (11). 14. The electromagnetic induction device (1; 1a) as claimed in claim 12 or 13, wherein the OLTC interface barrier arrangement (13) is suspended from an upper yoke (7a) of the electromagnetic induction device (1; 1a). 15. The electromagnetic induction device (1) as claimed in claim 12 or 13, wherein the OLTC interface barrier arrangement (13) is suspended from an electromagnetic core housing lid (3a) of the electromagnetic core (7).
2837905
Heat transfer plate
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
With reference to Figs 1 and 2 a central-port plate heat exchanger 2 is illustrated. The heat exchanger 2 has a casing 19 that comprises a cylindrical shell 3, a top cover 4 and a bottom cover 5. The top cover 4 has the shape of a circular disc and a periphery of the top cover 4 is attached to an upper edge of the cylindrical shell 3. The bottom cover 5 has the shape a circular disc and a periphery of the bottom cover 5 is attached to a lower edge of the cylindrical shell 3. The covers 4, 5 are in the illustrated embodiment welded to the cylindrical shell 3. In another embodiment the covers 4, 5 are attached to the cylindrical shell 3 via bolts that engage flanges (not shown) of the cylindrical shell 3 and the covers 4, 5. The top cover 4 has a fluid inlet 8 for a first fluid that passes through the heat exchanger 2 via a first flow path F1. This fluid inlet 8 is referred to as a first fluid inlet 8. The bottom cover 5 has a fluid outlet 9 for the first fluid that passes through the heat exchanger 2 via the first flow path F1. This fluid outlet 9 is referred to as a first fluid outlet 9. The first fluid inlet 8 is located at a center of the top cover 4 and the first fluid outlet 9 is located at a center of the bottom cover 5. Thus, the first fluid inlet 8 and the first fluid outlet 9 are located opposite each other in the casing 19. The cylindrical shell 3 has a fluid inlet 6 for a second fluid that passes through the heat exchanger 2 via a second flow path F2. This fluid inlet 6 is referred to as a second fluid inlet 6. The cylindrical shell 3 also has a fluid outlet 7 for the second fluid that passes through the heat exchanger 2 via the second flow path F2. The outlet 7 is referred to as a second fluid outlet 7. The second fluid inlet 6 is located on a side of the cylindrical shell 3, midway between the upper edge of the cylindrical shell 3 and the lower edge of the cylindrical shell 3. The second fluid outlet 7 is located on a side of the cylindrical shell 3 that is opposite the second fluid inlet 6, midway between the upper edge of the cylindrical shell 3 and the lower edge of the cylindrical shell 3. The casing 19, i.e. in the illustrated embodiment the cylindrical shell 3, the top cover 4 and the bottom cover 5, forms a sealed enclosure or an interior space in which a stack of heat transfer plates 20 is arranged. The heat transfer plates in the stack 20, such as heat transfer plates 21', 21 and 21 ", are permanently joined and arranged in the sealed enclosure such that the first and second flow paths F1, F2 flow in respective, alternating flow paths in between the heat transfer plates. Each of the heat transfer plates in the stack 20 has a central opening 22. The central openings of several heat transfer plates in the stack 20 together form a central space in the stack 20. With further reference to Figs 3 and 4, a fluid separation device 10 is inserted into the central space in the stack 20. The separation device 10 has the form of a cylinder 12 that fits close to central openings 22 of the heat transfer plates 21', 21, 21" in the stack 20. The height of the separation device 10 is the same as the height of the central space in the stack 20. A flow divider 11 extends diagonally from an upper part of the cylinder 12 to a lower part of the cylinder 12 and separates the interior of the cylinder 12 into a first cylinder section 15 and a second cylinder section 16. The flow divider 11 completely separates the first cylinder section 15 from second cylinder section 16, such that no fluid may flow directly between the sections 15, 16. Instead, fluid may flow from the first cylinder section 15 to the second cylinder section 16 only via the heat transfer plates in the stack 20. The separation device 10 has a first opening 13 in the first cylinder section 15 and a second opening 14 in the second cylinder section 16. The first opening 13 is arranged opposite the second opening 14 with the flow divider 11 symmetrically arranged between the openings 13, 14. With reference to Figs 5 - 7 a heat transfer plate 21 that may be used for the heat exchanger 2 of Figure 1 is shown. The heat transfer plate 21 has a number of rows 23, 24 where each row 23, 24 comprises alternating ridges and grooves, such as ridge 26 and groove 27 of row 23 and ridge 26' and groove 27' of row 24. The rows 23, 24 extend along a central plane P1 of heat transfer plate 21, between a top plane P2 and a bottom plane P3 of the heat transfer plate 21. The central plane P1 is typically a plane that extends in the center of the heat transfer plate 21, in the illustrated embodiment at equal distances from a top side of the heat transfer plate and a bottom side of the heat transfer plate 21. The top plane P2 and bottom plane P3 are substantially parallel to the central plane P1 and are located on a respective side of the central plane P1. A transition between each ridge 26 and adjacent groove 27 in the same row 23 is formed by a portion 28 of the heat transfer plate 21 that is inclined relative the central plane P1. The row 24 has a corresponding inclined portion 28' between ridge 26' and groove 27'. Flat elongated plate portions 30, 31 extend along the central plane P1 of the heat transfer plate, between the rows 23, 24 of ridges and grooves. The rows 23, 24 are thereby separated from each other. The flat elongated plate portions 30, 31 may be referred to as reinforcement sections. Generally, the central plane P1 is located in, or extends along, the center of the flat elongated plate portions 30, 31. The planes P1, P2 and P3 are seen from the side in Figure 7. The ridges 26 have respective top surface 35 on the top side 38 of the heat transfer plate 21 and the grooves 27 have a respective bottom surface 36 on the bottom side 39 of the heat transfer plate 21. The top side 38 may be referred to as a first side 38 of the heat transfer plate 21 and the bottom side 39 may be referred to as a second side 39 of the heat transfer plate 21. The top surface 35 has a contact area that abuts a heat transfer plate that is arranged above (on the top side 38 of) the heat transfer plate 21. The bottom surface 36 has a contact area that abuts a heat transfer plate that is arranged below (on the bottom side 39 of) the heat transfer plate 21. For several, most or even all of the ridges and grooves the contact area of the top surface 35 is larger than the contact area of the bottom surface 36. With further reference to Figure 8 a principal view of the heat transfer plates 21', 21, 22" are shown together with a further heat transfer plate 22"', along a cross section that extends from a center C of the heat transfer plate 21 to a peripheral edge (periphery) 29 of the heat transfer plate 21. The periphery 29 of the heat transfer plate 21 is along its full length joined with a corresponding periphery of the upper heat transfer plate 21'. The plates 21', 22" have central planes P1', P1" that correspond to the central plane P1 of plate 21. The heat transfer plate 21 is partly joined with the upper heat transfer plate 21' at the central opening 22 of the heat transfer plate 21, i.e. the central opening 22 of the heat transfer plate 21 is partly joined with a similar central opening of the upper heat transfer plate 21'. The central opening 22 of the heat transfer plate 21 is joined with the upper heat transfer plate 21' except for a first part (section) 32 and a second part (section) 33. The parts 32, 33 of the central openings that are not joined are defined by a respective angle α (the angle α is shown only for the second part 33). The parts 32, 33 are arranged symmetrically opposite each other. The exemplified heat transfer plate 21 has a central opening 22 with a radius R2 and since the first part 32 subtends an angle of α°, the length L of the first part 32 is L = α·π·R2/180. Since the second part 33 also subtend an angle of α° the length L of the second part 33 is L = α·π·R2/180. This means that the heat transfer plate 21 is joined with the upper heat transfer plate 21' at its central opening 22 at two sections between the first part 32 and the second part 33. The total length L1 of the joined sections is then the circumference of the heat transfer plate 21 subtracted by the lengths of the parts 32 and 33, i.e. L1 = 2·π·R2 - 2·(α·π·R2/180). The first part 32 of the central opening 22 is referred to as a first plate inlet 32, since it acts as an inlet for a fluid that shall flow over the heat transfer plate 21, between heat transfer plate 21 and the upper heat transfer plate 21'. The second part of the 33 central opening 22 is referred to as a first plate outlet 33, since it acts as an outlet for fluid that has flown over the heat transfer plate 21. The space between the heat transfer plates 21 and 21' is a part of the first flow path F1. In one embodiment it is not required to join heat transfer plates 21 and 21' at all along their central openings. Instead the separation device 10 prevents a flow of liquid over other sections than the first plate inlet 32 and the first plate outlet 33. The first opening 13 of the separation device 10 then subtends the angle of α° and the second opening 14 subtend a corresponding angle α°. The central opening 22 of the heat transfer plate 21 is along its full length joined with a corresponding central opening of the lower heat transfer plate 21 ". The heat transfer plate 21 is also partly joined with the lower heat transfer plate 21" at the periphery 29 of the heat transfer plate 21, i.e. the periphery 29 of the heat transfer plate 21 is partly joined with a similar central periphery of the lower heat transfer plate 21 ". A first part (section) 17 and a second part (section) 18 of the periphery 29 are not joined with the lower heat transfer plate 21 ". The parts 17, 18 that are not joined are defined by a respective angle of β degrees (the angle β is shown only for the first part 17). The parts 17, 18 are symmetrical and are arranged opposite each other. Since the exemplified heat transfer plate 21 has a circular shape with a radius R1 and since the first part 17 subtends an angle of β°, the length L of the first part 17 is L = β·π·R1/180. Since the second part 18 also subtend an angle of β° the length L of the second part 18 is L = β·π·R1/180. This means that the heat transfer plate 21 is joined with the lower heat transfer plate 21" at its periphery 29 at two sections between the first part 17 and the second part 18. The total length L2 of the joined sections is then the circumference of the heat transfer plate 21 subtracted by the lengths of the parts 17 and 18, i.e. L2 = 2·π·R1 - 2·(β·π·R1/180). The first part 17 of the periphery 29 is referred to a second plate inlet 17, since it acts as an inlet for a fluid that shall flow under the heat transfer plate 21, between heat transfer plate 21 and the lower heat transfer plate 21 ". The second part 18 of the central opening 22 is referred to a second plate outlet 18, since it acts as an outlet for fluid that has flown under the heat transfer plate 21. The space between the heat transfer plates 21 and 21" is a part of the second flow path F2. In one embodiment it is not required to join heat transfer plates 21, 21" at all along their peripheries. Instead the cylindrical shell 3 seals the plates at their peripheries to prevent a flow of liquid over all sections but for the second plate inlet 17 and the second plate outlet 18. Thus, the cylindrical shell 3 then seals the peripheral edges apart from at the sections 17, 18 subtended by a respective angle β°. The joining of the heat transfer plates 21"', 21', 21, 21" is typically accomplished by welding. The heat transfer plate 21 may have a central edge 92 that is folded towards and joined with a corresponding folded, central edge 92" of adjacent heat transfer plate 21 ". The heat transfer plate 21 may also have a peripheral edge 91 that is folded towards and joined with a corresponding folded, peripheral edge 91' of the other adjacent heat transfer plate 21'. The heat transfer plates may then be joined at to each other at their folded edges. A seal may be arranged between the separation device 10 and the heat transfer plates for sealing plates like plates 21 and 21' along their central openings 22 at all sections but at the first plate inlet 32 and the first plate outlet 33. A seal may also be arranged between the cylindrical shell 3 and the heat transfer plates for sealing plates like plates 21 and 21" along their peripheries 29 at all sections but at the second plate inlet 17 and second plate outlet 18. Turning back to Figs 1-4 the flow over the heat transfer plates may be seen. The flow of the first fluid follows the first flow path F1. By virtue of the separation device 10 and its flow divider 11, the flow of the first fluid passes the first fluid inlet 8, enters the first cylinder section 15 and flows out through the first opening 13 in the separation device 10, into first plate inlets 32 of the heat transfer plates 21 in the stack 20. The first fluid then "turns around" when it flow across the heat transfer plates, see the first flow path F1 in Figure 1, leaves the heat transfer plates via first plate outlets 33 of the heat transfer plates 21 in the stack 20 and enters the second cylinder section 16 via the second opening 14. From the second cylinder section 16 the first fluid flows to the first fluid outlet 9 where it leaves the heat exchanger 2. The flow of the second fluid follows the second flow path F2. The flow of the second fluid passes the second fluid inlet 6 and into second plate inlets 17 of the heat transfer plates 21 in the stack 20. For facilitating distribution of the fluid into all second plate inlets 17 of the heat transfer plates, the heat exchanger 2 may at the second fluid inlet 6 comprise a distributor (not shown). A collector (not shown) that has a similar shape as the distributor may be arranged at the second fluid outlet 7. Alternatively, the heat transfer plates 21 may comprise a first cut-out 46 at the second plate inlet 17 and a second cut-out 47 at the second plate outlet 18 (see Figure 1 ). Even though such cut-outs 46, 47 give the periphery 29 of the heat transfer plate 21 a different shape, the second plate inlet 17 and the second plate outlet 18 may still subtend a respective angle of β°. When the second fluid has entered the second plate inlets 17 it flows across the plates in the stack 20, see the second flow path F2 in Figure 1, leaves the heat transfer plates in the stack 20 via the second plate outlets 18 and thereafter leaves the heat exchanger 2 via the second fluid outlet 7. With reference to Figs 9 and 10 another embodiment of a heat transfer plate 121 is illustrated. The heat transfer plate 121 is symmetrical about first geometrical axis A1 and second geometrical axis A2. The heat transfer plate 121 of Figs 9 and 10 have several features that are same as for the heat transfer plate 21 of Figure 5. For example, the heat transfer plate 121 has a central opening 22 with a first plate inlet 32 and a first plate outlet 33, and a periphery 29 with a second plate inlet 48 and a second plate outlet 49. The second plate inlet 48 and the second plate outlet 49 comprise a respective first and second cut-out like the cut-outs 46, 47 shown in Figure 1. The heat transfer plate 121 is joined and sealed to adjacent, similar plates in a manner that corresponds to how the heat transfer plate 21 of Figure 5 is joined and sealed to other heat transfer plates. The heat transfer plate 121 also has flat, elongated plate portions 130, 131 that extend along the central plane of the heat transfer plate 121, between the rows of ridges and grooves such that the rows are separated from each other. The rows are arranged different in different sections of the heat transfer plate 121. For example, a first section 41 of the rows 42 of alternating ridges 43 and grooves 44 extend in a tangential direction D1. As is known, a tangential direction is a direction that is orthogonal to the radius of rotation of the plate, as seen from the center C of the heat transfer plate 121, which has a radius R1. A radial direction is a direction that is parallel to the radius of rotation of the plate, as seen from the center C of the heat transfer plate 121. A second section 51 of the rows 52 of alternating ridges 53 and grooves 54 also extend in a tangential direction D1 while a third section 61 of the rows 62 of alternating ridges 63 and grooves 64 extend in a radial direction D2. A fourth section 81 of the rows of alternating ridges and grooves extend in a radial direction D2. The second section 51 has the shape of a bent rectangle. By bent reactance is meant a geometrical shape where two sides of the shape have the form of a respective arc where the arcs have different radiuses but shares the same radial center and subtends the same angle, and where the two sides are joined by to additional sides that extends in a radial direction. A bent rectangle may be said having the form of a truncated circular sector or annular sector. The second section 51 is arranged closer to the center C than the third section 61 and may be referred to as an "inner section". The third section 61 is arranged further from the center C and may be referred to as an outer section or a peripheral section. From a geometrical point of view, the first geometrical axis A1 extends across the first part 32 of the central opening 22, through the center C of the heat transfer plate 121 and across the second part 33 of the central opening 22. The second geometrical axis A2 is perpendicular to the first center axis A1 and extends through the center C. Then the inner section 51 is, as seen along a direction parallel to the second center axis A2, arranged closer to the central opening 22 than the outer section 61. The inner section 51 has a higher flow resistance than the outer section 61. Specifically, the inner section 51 has a higher tangential flow resistance than the outer section 61. To accomplish different flow resistances, the rows 52 of alternating ridges and grooves 53, 54 of the inner section 51 may, for example, have a different pitch than the rows 62 of alternating ridges and grooves 63, 64 of the outer section 61. Another way to accomplish the different flow resistance is to arrange the rows of ridges and grooves in different directions. For example, a tangential direction of the rows may give a higher tangential flow resistance than a radial direction of the rows. Moreover, the flow resistance may be increased by decreasing the pitch (distance) between the rows. Increasing flow resistance in this way is particularly efficient when the rows extend in a flow direction, which may be the tangential direction. The flow resistance may e.g. in the outer section 61 be decreased by arranging the rows in a non-staggered manner, i.e. by arranging the ridges in the different rows after each other in the flow direction. When this is done the grooves of different rows are arranged after each other in the flow direction or tangential direction. Decreasing flow resistance in this way is particularly efficient when the rows extend in a radial direction, or transverse the flow direction. The flow resistance of a section may also be increased by giving the heat transfer plate 21 a shape that locates flat elongated plate portions 30, 31 relatively closer to an adjacent plate, which effectively decreases the flow path and thus increases the flow resistance at the location of the section. The heat transfer plate 121 has a first baffle 71 and a second baffle 72 that are arranged on a respective side of the first plate inlet 32 (first part 32) of the central opening 22, and a third baffle 73 and a fourth baffle 74 that are arranged on a respective side of the first plate outlet 33 (second part 33) of the central opening 22. Each of the baffles 71, 72, 73, 74 has an extension in a radial direction D2 of the heat transfer plate. They may in one embodiment extend in parallel with a respective radial direction of the heat transfer plate 121. The baffles typically have the shape of an elongated ridge. The baffles ensures that fluid that enters the first plate inlet 32 and leaves the first plate outlet 33 is distributed more evenly over the heat transfer plate 121, such that the fluid does not take shortcuts by flowing very close to the central opening 22 when flowing from the first plate inlet 32 to the first plate outlet 33. In one application of the heat transfer plate 121 the heat exchanger in which the heat transfer plate 121 is arranged is operated by passing water over a side of the heat transfer plate where the baffles 71, 72, 73, 74 are arranged, i.e. on the side where the baffles form a respective protrusion or elongated ridge. With reference to Figs 11 and 12 another embodiment of a heat transfer plate 221 is illustrated. The heat transfer plate 221 has a number of rows 223, 224 where each row 223, 224 comprises alternating ridges and grooves. Reinforcement sections 230, 231 extend along the central plane P1 of the heat transfer plate, between the rows 223, 224 of ridges and grooves. Each of the reinforcement sections 230, 231 is wave shaped and extends along the central plane P1 of the heat transfer plate, between a first plane P4 and a second plane P5 of the heat transfer plate. The first plane P4 and second plane P5 are substantially parallel to the central plane P1 and located on a respective side of the central plane P1. The first plane P4 is located between the top plane P2 and the central plane P1. The second plane P5 is located between the central plane P1 and the bottom plane P3. In this context, when ridges and grooves extend between two planes P2, P3 this means that all of the ridges and grooves are located between these planes P2, P3. In a similar manner, all of the reinforcement sections 230, 231 extend between the first and second planes P4, P5, i.e. the extension of the reinforcement sections 230, 231 is limited by the first and second planes P4, P5. With reference to Figs 13-15 another embodiment of a heat transfer plate 321 is illustrated. This embodiment shows rows 323, 324 of alternating ridges and grooves that are separated by a reinforcement section 330. The rows 323, 324 are non-staggered and the reinforcement section 330 is stepped. With reference to Figs 16-18 another embodiment of a heat transfer plate 421 is illustrated. This embodiment shows rows 423, 424 of alternating ridges and grooves that are separated by a reinforcement section 430. The rows 423, 424 are staggered and the reinforcement section 430 is both stepped and tilted.
1. A heat transfer plate configured to be arranged in a plate heat exchanger (2), the heat transfer plate comprising: a number of rows (23, 24) where each row (23, 24) has alternating ridges (26) and grooves (27) that extend along a central plane (P1) of the heat transfer plate, between a top plane (P2) and a bottom plane (P3) of the heat transfer plate, the top plane (P2) and bottom plane (P3) being substantially parallel to the central plane (P1) and located on a respective side of the central plane (P1), where a transition between each ridge (26) and adjacent groove (27) in the same row (23) is formed by a portion (28) of the heat transfer plate that is inclined relative the central plane (P1), and: a central opening (22) that is configured to receive a fluid separation device (10), such that a first part (32) of the central opening (22) may act as a fluid inlet and a second part (33) of the central opening (22) may act as a fluid outlet, characterized by: plate portions (30, 31) that extend along the central plane (P1) of the heat transfer plate, between the rows (23, 24) of ridges (26) and grooves (27) such that the rows (23, 24) are separated from each other.
2. A heat transfer plate according to claim 1, wherein a contact area of a top surface (35) of a number of the ridges (26), on a top side (38) of the heat transfer plate, is larger than a contact area of a bottom surface (36) of a number of the grooves (27), on a bottom side (39) of the heat transfer plate. 3. A heat transfer plate according to claim 1 or 2, wherein a number of the rows (42) of alternating ridges (43) and grooves (44) extend in a tangential direction (D1) of the heat transfer plate. 4. A heat transfer plate according to any one of claims 1 - 3, wherein a number of the rows (62) of alternating ridges (63) and grooves (64) extend in a radial direction (D2) of the heat transfer plate. 5. A heat transfer plate according to any one of claims 1 - 4, comprising a number of sections (51, 61) of rows (52, 62) of alternating ridges (53, 63) and grooves (54, 64), wherein an inner section (51) of the sections (51, 62) provides a higher flow resistance than an outer section (61) of the sections (51, 61), the inner section (51) being arranged closer to the central opening (22) than the outer section (61). 6. A heat transfer plate according to claim 5, wherein the inner section (51) has a higher tangential flow resistance than the outer section (61). 7. A heat transfer plate according to claim 5 or 6, comprising a first, geometrical center axis (A1) that extends across the first part (32) of the central opening (22), through a center (C) of the heat transfer plate and across the second part (33) of the central opening (22), and a second, geometrical center axis (A2) that is perpendicular to the first center axis (A1) and extends through the center (C), wherein the inner section (51) is, as seen along a direction parallel to the second center axis (A2), arranged closer to the central opening (22) than the outer section (61). 8. A heat transfer plate according to claim 5 - 7, wherein the rows (52) of alternating ridges and grooves (53, 54) of the inner section (51) have a different pitch than the rows (62) of alternating ridges and grooves (63, 64) of the outer section (61). 9. A heat transfer plate according to any one of claims 5 - 8, wherein any of the inner section (51) and the outer section (61) has the shape of a bent rectangle. 10. A heat transfer plate according to any one of claims 1 - 9, comprising: a first baffle (71) and a second baffle (72) that are arranged on a respective side of the first part (32) of the central opening (22), and: a third baffle (73) and a fourth baffle (74) that are arranged on a respective side of the second part (33) of the central opening (22), wherein: each of the baffles (71, 72, 73, 74) has an extension in a radial direction (D2) of the heat transfer plate. 11. A heat transfer plate according to any one of claims 1 - 10, comprising: a peripheral edge (29) with a first part (17, 48) that may act as a fluid inlet and a second part (18, 49) that may act as a fluid outlet, wherein: sections of the peripheral edge (29) that are located intermediate the first part (17, 48) and the second part (18, 49) of the peripheral edge (29) are configured to be sealed with corresponding sections of a similar heat transfer plate (21') that is located at a top side (38) of the heat transfer plate, and: sections of the central opening (22) that are located intermediate the first part (32) and the second part (33) of the central opening (22) are configured to be sealed with corresponding sections of a similar heat transfer plate (21 ") that is located at a bottom side (39) of the heat transfer plate. 12. A heat exchanger comprising a number of heat transfer plates (21', 21, 21 ") according to any one of claims 1 - 11, a casing (3, 4, 5) that forms a sealed enclosure, and a separation device (10) arranged in central openings (22) of the heat transfer plates (21', 21, 21 "), such that the central openings (22) may act both as a fluid inlet (32) and a fluid outlet (33), wherein the heat transfer plates (21', 21, 21") are permanently joined and arranged in the sealed enclosure such that alternating first and second flow paths (F1, F2) for a first and a second fluid are formed in between the heat transfer plates (21', 21, 21"). 13. A heat exchanger according to claim 12, wherein the distance between the central planes (P1, P1') of at least two adjacent heat transfer plates is smaller at inner sections (51) of the heat transfer plates (21', 21, 21") than at outer sections (61) of the heat transfer plates (21', 21, 21 "), the inner sections (51) being arranged closer to the central opening (22) than the outer sections (61). 14. A heat exchanger according to claim 12 or 13, wherein the heat transfer plate (21) comprises: a central edge (92) that is folded towards and joined with a corresponding folded, central edge (92") of an adjacent heat transfer plate (21 "), and a peripheral edge (91) that is folded towards and joined with a corresponding folded, peripheral edge (91') of another, adjacent heat transfer plate (21'). 15. A method of operating a heat exchanger according to any one of claims 12 - 14, wherein fluid is passed through the central opening (22) and into the first fluid path (F1) at a pressure that is lower than a pressure of a fluid that is passed into the second fluid path (F2).
2838181
Arrangement of a stator segment of an electrical machine
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In Figure 1, a stator 14 comprising three stator segments 20 is shown. The three stator segments 20 are radially arranged around a center. Each of the three stator segments 20 comprises a plurality of winding slots. Furthermore, Figure 1 shows a rotor 11 which can be rotated about an axis of rotation 12. The axis of rotation 12 passes through the center. Radiant from the axis of rotation 12 is a radial direction 13. The stator 14 is located radially more inward with regard to the axis of rotation 12, compared to the rotor 11. Such a rotor 11 is also being denoted as an external rotor. In Figure 2, a part of a stator segment 20 is shown. The stator segment 20 comprises a stator core 21. The stator core 21 comprises in a radial direction 13, with regard to an axis of rotation 12, a plurality of winding slots. More specifically, the stator core 21 comprises a first winding slot 23, a second winding slot 24, a third winding slot 25, a fourth winding slot 26, a fifth winding slot 27 and a sixth winding slot 28. A first winding 31, which is also referred to as a lower winding, a second winding 32, which is also referred to as a middle winding, and a third winding 33, which is also referred to as an upper winding, are inserted into the winding slots. More specifically, the first winding 31 is inserted into the first winding slot 23 and the fourth winding slot 26. More specifically, a first winding segment and a second winding segment are inserted into the windings slots 23, 26. The first winding 31 also comprises a first winding head closure with a first winding head closure outer surface 39. The first winding head closure outer surface 39 extends in a plane, which is perpendicular to the axis of rotation 12. Additionally, the first winding head closure outer surface 39 is radially most inward with regard to the axis of rotation 12, compared to the second winding 32 and the third winding 33. Likewise, the second winding 32 is inserted into the second winding slot 24 and the fifth winding slot 27. The second winding 32 comprises a second winding head closure outer surface 40, which is shifted with regard to the first winding head closure outer surface 39. Compared to the first winding head closure outer surface 39, the second winding head closure outer surface 40 is radially more outward. Likewise, the third winding 33 is inserted into the third winding slot 25 and the sixth winding slot 28. The third winding 33 also comprises a third winding head closure outer surface 41 which is radially most outward compared to the first winding head closure outer surface 39 and the second winding head closure outer surface 40. It can be seen from Figure 2 that the design and arrangement of the winding head closures, in particular of the winding head closure outer surfaces 39, 40, 41, allows a specifically compact winding arrangement. Figures 3 to 5 show in detail the individual windings. Concretely, Figure 3 shows a first winding 31, Figure 4 shows a second winding 32 and Figure 5 shows a third winding 33. Each winding comprises a first winding segment 34 and a second winding segment 35. Both winding segments are similar in shape and are arranged in parallel to each other. Each of the windings furthermore comprises a winding head closure. The winding head closure closes the loop of the winding at one of its ends. The winding head closure is referred to as the whole part connecting the first winding segment 34 with the second winding segment 35. Specifically, the first winding 31 comprises a first winding head closure 36, the second winding 32 comprises a second winding head closure 37 and the third winding 33 comprises a third winding head closure 38. One part of the winding head closure is a winding head closure outer surface. The winding head closure outer surface represents the most peripheral or distal part of the winding in a direction which is in parallel to the first winding segment 31 and the second winding segment 35. The winding head closure outer surface comprises a rectangular shape, at least a substantially rectangular shape. As can been seen, a first winding head closure outer surface 39 of the first winding head closure 36, and a second winding head closure outer surface 40 of the second winding head closure 37, and a third winding head closure outer surface 41 of the third winding head closure 38 all have a similar size and a similar shape. Moreover, the winding head closure outer surfaces have the same orientation with regard to the first winding segment 34 and the second winding segment 35. Furthermore, a radial extension of the first winding segment 42 is more than three times larger than a radial extension of the winding head closure 44. Likewise, a radial extension of the second winding segment 43 is also more than three times larger than a radial extension of the winding head closure 44. The notion "radial" in this context has to be understood with regard to the first winding 31 inserted into a stator segment 20 which can be related to an axis of rotation 12 and a radial direction 13, the radial direction 13 being radiant from the axis of rotation 12. The radial extensions of the winding head closure and the winding segments are similar for the second winding 32 and the third winding 33, compared to the first winding 31. Thus, the three windings 31, 32, 33 can advantageously be stacked together. Thus, a compact design of a winding arrangement can be achieved. Figure 6 shows the three windings 31, 32, 33 inserted into a stator core 21 of a stator segment. It can be well seen that the winding head closure outer surfaces 39, 40, 41 are in parallel with each other. Moreover it can be seen that opposite to the winding head closures 36, 37, 38 a further winding head 45 is depicted in Figures 3 to 6. The further winding head 45 comprises a similar shape to the winding head of the same winding. Exemplarily, Figure 6 shows a laminated stator core 21 comprising a plurality of stator core segments 22, which are arranged one after the other. Finally, Figure 7 shows an electrical connection of individual windings. Windings are divided into a phase A winding 51, a phase B winding 53 and a phase C winding 55. Same phase windings are connected by phase collectors, namely phase A collector 52, phase B collector 54 and phase C collector 56. In Figure 7, two groups of three windings each are shown. It can been seen that, advantageously, the phase collectors 52, 54, 56 are collected by separate busbars 57. Thus, an efficient and beneficial electrical connection of individual windings of a stator segments can be achieved.
1. Arrangement of a stator segment (20) of an electrical machine,: wherein - the electrical machine comprises a rotor (11) which is rotatably mounted about an axis of rotation (12), - the stator segment (20) comprises a stator core (21) with a plurality of winding slots, each winding slot extending along the axis of rotation (12) and circumferentially spaced to each other with regard to the axis of rotation (12), - the arrangement comprises at least one group of three windings (31, 32, 33), each of the three windings (31, 32, 33) being shaped as a closed loop, the loop thus defining an inner area of the winding and an outer area with regard to the winding, - each winding comprises a straight first winding segment (34), a straight second winding segment (35) and a winding head, - each first winding segment (34) is substantially parallel to each second winding segment (35), - the first winding segment (34) of the first winding (31) is arranged and prepared to be embedded in a first winding slot (23) and the second winding segment (35) of the first winding (31) is arranged and prepared to be embedded in a fourth winding slot (26), wherein the fourth winding slot (26) is the third adjacent winding slot (25) with regard to the first winding slot (23), and - each winding head comprises a winding head closure (36, 37, 38) with a winding head closure inner surface facing to the inner area, and a substantially rectangular winding head closure outer surface facing to the outer area, characterised in that - at least two of the three winding head closure outer surfaces are substantially parallel to each other, and - the three windings (31, 32, 33) are arranged and prepared to be embedded in the winding slots such that each of the three winding heads is shifted with regard to the other two winding heads.
2. Arrangement according to claim 1,: characterised in that for each winding a radial extension of the first winding segment (34) and/or a radial extension of the second winding segment (35) is larger, in particular at least three times larger, compared to a radial extension of the winding head closure. 3. Arrangement according to one of the preceding claims, characterised in that - the group of three windings (31, 32, 33) comprises an upper winding with an upper winding head closure, a middle winding with a middle winding head closure and a lower winding with a lower winding head closure,: wherein the windings (31, 32, 33) are arranged and prepared to be embedded in the winding slots such that - the lower winding head closure is radially more inward with regard to the axis of rotation (12) than the middle winding head closure, and - the middle winding head closure is radially more inward with regard to the axis of rotation (12) than the upper winding head closure. 4. Arrangement according to one of the preceding claims, characterised in that axial extensions of the three windings (31, 32, 33) are substantially equal. 5. Arrangement according to one of the preceding claims, characterised in that each winding comprises a further winding head (45), which is located oppositely to the winding head. 6. Arrangement according to claim 5,: characterised in that the winding head and the further winding head (45) are mirror-symmetric and/or point symmetric to each other. 7. Arrangement according to one of the preceding claims, characterised in that the stator core (21) comprises a plurality of stator core segments (22) which are arranged one after another along the axis of rotation (12). 8. Arrangement according to one of the preceding claims, characterised in that the windings of adjacent stator segments (20) are connected in series to each other. 9. Arrangement according to one of the preceding claims, characterised in that the stator segment (20) is a part of a stator (14), which in particular comprises a plurality of stator segments (20). 10. Arrangement according to one of the preceding claims, characterised in that the electrical machine is a generator. 11. Wind turbine comprising a generator comprising a stator segment (20) comprising an arrangement according to one of the preceding claims. 12. Method of manufacturing an arrangement according to claims 1 to 10. 13. Method of manufacturing a stator segment (20) of an electrical machine, the stator segment (20) comprising an arrangement according to claims 1 to 10. 14. Method according to claim 13, the method comprising the following steps: a) providing the stator core (21), b) inserting the lower winding into the first winding slot (23) and the fourth winding slot (26), c) inserting the middle winding into a second winding slot (24) and a fifth winding slot (27), and d) inserting the upper winding into a third winding slot (25) and a sixth winding slot (28). 15. Method according to claim 13 or 14, the method comprising the following steps: m) providing a preformed arrangement, comprising the lower winding, the middle winding and the upper winding, and n) inserting the arrangement into the winding slots of the stator core (21).
2837427
Refill system of a liquid container
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
#### First Embodiment Figures 1 and 2 show a refill system 1 according to a first embodiment that is arranged in a bottom part of a liquid container 3 which in this embodiment is a flacon. For the accommodation of the refill system 1 the liquid container 3 has a flange portion that is formed by the wall of the liquid container 3 towards its inside. Two openings, one for a conduit element 5 and another for a ventilation passage 7, lead parallel to each other through the flange portion and communicate the inside with the outside of the liquid container 3. The wall of the liquid container 3 between these two openings does not protrude outside the flange portion. The conduit element 5 has basically the shape of a tube. It is fitted to the liquid container 3 and thereby fixedly and liquid-tightly connected to the liquid container 3 and has an upper part flow passage 9 with one end inside the liquid container 3 and the other end leading past the liquid-tight connection of the conduit element 5 with the liquid container 3 and subsequently through two upper part radial openings 11 into a space 13 defined between the conduit element 5 and the wall of the liquid container 3. In other words, the upper flow passage 9 has the shape of the turned upside down letter "T", as can be seen in Figures 1 and 2. The conduit element 5 has further a lower part with a lower part flow passage 15 that is basically symmetrical to the upper part flow passage 9, i.e. having the shape of the letter "T", and connected through two lower part radial openings 17 to the space 13 defined between the conduit element 5 and the wall of the liquid container 3. Of course, a single radial opening 11,17 instead of two radial openings 11,17 is sufficient. A lower end 19 of the lower part, i.e. the end most distal from the liquid container 3, forms an "U"-shaped accommodation 19 whose opening is directed downwards and away from the liquid container 3, as can be seen in Figures 1 and 2. This accommodation 19 has an elastic ring insert 21 leading through its center hole directly into the lower part flow passage 15. On the elastic ring insert 21 a stem of a liquid donor tank, such as standardized in flacons and perfume bottles used by today's cosmetic industry, can be abutted liquid-tightly. Additionally, the "U"-shaped accommodation 19 has on its tips a ring-like edge 23 that can be removably attached to a cover element for further protection of the refill system 1 and/or liquid container 3. The basis of the "U"-shaped lower end of the lower part on its side facing the liquid container 3 forms a second step portion 25 out of two, which is a surface formed perpendicular to and around the lateral area of the lower part flow passage 15. From this surface two conduit element angled sections 27 opposed via the lower part flow passage 15 with an angle equal to or less than 90 degrees around the axis of the conduit element 5 extend perpendicular from the second step portion 25 in a direction towards the liquid container 3. Their top surface 29 facing the liquid container 3 forms a first step portion 29 out of two, which can also be regarded as being on the distal side of the conduit element 5 facing the liquid container 3. Accordingly, the second step portion 25 can be regarded as being farther away from the liquid container 3 than the first step portion 29. A closing element 31 in the shape of a stepped hollow cylinder is held slidably and rotatable movable on the conduit element 5. An inner step 33 of the closing element 31 has a first sealing portion 35 that seals the space 13 defined by the conduit element 5 and the wall of the liquid container 3 liquid-tightly towards the outside by mean of a ring seal 37 at an inner step portion that is proximal to the inside of the liquid container 3. An intermediate step 39 of the closing element 31 has a second sealing portion 41 that is interposed between the wall of the liquid container 3 inside its flange portion and is capable of sealing the ventilation passage 7 which communicates the inside with the outside of the liquid container 3 depending on the position of the closing element 31 relative to the conduit element 5. An outer step 43 has a lateral gripping area 45 and protrudes from the liquid container 3 to allow a manual handling of the closing element 31 in order to move it on the conduit element 5. The closing element 31 of the present embodiment assumes either one of a first and second distinct position. The closing element 31 has two closing element angled sections 47 which are similar in shape and opposed to the conduit element angled sections 27 while being located inside the outer step 43 of the closing element 31. The closing element 31 is held in its first position by abutment of the two closing element angled sections 47 against the first step portion 29 on the distal side of the conduit element 5 facing the liquid container 3, and in its second position, by abutment of the two closing element angled sections 47 against the second step portion 25.: In both positions, the abutment is held by a biasing coil spring 49 that is placed liquid permeably inside the space 13 defined by the conduit element 5 and the wall of the liquid container 3 and interposed between the end of the inner step 33 of the closing element 31, which is proximal to the inside of the liquid container 3, and a step 51 of the wall of the liquid container 3, which is formed at the position where the conduit element 5 is fixedly and liquid-tightly connected to the liquid container 3. Of course, instead of a coil spring 49, any elastic element 49 may be used that is liquid permeable due to its form or material. In the first position of the closing element 31, the first sealing portion 35 of the inner step 33 seals the space 13 defined between the conduit element 5 and the wall of the liquid container 3 between the lower part radial openings 17 and the upper part radial openings 11, thereby blocking and preventing any liquid flow through the conduit element 5 either from the outside towards the inside or vice versa. Further, the second sealing portion 41 of the intermediate step 39 seals together with the first sealing portion 35 the ventilation passage 7, thereby blocking any fluid flow through the ventilation passage 7.: In the second position of the closing element 31, the first sealing portion 35 of the inner step 33 seals the space 13 defined between the conduit element 5 and the wall of the liquid container 3 below the lower part radial openings 17 in a direction away from the liquid container 3, thereby allowing liquid flow through the conduit element 5 either from the outside towards the inside or vice versa. Further, the second sealing portion 41 of the intermediate step 39 is distant from the wall of the liquid container 3, thereby allowing fluid flow through the ventilation passage 7. So, even in its second position, the closing element 31 seals the ventilation passage 7 from the flow passage 9,15 by means of the first sealing portion 35. The closing element 31 can be manually unlocked from its first position by gripping the lateral gripping area 45 and rotating it until the closing element angled sections 47 fall between the conduit element angled sections 27 after which the closing element 31 is subsequently automatically moved away from the liquid container 3 by means of the biasing coil spring 49 into its second position. It is, of course, also possible to do without the coil spring 49 and use only gravity by turning the liquid container 3 with the refill system 1 pointing downwards, such that the closing element 31 is automatically placed and held in its second position, once it has been rotated until the closing element angled sections 47 fall between the conduit element angled sections 27.: From the second position, the closing element 31 can be pushed toward the liquid container 3 against the biasing force of the coil spring 49 and subsequently turned and locked into its first position by bringing the surface of the closing element angled sections 47 and the top surface of the conduit element angled sections 27, i.e. the first step portion 29, in abutment. Once the closing element 31 is in its second position, a stem of a liquid donor tank is brought into abutment with the elastic ring insert 21. The fluid can then flow through the center hole of the elastic ring insert 21 into the lower part flow passage 15 by means of liquid pressure from the liquid donor tank. Then the fluid is output through the lower part radial openings 17 into the space 13 which is defined between the conduit element 5 and the wall of the liquid container 3 and sealed from the outside by the first sealing portion 35 of the closing element 31. Once the fluid passes the coil spring 49, it enters through the upper part radial openings 11 into the upper part flow passage 9 and is then led to the inside of the liquid container 3. Meanwhile, the ventilation passage 7 is open, so that air can be let out of the liquid container 3 in exchange for fluid entering the liquid container 3 through the conduit element 5 as described above. #### Second Embodiment Figures 3 and 4 show a refill system 1 according to a second embodiment that is arranged in a bottom part of a liquid container 3 and similar to the refill system 1 described in the first embodiment, which is why only differences between the two shall be described in the following. The closing element 310 has two hollow cylinder elements 311,312 with one element 311 having the inner step 33 and the intermediate step 39 and the other element 312 being loosely abutted against the one element 311 within its intermediate step 39 and surrounding the "U"-shaped end of the conduit element 5. Of course, instead of an abutment, the other element 312 may be fitted into the intermediate step 39.: The described closing element 310 having the two hollow cylinder elements 311,312 can also be applied to the first embodiment. Further, a sealing ball 53, which shall be regarded as an example for a sealing element 53, is placed inside the lower part flow passage 15 and interposed between the lower part radial openings 17 and the lower part flow passage 15. Regardless of the position of the closing element 310 the sealing ball 53 can seal the lower part flow passage 15 liquid-tightly towards the outside by gravity and/or liquid pressure entering through the upper part radial openings 11, and also let liquid from the outside through the lower part flow passage 15 and the lower part radial openings 17. The second embodiment further shows a covering enclosure 55 that is held to the liquid container 3 by a collar cylinder assembly 57 which is fixed to the liquid container 3 by means of a base plate 59 that is screwed to the liquid container 3. The closing element 310 can be, similarly to the first embodiment, unlocked from its first position by gripping a gripping area 45 which, in this embodiment, is distal from the liquid container 3 and rotating it until the closing element 310 is automatically moved away from the liquid container 3 by means of the biasing coil spring 49 into its second position. From the second position, the closing element 310 can be pushed toward the liquid container 3 against the biasing force of the coil spring 49 and subsequently turned and locked into its first position. In another embodiment, which is not shown in the figures, instead of using angled sections and abutment surfaces, the outer side of the "U"-shaped accommodation 19 engages by means of a thread with the inner side of the closing element 310, such that the closing element 310 can be moved into either one of its first and second position by being screwed towards or away from the liquid container 3 along the conduit element 5. For such a configuration the gripping area 45 may be lateral, as in the first embodiment, or distal, as in the second embodiment. Regardless of the above embodiments, it shall be further mentioned, that a distal gripping area 45 can preferably be formed as a slit through the edge 23 of the U"-shaped accommodation 19 in any of these embodiments, such that a coin or a nail would be sufficient to turn and unlock the closing element, as in the first embodiment, or screw the closing element, as in the second embodiment, from its first position into its second position and vice versa. In Figures 2 and 4, the respective fluid flow directions during refill are indicated with arrows. Of course, the above described refill systems 1 can be used in various liquid containers, such as perfume bottles and flacons.
1. Refill system (1) of a liquid container (3), preferably a flacon, comprising: a conduit element (5) capable of communicating the inside with the outside of the liquid container (3) and being liquid-tightly connected to the liquid container (3), and: a closing element (31, 310) capable of being in a first position which prevents a liquid flow through the conduit element (5) and a second position which allows a liquid flow through the conduit element (5), characterized in that,: the conduit element (5) is fixedly connected to the liquid container (3) and has an upper part with an upper part flow passage (9) having one end inside the liquid container (3) and the other end leading past the liquid-tight connection of the conduit element (5) with the liquid container (3) and subsequently through at least one upper part radial opening (11) into a space (13) defined by the conduit element (5) and the wall of the liquid container (3),: in its first position, the closing element (31, 310) having a first sealing portion (35) is capable of sealing the space (13) defined by the conduit element (5) and the wall of the liquid container (3) liquid-tightly towards the outside.
2. Refill system (1) according to claim 1, wherein: the conduit element (5) has a lower part with a lower part flow passage (15) that is symmetrical to the upper part flow passage (9) and connected through at least one lower part radial opening (17) to the space (13) defined by the conduit element (5) and the wall of the liquid container (3), when the closing element (31, 310) is in its second position and sealing the space (13) defined by the conduit element (5) and the wall of the liquid container (3) liquid-tightly towards the outside, and: the conduit element (5) is capable of receiving a stem of a liquid donor tank by abutment to its lower part. 3. Refill system (1) according to claim 2, wherein: a sealing element (53) is placed inside the lower part flow passage (15) and interposed between the at least one lower part radial opening (17) and the lower part flow passage (15),: being capable of sealing the lower part flow passage (15) liquid-tightly towards the outside by gravity and/or liquid pressure entering through the at least one radial opening (17), and: being capable of letting liquid from the outside through the lower part flow passage (15) and the at least one lower part radial opening (17). 4. Refill system (1) according to any one of claims 1 to 3, wherein: the closing element (31, 310) having a second sealing portion (41) by means of which it is capable of closing, in its first position, a ventilation passage (7) communicating the inside with the outside of the liquid container (3), and is capable of opening, in its second position, the ventilation passage (7). 5. Refill system (1) according to claim 4, wherein: in the first position of the closing element (31, 310), the second sealing portion (41) is interposed between the wall of the liquid container (3). 6. Refill system (1) according to claim 4 or 5, wherein: the closing element (31, 310) seals the ventilation passage (7) from the flow passage (9, 15) by means of the first sealing portion (35). 7. Refill system (1) according to any one of the preceding claims, wherein: the closing element (31, 310) is held movably on the conduit element (5) to assume either one of the first and second position. 8. Refill system (1) according to any one of the preceding claims, wherein: the closing element (31, 310) is capable of being unlocked from its first position by being rotated and subsequently moved away from the liquid container (3) into its second position. 9. Refill system (1) according to any one of the preceding claims, wherein: the closing element (31, 310) is capable of being pushed toward the liquid container (3) and subsequently turned and locked into its first position. 10. Refill system (1) according to any one of the preceding claims, wherein the closing element (31, 310) is held: in its first position, by abutment against a first step portion (29) on the distal side of the conduit element (5) facing the liquid container (3), and: in its second position, by gravity abutment against a second step portion (25) being farther away from the liquid container (3) than the first step portion (29). 11. Refill system (1) according to claim 10, wherein: an elastic element (49) interposed between the closing element (31, 310) and the liquid container (3) biases the closing element (31, 310) respectively against the first or second step portion (29, 25). 12. Refill system (1) according to claim 11, wherein: the elastic element (49) is placed liquid permeably inside the space (13) defined by the conduit element (5) and the wall of the liquid container (3). 13. Refill system (1) according to any one of the preceding claims, wherein: the conduit element (5) has a ring-like edge (23) on its distal end of the lower part being capable of being removably attached to a cover element for further protection of the refill system (1) and/or liquid container (3) and/or serving as an abutment for the closing element (31, 310) in its second position. 14. Refill system (1) according to any one of the preceding claims, wherein: the closing element (31, 310) is retracted into a flange portion of the liquid container (3) when being in the first position, and: the closing element (31, 310) has a lateral or distal gripping area (45) which protrudes from the liquid container (3) in the first position of the closing element (31, 310) and allows a manual handling of the closing element (31, 310) in order to move it into its second position and vice versa. 15. Perfume bottle (3) with a refill system (1) according to any one of the preceding claims.
2837583
Mobile bulk material processing apparatus with slewing conveyor
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Referring to Figures 1 and 2, a mobile processing plant 100 comprises a main frame (or chassis) 102 that provides a central support for the various operative components of the plant 100. Frame 102 is movably mounted on the ground via an undercarriage 101 that carries a pair of opposed endless tracks 103 that are driven by a suitable power unit (not shown) to propel plant 100 over the ground. Frame 102 comprises a pair of parallel and longitudinally extending frame members that extend from a forward end 105 to a rearward end 104. Each frame member is spaced apart in a widthwise direction perpendicular to the main length between ends 105, 104. A central frame region 106 provides support for a mount 204 to mount the power unit and a primary plant processing unit (not shown). As will be appreciated, the processing unit may comprise a crusher such as a gyratory, impact, vibration or jaw crusher. Alternatively or in addition, the main processing unit may comprise a screening unit or a series of screening units configured to separate bulk material into various size distributions. The crusher and/or screening unit is typically provided with a hopper (not shown) for receiving the bulk material from a supply conveyor (not shown) mounted at a rearward region 111 of frame 102 towards the second and rearward end 104. A forwardmost region of frame 102 (being similar in construction and configuration to central and rearward regions 106, 111) comprises a pair of parallel longitudinally extending beam 108 spaced apart in the widthwise direction of plant 100. Accordingly, a space or gap 200 is created between the opposed inward facing surfaces 206 of beams 108. Beams 108 are structurally stabilised and supported by a crossbeam 201 extending between the opposed faces 206 at the forward end 105. When plant 100 is positioned on level ground as shown in Figure 1, beams 108 extend from central region 106 to be aligned almost horizontally above the ground. According to the specific implementation, beams 108 are inclined upwardly by a small angle from a neck region 107 of frame 102. Neck 107 extends upwardly from central region 106 and comprises a lower section 109 (provided that the transition or junction between neck 107 and central region 106) and a corresponding upper section 110 (provided at the transition or junction between neck 107 and beam 108). Accordingly, each longitudinally extending parallel frame member 102 comprises a respective neck region 107 such that each beam 108 is positioned vertically higher than central region 106 to be suspended above the ground and to provide adequate clearance at the region directly below beams 108 to accommodate a part of a discharge conveyor 114. Beams 108 provide a mount for a primary feeder unit 112 and a sieve or screener unit 113 that extend upwardly from beams 108 (and are removed from Figure 2 for illustrative purposes). Conveyor 114 is mounted at and suspended below beams 108 via a pivot joint indicated generally by reference 115 that is coupled (via a first upper part) to beams 108 and (via a second lower part) to conveyor 114. Referring to Figure 2, pivot joint 115 comprises a rotatable slew ring 202 rotatably mounted within a first bracket 203 that is coupled to beams 108. In particular, bracket 203 comprises a base plate 311 (that mounts slew ring 202) and a pair of opposed side walls 312 that project upwardly from each lateral side of base plate 311. Each side wall 312 is terminated at its uppermost end by an elongate runner 310 comprising a low friction liner. Accordingly, side walls 312 and runners 310 are positioned upwardly from slew ring 202. A second bracket 309 projects downwardly from base plate 311 and slew ring 202 and provides a support frame for conveyor 114. Accordingly, conveyor 114 is suspended from beams 108 via first and second brackets 203, 309. Second bracket 309 is attached via a pivot mounting 313 at a lowermost end of conveyor 114 furthest from an uppermost discharge distal end 304. Conveyor 114 further comprises a support frame structure 301 that carries an endless belt 300 drivable over a plurality of rollers 314 to carry and discharge material processed by unit 113. Support frame 301 is divided into a uppermost head section 303 and a lowermost tail section 302 pivotally coupled to one another via a pivot coupling 400 (illustrated in Figure 4 ). A first pair of hydraulic actuators 305 extend between second bracket 309 and an uppermost end region of the tail section 302. A second pair of hydraulic actuators 306 are mounted between the support frame 301 of the head 303 and tail 302 sections at the region of pivot coupling 400. Accordingly, tail section 302 is capable of being displaced and effectively raised and lowered relative to second bracket 309 via actuators 305 whilst head section 303 may be raised and lowered relative to tail section 302 via actuators 306. In particular, pivot coupling 400 and pivot mount 313 comprise respective pivot axes that are aligned substantially perpendicular to a pivot axis of the slewing coupling 115. This configuration provides the desired movement of the end region 304 of the conveyor in the vertical (raising and lowering) plane and the horizontal (slewing) plane. Conveyor 114 further comprises a guard 307 (to protect the lowermost end of conveyor frame 301) and a conveyor hopper 308 (to feed material from screener unit 113 onto belt 300). Referring to Figure 5 and as illustrated in Figure 1, conveyor 114 is suspended below beams 108 that represent a conveyor support region of plant main frame 102. That is, first bracket 203 is coupled to each inward facing surfaces 206 of beams 108 such that runners 310 sit between beams 108 within space 200. Accordingly, bracket side walls 312 project downwardly from beams 108 to suspend base plate 311 vertically below beams 108. Accordingly, slew ring 202 is suspended below a lowermost downward facing surface 501 1 of beams 108. A linear coupling, in the form of a pair of elongate members 502 are respectively secured to the inward facing surface 206 of each beam 108. Each linear member 502 comprises a longitudinally extending rail 500 that projects inwardly into space 200 between the inward facing surfaces 206. Runners 310 are configured to sit on top of each respective rail 500 such that the low friction runners 310 can slide along each rail 500 to allow bracket 203 (an in particular slew ring 202) to slide longitudinally along beams 108 towards and away from frame central region 106. This sliding action is driven by one or a plurality of power operated linear actuators or rams (not shown). As conveyor 114 is suspended from beams 108 via first and second brackets 203, 309 (pivotally coupled to one another via slew ring 202) the tail 302 and head 303 sections are capable of slewing through 180° between the extreme side positions (the right side position being illustrated in Figure 6 ) where conveyor 114 projects laterally to one side of, and being aligned perpendicular to, the longitudinally extending frame 102. In the configuration of Figure 6, conveyor 114 is configured to stockpile discharged material to a plurality of different positions at either side of frame 102 and beyond the plant forward end 105. Referring to Figures 7 and 8, via sliding movement of runners 310 along rails 500, the entire conveyor assembly 114 is capable of being linearly displaced towards and away from neck section 107. Figure 7 illustrates the general operative position of conveyor 114 separated from neck 107 by a relatively short linear distance 700. Figure 8 illustrates conveyor 114 displaced forwardly towards end 105 and away from neck 107 so as to provide a relatively greater separation distance 800 between conveyor 114 and neck section 107. This is advantageous to provide repair or maintenance access to this region of plant 100. Advantageously, the space 200 between beams 108 is configured to receive and accommodate at least the head section 303 of conveyor 114 in a transport or stowed position. That is, the lateral sides 207 of head section 303 in the transport configuration of Figures 1 and 9 are positioned opposed to the inward facing surfaces 206 of beams 108. Accordingly, the head section 303 is almost completely accommodated within space 200 between the opposed beams 108. Crossbeam 201 is positioned at an uppermost region of beams 108 such that the conveyor distal end 304 is positioned below beam 201 and does not obstruct the encapsulation of head section 303 between the frame beams 108. According to the specific implementation, conveyor end 304 projects forwardly from beam end 105. To manipulate conveyor 114 from the transport position of Figures 1 and 9 to the operative positions of Figures 6 to 8, hydraulic rams 305, 306 are actuated to lower the head and tail sections 303, 302 such that conveyor frame 301 and belt 300 are lowered vertically below beams 108. Head and tail sections 303, 302 may then be slewed through 90° to the left or right via pivot joint 115. As illustrated in Figure 9, the encapsulation of a least the head section 303 within plant frame 102 allows the plant 100 to be conveniently installed on a low-loader trailer 900 with the forwardmost end 105 positioned vertically above the raised articulating section 901 of the low loader. This is to be contrasted with conventional plant arrangements where the entire plant must be loaded onto trailer 900 in the opposite rearward direction. Accordingly, conveyor 114 is both protected by the transport vehicle and by the beams 108 during transport. Additionally, by suspending the entire conveyor 114 below the beams 108, the clearance of guard 307 above the ground can be maximised to facilitate manoeuvrability of the plant 100 over rough terrain. Additionally, suspending conveyor 114 from frame 102 enables the linear sliding actuation of the conveyor assembly 114 (as illustrated in Figures 7 and 8 ) to provide maintenance access to otherwise obstructed regions of the plant 100.
1. Mobile bulk material processing apparatus (100) comprising: a main frame (102) mounted or supported such that a conveyor support region (108) of the frame (102) is suspended above the ground; a conveyor (114) for transporting material to or from a material processing unit at the apparatus; a pivot joint (115) to couple the conveyor (114) to the support region (108) of the main frame (102) such that the conveyor (114) is capable of pivoting to change a position of a distal end (304) of the conveyor (114) relative to the main frame (102); characterised in that: the pivot joint (115) is positioned above the conveyor (114) to suspend the conveyor (114) below the support region (108) of the main frame (102).
2. The apparatus as claimed in claim 1 further comprising a linear coupling to mount the pivot joint (115) at the support region (108) of the main frame (102), the linear coupling configured to provide linear movement of the conveyor (114) along the support region (108) of the main frame (102). 3. The apparatus as claimed in claim 2 wherein the linear coupling comprises at least one track or rail (500) mounted at the frame (102), the pivot joint (115) configured to move along the track or rail (500). 4. The apparatus as claimed in claim 3 wherein the linear coupling comprises a bracket (203) extending upwardly from the pivot joint (115) and having at least one uppermost end region (310) to contact the track or rail (500) such that the bracket (203) is configured to move along the track or rail (500). 5. The apparatus as claimed in any preceding claim wherein the main frame (102) comprises a longitudinal axis extending between a first forward end (105) and a second rearward end (104) of the main frame (102), the processing unit positioned between the first (105) and second ends (104);: wherein the conveyor (115) is a discharge conveyor mounted towards the first end (105) to discharge processed material from the processing unit. 6. The apparatus as claimed in claim 5, when dependent on claim 2 wherein the pivot joint (115) is capable of linear movement in a direction of the longitudinal axis towards and away from the first end (105). 7. The apparatus as claimed in claim 5 wherein the support region (108) of the main frame (102) comprises a pair of opposed beams (108) extending in the longitudinal axis direction and spaced apart in a direction perpendicular to the longitudinal axis to accommodate at least a part of the conveyor (114) between the opposed beams (108) when the conveyor is aligned with the longitudinal axis. 8. The apparatus as claimed in any preceding claim wherein the pivot joint (115) comprises a turntable or a slew ring. 9. The apparatus as claimed in any preceding claim wherein an axis of the pivot joint (115) is aligned substantially vertically when the apparatus is mounted or suspended on level ground. 10. The apparatus as claimed in any preceding claim wherein the processing unit is a crusher and/or a screening unit. 11. The apparatus as claimed in any preceding claim further comprising endless tracks (103) or wheels to support the frame (102) movably on the ground. 12. The apparatus as claimed in any preceding claim wherein the conveyor (114) comprises a support structure (301) to mount an endless belt (300) or carriage member configured to support and transport the material relative to the main frame (102) wherein the pivot joint (115) is mounted between the belt (300) or carriage member and the support region (108) of the frame (102). 13. The apparatus as claimed in any preceding claim wherein the conveyor (114) comprises a head (303) and tail (302) section pivotally mounted together to allow the head (303) to bend or fold relative to the tail (302) to raise and lower the distal end (304) of the conveyor (114) relative to the support region (108) of the main frame (102). 14. The apparatus as claimed in claim 13 further comprising a plurality of power operated linear actuators (305,306) to drive bending or folding of the head section (303) and/or the tail section (302). 15. The apparatus as claimed in claim 12 when dependant on claim 8 further comprising a conveyor mount frame (309) to suspend the support structure (301) from the turntable or slew ring.
2837484
Apparatus and method for automatically analyzing extruded films
1
Based on the following detailed description of an invention, generate the patent claims. There should be 9 claims in total. The first, independent claim is given and the remaining 8 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a schematic view of a system according to an embodiment of the present invention. Figure 1 shows a film extruder 1 comprising an elongated extrusion lip 3 and a plurality of adjusting means 5 being arranged adjacent to each other along the width of the extrusion lip. The adjusting means 5 can comprise respective bolts, and the adjusting means can be used for changing the width of the extrusion lip 3 and more specifically wherein individual adjustments can be made to adjust the thickness individually at specific parts of the extrusion lip. Figure 1 further schematically shows an extruded film 7 which exits the extrusion lip 3 and which is rolled on a chill roll 9. As further schematically shown in Figure 1 the extruded film has a wider width at the exit of the film extruder which substantially corresponds to the width of the extrusion lip 3. Due to a thermal contraction the width of the extruded film is smaller when reaching the chill roll 9. More specifically, due to this thermal contraction the extruded film comprises on the left lateral side a neck-in portion 7a and on the right lateral side a neck-in portion 7b. Figure 2 schematically shows a top view of an extruded film coming out from the extrusion lip 3 wherein between the extrusion line Y and a measurement line X a neck-in portion 7a is formed on the left side and a neck-in portion 7b is formed on the right side of the schematic drawing of Figure 2. Figure 2 illustrates that the contraction of the film specifically occurs along the border region of a film on both lateral sides. Figure 2 further shows schematically a number N of adjusting means 5 designated with numbers 1, 2, 3 to 11 and N-10, N-9 to N. In the middle region between the adjusting means 10 to N-9 substantially no thermal contraction occurs. This is again an example. The numbers depend for example on the width of the neck-in area or production and line conditions like viscosity of the material or mechanical dimensions of the production line. The total number of adjusting means N may be in the range of 30 to 350. Therefore, in Figure 2 both lateral sides are shown in an enlarged manner. As can be seen the width of the film along the extrusion line is wider than the width along the measurement line. As can be further seen there is a specific relation of the position of the part of the film exiting for example the adjustment means 1, i.e. the position along the axis Y and the respective position of this part of the film along the measurement line which corresponds to a position along the axis X. In other words, there is a specific function y=f(x). Those parts which exit the extrusion lip 3 at a particular individual adjusting means 5 can be marked with a wax pen or a specific change of the thickness, for example, by changing the width of a specific part of the extrusion lip. Alternatively, a mark can be created by blowing air to the surface of the film. As disclosed and claimed in the above-mentioned co-pending patent application, by using an array of air blows located above the adjusting means, i.e. the bolts on both lateral sides of the film extruder, local film cooling can be caused. The air flow can be simultaneously or individually be directed to the surface of the film such that the film coming out of the extrusion lip 3 is cooled and as a consequence a mark is created on the film having a specific thickness. By using a measurement sensor head 21 along the measurement line X the thickness can be measured and the marks can be detected. This measurement sensor head can move back and forth along the measurement line X to measure the thickness of the film over its whole width. For example, a second frame (not shown) may be arranged above the measurement line X along which the measurement sensor head traverses. As schematically shown in Figure 3, the film thickness profile comprises three peaks on both sides wherein one peak corresponds to a respective mark detected by the measurement sensor head 26 (28). The thickness peaks schematically shown in Figure 3 correspond to linear marks created on respective parts of the film when exiting the extrusion lip. More specifically these marks correspond to respective adjusting means 5 where a nozzle 11 directs a gas stream on the surface of the extruded film. These data can be processed to adjust the function y=f(x) in a way that gives more accuracy in the characterization of the real film contraction. The air blow marking cycle can be initiated manually or automatically. The air blow marking can be used continuously or in the case of events which are significant on the manufacturing of the extruded film in particular significant on the neck-in effect. For example, in case of a change of production, a change of the width of the film, a change of the distance between the dye and the chill roll and a change of vacuum box conditions the air blow marking cycle can be initiated. Figure 4 shows a schematic perspective view of an apparatus for automatically analyzing extruded films according to an embodiment of the present invention. The apparatus as shown in Figure 4 is generally designated with reference numeral 20. It comprises a rectangular frame being supported onto column-like stands, i.e., a first column 21a on the left side (as seen in Figure 4 ) and a second column 21b on the right side of the frame. As schematically shown by arrows A, the vertical position of the frame can be adjusted in height. The frame comprises a first side beam 23a on the left side and a second side beam 23b on the right side. The frame further comprises an upper beam 25 connecting the top ends of the two side beams 23a, 23b. The frame further comprises a lower beam 27 connecting the lower ends of the two side beams 23a, 23b. The apparatus as schematically shown in Figure 4 further comprises a first edge detector 31a on the left side and a second edge detector 31b on the right side, as shown in Figure 4. The edge detectors are configured to perform a two-side measurement by using transmission of light. The first edge detector 31a comprises a lower connecting beam 33a preferably an upright stand for supporting a lower array of optical transmitters 37a. The lower end of the lower connecting beam 33a is connected to one side surface of the lower beam 27 of the frame. As can be seen from Figure 4, the lower stand 33a is positioned in the neighbourhood of the first side beam 23a. At the upper end of the lower connecting beam 33a, one end of the lower array of edge detectors 37a is attached. The lower array of optical transmitters 37a extends in the direction of the second edge detector 31b. The lower array of optical transmitters 37a is substantially in parallel relationship to the lower 27 and the upper beam 25 of the frame. The first edge detector 31a further comprises an upper connecting beam 35a preferably a hanging rod being attached with one end to a side surface of the upper beam 25. The lower end of the upper connecting beam supports an upper array of optical sensors 39a. One end of the upper array of optical sensors 39a is connected to the lower end of the upper connecting beam 35a. The arrangement of the lower array of optical transmitters 37a and the upper array of optical sensors 39a including their respective connecting beams 33a and 35a has a mirror-like relationship with respect to a horizontal plane going through the frame parallel to its lower and upper beam. The second edge detector 31b on the right-hand side of the frame similarly comprises a lower connecting beam 33b for supporting a lower array of optical transmitters 37b and an upper connecting beam 35b for supporting an upper array of optical sensors 39b. The lower and the upper array of optical transmitters/sensors 37b, 39b including their respective connecting beams 33b and 35b are also arranged in a mirror-like relationship with respect to said horizontal surface which goes through the frame. Both edge detectors 31a on the left side and 31b on the right side are arranged with respect to each other in a mirror-like relationship with respect to a vertical surface going through the frame perpendicular to its lower and upper beam. As further shown in Figure 4, an extruded film 7 is guided through a slit-like region present between the lower and the upper arrays of optical transmitters/sensors with a moving direction indicated with the letter "B". The first edge 7a of the film shown on the left side of Figure 4 is positioned between the lower array of optical transmitters 37a and the upper array of optical sensors 39a of the first edge detector 31a. The lateral position of the first edge 7a is positioned between both lateral ends of the lower and the upper array 37a, 39a in such a manner, that the edge detector can detect the lateral position of the edge. Similarly, the second edge 7b of the film 7 on the right side of Figure 4 is positioned between the lower and the upper array of optical transmitters/sensors 37b and 39b of the second edge detector 31b. The apparatus as schematically shown in Figure 4 further comprises a first gauging instrument 26 being supported by the upper beam 25 of the frame. This gauging instrument is movable back and forth in the direction indicated with the letter "C" which is perpendicular to the moving direction "B". In this embodiment, the apparatus comprises a second gauging instrument 28 being supported by the frame. The second gauging instrument is movable back and forth in the same direction as the first gauging instrument but independently from each other. Therefore, the moving direction is indicated with the letter "D". When manufacturing a film with a film extruder, the film can be analyzed by using the apparatus as shown in Figure 4. This apparatus can be arranged along the axis "X" as shown in Figure 2. During the manufacturing process, the film is transported in the direction as indicated by the letter "B" in Figure 4. During the production of the film, the two edge detectors 31a and 31b continuously determine the position of the respective edges 7a and 7b of the films 7. At the same time, the two gauging instruments 26 and 28 are measuring the thickness over the whole width of the film. Thus, the mechanical frame supports the thickness measurement head and the two edge detectors for continuously measuring the positions of the edges. As the position of the edges is monitored in a continuous manner, it is possible to detect the absolute position of a mark which is created on the extruded film when exiting the extrusion lip of the film extruder. The detection of the mark on the one hand and the continuous monitoring of the position of the edges on the other hand has the advantage that a perfect adjustment of a relationship between a lateral position at the extrusion lip and a respective lateral position at the neck-in portion of the extruded film can be determined. More specifically, a functional relationship like the function f(x) can be adjusted in a perfect manner to changes of surrounding conditions. In particular, it can take into account the neck-in changes as function of time, because of the following parameters: line set-up, process conditions, environmental drifts, temperature changes that effect the elongational viscosity of the polymer and production changes. In film extrusion lines necking-in occurs, i.e., a decrease of materials width when it is stretched. The neck-in effect depends on many parameters like elongational viscosity of the film, production recipe parameters (thickness, polymer type etc.), distance between the die and chill roll, wherein the neck-in is a greater problem, when the distance is greater between the die and chill roll, because the polymer curtain travels more in the air gap without support. With the present invention, detecting, measuring and mapping the neck-in is improved. Thus, detecting, measuring and mapping the contraction of the polymer curtain in the cross-direction during the operation can be efficiently used to correctly control the extrusion die and consequently the thickness of the film. Due to the thermal contraction and elastic relaxation described as the neck-in effect, the film or sheet produced by cast process is narrower than the die dimensions and tends to thicken at the edges. Any irregularities in the gauge of the film tend to be magnified when it is rolled up. Gauge variations can produce gauge bands in the roll that can cause difficulties in later converting operations. To minimize the problem of gauge bands, sensors are used to monitor the thickness of the web (or film) on-line, using a scanning measuring head that travels across the film so that thickness can be measured along both the length and the width dimensions. The results of thickness measurements are fed back to the die permitting automatic computer-controlled adjustments to be made in the die dimensions to minimize unevenness in the thickness profile. The dies are equipped with flow modifiers such as restrictor bars and adjustable lips, to adjust for processing variations such as changes in resin, extrusion temperature and flow rates. The lip adjustments are computer-controlled and linked to in-line thickness measurements. The present invention allows a correct control of the lip by knowing the correspondence between the band whose gauge has to be adjusted and the lip that creates it. To map such correspondence, the contraction of the film is mapped, i.e., by using the neck-in mapping. In particular, the present invention has the advantage that disturbances like film shift, change of production, film contraction or shrinkage that effects randomly a negatively the equation solution f(x) are nullified and cancelled by using the continuous, real-time acquisition of the position of the edges.
1. Apparatus for automatically analyzing extruded films, in particular stretched films, wherein a neck-in portion is formed at each of both edges of the film at a specific distance from an extrusion lip of a film extruder along the longitudinal transport direction of the film, the apparatus comprising: two edge detectors being arranged along a first line substantially transversal to the longitudinal direction and being spaced from each other by a specific distance, wherein each one edge detector is configured to detect, preferably to continuously detect the lateral position of one respective edge of the neck-in portion of the film, a calculation means for determining the spatial relation between at least one lateral position at the extrusion lip and a respective lateral position at the neck-in portion on the basis of the two lateral positions of the edges of the neck-in portion of the film.
2. Apparatus according to claim 1, further comprising: wherein the calculation means determines the width of the film along the first line on the basis of the two lateral positions of the edges of the neck-in portion of the film, and wherein the calculation means determines the spatial relation between the at least one lateral position at the extrusion lip and the respective lateral position at the neck-in portion on the basis of the width of the film along the first line preferably taking into account a width of an opening of the extrusion lip. 3. Apparatus according to any one of preceding claims, further comprising: storage means for storing at least one functional relationship, preferably an equation defining a relationship between at least one lateral position at the extrusion lip and a respective lateral position at the neck-in portion for a reference film having a specific width and thickness at the extrusion lip, wherein preferably the functional relationship is defined for a specific material of the extruded film, and wherein the calculation means corrects the functional relationship on the basis of the two lateral positions of the edges of the neck-in portion of the film or on the basis of the width of the film and wherein the calculation means is further configured to determine the spatial relation between at least one lateral position at the extrusion lip and a respective lateral position at the neck-in portion using the corrected functional relationship. 4. Apparatus according to any one of preceding claims,: wherein the edge detectors comprise optical, capacitive, inductive or acoustical sensors, and wherein preferably the sensors are arranged in an array form, preferably along a linear array of specific length being parallel to the first line, wherein the specific length is in the range of 5 to 100 cm, preferably 10 to 50 cm, and/or: wherein the edge detectors perform a one-side measurement by using reflection or a two-side measurement by using transmission. 5. Apparatus according to any one of preceding claims, further comprising: at least one component for creating at least one mark on the extruded film at at least one lateral position at the extrusion lip in the border region of the first and/or second lateral side of the film and at least one component for detecting the lateral position of the mark at the neck-in portion. 6. Apparatus according to claim 5, wherein the component for creating at least one mark comprises: at least one nozzle means for directing a gas stream, preferably an air stream to a surface of the film exiting the extrusion lip of the film extruder, wherein the nozzle means are configured and arranged in such a manner that the gas stream locally causes a cooling of the extruded film to create a local mark. 7. Apparatus according to any one of preceding claims, wherein the extruder comprises a plurality of adjusting means for adjusting the width of respective portions of the extrusion lip of the extruder, further comprising: means for receiving thickness information of the film along a second line substantially parallel to the first line, wherein preferably the second line is at the same position as the first line or at a position spaced apart from the first line preferably downstream in the longitudinal transport direction, and wherein the calculating means are configured to generate control signals for controlling respective adjusting means of the extruder on the basis of the thickness information and the two lateral positions of the edges of the neck-in portion of the film or the width of the neck-in portion of the film. 8. Apparatus according to any one of preceding claims, further comprising: a frame being arranged substantially along the first line, wherein the frame is configured to support the two edge detectors and preferably to support a gauging instrument for measuring the thickness of the film, wherein more preferably the frame comprises guiding means along which the gauging instrument is movable in both directions such that the gauging instrument is capable to measure the thickness of the film across the whole width of the film. 9. A system for automatically controlling a film extruder in particular for automatically controlling the thickness of extruded films, in particular of stretched films, comprising an apparatus for automatically analyzing extruded films according to any one of the preceding claims comprising: further control means for controlling individual adjusting means for adjusting the local width of respective portions of the extrusion lip of the film extruder on the basis of the analysis of the extruded film.
2837484
Apparatus and method for automatically analyzing extruded films
2
Based on the following detailed description of an invention, generate the patent claims. There should be 6 claims in total. The first, independent claim is given and the remaining 5 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a schematic view of a system according to an embodiment of the present invention. Figure 1 shows a film extruder 1 comprising an elongated extrusion lip 3 and a plurality of adjusting means 5 being arranged adjacent to each other along the width of the extrusion lip. The adjusting means 5 can comprise respective bolts, and the adjusting means can be used for changing the width of the extrusion lip 3 and more specifically wherein individual adjustments can be made to adjust the thickness individually at specific parts of the extrusion lip. Figure 1 further schematically shows an extruded film 7 which exits the extrusion lip 3 and which is rolled on a chill roll 9. As further schematically shown in Figure 1 the extruded film has a wider width at the exit of the film extruder which substantially corresponds to the width of the extrusion lip 3. Due to a thermal contraction the width of the extruded film is smaller when reaching the chill roll 9. More specifically, due to this thermal contraction the extruded film comprises on the left lateral side a neck-in portion 7a and on the right lateral side a neck-in portion 7b. Figure 2 schematically shows a top view of an extruded film coming out from the extrusion lip 3 wherein between the extrusion line Y and a measurement line X a neck-in portion 7a is formed on the left side and a neck-in portion 7b is formed on the right side of the schematic drawing of Figure 2. Figure 2 illustrates that the contraction of the film specifically occurs along the border region of a film on both lateral sides. Figure 2 further shows schematically a number N of adjusting means 5 designated with numbers 1, 2, 3 to 11 and N-10, N-9 to N. In the middle region between the adjusting means 10 to N-9 substantially no thermal contraction occurs. This is again an example. The numbers depend for example on the width of the neck-in area or production and line conditions like viscosity of the material or mechanical dimensions of the production line. The total number of adjusting means N may be in the range of 30 to 350. Therefore, in Figure 2 both lateral sides are shown in an enlarged manner. As can be seen the width of the film along the extrusion line is wider than the width along the measurement line. As can be further seen there is a specific relation of the position of the part of the film exiting for example the adjustment means 1, i.e. the position along the axis Y and the respective position of this part of the film along the measurement line which corresponds to a position along the axis X. In other words, there is a specific function y=f(x). Those parts which exit the extrusion lip 3 at a particular individual adjusting means 5 can be marked with a wax pen or a specific change of the thickness, for example, by changing the width of a specific part of the extrusion lip. Alternatively, a mark can be created by blowing air to the surface of the film. As disclosed and claimed in the above-mentioned co-pending patent application, by using an array of air blows located above the adjusting means, i.e. the bolts on both lateral sides of the film extruder, local film cooling can be caused. The air flow can be simultaneously or individually be directed to the surface of the film such that the film coming out of the extrusion lip 3 is cooled and as a consequence a mark is created on the film having a specific thickness. By using a measurement sensor head 21 along the measurement line X the thickness can be measured and the marks can be detected. This measurement sensor head can move back and forth along the measurement line X to measure the thickness of the film over its whole width. For example, a second frame (not shown) may be arranged above the measurement line X along which the measurement sensor head traverses. As schematically shown in Figure 3, the film thickness profile comprises three peaks on both sides wherein one peak corresponds to a respective mark detected by the measurement sensor head 26 (28). The thickness peaks schematically shown in Figure 3 correspond to linear marks created on respective parts of the film when exiting the extrusion lip. More specifically these marks correspond to respective adjusting means 5 where a nozzle 11 directs a gas stream on the surface of the extruded film. These data can be processed to adjust the function y=f(x) in a way that gives more accuracy in the characterization of the real film contraction. The air blow marking cycle can be initiated manually or automatically. The air blow marking can be used continuously or in the case of events which are significant on the manufacturing of the extruded film in particular significant on the neck-in effect. For example, in case of a change of production, a change of the width of the film, a change of the distance between the dye and the chill roll and a change of vacuum box conditions the air blow marking cycle can be initiated. Figure 4 shows a schematic perspective view of an apparatus for automatically analyzing extruded films according to an embodiment of the present invention. The apparatus as shown in Figure 4 is generally designated with reference numeral 20. It comprises a rectangular frame being supported onto column-like stands, i.e., a first column 21a on the left side (as seen in Figure 4 ) and a second column 21b on the right side of the frame. As schematically shown by arrows A, the vertical position of the frame can be adjusted in height. The frame comprises a first side beam 23a on the left side and a second side beam 23b on the right side. The frame further comprises an upper beam 25 connecting the top ends of the two side beams 23a, 23b. The frame further comprises a lower beam 27 connecting the lower ends of the two side beams 23a, 23b. The apparatus as schematically shown in Figure 4 further comprises a first edge detector 31a on the left side and a second edge detector 31b on the right side, as shown in Figure 4. The edge detectors are configured to perform a two-side measurement by using transmission of light. The first edge detector 31a comprises a lower connecting beam 33a preferably an upright stand for supporting a lower array of optical transmitters 37a. The lower end of the lower connecting beam 33a is connected to one side surface of the lower beam 27 of the frame. As can be seen from Figure 4, the lower stand 33a is positioned in the neighbourhood of the first side beam 23a. At the upper end of the lower connecting beam 33a, one end of the lower array of edge detectors 37a is attached. The lower array of optical transmitters 37a extends in the direction of the second edge detector 31b. The lower array of optical transmitters 37a is substantially in parallel relationship to the lower 27 and the upper beam 25 of the frame. The first edge detector 31a further comprises an upper connecting beam 35a preferably a hanging rod being attached with one end to a side surface of the upper beam 25. The lower end of the upper connecting beam supports an upper array of optical sensors 39a. One end of the upper array of optical sensors 39a is connected to the lower end of the upper connecting beam 35a. The arrangement of the lower array of optical transmitters 37a and the upper array of optical sensors 39a including their respective connecting beams 33a and 35a has a mirror-like relationship with respect to a horizontal plane going through the frame parallel to its lower and upper beam. The second edge detector 31b on the right-hand side of the frame similarly comprises a lower connecting beam 33b for supporting a lower array of optical transmitters 37b and an upper connecting beam 35b for supporting an upper array of optical sensors 39b. The lower and the upper array of optical transmitters/sensors 37b, 39b including their respective connecting beams 33b and 35b are also arranged in a mirror-like relationship with respect to said horizontal surface which goes through the frame. Both edge detectors 31a on the left side and 31b on the right side are arranged with respect to each other in a mirror-like relationship with respect to a vertical surface going through the frame perpendicular to its lower and upper beam. As further shown in Figure 4, an extruded film 7 is guided through a slit-like region present between the lower and the upper arrays of optical transmitters/sensors with a moving direction indicated with the letter "B". The first edge 7a of the film shown on the left side of Figure 4 is positioned between the lower array of optical transmitters 37a and the upper array of optical sensors 39a of the first edge detector 31a. The lateral position of the first edge 7a is positioned between both lateral ends of the lower and the upper array 37a, 39a in such a manner, that the edge detector can detect the lateral position of the edge. Similarly, the second edge 7b of the film 7 on the right side of Figure 4 is positioned between the lower and the upper array of optical transmitters/sensors 37b and 39b of the second edge detector 31b. The apparatus as schematically shown in Figure 4 further comprises a first gauging instrument 26 being supported by the upper beam 25 of the frame. This gauging instrument is movable back and forth in the direction indicated with the letter "C" which is perpendicular to the moving direction "B". In this embodiment, the apparatus comprises a second gauging instrument 28 being supported by the frame. The second gauging instrument is movable back and forth in the same direction as the first gauging instrument but independently from each other. Therefore, the moving direction is indicated with the letter "D". When manufacturing a film with a film extruder, the film can be analyzed by using the apparatus as shown in Figure 4. This apparatus can be arranged along the axis "X" as shown in Figure 2. During the manufacturing process, the film is transported in the direction as indicated by the letter "B" in Figure 4. During the production of the film, the two edge detectors 31a and 31b continuously determine the position of the respective edges 7a and 7b of the films 7. At the same time, the two gauging instruments 26 and 28 are measuring the thickness over the whole width of the film. Thus, the mechanical frame supports the thickness measurement head and the two edge detectors for continuously measuring the positions of the edges. As the position of the edges is monitored in a continuous manner, it is possible to detect the absolute position of a mark which is created on the extruded film when exiting the extrusion lip of the film extruder. The detection of the mark on the one hand and the continuous monitoring of the position of the edges on the other hand has the advantage that a perfect adjustment of a relationship between a lateral position at the extrusion lip and a respective lateral position at the neck-in portion of the extruded film can be determined. More specifically, a functional relationship like the function f(x) can be adjusted in a perfect manner to changes of surrounding conditions. In particular, it can take into account the neck-in changes as function of time, because of the following parameters: line set-up, process conditions, environmental drifts, temperature changes that effect the elongational viscosity of the polymer and production changes. In film extrusion lines necking-in occurs, i.e., a decrease of materials width when it is stretched. The neck-in effect depends on many parameters like elongational viscosity of the film, production recipe parameters (thickness, polymer type etc.), distance between the die and chill roll, wherein the neck-in is a greater problem, when the distance is greater between the die and chill roll, because the polymer curtain travels more in the air gap without support. With the present invention, detecting, measuring and mapping the neck-in is improved. Thus, detecting, measuring and mapping the contraction of the polymer curtain in the cross-direction during the operation can be efficiently used to correctly control the extrusion die and consequently the thickness of the film. Due to the thermal contraction and elastic relaxation described as the neck-in effect, the film or sheet produced by cast process is narrower than the die dimensions and tends to thicken at the edges. Any irregularities in the gauge of the film tend to be magnified when it is rolled up. Gauge variations can produce gauge bands in the roll that can cause difficulties in later converting operations. To minimize the problem of gauge bands, sensors are used to monitor the thickness of the web (or film) on-line, using a scanning measuring head that travels across the film so that thickness can be measured along both the length and the width dimensions. The results of thickness measurements are fed back to the die permitting automatic computer-controlled adjustments to be made in the die dimensions to minimize unevenness in the thickness profile. The dies are equipped with flow modifiers such as restrictor bars and adjustable lips, to adjust for processing variations such as changes in resin, extrusion temperature and flow rates. The lip adjustments are computer-controlled and linked to in-line thickness measurements. The present invention allows a correct control of the lip by knowing the correspondence between the band whose gauge has to be adjusted and the lip that creates it. To map such correspondence, the contraction of the film is mapped, i.e., by using the neck-in mapping. In particular, the present invention has the advantage that disturbances like film shift, change of production, film contraction or shrinkage that effects randomly a negatively the equation solution f(x) are nullified and cancelled by using the continuous, real-time acquisition of the position of the edges.
10. Method for automatically analyzing extruded films, in particular stretched films, wherein a neck-in portion is formed at each of both edges of the film at a specific distance from an extrusion lip of a film extruder along the longitudinal transport direction of the film, comprising the following steps: detecting, preferably continuously detecting the lateral position of one respective edge of the neck-in portion of the film, using two edge detectors being arranged along a first line substantially transversal to the longitudinal direction and being spaced from each other by a specific distance, determining the spatial relation between at least one lateral position at the extrusion lip and a respective lateral position at the neck-in portion on the basis of the two lateral positions of the edges of the neck-in portion of the film using a calculation means.
11. Method according to claim 10, further comprising: determining the width of the film along the first line on the basis of the two lateral positions of the edges of the neck-in portion of the film, and determining the spatial relation between the at least one lateral position at the extrusion lip and the respective lateral position at the neck-in portion on the basis of the width of the film along the first line preferably taking into account a width of an opening of the extrusion lip. 12. Method according to claim 10 or 11, further comprising the steps of: storing at least one functional relationship, preferably an equation defining a relationship between at least one lateral position at the extrusion lip and a respective lateral position at the neck-in portion for a reference film having a specific width and thickness at the extrusion lip, wherein preferably the functional relationship is defined for a specific material of the extruded film, and correcting the functional relationship on the basis of the two lateral positions of the edges of the neck-in portion of the film or on the basis of the width of the film and determining the spatial relation between at least one lateral position at the extrusion lip and a respective lateral position at the neck-in portion using the corrected functional relationship. 13. Method according to any one of claims 10 to 12, further comprising the steps of: creating at least one mark on the extruded film at at least one lateral position at the extrusion lip in the border region of the first and/or second lateral side of the film and detecting the lateral position of the mark at the neck-in portion. 14. Method according to any one of claims 10 to 13, further comprising the steps of: adjusting the width of respective portions of the extrusion lip of the extruder, receiving thickness information of the film along a second line substantially parallel to the first line, wherein preferably the second line is at the same position as the first line or at a position spaced apart from the first line preferably downstream in the longitudinal transport direction, and generating control signals for controlling respective adjusting means of the extruder on the basis of the thickness information and the two lateral positions of the edges of the neck-in portion of the film or the width of the neck-in portion of the film. 15. A method for automatically controlling a film extruder in particular for automatically controlling the thickness of extruded films, in particular of stretched films, comprising the steps of: automatically analyzing extruded films according to any one of claims 10 to 14, and controlling individual adjusting means for adjusting the local width of respective portions of the extrusion lip of the film extruder on the basis of the analysis of the extruded film.
2837485
Apparatus and method for providing marks on extruded films
2
Based on the following detailed description of an invention, generate the patent claims. There should be 9 claims in total. The first, independent claim is given and the remaining 8 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a schematic view of a system according to an embodiment of the present invention which comprises an apparatus for creating marks according to an embodiment of the present invention. Figure 1 shows a film extruder 1 comprising an elongated extrusion lip 3 and a plurality of adjusting means 5 being arranged adjacent to each other along the width of the extrusion lip. The adjusting means 5 can comprise respective bolts, and the adjusting means can be used for changing the width of the extrusion lip 3 and more specifically wherein individual adjustments can be made to adjust the thickness individually at specific parts of the extrusion lip. Figure 1 further schematically shows an extruded film 7 which exits the extrusion lip 3 and which is rolled on a chill roll 9. As further schematically shown in Figure 1 the extruded film has a wider width at the exit of the film extruder which substantially corresponds to the width of the extrusion lip 3. Due to a thermal contraction the width of the extruded film is smaller when reaching the chill roll 9. More specifically, due to this thermal contraction the extruded film comprises on the left lateral side a neck-in portion 7a and on the right lateral side a neck-in portion 7b. Figure 1 further schematically shows the apparatus 10 for providing marks on the extruded film. The apparatus 10 comprises a plurality of nozzles 11 which are arranged close to the extrusion lip and directed to the top surface of the film. Figure 1 schematically shows three nozzles 11 on both lateral sides of the extruded film. In this example, each nozzle 11 is connected via a respective line 13 to a manifold 15. The manifold 15 for distributing gas to the several lines 13 is connected at one end via an electro valve 17 to a compressed air supply 19. The compressed air supply 19 can be connected to a compressor (not shown) for supplying the compressed air. The apparatus 10 comprising the nozzle 11 can be supported by a first frame which is arranged above the extrusion lip 3. As shown in the side view of Figure 2 one air regulator 14 can be provided for each line 13 connecting one nozzle 11 with the manifold 15. As schematically shown in Figures 1 and 2 the nozzles are arranged along a line parallel to the extrusion lip 3. The tip end of the nozzle 11 is arranged adjacent to a respective adjusting means 5 of the film extruder 1. In the example of Figure 1 three nozzles 11 are arranged on both lateral sides of the film, i.e. in a border region of the film. More specifically, as schematically shown the outer most nozzle 11 is arranged on the left side at a specific distance from the left end of the extrusion lip, i.e. it is placed close to the fourth adjusting means from the left side of the extrusion lip. The second and third neighbour nozzle is arranged at equal distances such that the second nozzle 11 is arranged adjacent to the sixth adjusting means 5 and the third nozzle 11 is arranged close to the eighth adjusting means 5. The other three nozzles are similarly arranged on the right lateral side of the extrusion lip. Thus, there is a 1 to 2 correspondence between the number of nozzles on both lateral sides and the respective number of adjusting means in these border regions. This is just an example. Any arbitrary combination can be used, for example, a first nozzle is arranged at the second adjusting means, a second nozzle is arranged at the fifth adjusting means, a third nozzle is arranged at the tenth adjusting means and the fourth nozzle is arranged at the twentieth adjusting means. The arrangement on the other lateral side can be mirror-symmetric but also non-symmetric. Figure 3 schematically shows a top view of an extruded film coming out from the extrusion lip 3 wherein between the extrusion line Y and a measurement line X a neck-in portion 7a is formed on the left side and a neck-in portion 7b is formed on the right side of the schematic drawing of Figure 3. Figure 3 illustrates that the contraction of the film specifically occurs along the border region of a film on both lateral sides. Figure 3 further shows schematically a number N of adjusting means 5 designated with numbers 1, 2, 3 to 11 and N-10, N-9 to N. In the middle region between the adjusting means 10 to N-9 substantially no thermal contraction occurs. This is again an example. The numbers depend for example on the width of the neck-in area or production and line conditions like viscosity of the material or mechanical dimensions of the production line. The total number of adjusting means N may be in the range of 30 to 350. Therefore, in Figure 3 both lateral sides are shown in an enlarged manner. As can be seen the width of the film along the extrusion line is wider than the width along the measurement line. As can be further seen there is a specific relation of the position of the part of the film exiting for example the adjustment means 1, i.e. the position along the axis Y and the respective position of this part of the film along the measurement line which corresponds to a position along the axis X. In other words, there is a specific function y=f(x). Those parts which exit the extrusion lip 3 at a particular individual adjusting means 5 can be marked with the present system by blowing air to the surface of the film. By using an array of air blows located above the adjusting means, i.e. the bolts on both lateral sides of the film extruder local film cooling can be caused. The air flow can be simultaneously or individually be directed to the surface of the film such that the film coming out of the extrusion lip 3 is cooled and as a consequence a mark is created on the film having a specific thickness. By using a measurement sensor head 21 along the measurement line X the thickness can be measured and the marks can be detected. This measurement sensor head can move back and forth along the measurement line X to measure the thickness of the film over its whole width. For example, a second frame (not shown) may be arranged above the measurement line X along which the measurement sensor head traverses. As schematically shown in Figure 4 the film thickness profile comprises three peaks on both sides wherein one peak corresponds to a respective mark detected by the measurement sensor head 21. The thickness peaks schematically shown in Figure 4 correspond to linear marks created on respective parts of the film when exiting the extrusion lip. More specifically these marks correspond to respective adjusting means 5 where a nozzle 11 directs a gas stream on the surface of the extruded film. These data can be processed to adjust the function y=f(x) in a way that gives more accuracy in the characterization of the real film contraction. The air blow marking cycle can be initiated manually or automatically. The air blow marking can be used continuously or in the case of events which are significant on the manufacturing of the extruded film in particular significant on the neck-in effect. For example, in case of a change of production, a change of the width of the film, a change of the distance between the dye and the chill roll and a change of vacuum box conditions the air blow marking cycle can be initiated.
10. A method for providing marks on extruded films, in particular on stretched films, which are exiting an extrusion lip of a film extruder, comprising the step of: directing at least one gas stream, preferably an air stream to a surface of the film exiting the extrusion lip of the film extruder using at least one nozzle means, in such a manner that the gas stream locally causes a cooling of the extruded film to create a local mark.
11. Method according to claim 10, comprising the further step of: directing two or more gas streams to the surface of the film either simultaneously or at different time periods by using an array of a plurality of nozzle means, wherein the array is preferably a linear array and further preferably wherein the nozzle means are arranged at predetermined distances from each other, more preferably at equal distances from each other. 12. Method according to claim 10 or 11, wherein the step of directing a gas stream comprises: blowing a gas jet to the surface of the film, the gas jet having a predetermined cross-section and further preferably the gas jet being suitable to provide a local cooling at a part of the film material, wherein the part has a predetermined dimension, preferably a predetermined diameter or a predetermined width and length. 13. Method according to any one of claims 10 to 12, wherein the step of directing a gas stream comprises: directing the gas stream to a local position within one or both border regions of the film, preferably further comprising the steps of: directing a plurality of gas streams to one or both border regions of the film, wherein one or both linear arrays of gas nozzles are arranged substantially along a line parallel to the extrusion lip of the film extruder using a frame which is adapted to support the linear array of nozzle means at one or both ends of the extrusion lip of a film extruder. 14. Method according to any one of claims 10 to 13, further comprising: providing n nozzle means being arranged in a linear array, wherein n is preferably in the range of 1 to 30, preferably 2 to 20, more preferably 5 to 10 and further preferably wherein the nozzle means being arranged in a 1 to m correspondence with respect to individual adjusting means for adjusting the local width of the film extruder, wherein preferably m is in the range of 1 to 20 and more preferably 1 to 5. 15. Method according to any one of claims 10 to 14, further comprising: controlling the delivery of gas to each one of the nozzle means by using control means, wherein gas is intermittently provided to at least one or to a specific number of the plurality of nozzle means or to all nozzle means to create an array of dot like marks and/or wherein gas is continuously provided for a predetermined time to at least one or to a specific number of the plurality of nozzle means or to all nozzle means to create an array of linear marks. 16. Method according to any one of claims 10 to 15, further comprising: controlling the temperature of the gas to be lower than the temperature of the film exiting the film extruder and wherein preferably the cooling of the film material results in a detectable mark in the form of a local change of a characteristics of the film, preferably a detectable local thickness variation in comparison to other parts of the film not being cooled by the gas stream. 17. A method for automatically detecting marks on extruded films, in particular on stretched films, comprising: detecting marks on the film, preferably marks in the form of detectable thickness variations of the film by using a detector, wherein the detector preferably comprises a gauging instrument for measuring the thickness of the film, wherein the marks are preferably created using a method according to any one of claims 10 to 16, and determining the lateral position of the detected marks in relation to a reference position preferably to one or both edges of the film by using determining means. 18. A method for automatically controlling a film extruder in particular for automatically controlling the thickness of extruded films, in particular of stretched films, comprising: a method according to any one of claims 10 to 16, a method for detecting marks and for providing information of the lateral position of the marks preferably according to claim 17, measuring the thickness of the film using a gauging instrument, and controlling individual adjusting means for adjusting the local width of the film extruder on the basis of measurement results of the gauging instrument and the information of the lateral position of the marks by using further control means.
2837856
Fluid seal arrangement and method for constricting a leakage flow through a leakage gap
1
Based on the following detailed description of an invention, generate the patent claims. There should be 8 claims in total. The first, independent claim is given and the remaining 7 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 a shows a longitudinal section view of a rotating blade 1 around an axis of rotation R. The blade 1 comprises an inner diameter platform 2 with a knife-edge rim 3. Further a vane 4 is arranged in axial direction next to the blade 1 which is a stationary component and provides at its outer diameter also a platform 5 having a knife-edge rim 6 which borders together with the knife-edge rim 3 of the blade 1 a rim cavity 7. To reduce leakage flow 8 passing through the rim cavity 7 a honeycomb-structure 9 is attached at the platform 5 of the vane 4 for reducing the gap between platform 5 and the tip of the knife-edged rim 3 of the blade. Due to abrassive effects on the honeycomb-structure 9 which occur during operation a leakage flow 8 passing through the rim cavity 7 is unavoidable. To reduce or restrict the leakage flow 8 partially or in a preferred manner completely it is inventively proposed to branch off a part of cooling air 10 which is fed through a cooling channel 11 inside the vane 4 into a feed line 12 which opens at a nozzle opening 13 to inject a part of the cooling air 10 as a fluid flow 14 having a flow direction transversely to the leakage flow 8 passing the rim cavity 7. The fluid flow 14 which is injected into the rim cavity 7 constricts significantly the leakage flow 8 and acts like a fluid dynamical volumetric blockage inside the rim cavity 7. Figure 1 a discloses the use of cooling air 10 which is fed through a cooling channel 11 and which leaves the cooling channel 11 at an opening 15 into the flow path of the flow rotary machine mainly. At least a part of the cooling air 10 will be used to establish a fluid blockage within the rim cavity 7. Figure 1 b shows a cross section along the cut line A-A in Figure 1 a. The rotational component 16 which corresponds to the blade 1 and it's platform 2 rotates around the axis of rotation R with an orientation of rotation in anticlockwise direction. A stationary component 17, which corresponds to the vane 4 encircles the rotational component 16 enclosing a leakage gap 18, which corresponds to the rim cavity 7. To reduce leakage flow through the leakage gap 18 a multitude of nozzle openings 13 is arranged at the stationary component 17. Each of the nozzle opening 13 provides a nozzle axis 19 along which a fluid flow 14 emanates through each of the nozzle openings 13. The nozzle axis 19 encloses an angle +α with the radial direction 20 relative to the axis of rotation R. In case of the nozzle openings 13 the nozzle axis 19 is directed such that fluid flow 14 which impacts on the rotational component 16 enhances the momentum of rotation of the rotational component 16. In this case the nozzle axis is inclined in direction of rotation of the rotational component. To optimize enhancement of the momentum of rotation the angle α shall be within the angle range of 5° ≤ a ≤ 50°. Further Figure 1 b shows another possibility of arrangement of a nozzle opening 13' having a nozzle axis 19' which is inclined against the direction of rotation of the rotational component 16. By injecting a fluid flow through the nozzle opening 13' the fluid flow acts onto the rotational component such that the momentum of rotation will be decreased. Therefore the angle α is of negative value and can be within the angle range -5 ° ≤ α ≤ -50° depending on the amount of decelerating effect on the momentum of rotation of the rotating component 16. Both illustrated cases, i.e. the nozzle arrangement at the rotating or stationary side, can be applied depending on individual situations of operations of a rotational flow machine as well in combination or alternatively. Figure 2a shows another embodiment in longitudinal section view. Here the rotating blade 1 provides an inner diameter platform 2 which borders a leakage gap 18 with the platform 5 of a vane 4. To constrict leakage flow 8 through the leakage gap 18 a fluid flow 14 is injected through the nozzle opening 13 of the feed line 12 which is supplied by cooling air 10 which cools the platform 5 of the vane 4 by impingement cooling. Hereto an impingement sheet 21 is arranged within a cooling system inside the platform 5 of the vane 4. Figure 2b shows a longitudinal section through a blade 1 which provides a cooling channel 11 for cooling the air foil of the blade 1. Hereto cooling air 10 enters the cooling channel 11 at the foot section of the blade 1. Close before emanating into the main flow path of the flow machine at the rear edge 22 of the blade 1 a portion of the cooling air 10 enters a feed line 12 for injecting as a fluid flow 14 through the nozzle opening 13 into the leakage gap 18 bordered by the platforms 2 and 5 of the blade 1 and the vane 4. The fluid flow 14 constricts the leakage flow 8 significantly. Figure 2c shows a longitudinal section through a part of an airfoil of a blade 1 including a cooling channel 11. At the tip 23 of the blade 1 a nozzle opening 13 is provided through which a portion of cooling air 10 is injected as the fluid flow 14 into the leakage gap 18 bordered by the tip 23 of the blade 1 and a stationary component 17, for example a heat shield element. #### List Of References Numerous - 1: blade - 2: platform of the blade - 3: knife-edge rim - 4: vane - 5: platform of the vane - 6: knife-edged rim - 7: rim cavity - 8: leakage-flow - 9: honeycomb-structure - 10: cooling medium, cooling air - 11: cooling channel - 12: feed line - 13, 13': nozzle opening - 14: fluid flow - 15: opening of the cooling channel - 16: rotational component - 17: stationary component - 18: leakage gap - 19: nozzle axis - 20: radial direction - 21: impingement sheet - 22: rear edge of the blade - 23: tip of the blade
1. Fluid seal arrangement for constricting a leakage flow (8) directed through a leakage gap (18) bordered by a rotational (16) and a stationary component (17) comprising at least one nozzle opening (13) in the rotating and/or stationary component (16, 17) facing towards the rotating or stationary component of an opposite side of the leakage gap (18) respectively in order for injecting a liquid or gaseous fluid flow (14) through the nozzle opening (13) into the leakage gap (18), characterized in that said at least one nozzle opening (13) is fluidly connected to a cooling channel (11) inside said rotating and/or stationary component (16, 17), so that said fluid flow (14) emanating at the nozzle opening (13) consists of a cooling fluid of the rotating and/or stationary component (16, 17) exclusively.
2. Fluid seal arrangement according to the preamble of claim 1 or to claim 1, characterized in that the at least one nozzle opening (13) provides a nozzle axis (19) along which the fluid flow (14) is directed, and said nozzle axis (19) is tilted relative to a radial direction (20) of an axis of rotation (R) of the rotational component (16) such that a momentum of rotation of the rotating component (16) is enhanced or decreased by the fluid flow (14) emanating the at least one nozzle opening (13) and impacting on the rotating or stationary component (16, 17) being opposite of the leakage gap (18) to said nozzle opening (13). 3. Fluid seal arrangement according to claim 2, characterized in that the at least one nozzle opening (13) is arranged at the stationary component (17) with a nozzle axis (19) including an angle α ≠ 0°with a radial direction (20) crossing the nozzle opening (13) such that the nozzle axis (20) is inclined in or opposite to the rotational direction of the rotational component (16) and/or the at least one nozzle opening (13) is arranged at the rotational component (16) with a nozzle axis (19) including an angle β ≠ 0°with a radial direction (20) crossing the nozzle opening (13) such that the nozzle axis (19) is inclined in or against the rotational direction of the rotational component (16). 4. Fluid seal arrangement according to claim 3, characterized in that for α and β shall apply: α is equal to β or α is unequal to β and: 0° < α, β < ± 90°, preferably ± 5° ≤ α, β ≤ ± 50°. 5. Rotational flow machine with a fluid seal arrangement according to one of the claims 1 to 4, characterized in that the rotational flow machine is a compressor or a turbine stage in a gas turbine arrangement and the at least one rotational component (16) is a blade (1) or a section of a surface of a rotor and the at least one stationary component (17) is a housing or a component connected to the housing directly or indirectly, preferably a vane (4), a heat shield element or a combustor liner. 6. Rotational flow machine according to claim 5, characterized in that the leakage gap (18) is bordered by a tip (23) of the blade (1) providing said at least one nozzle opening (13) and the housing or a component connected to the housing of the rotational flow machine, and the at least one nozzle opening (13) is connected to a cooling channel (11) inside the blade (1) for cooling the blade (1) and /or the leakage gap (18) is bordered by a tip (23) of the blade (1) and the housing or a component connected to the housing of the rotational flow machine, whereby the housing or the component provides the at least one nozzle opening (13), and the at least one nozzle opening (13) is connected to a cooling channel (11) inside the housing or the component connected to the housing for cooling the housing or the component. 7. Rotational flow machine according to claims 5, characterized in that the leakage gap (18) is bordered by a section of a radially inner platform (2) of the blade (1) and a section of a radially outer platform (5) of a vane (4), and the at least one nozzle opening (13) is at the blade's (1) and/or vane's (4) section side and connected to a cooling channel (11) for cooling the blade (1) or vane (4) respectively. 8. Rotational flow machine according to claims 6 or 7, characterized in that the cooling channel (11) is a convective cooling channel or includes an impingement cooling arrangement.
2837856
Fluid seal arrangement and method for constricting a leakage flow through a leakage gap
2
Based on the following detailed description of an invention, generate the patent claims. There should be 3 claims in total. The first, independent claim is given and the remaining 2 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 a shows a longitudinal section view of a rotating blade 1 around an axis of rotation R. The blade 1 comprises an inner diameter platform 2 with a knife-edge rim 3. Further a vane 4 is arranged in axial direction next to the blade 1 which is a stationary component and provides at its outer diameter also a platform 5 having a knife-edge rim 6 which borders together with the knife-edge rim 3 of the blade 1 a rim cavity 7. To reduce leakage flow 8 passing through the rim cavity 7 a honeycomb-structure 9 is attached at the platform 5 of the vane 4 for reducing the gap between platform 5 and the tip of the knife-edged rim 3 of the blade. Due to abrassive effects on the honeycomb-structure 9 which occur during operation a leakage flow 8 passing through the rim cavity 7 is unavoidable. To reduce or restrict the leakage flow 8 partially or in a preferred manner completely it is inventively proposed to branch off a part of cooling air 10 which is fed through a cooling channel 11 inside the vane 4 into a feed line 12 which opens at a nozzle opening 13 to inject a part of the cooling air 10 as a fluid flow 14 having a flow direction transversely to the leakage flow 8 passing the rim cavity 7. The fluid flow 14 which is injected into the rim cavity 7 constricts significantly the leakage flow 8 and acts like a fluid dynamical volumetric blockage inside the rim cavity 7. Figure 1 a discloses the use of cooling air 10 which is fed through a cooling channel 11 and which leaves the cooling channel 11 at an opening 15 into the flow path of the flow rotary machine mainly. At least a part of the cooling air 10 will be used to establish a fluid blockage within the rim cavity 7. Figure 1 b shows a cross section along the cut line A-A in Figure 1 a. The rotational component 16 which corresponds to the blade 1 and it's platform 2 rotates around the axis of rotation R with an orientation of rotation in anticlockwise direction. A stationary component 17, which corresponds to the vane 4 encircles the rotational component 16 enclosing a leakage gap 18, which corresponds to the rim cavity 7. To reduce leakage flow through the leakage gap 18 a multitude of nozzle openings 13 is arranged at the stationary component 17. Each of the nozzle opening 13 provides a nozzle axis 19 along which a fluid flow 14 emanates through each of the nozzle openings 13. The nozzle axis 19 encloses an angle +α with the radial direction 20 relative to the axis of rotation R. In case of the nozzle openings 13 the nozzle axis 19 is directed such that fluid flow 14 which impacts on the rotational component 16 enhances the momentum of rotation of the rotational component 16. In this case the nozzle axis is inclined in direction of rotation of the rotational component. To optimize enhancement of the momentum of rotation the angle α shall be within the angle range of 5° ≤ a ≤ 50°. Further Figure 1 b shows another possibility of arrangement of a nozzle opening 13' having a nozzle axis 19' which is inclined against the direction of rotation of the rotational component 16. By injecting a fluid flow through the nozzle opening 13' the fluid flow acts onto the rotational component such that the momentum of rotation will be decreased. Therefore the angle α is of negative value and can be within the angle range -5 ° ≤ α ≤ -50° depending on the amount of decelerating effect on the momentum of rotation of the rotating component 16. Both illustrated cases, i.e. the nozzle arrangement at the rotating or stationary side, can be applied depending on individual situations of operations of a rotational flow machine as well in combination or alternatively. Figure 2a shows another embodiment in longitudinal section view. Here the rotating blade 1 provides an inner diameter platform 2 which borders a leakage gap 18 with the platform 5 of a vane 4. To constrict leakage flow 8 through the leakage gap 18 a fluid flow 14 is injected through the nozzle opening 13 of the feed line 12 which is supplied by cooling air 10 which cools the platform 5 of the vane 4 by impingement cooling. Hereto an impingement sheet 21 is arranged within a cooling system inside the platform 5 of the vane 4. Figure 2b shows a longitudinal section through a blade 1 which provides a cooling channel 11 for cooling the air foil of the blade 1. Hereto cooling air 10 enters the cooling channel 11 at the foot section of the blade 1. Close before emanating into the main flow path of the flow machine at the rear edge 22 of the blade 1 a portion of the cooling air 10 enters a feed line 12 for injecting as a fluid flow 14 through the nozzle opening 13 into the leakage gap 18 bordered by the platforms 2 and 5 of the blade 1 and the vane 4. The fluid flow 14 constricts the leakage flow 8 significantly. Figure 2c shows a longitudinal section through a part of an airfoil of a blade 1 including a cooling channel 11. At the tip 23 of the blade 1 a nozzle opening 13 is provided through which a portion of cooling air 10 is injected as the fluid flow 14 into the leakage gap 18 bordered by the tip 23 of the blade 1 and a stationary component 17, for example a heat shield element. #### List Of References Numerous - 1: blade - 2: platform of the blade - 3: knife-edge rim - 4: vane - 5: platform of the vane - 6: knife-edged rim - 7: rim cavity - 8: leakage-flow - 9: honeycomb-structure - 10: cooling medium, cooling air - 11: cooling channel - 12: feed line - 13, 13': nozzle opening - 14: fluid flow - 15: opening of the cooling channel - 16: rotational component - 17: stationary component - 18: leakage gap - 19: nozzle axis - 20: radial direction - 21: impingement sheet - 22: rear edge of the blade - 23: tip of the blade
9. Method for constricting a leakage flow through a leakage gap (18) bordered by at least one rotational (16) and at least one stationary component (17) by injecting a liquid or gaseous fluid flow (14) into the leakage gap (18) with a direction of flow being transversely to the direction of flow of the leakage flow (18), characterized in that the liquid or gaseous fluid flow (14) serves as a cooling medium for cooling the rotational and/or a stationary component (16, 17) first before entering the leakage gap (18).
10. Method according to the preamble of claim 9 or to claim 9, characterized in that the liquid or gaseous fluid flow (14) is directed into the leakage gap (18) such that a momentum of rotation of the rotational component (16) is enhanced or reduced by an impact of the liquid or gaseous fluid flow (14) with the rotational and/or stationary component (16, 17). 11. Method according to claims 9 or 10, characterized in that the rotational and stationary components (16, 17) are part of a rotary flow machine like a compressor or a turbine stage of a gas turbine arrangement.
2837795
Improved intake arrangement in gas turbine power plant
1
Based on the following detailed description of an invention, generate the patent claims. There should be 10 claims in total. The first, independent claim is given and the remaining 9 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
For a thorough understanding of the present disclosure, reference is to be made to the following detailed description, including the appended claims, in connection with the above described drawings. In the following description, for purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of the present disclosure. It will be apparent, however, to one skilled in the art that the present disclosure can be practiced without these specific details. In other instances, structures and apparatuses are shown in block diagrams form only, in order to avoid obscuring the disclosure. Reference in this specification to "one embodiment," "an embodiment," "another embodiment," "various embodiments," means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the present disclosure. The appearance of the phrase "in one embodiment" in various places in the specification are not necessarily all referring to the same embodiment, nor are separate or alternative embodiments mutually exclusive of other embodiments. Moreover, various features are described which may be exhibited by some embodiments and not by others. Similarly, various requirements are described which may be requirements for some embodiments but may not be of other embodiment's requirement. Although the following description contains many specifics for the purposes of illustration, anyone skilled in the art will appreciate that many variations and/or alterations to these details are within the scope of the present disclosure. Similarly, although many of the features of the present disclosure are described in terms of each other, or in conjunction with each other, one skilled in the art will appreciate that many of these features can be provided independently of other features. Accordingly, this description of the present disclosure is set forth without any loss of generality to, and without imposing limitations upon, the present disclosure. Further, the relative terms, such as "first," "second," "third" and the like, herein do not denote any order, elevation or importance, but rather are used to distinguish one element from another. Further, the terms "a" and "an" herein do not denote a limitation of quantity, but rather denote the presence of at least one of the referenced item. Figures 1 to 3B, illustrate various examples of an intake arrangement 100 and components thereof adapted to be configured in relation to a gas turbine engine 10of a gas turbine power plant 1000 ("plant 1000"). The gas turbine engine 10 includes a compressor 12 with a compressor blading 14 configured there on. Figures 1 and 2, respectively, illustrate examples of the plant 1000 and a portion of the plants 1000 depicting the compressor 12 along with the passive intake arrangement 100. Further, Figures 3A and 3B illustrate various examples of components the passive intake arrangement 100, in various views. In as much as the construction and arrangement of the passive intake arrangement 100, and the plant 1000 in which relation the passive intake arrangement 100 is adaptable and used, various associated elements may be well-known to those skilled in the art, it is not deemed necessary for purposes of acquiring an understanding of the present disclosure that there be recited herein all of the constructional details and explanation thereof. Rather, it is deemed sufficient to simply note that as shown in Figures 1 to 3B, in the passive intake arrangement 100, and the plant 1000 in which relation the passive intake arrangement 100 are adaptable, only those components are shown that are relevant for the description of various embodiments of the present disclosure. Referring to Figure 1, a diagrammatic illustration of certain elements of the plant 1000 with flue gas recirculation is illustrated, in accordance with an exemplary embodiment of the present disclosure. The plant 1000 includes the gas turbine engine 10 having the compressor 12 and the compressor blading 14 (shown in Figure 2 ) configured thereon. The compressor 12 is adapted to receive air stream 1, which is compressed in the compressor 12 for being delivered to a combustion chamber 18 and where the fuel is burnt there with such compressed air. The hot combustion gases are subsequently expanded in a turbine 20. The useful energy generated in the turbine 20 is then converted into electrical energy via generators. Hot flue gases emerging from the turbine 20 may be used, for optimal utilization of the energy still contained in them, in a waste Heat Recovery Steam Generator (HRSG) 22. Further, the flue gas stream from the waste HRSG 22 may be divided into two portions, wherein one portion of the flue gas 2 may be recirculated into the intake arrangement 100 for being mixed with the air stream 1 there for being delivered to the compressor 12. Before mixing the recirculated flue gas 2 with the air stream 2, it is essentially required to condition the flue gas in terms of cleaning and cooling at a cleaning and cooling section 23. Further, unreturned remaining portion may be discharged into the surroundings through a chimney 24 or may be used for other purposes, either before or after cooling and cleaning. In Figure 2, an example of the intake arrangement 100 is illustrated, which may be utilized for enhancing mixing the air with the re-circulated flue gases to the compressor 12. The intake arrangement 100 is shown to include a manifold 110 and a converging section 130 configured on the manifold 110. The manifold 110 may include an elongated configuration having a first end portion 112, and a second end portion 114 distal from the first end portion 112. The manifold 110 is arranged in such a manner that the second end portion 114 of the manifold 110 being proximate to the compressor 12. The manifold 110 is configured to be divided into two or more sections, such as sections 118, 120 to convey flue gas streams and air streams. In one embodiment of the present disclosure, the manifold 110 may be divided into only two sections 118, 120, as known in the art. For that purpose, the manifold 110 includes one barrier 116. The barrier 116 divides the manifold 110 into the two sections 118, 120 extending from the first end portion 112 to the second end portion 114. Further, by the section 118 there conveys a flue gas stream, and, from the other section 120, there conveys an air stream. In another embodiment of the present disclosure, the manifold 110 may be divided into various sections, such as various sections 118, and various sections 120. For that purpose, the manifold 110 may include various barriers, such as the barrier 116, configured to divide the manifold 110 into the plurality of sections, such as various sections 118 and various sections 120 as above, to convey, from one-set of the plurality of sections 118, the flue gas streams, and, from other-set of the plurality of sections 120, the air streams. Further, the converging section 130 of the intake arrangement 100 is configured along the second end portion 114 of the manifold 110. The converging section 130 may be extending convergingly to the compressor 12 and defining an inlet 16 to the compressor blading 14. The converging section 130 includes inner and outer ring members 132, 134 (hereinafter also referred to as 'ring members 132, 134') disposed convergingly and coaxially to each other. Further, between the ring members 132, 134 there extends, coaxially and convergingly, the barrier 116 to at least up to a distance, such as up to 136, in the converging section 130, defining a converging nozzle 140 therebetween. There may be an aerofoil profile element configured in conjunction to the ring members 132, 134 and barriers 116 to provide structural support thereto. The converging nozzle 140 includes a mixing feature 144, as shown in Figures 3A and 3B, and will be explained in conjunction thereto herein below. In an embodiment, where there are various barriers, such as barrier 116, each barrier extends convergingly between ring members, such as the ring members 132, 134, to at least up to a distance, such as the distance 136 in a converging section, such as the converging section 130, defining a plurality of converging nozzle, such as the converging nozzle 140, therebetween, and each having a mixing feature, such as the mixing feature 144. Herein for the sake of brevity and simplicity, the intake arrangement 100 will be described in relation to the manifold 110 where only two sections 118, 120 are configured, and that all the description with that regards is considered to extend to the various sections 118, and the various sections 120. The mixing feature 144 is adapted to provide turbulence in the flue gas and air streams upon deployment therefrom, creating a region of high vorticity 'R' in the converging section 130. Specifically, the mixing feature 144 is adapted to promote mixing in the inlet 16 at a point where the air and flue gas streams make contact and along the region of high vorticity 'R.' The flow of the mixed air and flue gas streams from the region of high vorticity 'R' that enters the compressor blading 14 may be much closer to uniform in temperature, pressure, velocity and composition, which may have effect of mitigating the adverse effects on the compressor 12 operating range, surge margin and efficiency. The distance 136 up to which the barrier 116 extends within the converging section 130 may be up to any suitable location posterior to the region of high vorticity 'R.' Referring now to Figures 3A and 3B, various examples of the mixing feature 144 and its configurations are illustrated. The mixing feature 144 may be adapted circumferentially along the converging nozzle 140. In one embodiment of the present disclosure, the mixing feature 144 may be configured along a circumferential edge 146 of a converging side 142 of the converging nozzle 140. Such mixing features 144 extend from the circumferential edge 146 to up to a certain portion against the converging side 142. However, without departing from the scope of the present disclosure, the mixing feature 144 may be configured along any portion of the converging nozzle 140, apart from the converging side 142 or circumferential edge 146, to obtain the desired flue gas and air mixture. In one embodiment, as shown in Figure 3A, the mixing feature 144 includes a combination of alternating and adjoining troughs 150 and ridges 152, respectively, bend in and bent out from a plain of the converging nozzle 140. The combination of the troughs 150 and the ridges 152 may be at least one of a wavy and conical shaped configuration. As depicted in Figure 3A, the combination of the troughs 150 and the ridges 152 is wavy; however, without departing from the scope of the present disclosure, the combination of the troughs 150 and the ridges 152 may be conical. In another embodiment, as shown in Figure 3B, the mixing feature 144 includes a combination of alternating and adjoining peaks 160 and depths 162 configured along the plain of the converging nozzle 140. The combination of alternating and adjoining peaks 160 and depths 162 may be at least one of a wavy and conical shaped configuration. Specifically, in Figure 3B, the conical configuration is depicted. However, without departing from the scope of the present disclosure, various other configurations of the mixing feature 144, apart from what are disclosed, may be also be possible and shall considered to be part of the disclosure. For example, in further embodiment of the present disclosure, the mixing feature 144 may be obtained by a plurality of additional surfaces disposed along the converging nozzle 140 in order to create a tip vortex therealong to enhance mixing of the flue gas and air streams. Various such other configurations of the mixing feature 144 that are capable of re-energizing the flue gas and air streams by the way of creating the tip vortex to enhance mixing of the flue gas and air streams may also be possible. Such configuration of the mixing feature 144 may include any uneven or zigzag shape, ridges only bent outside or bent only inside from a plain of such nozzle, or any other pattern. The intake arrangement 100 of the present disclosure is advantageous in various respects. The intake arrangement of the present disclosure is an improved intake arrangement with enhanced mixing of the re-circulated flue gas and fresh ambient air in gas turbine power plants. Specifically, the mixing feature is adapted to provide turbulence in the flue gas and air streams upon creating a region of high vorticity prior to the compressor blading. Such mixing feature promotes mixing at the point where the air and flue gas streams make contact and along the region of high vorticity. Flow of the mixed air and flue gas from the region of high vorticity 'R' that enters the compressor blading is much closer to uniform in terms of temperature, pressure, velocity and composition, which mitigate the adverse effects on the compressor operating range, surge margin and efficiency. Further, the improved intake arrangement is effective in mixing the re-circulated flue gas and the fresh ambient air in the gas turbine power plants in an adaptable and economical manner. The mixing feature as described herein above has no impedance on any of the components of the power plant rather it is advantageous in various respects as described above.
1. An intake arrangement 100 for a gas turbine engine 10 having a compressor 12 and a compressor blading 14 configured thereon, the intake arrangement 100, comprising: a manifold 110 having first and distal second end portions 112, 114, the second end portion 114 being proximate to the compressor 12, the manifold 110 having at least one barrier 116 configured to divide the manifold 110 into at least two sections 118, 120 extending from the first 112 to the second end portion 114, to convey, from the at least one section 118, a flue gas stream, and, from the at least other section 120, an air stream, entering from the first end portion 112; and a converging section 130 configured along the second end portion 114 of the manifold 110 and extending convergingly to the compressor 12 and defining an inlet 16 to the compressor blading 14, the converging section 130 having inner and outer ring members 132, 134 disposed coaxially to each other, wherein between the inner and outer ring members 132, 134 there extends, coaxially and convergingly, the at least one barrier 116 to at least up to a distance 136 in the converging section 130, defining a converging nozzle 140 therebetween, wherein the converging nozzle 140 comprises a mixing feature 144 adapted to provide turbulence in the flue gas and air streams upon deployment therefrom, creating a region of high vorticity 'R' in the converging section 130 to promote mixing of the flue gas and air streams to convey mixed composition of the flue gas and air streams to the compressor blading 14, improving the compressor 12 operating range.
2. The intake arrangement 100 as claimed in claim 1, wherein the at least one barrier 116 of the manifold 110 is adapted to comprises a plurality of barriers 116, configured to divide the manifold 110 into a plurality of sections 118, 120 extending from the first 112 to the second end portion 114, to convey, from one-set of the plurality of sections 118, the flue gas streams, and, from other-set of the plurality of sections 120, the air streams. 3. The intake arrangement 100 as claimed in claim 2, wherein the plurality of barriers 116 extends convergingly between the inner and outer ring members 132, 134 to at least up to the distance 136 in the converging section 130, defining a plurality of converging nozzle 140 therebetween, and each having the mixing feature 144. 4. The intake arrangement 100 as claimed in claim 1, wherein the distance 136 up to which the barrier 116 extends within the converging section 130 is posterior to the region of high vorticity 'R.' 5. The intake arrangement 100 as claimed in claim 1, wherein the mixing feature 144 is adapted to be configured circumferentially along the converging nozzle 140. 6. The intake arrangement 100 as claimed in claim 1, wherein the mixing feature 144 comprises: a combination of alternating and adjoining troughs 150 and ridges 152, respectively, bend in and bent out from a plain of the converging nozzle 140. 7. The intake arrangement 100 as claimed in claim 6, wherein the combination of the troughs 150 and the ridges 152 is at least one of a wavy and conical shaped configuration. 8. The intake arrangement 100 as claimed in claim 1, wherein the mixing feature 144 comprises: a combination of alternating and adjoining peaks 160 and depths 162 configured along a plain of the converging nozzle 140. 9. The intake arrangement 100 as claimed in claim 8, wherein the combination of the peaks 160 and the depths 162 is at least one of a wavy and conical shaped configuration. 10. The intake arrangement 100 as claimed in claim 1, wherein the mixing feature 144 comprises a plurality of additional surfaces disposed along the converging nozzle 140.
2838277
Holding unit for a vibration transmitter and a vibration transmission system using it
1
Based on the following detailed description of an invention, generate the patent claims. There should be 12 claims in total. The first, independent claim is given and the remaining 11 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The invention will become more fully understood from the detailed description given herein below. The accompanying drawings are given by way of illustration only, and thus, they are not limitative of the present invention. In the accompanying drawings: - Figure 1: shows a prior art transcutaneous bone conductive hearing aid system comprising a hearing aid device and an implant; - Figure 2: shows a transcutaneous bone conductive hearing aid system according to the invention; - Figure 3 a): shows a schematically view of a percutaneous system; - Figure 3 b): shows a graph illustrating the sensitivity curve of the percutaneous system shown in Figure 3 a); - Figure 3 c): shows a schematically view of a transcutaneous system; - Figure 3 d): shows a graph illustrating the sensitivity curve of the transcutaneous system shown in Figure 3 c); - Figure 4: shows three embodiments of the holding unit according to the invention in order to illustrate different ways to implement impedance relief means; - Figure 5: shows three ways of arranging magnets and a transmission member of a holding unit according to the invention; - Figure 6 a): shows a cross-sectional view of a holding unit configured to adjust the magnetic attraction force; - Figure 6 b): shows a holding unit in which the transmission member has a protrusion member; - Figure 7: shows that the size of the magnets within the holding plate can vary; - Figure 8: is a schematic representation of the dynamics of the transcutaneous system and - Figure 9: shows various bending modes of the parts abutting the skin. Referring now in detail to the drawings for the purpose of illustrating preferred embodiments of the present invention, different views of a holding unit 42 according to the invention is illustrated in Figure 2-7 while a prior art holding unit 42 is illustrated in Figure 1 for comparison purposes. Figure 1 illustrates a cross-sectional view of a prior art hearing aid system 16. The hearing aid system 16 comprises a holding unit 42 having a large holding plate 6 comprising permanent magnets 8 arranged in such a way that they are configured to facing and bearing against the skin 12 of a user that has an implanted magnet 10 under the skin 12 for attachment of the holding unit 42. The hearing aid system 16 also comprises a hearing aid device 2 comprising a vibrator 4 that is adapted to generate and transmit vibrations via a transmission member 46 to the underlying skull bone 14 and through the bone to the cochlear. In the cochlear the vibrations are perceived as sound by the hearing impaired person. The holding plate 6 is configured to act as a speaker membrane that will acoustically emit sound. This acoustical sound path will have negative effects on feedback margins for the hearing aid system 16, and it would be beneficial to reduce the feedback path. The holding plate 6 typically has a relatively large mass that will create a mechanical impedance mismatch when trying to transfer the mechanical vibrations from the vibrator 4 through the skin 12 to the bone 14. Thus, it would be an advantage to create better impedance match between the holding plate (that also function as vibratory plate) and the bone 14. Figure 2 illustrates a cross-sectional view of a transcutaneous bone conductive hearing aid system 16 according to the invention. The hearing aid system 16 is designed to solve the problems associated with the prior art holding unit 42 shown in Figure 1. The hearing aid system 16 comprises a holding unit 42 that has a holding plate 18 that is mechanically "separated" from the plate member 22 that is adapted to transmit vibrations through the skin 12 to the underlying skull bone 14 and trough the bone 14 to the cochlear. Permanent magnets 8 are integrated within the holding plate 18 of the holding unit 42. The holding plate 18 comprises permanent magnets 8 that are arranged in such a way that they are configured to face and bear against the skin 12 of a user that has an implanted magnet 10 under the skin 12 for attachment of the holding unit 42. The holding plate 18 is mechanically "separated" from the plate member 22 by using a soft material 20 that is provided between the holding plate 18 and the plate member 22. The soft material 20 connects the holding plate 18 and the plate member 22; however the flexibility of the material 20 significantly reduces the mechanical coupling between the holding plate 18 and the plate member 22. Accordingly, the holding plate 18 will vibrate much less compared to the prior art hearing aid system 16 shown in Figure 1, in which the holding plate 6 and the vibrator plate 6 is one "stiff unit". By providing a relatively soft material 20 between the holding plate 18 and the plate member 22 (the vibratory plate unit) and at the same time providing the plate member 22 in a relative stiff material, it is possible to "partly disconnect" the weight of the holding plate 18 and the plate member 22. The hearing aid system 16 further comprises a hearing aid device 2 provided with a vibrator 4 that is mechanically connected to the plate member 22 through a vibration member 24. When the vibrator 4 is activated the vibrator 4 will vibrate the plate member 22, which will then vibrate the skin 12. Due to the fact that there is a relatively soft connection provided by using a soft material 20, thinned down section 44 or o-ring connection 48 between the plate member 22 and the holding plate 18, the holding plate 18 will vibrate much less compared to the prior art hearing aid system 16 shown in Figure 1. The O-ring connection 48 shown in fig. 4c may display anisitropical behaviour, such that bending forces which are illustrated by curved arrow M will cause large displacement whereas shear stresses, illustrated by arrows X,Y and Z in various directions will not cause displacement the same amount of displacement. The soft material 20 will isolate the holding plate 18 so that it will vibrate less and therefore radiate less sound. Accordingly, the hearing aid system 16 according to the invention will have a larger feedback margin compared with the described prior art system. The soft connection between the plate member 22 and the holding plate 18 ensures that the plate member 22 will vibrate with a greater amplitude due to the fact that the vibratory mass is much lower compared with described prior art systems. Because of the low stiffness of the skin 12, a larger amplitude can be provided by the plate member 22. Figure 9 shows how the various parts touching the skin may bend. In fig. 4c the holding plate 18 bends and in fig. 4d the plate member 22 bends, whereas in fig. 4b it is the connection 20, 44, 48 between the two which bends. In order to achieve a vibrational de-coupling of the holding plate 18 from the plate member 22 the bending force required to bend the connection 20,44,48 should be at least 3 times smaller than the bending force required to cause a similar impact on the plate member 22 and the holding plate 18. This is achievable by use of softer material or thinned down parts for the connection 20,44,48. Thus, the plate member 22 will have a better impedance match to the skin 12. Accordingly, the holding unit 42 according to the invention is able to provide a better transfer of vibrations from the vibrator through the skin 12 to the bone 14. Therefore, the holding unit 42 according to the invention will increase the sensitivity greatly of such a transcutaneous bone conduction system 16. Figure 3 a) illustrates a schematic view of a percutaneous system where a vibration member 24 bears against the head (skull 14) of a user. The system basically consists of two masses (the mass of the skull 12 and the active moving mass 26 of the vibrator) and one spring 28. Figure 3 b) is a graph 34 illustrating the sensitivity curve of the percutaneous system shown in Figure 3 a). The graph 34 depicts the sensitivity 30 as function of the vibration frequency 32. It can be seen from Figure 3 b) that the system shown in Figure 3 a) only gives rise to one resonance peak. The one resonance of this system can be determined by: [MATHS id=math0001]: where f _res is the resonance frequency, k _vib is the spring constant for the spring 28 and M _vib is the mass 26. Figure 3 c) illustrates a schematic view of a transcutaneous system that comprises three masses (the mass of the skull 14, the active moving mass 26 of a vibrator and the mass of the transmission member 46) and two springs (the vibrator spring 28 and a "skin spring" (not shown) representing the characteristics of the skin 12). The transcutaneous system comprises a holding unit 42 according to the invention. The holding unit 42 comprises a plate member 22 through which vibrations are transmitted to the skull 14 via the skin 12. The holding unit 42 comprises a holding plate 18 configured to keep the plate member 22 attached to the skin 12. This is done by use of corresponding permanent magnets 8, 10 implanted under the skin 12 and in the holding plate 18. Figure 3 d) depicts the sensitivity 30 as function of the vibration frequency 32. The sensitivity curve 34 of the percutaneous system shown in Figure 3 a) is shown with a dotted line, while the sensitivity curve 38 of the transcutaneous system shown in Figure 3 c) is shown with a non-broken line. It can be seen from Figure 3 d) that the system shown in Figure 3 c) gives rise to two resonance peaks. The right resonance peak frequency can be estimated by: [MATHS id=math0002]: where f _res is the resonance frequency, k _vib is the spring constant for the spring 28 and m _coupling is the moving mass i.e. the m _coupling mass is the middle mass between the vibrator spring and the skin spring. In fig. 8 the components which constitutes the m _coupling mass are illustrated with a hatching signature. The fact that the holding plate 18 does not form part of the m _coupling mass makes this mass less heavy compared to the prior art example of fig. 3a and 3b. The second left resonance peak is related to the skin spring constant in the total mechanical system. As seen in the Figure 3 d) the sensitivity curve 38 has a very large high frequency roll-off to the right of the right resonance peak. When the sensitivity curve 38 is compared to the dotted sensitivity curve 34 of the percutaneous system it can be seen that since this system has a large high frequency roll-off, it is desired to push (indicated with an arrow) the right resonance peak up to the highest possible frequency. By reducing the weight of the moving mass (m _coupling ) it is possible to increase the resonance frequency of this peak and minimize high frequency roll-off. The split up between the holding plate 18 and the transmission member 46 helps to keep m _coupling low. Further, by reducing the surface area of the transmission member 46 it is possible to reduce the amplitude of the emitting sound waves from the transmission member 46. This will minimize the risk of feedback in the transcutaneous system as less sound is transmitted to the air. Figure 4 illustrates schematic cross-sectional views of three embodiments of the holding unit 42 according to the invention. Figure 4 shows that it is possible to implement impedance relief means in different ways. Figure 4 a) is a schematic cross-sectional view of a holding unit 42 according to the invention. The holding unit 42 comprises a holding plate 18 with permanents magnets 8 having a north pole N facing the central area of the holding plate 18 and a south pole S arranged to face the north pole of an implanted magnet (see Figure 6-7 ). The holding unit 42 comprises a transmission member 46 having a plate member 22 to which a protrusion member 40 is provided. The protrusion member 40 is provided as a protruding extension of the distal surface of the plate member 22. It is preferred that the plate member 22 is made in a material that is significantly stiffer than the soft material 20. The plate member 22 can, by way of example be made in a plastic material e.g. by an injection moulding process. A soft material 20 is provided between the holding plate 18 and the plate member 22. Accordingly, the holding plate 18 will vibrate much less compared to the prior art hearing aid systems. The soft material 20 isolates the holding plate 18 in such a manner that the holding plate 18 will vibrate less and radiate less sound. Hereby a larger feedback margin can be achieved. Figure 4 b) is a schematic cross-sectional view of another holding unit 42 according to the invention. Like the holding unit 42 shown in Figure 4 a) the holding unit 42 comprises a holding plate 18 with permanents magnets 8 each having a north pole N and a south pole S arranged in the same way. Instead of a soft material (like in Figure 4 a) a thin member 44 is provided between the holding plate 18 and the plate member 22. The thin member 44 may be manufactured in the same material as the plate member 22. It is however, important, that the thin member 44 has thin walls compared with the plate member 22 like illustrated in Figure 4 b). In this manner it is possible to significantly reduce the mechanical coupling between the holding plate 18 and the plate member 22. Accordingly, the holding plate 18 will vibrate much less compared to the prior art hearing aid systems. By providing a thin member 44 between the holding plate 18 and the plate member 22 and at the same time providing the plate member 22 in a relative stiff material it is possible to "partly disconnect" the weight of the holding plate 18 and the plate member 22. Figure 4 c) is a schematic cross-sectional view of further holding unit 42 according to the invention. Like the holding unit 42 shown in Figure 4 a) and Figure 4 b) the holding unit 42 comprises a holding plate 18 with permanents magnets 8 each having a north pole N and a south pole S, however, arranged in the opposite way. O-rings 48 are provided between the holding plate 18 and the plate member 22. Hereby, it is possible to significantly reduce the mechanical coupling between the holding plate 18 and the plate member 22 so that the vibration of the holding plate 18 can be reduced. By providing O-rings 48 between the holding plate 18 and the plate member 22 and at the same time providing the plate member 22 in a relative stiff material it is possible to "partly disconnect" the weight of the holding plate 18 and the plate member 22. It is possible to provide recesses 56 for receiving the O-rings 48 in the holding plate 18 and the plate member 22 as illustrated in Figure 4 c). Figure 5 illustrates three ways of arranging the magnets 8 and a transmission member 46 of a holding unit 42 according to the invention. Figure 5 a) is a front view of a holding unit 42 according to the invention. The holding unit 42 comprises a cylindrical holding plate 18 and a centrally arranged transmission member 46 (it may be a plate member 22 as shown in Figure 2 ). Two cylindrical magnets 8 are arranged near the periphery of the holding unit 42. A ring-shaped member made in soft material 20 is provided between the transmission member 46 and the holding plate 18. As explained with reference to Figure 4 a) the soft material 20 provided between the holding plate 18 and the plate member 22 isolates the holding plate 18 in such a manner that the holding plate 18 will vibrate less and radiate less sound so that a larger feedback margin can be achieved. Figure 5 b) is a front view of another holding unit 42 according to the invention. The holding unit 42 comprises a cylindrical holding plate 18 and a centrally arranged transmission member 46 shaped as a plate member as shown in Figure 2. Three cylindrical magnets 8 are evenly distributed along the periphery of the holding unit 42. A ring-shaped member made as a thin member 44 is provided between the transmission member 46 and the holding plate 18. As explained with reference to Figure 4 b) it is possible to significantly reduce the mechanical coupling between the holding plate 18 and the plate member 22 by providing a thin ring-shaped member 44 between the transmission member 46 and the holding plate 18. Thus, the holding plate 18 will vibrate much less compared to the prior art hearing aid systems. The holding plate 18 will radiate less sound so that a larger feedback margin can be achieved. Figure 5 c) is a front view of a further holding unit 42 according to the invention. The holding unit 42 comprises a cylindrical and centrally arranged magnet 8 and a concentrically arranged cylindrical transmission member 46. A soft material 20 is provided between the transmission member 46 and the magnet 8. The soft material 20 provided between the transmission member 46 and the magnet 8 isolates the transmission member 46 in such a manner that the transmission member 46 will vibrate less and radiate less sound so that a larger feedback margin can be achieved. Figure 6 a) illustrates a cross-sectional view of a holding unit 42 according to the invention. The holding unit 42 comprises a housing 50 provided with a threaded bore. The thread 52 of the bore corresponds to the thread 54 of a magnet 8. The magnet 8 has a north pole N facing the opening of the bore and a south pole S facing the bottom of the bore. The holding unit 42 is configured to adjust the magnetic attraction force by turning the magnet 8 and hereby changing the distance between the magnet 8 and an implanted magnet 10 provided under the skin 12 of the user of the hearing aid system for which the holding unit 42 is configured to be used. The implanted magnet 10 is arranged with the south pole S facing the north pole of the magnet 8 of the holding unit 42. Hereby, the holding unit 42 can be attached to the skin 12 of the user by means of magnetic attraction. Figure 6 b) illustrates a cross-sectional close-up view of a holding unit 42 having a transmission member 46 provided with a protrusion member 40. The protrusion member 40 is adapted to transmit vibrations to the underlying skull bone 14 and trough the bone 14 to the cochlear. In the cochlear the vibrations are perceived as sound by the hearing impaired person. The holding unit 42 comprises a holding plate 18 with integrated magnets 8 facing towards and bearing against the skin 12 of a user having implanted magnets 10. The south poles S of the implanted magnets faces the north poles N of the magnets 8 of the holding plate 18. The holding plate 18 is mechanically separated from the transmission member 46 by means of an O-ring 48 arranged between the holding plate 18 and the transmission member 46. A hearing aid device 2 comprising a vibrator is mechanically connected to the transmission member 46 and configured generate and transmit vibrations to the underlying skull bone 14 and trough the bone 14 to the cochlear. Figure 7 illustrates cross-sectional close-up view of parts of three holding units according to the invention. Each of the holding units comprises a holding plate 18 and a permanent magnet 8 that is integrated within the holding plate 18. Each of the holding units comprises a holding plate 18 comprising a permanent magnet 8 that is arranged in such a way that it is configured to face and bear against the skin 12 of a user that has an implanted magnet 10 under the skin 12 (attached to the bone 14) for attachment of the holding plate 18. In Figure 7 a) the permanent magnet 8 has a height h _1 that approximately corresponds to a fourth of the thickness T of the holding plate 18. Hereby, a relative small magnetic attraction force will be provided between the holding plate 18 and the implanted magnet 10. In Figure 7 b) the permanent magnet 8 has a height h _2 that approximately corresponds to half the thickness T of the holding plate 18. Hereby, a larger magnetic attraction force will be provided between the holding plate 18 and the implanted magnet 10. In Figure 7 c) the permanent magnet 8 has a height h _3 that corresponds to the thickness T of the holding plate 18. Hereby, a very large magnetic attraction force is provided between the holding plate 18 and the implanted magnet 10.
1. A holding unit (42) comprising a holding plate (6, 8, 18) configured to be attached to the skin (12) by means of magnetic attraction between a number of external magnets (8) that are either: a) integrated within the holding plate (6, 18); b) mechanically attached to the holding plate (6, 18) or c) constituting the holding plate (8): and a number of internal magnets (10) implanted under the skin (12) of a hearing impaired person, where the holding unit (42) comprises a transmission member (46) or plate member (22) configured to transfer mechanical vibrations from a vibrator (4) through the skin (12) to the bone (14) of the person characterised in that the transmission member (46) or plate member (22) is interconnected by a mechanical flexible coupling (20, 44, 48) to the magnet(s) (8) of the holding plate (6, 8, 18).
2. A holding unit (42) according to claim 1 characterised in that the holding unit (42) comprises a housing (50) or holding plate (18) in which a number of magnets (8) are provided and that the holding unit (42) moreover comprises a transmission member (46) or plate member (22) that is interconnected by a flexible coupling (20, 44, 48) to the magnet(s) (8) of the housing (50) or holding plate (18. 3. A holding unit (42) according to claim 1 or claim 2 characterised in that the flexible coupling (20, 44, 48) comprises a mechanical connection with a spring property and a damping property. 4. A holding unit (42) according to one of the preceding claims characterised in that the flexible coupling (20, 44, 48) has a bending flexibility which is 3 times or more flexible than the flexibility of the transmission member (46) or the plate member (22). 5. A holding unit (42) according to one of the preceding claims characterised in that the flexible coupling (20, 44, 48) comprises a polymer body which forms a mechanical interface to both the transmission member (46) or plate member (22) and the magnet(s) (8) of the holding plate (6, 8, 18). 6. A holding unit (42) according to claim 5 characterised in that the external magnets (8) comprise an array of individual magnets provided circumferential to the transmission member (46) or plate member (22) and that the internal magnet(s) (10) comprise an array of individual magnets provided circumferential to the transmission member (46) or plate member (22). 7. A holding unit (42) according to claim 6 characterised in that the flexible coupling (20, 44, 48) comprises a body arranged circumferentially with respect to the transmission member (46) or plate member (22) whereby the individual magnets (8) are provided in a housing (50) or holding plate (18) arranged circumferentially with respect to the polymer body. 8. A holding unit (42) according to claim 7 characterised in that three or more spaced apart individual magnets (8) are provided basically evenly spaced in the housing (50) or holding plate (18). 9. A holding unit (42) according to claim 8 characterised in that the magnets (8) of the holding plate (6, 8, 18) are individually adjustable in a direction toward and away from a surface of the housing (50) or holding plate (18). 10. A holding unit (42) according to claim 9 characterised in that the holding unit (42) comprises a housing (50) provided with a threaded bore into which one or more threaded magnets (8) are rotably mounted, so that the distance from the magnet(s) to the opening of the bore can be changed by turning the magnet(s) (8). 11. A vibration transmission system comprising a holding unit (42) according to one of the preceding claims, a hearing aid device (2) comprising a vibrator (4) mechanically connected to the transmission member (46) or plate member (22) by means of a vibration member (24). 12. Vibration transmission system according to claim 11 characterised in that a microphone, a signal processor and a battery is provided in a housing, whereby said housing further accommodates the vibrator (2), and the vibrator (2) is in operational engagement with a proximal end of a rod which extends outside the housing and wherein said rod at a distal end thereof is detachably coupled to the housing (50) or the holding plate (18).
2837887
Combustor of a gas turbine with pressure drop optimized liner cooling
1
Based on the following detailed description of an invention, generate the patent claims. There should be 12 claims in total. The first, independent claim is given and the remaining 11 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Starting with the first embodiment of the claimed invention, it can be seen that the upstream end of the cover plate 11 is a bent to form a nozzle 13. In a longitudinal section the nozzle 13 may be circular and/or parabolic. It may also have shape of the entrance of a laval nozzle. The cooling air flow is illustrated by several arrows 15. For reasons of clarity, not all of these arrows have the reference numeral 15. An arrow 17 shows the general direction of flow of the cooling air in figures 2 to 5 from left to right. In other words: the arrow 17 starts at the upstream end or the beginning of the liner 7 and points towards the downstream end (not shown) of the liner 7. This arrow 17 is parallel to the longitudinal direction of the liner 7. As can be seen from figure 2 this embodiment comprises at the upstream end of the liner 7 several rows of effusion holes 19. Each row of effusion holes 19 is arranged circumferentially around the liner 7. Consequently, from each row in figure 2 only one effusion hole 19 is illustrated in figure 2. As can be further seen from figure 2, the rows of effusion holes 19 extend in axial direction from the beginning of the liner 7 towards the downstream end of the liner 7. The axial extension of these rows of effusion holes 19 is illustrated in figure 2 by means of the line 21. As is illustrated by the line 23 from the beginning of the liner 7 towards the end of the liner 7, the liner 7 is cooled by convective cooling. At the upstream beginning of the liner 7, the convective cooling is achieved by rows of effusion holes 19. These rows of effusion holes extend further downstream than the (beginning of the) nozzle 13. Further downstream from the effusion holes, the convective cooling of the cooling air in the channel 9 is intensified by turbulators 25 on the outer surface of the liner 7. This means that the turbulators 25 cover a part of the wall of the channel 9. Since the effusion holes 19 are drilled under an angle of approximately 30 to 45 degrees to the axial direction of the liner 7 (c. f. arrow 17), they are approximately 1.4 times longer than the local thickness of the liner 7. The angle between the effusion holes 19 and the axial direction of the liner 7 (cf. reference numeral 17) is one possibility to influence the cooling effect of the effusion holes. The longer the effusion holes 19 are, the more intense the convective cooling inside the effusion holes 19 is. Apparently, the number of effusion holes 19 is a further possibility to influence the cooling effect and the cooling air demand for this part of the inventive convective cooling. At the beginning of the convective cooling, the cooling air 15 has a pressure p _in which may be about 17 bars. Due to the unavoidable pressure drops in the channel 9, the cooling air 15 has a reduced pressure p _in minus Δp at the end of the channel 9. Since the nozzle 30 reduces these pressure losses and there is no impingement cooling at all, the pressure drop Δp is significantly lower than in the prior art with partial impingement cooling. The pressure drop Δp according to this embodiment are approximately 1 to 2 per cent of p _in. In conventional cooling systems with partial impingement cooling, the pressure drop Δp is approximately 2-3 per cent of pin. As can be seen from this embodiment by carefully designing the nozzle 13 and by avoiding any impingement cooling, the pressure drop Δp is significantly reduced compared to the prior art with partial impingement cooling. Figure 3 shows a second embodiment of the claimed invention with even longer effusion holes 19. In this embodiment, the effusion holes 19 are drilled at the upstream end of the liner 7. Downstream of a wall 27 the effusion holes 19 are constituted by grooves 29, which may be cast together with the liner 7 and its turbulators 25. These grooves 29 are closed to by a covering 31 resulting in channel-like effusion holes. The covering 31 may be fixed to the liner 7 by screws, welds or fixation pins. By casting the grooves 29, it is possible to extend the length of the effusion holes 19 to far more than 15 mm. 15 mm is a limit for drilling effusion holes 19 by means of a laser, if the liner 7 is made of steel or a temperature resistant alloy. Again, this embodiment has only convective cooling from the beginning of the liner 7. At the upstream end of the liner 7 there is convective cooling inside each effusion hole 19. This embodiment comprises only one row of circumferentially arranged effusion holes 19. These effusion holes 19 are very long compared to the thickness of the liner 7. They may be 5 to 10 times longer than the thickness of the liner 7 due to the possibility of combining a drilled part of the effusion holes 19 with a section of the effusion holes where they are constituted by grooves 29 and their coverings 31. In figure 4, a further embodiment of the claimed invention is shown. Again, the effusion holes 19 are very long compared to the thickness of the liner. In this embodiment, the effusion holes 19 are bent and they also comprise a drilled part (which is at the left at the upstream end of the liner 7) and a second part 33, which may again be manufactured by casting grooves and covering these grooves with a covering. It is also possible to manufacture the whole liner along with the section 33 of the effusion holes 19 and the turbulators 25 by selective laser melting. This method of manufacture comprises locally melting a powder of metal in a way that the liner 7 with its complex geometry including the effusion holes is created by locally melting the powder of metal. Selective laser melting is a method that is known to a man skilled in the art and therefore is not described in detail in this application. In this embodiment, the section 33 ends in longitudinal direction at the beginning of the nozzle 13. It is also possible to elongate the section 33 until it extends into the channel 9. Again, there is only convective cooling of the liner 7, which results in reduced pressure drop Ap. Figure 5 shows a further embodiment with a very long effusion hole 19 compared to the local thickness of the liner 7. To be able to manufacture effusion holes 19 that are more or less parallel to a surface 35 of the liner 7 makes it necessary in some cases to raise the thickness of the liner in the upper part where effusion takes please (the bar 21 in figures 3 to 5 ).
1. Combustor of a gas turbine comprising a liner (7) and a cover plate (11), wherein the liner (7) and the cover plate (11) border a channel (9) for cooling air, characterized in, that at the upstream beginning of the channel (9) the cover plate (11) has the shape of a nozzle (13).
2. Combustor according to claim 1, characterized in, that the liner (7) comprises effusion holes (19) and in, that a length of at least one of the effusion holes (19) is more than 1.4 times a local thickness of the liner (7). 3. Combustor according to one of the foregoing claims, characterized in, that the length of at least one of the effusion holes (19) is greater than 15 mm. 4. Combustor according to one of the foregoing claims, characterized in, that at least some of the effusion holes (19) are partially bordered by a groove (29) in the liner (9) and a covering (31). 5. Combustor according to one of the foregoing claims, characterized in, that over a section of the effusion holes (19) their longitudinal axis is parallel to at least one surface of the liner (7). 6. Combustor according to claim 5, characterized in, that in this section the liner (7) has a greater thickness than in a channel section of the liner (7). 7. Combustor according to one of the foregoing claims, characterized in, that the cover plate (11) or the nozzle (13) extends in axial direction over at least one row of effusion holes (19). 8. Combustor according to one of the foregoing claims, characterized in, that the rows of effusion holes (19) extend in axial direction of the liner (7) over a length of more than 5 cm, preferably more than 10 cm or more than 15 cm. 9. Combustor according to one of the foregoing claims, characterized in, that the liner (7) is made by casting or by selective laser melting. 10. Combustor according to claim 9, characterized in, that the effusion holes (19) are at least partially generated during the casting or the selective laser melting. 11. Combustor according to one of the foregoing claims, characterized in, that the channel (9) for cooling air is annular. 12. Gas turbine comprising al least one compressor, at least one combustor (2, 5) at least one turbine, characterized in, that the the at least one combustor (2, 5), is a combustor according to one of the foregoing claims.
2837883
Premixed second stage can annular combustor with mixing lobes for of a sequential gas turbine
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Figure 1 shows a reheat burner arrangement 100 which incorporates a center body 101. The shown center body starts upstream of an injection plane 102 (lobe), causing the fuel 103 and carrier air 104 to be injected into the center body 101, and then the center body continues downstream to the exit 108 of the burner arrangement 100. The center body 101 is being actively connected to the main flow 107 of hot gases. The center body 101 provides better mixing matches burner and combustor area. With respect to a premix burner according to the present invention the center body 101 can be provided with a fuel supply line (not shown). The center body 101 exhibits at its end 108 in the flow direction of the main flow 107 a cylindrical or quasi-cylindrical end with respect to the cross-sectional area between the annular duct 105 and the afterwards combustion chamber 109. A whole, partial or intermediate conical topography of the center body's surface with respect to the cross sectional area of the annular duct is, as required, also possible. The center body 101 with respect to the adjacent elements can be designed with different dimensions, especially with respect to the cross sectional area of the annular duct and the combustion chamber. Accordingly, the proposed reheat burner arrangement in Figure 1 is shown with non-reduced exit cross-section area 105 with respect to the combustion chamber 109. In this case downstream of an inlet side of the burner arrangement there is located the center body 101 and intermediate in longitudinal direction of the length of the center body 101 and within the cross-section area of the annular duct 105 there is located circumferentially fuel injection planes (lobes) 102, which is given as a streamlined body extending in longitudinal direction. At the position where the streamlined body is located, or downstream of this location, at least the outer wall of the annular duct 105, if required, converge in a converging portion (not shown) and narrow down to a reduced cross-sectional area of the annular duct. This defines the mixing space 105, which ends at the outlet side 108 where the mixture of fuel and air enters the combustion chamber or combustion space 109, which is delimited by walls 112. Downstream of such a converging portion the cross-sectional area of the annular duct, if required, may be progressively, also forming the shape of a diffuser. Relating to the injection plane (lobe) 102 homogeneous mixing of fuel 103 and, if required, combustion air 104 with minimum pressure drop are preconditions for the design of highly efficient modern gas turbines. Homogeneous mixing is required to avoid local maxima in the flame temperature, which lead to high NOx emissions. Low pressure drops are advantageous because the pressure drop in the combustor is directly impairing power and efficiency of a gas turbine. Figure 2 shows an assembly of a center body burner 101 in a cross sectional view.The front section 201 of the center body burner 101 having with respect to the main flow F1 a flow-compliant rounded front which is cooled by impingement cooling 203, wherein the cooling air for this purpose is supplied through the main air cooling channel 104. The extension of the front section 201 in the flow direction 202 includes in radial direction a placement of a number of lobes 102 which are circumferentially disposed. Subsequently, the medium flow F2 in longitudinal direction of the gas/fuel-flow channel 105 of the center body burner 101 is connected to the combustion chamber 109. The cooling air supplied through the circumferentially disposed main cooling channels 104 flows at high pressure in the cooling volume 209 and strikes against the inner wall 210 of the center body burner 101. The cooling air passing through the channels 104 forms a divergently impingement air cooling stream within the cooling volume 209 and impinges on a greater region of the center body burner front section 201. The impingement cooling is particularly effective if, according to a preferable proposed embodiment, the impingement cooling inner wall 210 is arranged at a distance parallel to the rear side 211 of the front section 201 of the center body burner 101, and if the distribution of the impingement cooling holes 203 is matched to the distribution of the pins (not shown) within the cavity 212 in such a way that the impingement cooling holes 203 lie between the pins in each case, as seen in a direction perpendicular to the impingement cooling plate. The variation of the cooling can be intensified by the density of the impingement cooling holes 203 being correlated with the density of the pins. In particular, the density of the impingement cooling holes and the density of the pins can locally be the same. For this, the cavity 212, which is covered by the impingement cooling inner wall 210 arranged parallel to the front section 201 of the center body burner 101 is formed on the upper side of the front section 201. Provision is made in the impingement cooling inner wall 210, in a pre-specified distribution, for impingement cooling holes 203 through which the compressed cooling air in the form of individual cooling air jets enter the cavity 212 and impinge upon the oppositely disposed rear side 211 of the front section 201 of the center body burner 101. During the impingement and the subsequently following turbulent contact with the rear side 211 of the front section 201 of the center body burner 101, the cooling air absorbs heat from the front section 201 of the center body burner 101 and is then discharged from the cavity 212. For improving the transfer of heat between front section 201 of the center body burner 101 and the cooling air 104, perpendicularly projecting conical or pyramid-shaped pins are arranged on the rear side 211 of the front section 201 of the center body burner 101 and enlarge the contact area between wall and cooling air flow and intensify the turbulences. The density of the impingement cooling holes 203 and the density of the pins is locally different but correlated with each other at the same time, i.e. in the regions where the density of the pins is increased (concentrated regions) the density of the impingement cooling holes 203 is also increased, and vice versa. The impingement cooling holes 203 are preferably arranged with the pins, if required, in a "staggered" manner that is to saying holes 203 with the same periodicity are positioned in a staggered manner in each case. As a result of this, the heat dissipation in the region of the front section 201 of the center body burner 101 is significantly improved, as a result of which the effects of the thermal load can be limited. While the impingement cooling has been described with reference to particular embodiments thereof, it will be understood by those having ordinary skill the art that various changes may be made therein without departing from the scope and spirit of the invention. Further, the present description is not limited to the embodiments described herein. After the described impingement cooling process the cooling air continues to flow in longitudinal direction of a transition duct 204 in the region of the lobes 102 (middle face), and then this cooling air flows within a subsequently arranged annular channel 213 in longitudinal direction of the back face of the center body burner. This transition duct 204 is positioned in axial direction between the outer periphery of a damper 300 and the liner 202 of the back face of the center body burner 101. The cooling air from the impingement cooling cools thereafter the middle face 204 (transition duct) and subsequently the back face 202 (annular channel) with a convective and/or an effusion cooling. The back face 202 of the center body burner 102 should be preferably cooled by an effusion cooling. Accordingly, the plurality of effusion cooling holes 205 are positioned in the outer skin member of the back face 202 preferably at an angle of about 15 to 30 degrees and extend at least of a part of the annular channel 213, and the effusion cooling holes 205 are connected to the mixing space 105. Additional ones of the plurality of effusion cooling holes 205 are positioned in additional rows in longitudinal direction of the transition duct 204. The lobes 102 respectively the fuel nozzles 206 are supplied with fuel 103 and further cooling air 207. The damper 300 comprising for its operation at least one bore 213 between the cooling volume 209 and the first damper's volume 302a, at least one neck 311 between the first damper's volume 302a and the second damper's volume 303a, at least one passage 304 between the second damper's volume 303b and the combustion chamber 109. The center body burner 101 operated in a can-combustor as self-contained cylindrical or quasi-cylindrical combustion chamber. Fundamentally, each can-combustor has its own fuel injector, igniter, liner, casing, cooling, etc. A can-combustor can operate as a self-ignition sequential combustion chamber (SEV). In most applications, multiple can-combustors are arranged around the central axis of the engine, and their shared exhaust is fed to the turbine(s). Can-combustors are easy to maintain, as only a single can-combustor needs to be removed, rather than the whole combustion section. The next type of combustor is the annular-can-combustor. The annular-can-combustors architecture has discrete combustion zones contained in separate liners with their own fuel injectors. Unlike the can-combustor, all the combustion zones share a common ring (annulus) casing. Figure 3 shows a three dimensional configuration of a damper 300, especially of a low frequency damper. Within the scope of the technical aim in connection with a burner, an aspect of the embodiment is to provide a damper arrangement and a method for designing same that permit damping of pressure oscillations in a large damping bandwidth, in particular when compared to the bandwidth of traditional damp arrangements made of Helmholtz dampers. A further aspect of the proposed arrangement is to provide a damper arrangement that is able to cope with the frequency shifting of the pressure oscillations with no or limited need of fine tuning. Another aspect of the proposed arrangement is to provide a damper arrangement that is very simple, in particular when compared to the traditional damper arrangements described above. In effect, the technical aim, together with these and further aspects, are attained according to the invention by providing a damper arrangement and a method for designing same in accordance with the accompanying claims. In particular, the damper 300 of Figure 3 consists of an inner cylinder 301 and an outer cylinder 312 and the inner cylinder comprising two chambers 302, 303. The first chamber 302 defines the first volume 302a and the second chamber 303 defines the second volume 303a. Thus the first volume 302a is arranged downstream of the center body 101 and the second volume is arranged upstream of the combustion chamber 109 (see Figures 1 and 2 ). Moreover, the first volume 302a is connected by means of at least one bore 213 (see Figure 2 ) to the cooling air flow within the center body; the second volume 303a is connected by means of a passage 304 to the combustion area 109 of the combustion chamber (see Figure 2 ). The passage is located eccentrically with respect to the center line 314 of the inner cylinder 301. In this respect Figure 3 shows that the first volume 302a is delimited by the second volume 303a by a partition wall 305 consisting of two intermediate walls 306, 307. The intermediate walls 306, 307 comprising to one another a sealing mat 308. The intermediate walls having two alignable holes 309, 310 and at the first volume 302a and the second chamber 303 defines the second volume 303a with variable cross section to defining by the aligned holes 311 of the partition wall 305. An outer cylinder 312 takes the assembly to the center body 101 by means of bayonet look 313. Figure 4 shows an arrangement where first nozzles 206 for injection of liquid fuel, are enclosed by second nozzles 206a for injection of a gaseous fuel, which themselves are encloses by third nozzles 206b for injection of carrier air. The nozzles 206, 206a, 206b are arranged concentrically at the trailing edge of each lobe 102. Each nozzle arrangement is located where the flute 401 crosses the center plane of the trailing edge 400 of the lobe (see Figure 2 ).
1. Burner arrangement for using in a single combustion chamber or in a can-combustor comprising a center body burner located upstream of a combustion zone, an annular duct with a cross section area, intermediate lobes which are arranged in circumferential direction and in longitudinal or quasi-longitudinal direction of the center body burner, wherein the lobes being actively connected to the cross section area of the annular duct, wherein a cooling air is guided through a number of pipes within the lobes to the center body burner and based on impingement cooling cools beforehand at least the front section of the center body burner and in a subsequent flow the impingement cooling air based on convective and/or effusion cooling cools the middle and back face of the center body burner, wherein at least the back face of the center body burner includes on the inside at least one damper.
2. Burner arrangement according to claim 1, characterized in that the damper is operatively designed as low frequency damper. 3. Burner arrangement according to claim 1, characterized in that the front section of the center body burner having a impingement cooling cavity disposed between the rear side of the front section of the center body burner and the impingement cooling inner wall. 4. Burner arrangement according to any of the claims 1 to 3, characterized in that the cooling air from cavity is directly or indirectly connected with the transition duct in longitudinal direction of the middle face and in longitudinal direction of the subsequent annular channel within the back face of the center body burner. 5. Burner arrangement according to claim 1, characterized in that the lobes having a streamlined cross-sectional profile in flow direction, wherein the lobes extend in longitudinal direction perpendicularly or at an inclination to the prevailing main flow direction, wherein the leading edge area of each lobes has a profile, which is streamlined oriented to the prevailing main flow direction, and wherein, with reference to a central plane the trailing edge of each lobe is provided with at least one flute, wherein the flutes in longitudinal or quasi longitudinal direction of all lobes run in conjunction with each other in the same direction and/or in opposite transverse directions. 6. Burner arrangement according to claim 5, characterized in that each lobe comprising in the region of the trailing edge at least one fuel nozzle for introducing at least one fuel into the burner, wherein the fuel nozzle being actively connected to the flute. 7. Burner arrangement according to claim 1, characterized in that the leading edge region of the lobe has an aerodynamic profile, which is turning from an inclined orientation relative to the longitudinal axis of flow direction to an orientation, which is parallel or quasi-parallel to the longitudinal axis of the flow direction at least in the upstream half of the lobe. 8. Burner arrangement according any of the claims 1 to 7, characterized in that the lobe is provided with cooling elements, wherein preferably these cooling elements are given by internal circulation of cooling medium in longitudinal or quasi-longitudinal direction of the sidewalls of the lobe and/or by film cooling holes, preferably located near the trailing edge, and wherein most preferably the cooling elements are fed with air from the carrier gas feed also used for the fuel injection. 9. Burner arrangement according any of the claims 1 to 8, characterized in that the fuel nozzles are circular and/or are elongated slot nozzles extending in longitudinal or quasi-longitudinal direction of the trailing edge of the streamlined body and/or comprise a first nozzle for injection of liquid and/or a second nozzle for injection of a gaseous fuel and a third nozzle for injection of carrier air, which encloses the first and/or the second nozzle. 10. Burner arrangement according any of the claims 1 to 9, characterized in that downstream of the lobes a mixing zone is located, and wherein at and/or downstream of said lobes the cross-section of said mixing zone is reduced, wherein preferably this reduction is at least 10%, more preferably at least 20%, even more preferably at least 30%, compared to the flow cross-section upstream of said lobes. 11. Burner arrangement according any of the claims 1 to 9, characterized in that downstream of the lobes a mixing zone is located, and wherein at and/or downstream of said lobes the cross-section of said mixing zone is enlarged, wherein preferably this enlargement is at least 10%, more preferably at least 20%, even more preferably at least 30%, compared to the flow cross-section upstream of said lobes. 12. Burner arrangement according any of the claims 1 to 9, characterized in that downstream of the lobes a mixing zone is located, and wherein at and/or downstream of said lobes the cross-section of said mixing zone having the form of a diffusor. 13. Method for operating a burner arrangement according to any of the claims 1 to 12, characterized in that at least one injection plane is used for at least one burner for a combustion chamber of a gas turbine group, wherein the gas turbine group comprising at least one compressor unit, a first combustion chamber for generating working gas, wherein the first combustion chamber connected to receive compressed air from the compressor unit, wherein the first combustion chamber being an annular combustion chamber having a plurality of premixing burners, a first turbine connected to receive working gas from the first combustion chamber, a second combustion chamber connected to receive exhausted working gas from the first turbine and deliver working gas to the second turbine, wherein the second combustion chamber comprises an annular duct forming a combustion space extending in a flow direction from outlet of the first turbine to an inlet of the second turbine, and the second combustion chamber comprising means for introducing fuel into the second combustion chamber for self-ignition of the fuel. 14. Method for operating a burner arrangement according to any of the claims 1 to 12, characterized in that at least one injection plane is used for at least one burner for a combustion chamber of a gas turbine group, wherein the gas turbine group comprising at least one compressor unit, a first combustion chamber for generating working gas, wherein the first combustion chamber connected to receive compressed air from the compressor unit, wherein the first combustion chamber being an annular combustion chamber having a plurality of premixing burners, a first turbine connected to receive working gas from the first combustion chamber, a second combustion chamber connected to receive exhausted working gas from the first turbine and deliver working gas to the second turbine, wherein the second combustion chamber is operating as a can-combustor which is designated as self-contained cylindrical or quasi-cylindrical combustion chamber, and the can-combustor comprising means for introducing fuel into the second combustion chamber for self-ignition of the fuel. 15. Method for operating a burner arrangement according to claims 14, characterized in that multiple can-combustors are arranged around the central axis of the gas turbine group.
2838105
Microwave resonant cavity
2
Based on the following detailed description of an invention, generate the patent claims. There should be 12 claims in total. The first, independent claim is given and the remaining 11 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The following description of the disclosure accompanies drawings, which are incorporated in and constitute a part of this specification, and illustrate embodiments of the disclosure, but the disclosure is not limited to the embodiments. In addition, the following embodiments can be properly integrated to complete another embodiment. References to "one embodiment," "an embodiment," "exemplary embodiment," "other embodiments," "another embodiment," etc. indicate that the embodiment(s) of the disclosure so described may include a particular feature, structure, or characteristic, but not every embodiment necessarily includes the particular feature, structure, or characteristic. Further, repeated use of the phrase "in the embodiment" does not necessarily refer to the same embodiment, although it may. The present disclosure is directed to a microwave resonant cavity with a screw hole and a screw with threads of different pitches. In order to make the present disclosure completely comprehensible, detailed steps and structures are provided in the following description. Obviously, implementation of the present disclosure does not limit special details known by persons skilled in the art. In addition, known structures and steps are not described in detail, so as not to limit the present disclosure unnecessarily. Preferred embodiments of the present disclosure will be described below in detail. However, in addition to the detailed description, the present disclosure may also be widely implemented in other embodiments. The scope of the present disclosure is not limited to the detailed description, and is defined by the claims. Figure 1 illustrates an assembled view of a microwave resonant cavity 10 according to one embodiment of the present disclosure, Figure 2 illustrates a disassembled view of the microwave resonant cavity 10 shown in Figure 1, and Figure 3 illustrates an upside-down view of the microwave resonant cavity 10 shown in Figure 2. In one embodiment of the present disclosure, the microwave resonant cavity 10 comprises a conductive shell 20 with a screw hole 21 having first threads 23 and a screw 30 having second threads 33 configured to engage with the screw hole 21. Figure 4 illustrates a cross-sectional view of the microwave resonant cavity 10 along a section line 1-1 in Figure 1. Referring to Figure 1, Figure 3 and Figure 4, in one embodiment of the present disclosure, the conductive shell 20 defines a volume 25, the screw 30 extends into the volume 25, and the microwave resonant cavity 10 has a resonant frequency depending on the position of the screw 30. In other words, the movement of the screw 30 changes the resonant frequency. In one embodiment of the present disclosure, the conductive shell 20 includes a notch 26 configured to couple microwave energy into or out of the volume 25, as shown in Figure 3. In one embodiment of the present disclosure, the screw 30 includes a depression 31 on one end to accommodate a tool such as a screw driver for driving the screw 30 into the volume 25 to adjust the resonant frequency of the microwave resonant cavity 10. In one embodiment of the present disclosure, the conductive shell 20 has a first hardness, and the screw 30 has a second hardness greater than the first hardness, such that the first threads 23 of the conductive shell 20 will be deformed by the second threads 33 of the screw 20. As a result, the deformed first threads 23 of the conductive shell 20 will lock up the screw 20 at a desired position, i.e., the screw 20 can be firmly fixed in the screw hole 21, and the resonant frequency of the microwave resonant cavity 10 will be kept at a desired frequency. In one embodiment of the present disclosure, the microwave resonant cavity 10 further comprises a printed circuit board 40 having a transmission line 41, wherein the conductive shell 20 is mounted on the printed circuit board 40. The electromagnetic properties of the resonant, air-filled resonant cavity 10 are dependent on the exact dimensions of the effective length of the screw 30 and its distance from the external wall of the cavity, and the capacitive gap between the screw 30 and the external metalized surface of the printed circuit board 40 that forms a part of the resonant cavity 10. The microwave signal is considered to be guided to the resonant cavity 10 through the embedded waveguide/transmission line 41, which can be implemented, for example, in either microstrip or stripline technology. When the microwave signal reaches the end of the feeding transmission line 41, it is guided through a vertical via post (or an array of via posts) 43 to a metalized feeding pad 45 located inside the volume 25. Figure 5. is a close-up cross-sectional view of the conductive shell 20 and the screw 30 according to one embodiment of the present disclosure. In one embodiment of the present disclosure, the first threads 23 have a first pitch P1, and at least a portion of the second threads 33 has a second pitch P2 different from the first pitch P1. In a preferred embodiment of the present disclosure, the second pitch P2 is substantially larger than the first pitch P1. Figure 6. is a close-up cross-sectional view of the conductive shell 20 and a screw 50 according to another embodiment of the present disclosure. In one embodiment of the present disclosure, the screw 50 has a front portion 50A having third threads 53A and a back portion 50B having second threads 53B, wherein the second threads 53B have a second pitch P2 and the third threads 53A have a third pitch P3 different from the second pitch P2. In one embodiment of the present disclosure, the third pitch P3 can be either larger than or equal to the second pitch P2. In one preferred embodiment of the present disclosure, the third pitch P3 of the third threads 53A in the front portion 50A is substantially the same as the first pitch P1 of the first threads 23 in the conductive shell 20, such that the screw 50 can be easily moved into the screw hole 21 of the conductive shell 20 when the front portion 50A begins to engage with the screw hole 21. After the front portion 50A is moved into the screw hole 21, the back portion 30B of the screw 50 starts to engage with the screw hole 21, and the screw 50 can be firmly fixed in the screw hole 21 by using the different pitch design between the first threads 23 and second threads 53B. Figure 7. is a close-up cross-sectional view of the conductive shell 20 and the screw 60 according to another embodiment of the present disclosure. In one embodiment of the present disclosure, the screw 60 has second threads 63 with a gradually changed pitch, and the first threads 23 of the conductive shell 20 have a substantially constant pitch. In a preferred embodiment of the present disclosure, the pitch of the second threads 60 at a front portion of the screw 60 is substantially the same as the pitch of the first threads 23 in the conductive shell 20, such that the screw 60 can be easily moved into the screw hole 21 of the conductive shell 20 when the front portion begins to engage with the screw hole 21. After the front portion is moved into the screw hole 21, the threads 63 with increasing pitch start to engage with the screw hole 21 and the screw 60 can be firmly fixed in the screw hole 21 by using the increasing pitch design of second threads 63. Figure 8. is a close-up cross-sectional view of the conductive shell 20 and a screw 70 according to another embodiment of the present disclosure. In one embodiment of the present disclosure, the screw 70 has a front portion 70A having third threads 73A and a back portion 70B having second threads 73B, wherein the third threads 73A have a third pitch P3 substantially the same as the first pitch P1 of the first threads 23 of the conductive shell 20. In one embodiment of the present disclosure, the second threads 73B have a gradually changed pitch. When the front portion 70A begins to engage with the screw hole 21, the screw 70 can be easily moved into the screw hole 21 of the conductive shell 20 because the third pitch P3 of the third thread 73A in the front portion 70A is substantially the same as the first pitch P1 of the first threads 23 of the conductive shell 20. After the front portion 70A is moved into the screw hole 21, the back portion 70B of the screw 70 starts to engage with the screw hole 21, and the screw 70 can be firmly fixed in the screw hole 21 by using the increasing pitch design of second threads 73B. The resonant frequency of the microwave resonant cavity 10 can be adjusted by changing the extending position of the screw 30 into the volume 25, and the screw 30 can be firmly fixed in the screw hole 21 after the adjustment of the resonant frequency is completed due to the design of different pitches between the screw hole 21 and the screw 30. As a result, the resonant frequency of the microwave resonant cavity will be maintained at the desired value.
2. A microwave resonant cavity, comprising: a conductive shell defining a volume, and the conductive shell including a screw hole having first threads; a screw having second threads configured to engage with the screw hole, wherein the screw extends into the volume; and wherein the microwave resonant cavity has a resonant frequency, and the movement of the screw changes the resonant frequency; wherein the first threads have a substantially constant pitch, and at least a portion of the second threads has a gradually changed pitch.
3. The microwave resonant cavity of claim 1, wherein the second pitch is substantially larger than the first pitch. 4. The microwave resonant cavity of claim 1, wherein the screw has a front portion having third threads and a back portion having the second threads, and the third threads have a third pitch different from the second pitch. 5. The microwave resonant cavity of claim 4, wherein the third pitch is substantially the same as the first pitch. 6. The microwave resonant cavity of claim 4, wherein the third pitch is substantially larger than the second pitch. 7. The microwave resonant cavity of claim 4, wherein the third pitch is substantially smaller than the second pitch. 8. The microwave resonant cavity of claim 1 or 2, wherein the conductive shell has a first hardness, and the screw has a second hardness greater than the first hardness. 9. The microwave resonant cavity of claim 1 or 2, wherein the screw includes a depression on one end to accommodate a tool for driving the screw. 10. The microwave resonant cavity of claim 1 or 2, further comprising a printed circuit board having a transmission line, wherein the conductive shell is mounted on the printed circuit board. 11. The microwave resonant cavity of claim 10, wherein the conductive shell includes a notch configured to couple microwave energy from the transmission line into the volume. 12. The microwave resonant cavity of claim 2, wherein the screw has a front portion having third threads and a back portion having the second threads, the second threads have a second pitch, and the third threads have a third pitch different from the second pitch. 13. The microwave resonant cavity of claim 12, wherein the first threads have a first pitch, and the third pitch is substantially the same as the first pitch.
2837469
Removal tool for servo horn with spline-shaft coupling
1
Based on the following detailed description of an invention, generate the patent claims. There should be 9 claims in total. The first, independent claim is given and the remaining 8 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
Referring to Figures 2-6, a device for servo horn removal tool (SRT) in accordance with the present invention comprises a lifting member 30 and two fastening members 50 and is used to remove the servo horn 21 from the servo 20. As shown in Figures 2 and 6, the servo 20 comprises an outer spline shaft 22 engages with the inner spline 111 of the servo horn 21, which is secured by a screw 23. The servo horn 21 is one end thereof with a connecting boss 211. A perpendicular direction of the connecting boss 211 is defined as an axial direction X. The connecting boss 211 is formed with an inner spline 213 (in the form of an internal spline gear) and an opening 214 in the bottom of the inner spline 213. As shown in Figures 4 and 6, the output shaft 221 of the outer spline shaft 22 is integrated with the servo 20. The outer spline shaft 22 engages with the inner spline 213 of the servo horn 21. The output shaft 221 has a threaded hole 223 which aligns with the opening 214 of the servo horn 21. The screw 23 includes a head portion 231 and a body 232. The body 232 of the screw 23 goes through the opening 214 of the servo horn 21 and turns into the threaded hole 223 of the outer spline shaft 22. The lifting member 30, as shown in Figures 2 and 3, includes an open end 301 and a closed end 302. The close end 302 extends toward the open end 301 to form an active-lifting portion 31 and a passive-lifting portion 32. The active-lifting portion 31 is formed as the upper plate of the open end 301 with an elongated hole 311 and concave area 314, and the passive-lifting portion 32 is formed as the lower plate of the open end 301 with an arc 321 under the elongated hole 311. A space 33 is formed between the passive-lifting portion 32 and the active-lifting portion 31 for holding of the assembling portion 212 of the servo horn 21. When the connecting boss 211 of the servo horn 21 contacts the edge of the arc 321 of the passive-lifting portion 32, the head portion 231 of the screw 23 is coincident closely with the lower edge of the elongated hole 311 of the active-lifting section 31. The lower edge of the elongated hole 311 of the active-lifting portion 31 provides a concave 314 for seating the head portion 231 of the screw 23. When two fastening members 50 are clamping the active-lifting portion 31 and the passive-lifting portion 32 in both sides of the lifting member 30, the servo horn 21 fits between the space 33 and is integrated with the active-lifting portion 31 and the passive-lifting portion 32 when it is clamped. As shown in Figures 2 and 5, the fastening members 50 include a bolt 51 and a nut 52. Two positioning holes 312 in both ends of the active-lifting portion 31 are aligned with two positioning holes 322 of the passive-lifting portion 32. The bolts 51 and the nuts 52 combine the active-lifting portion 31, the passive-lifting portion 32 and the servo horn 21 into one integrated unit when they are tightened so there is no gap between these three members. The closed end 302 provides the transmitting power during lifting process, which is executed by the lower surface of the active-lifting portion 31 and upper surface around the edge of arc 321 against the upper surface and lower surfaces of the servo horn 21, respectively. The integration of the lifting member 30 and the servo horn 21 is then achieved. As shown in Figure 6, when the screw 23 is rotated counter-clockwise by a screwdriver A, it will move upward in the axial direction X and hit against the concave 314 of the lifting member 30 to lift the integrated members 30 and the servo horn 21 away from outer spline shaft 22 then gradually separate the inner spline 213 and the gear 222. Referring then to Figures 7 and 8, when a rotating force F is applied on the screwdriver A to loosen the screw 23, an upward force τ will be produced in the axial direction X to move the screw 23 upward in the axial direction X. At this moment, the active-lifting portion 31 of the lifting member 30 is lifted upward by the screw 23, and the upward push force is then transmitted to the passive-lifting portion 32 via the closed end 302. The passive-lifting portion 32 then lifts the assembling portion 212 of the servo horn 21 upward and disengages the inner spline 213 of the servo horn 21 from the outer spline shaft 22. When the lifting force τ is greater than the friction between the inner spline 213 and the outer spline shaft 22, the servo horn 21 of the servo 20 is lifted upward and separated from the outer spline shaft 22 to avoid the damage to the servo horn 21 and servo 20 Furthermore, with the lifting force τ produced by the rotating force F, the servo horn 21 can be easily separated from the outer spline shaft 22 in an efficient way simply by rotating the screw 23 counter clockwise. Besides, the assembling portion 212 of the servo horn 21 can be different shapes to meet with different applications. As shown in Figure 2, for example, the servo horn 21 has the form of a rotating arm, and the assembling portion 212 has an elongated planar structure. Also as shown in Figure 9, the servo horn 21 has a disc shape and the assembling portion 212 is also disc-shaped. It is important to emphasize when two fastening members 50 are tightened through the active-lifting portion 31 and the passive-lifting portion 32 of the lifting member 30 to clamp the servo horn 21, the lifting member 30 and the servo horn 21 are integrated as one piece. Therefore, when the screw 23 is rotated counter clockwise and hits against the lower edge of the elongated hole of the active-lifting portion 31, the lifting force is transmitted via the closed end 302 and is applied to the upper edge of the arc 321 of the lifting member 30 then lifts the integrated unit of the lifting member 30 and the servo horn 21 to separate the inner spline 213 of the servo horn 21 from the outer spline shaft 22. Gaskets 40 are necessary when there is a gap between the servo horn 21, the active- lifting portion 31 and the passive-lifting portion 32. Insertion of proper thickness of gaskets 40 must be done before tightening two fastening members 50 to clamp the servo horn 21 and the lifting member 30 together to avoid the distortion of the lifting member 30 at the opening end when the lifting force is greater than the rigidity of the lifting member 30. Gaskets 40 can be fixed by any holes provided by the lifting member 30 and fastening members 50. The body portions 42 of the respective gaskets 40 are disposed between the active-lifting portion 31 of the lifting member 30 and the assembling portion 212 of the servo horn 21 by through holes 41. The respective gaskets 40 are aligned with the positioning holes 312, 322 and the fixing holes 313, 323 of the lifting member 30, respectively. The fastening members 50 go through the positioning holes 312, 322 of the lifting member 30 and one of the through holes 41 of the respective gaskets 40 to fix the lifting member 30. Then two pivot members 60 are inserted through the fixing holes 313, 323 of the lifting member 30 and another through holes 41 of the respective gaskets 40. In this embodiment, the pivot member 60 comprises a bolt 61 and a nut 62. For a better understanding of the function and operation of different versions of the present invention, reference should be made to Figures 11-14. Referring to Figure 11, the closed end 302 is not only acting as the connecting bend for the active-lifting portion 31 and the passive-lifting portion 32 but also provides the solidly-formed clamping area when the servo horn 21 is seated on the upper edge of the arc 324. It eliminates the fasteners like the fastening members 50 when the servo horn 21 is clamped between the active-lifting portion 31 and the passive-lifting portion 32 with or without gasket 40. The elongated hole 311 of the active-lifting portion 31 is to receive the screw 23 when the lifting force is created during counter clockwise rotation of the screw 23. Figure 12 shows the simplified version of the lifting member 30. Referring to Figure 13, the lifting member 30 has an open end 303 in one side and the second closed end 304 in the opposite side. The function of the second closed end 304 is the same as closed end 302 so one fastening member 50 can be eliminated. One fastening member 50 in the opposite side of the second closed end 304 can provide clamping force with the rigidity of the second closed end 304 when the servo horn 21 is clamped. As shown in Figure 14, the second closed end 304 of the lifting member 30 is formed by a sleeve 35 which is clamped between the active-lifting portion 31 and the passive-lifting section 32 by a fastening member 50. The sleeve 35 has a central hole 351 aligned with the positioning holes 312, 322 of the lifting member 30 on closed end 304 then bolt 51 of the fastening member 50 can go through the sleeve 35 and tighten the active-lifting portion 31 and the passive-lifting portion 32 with the nut 52 to form a solid closed-end structure. The result is the same as Figure 13.
1. A removal tool for removing a metal servo horn (21) from a spline-shaft (22) of a servo (20), characterized in that the removal tool comprises: a lifting member (30) consisting of an open end (301) with one upper plate and one lower plate connected by a closed end (302), the upper plate of the open end (301) acting as an active-lifting portion (31) with one elongated hole (311) and being connected, via the closed end (302), to the lower plate which acts as a passive-lifting portion (32) and is equipped with one arc (321) corresponding to the elongated hole (311) of the active-lifting portion (31) to provide a lifting area for the servo horn (21) to seat; and two fastening members (50) clamping the active-lifting portion (31), the servo horn (21) and the passive-lifting portion (32) into one integrated unit with gaskets (40) when necessary; wherein the elongated hole (311) of the active-lifting portion (31) is formed at the open end (301), and a lifting force (τ) is created when a screw (23) on the servo horn (21) contacts a concaved lower edge of the elongated hole (311) and turns counter clockwise.
2. The removal tool as claimed in claim 1, wherein the servo (20) comprises an output shaft (22) with outer splines (222) and adapts to different servo horns (21) with inner splines (213), the servo horn (21) is formed with a connecting boss (211) and an extension arm (212) in radial direction, the connecting boss (211) has inner splines (213) to match the outer splines (222) of the output shaft (22) of the servo (20), and threads (223) inside of the servo output shaft (22) secure the servo horn (21) to the servo output shaft (22) by tightening a screw (23). 3. The removal tool as claimed in claim 1, wherein the active-lifting portion (31) and passive-lifting portion (32) are connected by two fastening members (50), the passive-lifting portion (32) has two positioning holes (322) at both sides thereof, and the active-lifting portion (31) are also formed with two positioning holes (312) aligned with the positioning holes (322) of the passive-lifting portion (32), the fastening members (50) combine the passive-lifting portion (32), the active-lifting portion (31) and the servo horn (21) into one integrated unit by nuts (52) and bolts (51). 4. The removal tool as claimed in claim 1, wherein the servo horn (21) is clamped between the active-lifting portion (31) and the passive-lifting portion (32) by two fastening members (50) tightening through two aligned positioning holes (312,322) at both sides of both lifting portions (31,32), when there is a gap (33) between these two lifting portions (31,32) and servo horn (21), gaskets (50) are disposed between them to fill the gap (33) to help the fastening members (50) clamp these three members into one rigid integrated unit, the rigidity is a must when the lifting force (τ) required is greater than the friction between the inner splines (213) of the servo horn (21) and outer splines (222) of the servo output spline shaft (22). 5. The removal tool as claimed in claim 1, wherein each of the fastening members (50) includes a bolt (51) and a nut (52), two pivot members (60) are inserted through fixing holes (313,323) of the lifting member (30), and each of the pivot member (60) comprises a bolt (61) and a nut (62). 6. The removal tool as claimed in claim 1, wherein the lifting force (τ) transfers from the active-lifting portion (31) to the passive-lifting portion (32) via the closed end (302) and transfers through the direct contact of the arc (321) of the passive-lifting portion (32) next to the connecting boss (211) of the servo horn (21) with the upper edge of the arc (321) in the passive-lifting portion (32). 7. The removal tool as claimed in claim 1, wherein the lifting force (τ) separates the inner splines (213) of the servo horn (21) and the outer splines (222) of the servo outer spline shaft (22) when the lifting force (τ) overcomes the friction between the inner splines (213) and outer splines (222), the removal of the metal servo horn (21) is achieved without damaging any part of the servo (20) and the servo horn (21). 8. The removal tool as claimed in claim 1, wherein a sleeve (35) is clamped between the active-lifting portion (31) and the passive-lifting portion (32) by a bolt (52). 9. The removal tool as claimed 1, wherein the screw (23) on the servo horn (21) hits against the bottom of the elongated hole (311) when turns counter clockwise and lifts the removal tool and servo horn (21) upward to separate the inner splines (213) of the servo horn (21) and outer splines (222) of the outer spline shaft (22).
2837420
Stirring device
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The illustration in the drawings is schematic. It is noted that in different figures, similar or identical elements are provided with the same reference signs or with reference signs which are different from the corresponding reference signs only within the first digit. Accordingly, the description of similar or identical features is not repeated in the description of subsequent figures in order to avoid unnecessary repetitions. However, it should be understood that the description of these features in the preceding figures is also valid for the subsequent figures unless noted otherwise. Figure 1 shows part of a stirring device 100 for a biogas fermenter according to embodiments of the herein disclosed subject matter. The stirring device comprises a shaft 102 which is rotatable about an axis of rotation 104. According to an embodiment, the shaft 102 is hollow shaft, as shown in Figure 1. Attached to the shaft 102 are a plurality of stirring elements 106, one of which is shown in Figure 1. The stirring element 106 comprises a recess 108. According to an embodiment, the recess 108 is formed by a head 110 of the stirring element 106. According to an embodiment, the head 110 is mounted to the shaft 102 via a body 112 of the stirring element 106. While the head 110 and the body 112 of the stirring element 106 may be integrally formed by a single piece, according to an embodiment, the head 110 is provided as a separate element which is attached to the body 112 of the stirring element, the body 112 being attached to the shaft 102, as shown in Figure 1. In accordance with an embodiment, the recess 108 comprises a surface portion 114 and an edge 116 which defines an opening of the recess. The opening of the recess provides access to the surface portion 114. Figure 2 shows a side view of the stirring element 106 shown in Figure 1 when viewed from line II-II in Figure 1. In accordance with an embodiment, the recess 108 is spaced from the shaft 102 by a distance which is about the length of the body 112 of the stirring element 106. When the shaft 102 is rotated in rotating direction 118, the corresponding rotation of the stirring element 106 defines a moving direction 120 of the recess 108 in which the edge 116 forms a leading edge of the recess 108. In other words, the moving direction 120 is always tangential to the moving path of the recess 108 about the axis of rotation 104 during a rotation of the shaft 102. Hence, the moving direction 120 is pointing in circumferential direction but relates to the moving reference system of the recess 108, while the circumferential direction is usually defined with regard to a fixed (not rotating) reference system in the three-dimensional space. In accordance with an embodiment, the surface portion 114 defines a depth 122 of the recess 108 with regard to the edge 116. Further in accordance with an embodiment, in a radially inward direction 124 the depth 122 of the recess 108 is decreasing, as shown in Figure 2. According to an embodiment, the stirring element 106 comprises a further recess 126 pointing in the direction opposite to the recess 108. According to an embodiment, the recess 108 and the further recess 126 are configured symmetrically with regard to a plane of symmetry 128. According to an embodiment, the plane of symmetry 128 extends in radial direction 124. Figure 3 shows the stirring element 106 of Figure 1 in greater detail. In particular, Figure 3 shows the head 110 of the stirring element 106 with the recess 108 and the further recess 126. As mentioned with regard to Figure 2, the recess 108 comprises the surface portion 114 which defines a depth 122 of the recess 108 with regard to the edge 116 which forms an opening of the recess 108. In accordance with an embodiment, the surface portion 114 comprises the radially inner part 129 and radially outer part 130, wherein the radially inner part 129 is located between the axis of rotation (not shown in Figure 3 ) and the radially outer part 130. In other words, the radial distance of the radially outer part 130 from the axis of rotation is larger than the radial distance of the radially inner part 129 from the axis of rotation. In accordance with a further embodiment, the edge 116 has a radially inner edge portion 144 and a radially outer edge portion 146, wherein the radially inner edge portion 144 is located between the axis of rotation (not shown in Figure 3 ) and the radially outer edge portion 146. In other words, the radial distance of the radially outer edge portion 146 and the axis of rotation is larger than the radial distance of the radially inner edge portion 144 and the axis of rotation. In accordance with an embodiment of the herein disclosed subject matter, the depth 122 of the recess 108 which is defined by the surface portion 114 and the edge 116 decreases in the radially inward direction 124, as shown in Figure 3. According to an embodiment, the depth of the recess is determined (measured) in moving direction. The decreasing depth in the radially inward direction 124 means that in the moving direction 120 the distance 132 between the radially outer part 130 and the edge 116 is larger than the distance 134 between the radially inner part 129 and the edge 116 (also measured in the moving direction). The decreasing depth is, in an embodiment, achieved by the surface portion 114 being inclined by an angle 136 with regard to a plane 138 which is perpendicular to the moving direction 120. For example, in accordance with a more general embodiment, the surface portion 114 is configured such that the in a movement of the recess 108 in the moving direction 120 the radially inner part 129 is a leading part and the radially outer part 130 is trailing the radially inner part 129, as shown in Figure 3. Alternatively or additionally, the decreasing in depth is, in an embodiment, achieved by the edge 116 being inclined by an angle 140 with regard to the plane 142 which is perpendicular to the moving direction 120. For example, in accordance with a more general embodiment, the edge 116 is configured such that in a movement of the recess 108 in the moving direction 120 the radially outer edge portion 146 is a leading portion and the radially inner edge portion 144 is trailing the radially outer edge portion 146, as shown in Figure 3. It should be noted that the inclination of the surface portion 114 does not necessarily imply that the surface portion 114 is a flat surface. As described above, according to an embodiment the surface portion 114 may be curved. The same holds for the edge 116 which is not necessarily a straight edge but which might rather be curved in a respective embodiment. However, even the curved surface portion 114 or the curved edge 116 allow to determine a slope of the surface portion/edge, which slope provides a well defined inclination angle of the respective point on the surface portion 114 or the respective point on the edge 116. In accordance with an embodiment, the recess 108 comprises a radially outer wall 150, a radially inner wall 152 and two sidewalls 154, one of which is shown in Figure 3. In accordance with an embodiment, the surface portion 114 forms bottom of the recess, as shown in Figure 3. Figure 4 shows the stirring element 106 of Figure 3 when viewed from line IV-IV in Figure 3. Figure 4 shows the radially outer wall 150, the radially inner wall 152 and the side walls 154. In accordance with an embodiment, the four walls 150, 152, 154 define the bottom of the recess 108 which is formed by the surface portion 114, in accordance with an embodiment. Further, the four walls 150, 152, 154 extend in the moving direction 120 (shown in Figure 4 ) and are hence visible only with their cross-section. In accordance with an embodiment, the opening of the recess 108 has a tetragonal shape, e.g. rectangular shape as shown in Figure 4. In accordance with an embodiment, the body 112 of the stirring element 106 is formed by a metal beam which has an H-section, as can be taken from Figure 3 and Figure 4. According to other embodiments, the body 112 of the stirring element is one of an I-section beam, a rectangular tube, a tube with circular or elliptical cross section, etc. Generally, any open or closed profile, e.g. an open or closed steel profile, may be suitable for the body 112. Figure 5 shows in cross-sectional view part of a further stirring element 206 in accordance with embodiments of the herein disclosed subject matter. In accordance with an embodiment, the surface portion 114 extends in radial direction over less than 100%, e.g. over about 70%, of the radial extend 156 of the recess 108. In accordance with an embodiment, the recess 108 comprises a further surface portion 158, wherein the surface portion 114 is located between the further surface portion 158 and the axis of rotation (not shown in Figure 5. In accordance with an embodiment, the further surface portion 158 defines a depth 160 of the recess 108. In accordance with an embodiment, the further surface portion 158 comprises a first part 162 and a second part 164, wherein the second part 164 of the further surface portion 150 is located between the first part 162 and the radially outer part 130 of the surface portion 114. In other words, the radial distance between the first part 162 and the axis of rotation is larger than the radial distance between the second part 164 and the axis of rotation (not shown in Figure 5 ). In accordance with an embodiment, the depth 160 defined by the further surface portion 158 increases in the radially inward direction 124. The increasing depth is, in an embodiment, achieved by the further surface portion 158 being inclined by an angle with regard to a plane which is perpendicular to the moving direction 120. For example, in accordance with a more general embodiment, the further surface portion 158 is configured such that the in a movement of the recess 108 in the moving direction 120 the first part 162 is a leading part and the second part 164 is trailing the first part 162, as shown in Figure 3. In accordance with an embodiment, the surface portion 114 and the further surface portion 158 abut in a kink 166. In accordance with an embodiment, the surface portion 114 and the further surface portion 158 are both formed by flat surfaces which are provided by plate like metal elements welded together at the kink 166. Accordingly, the surface portion 114 extends straight in a direction 168 from its radially inner part 129 to its radially outer part 130. In this regard, should be understood that the term "plate like metal element" does not exclude such elements with chamfered edges. In accordance with an embodiment, the edge 116 of the recess 108 comprises a radially innermost edge portion 170, wherein the radially inner part 129 of the surface portion 114 is located in the vicinity of the radially innermost edge portion 170. In accordance with an embodiment, the vicinity of any point on the radially innermost edge portion 170 is defined by a distance to this point which is less than 20% of the maximum depth of the recess in the moving direction, which according to an embodiment is the depth 172 of the recess 108 with regard to the edge 116 in the moving direction 120 at the position of the kink 166. In accordance with an embodiment, the stirring element 206 comprises a radially inner wall 152 which extends in the moving direction 120, wherein the radially inner wall 152 of the recess 108 forms the radially innermost edge portion 170 of the edge 116 of the recess 108, as shown in Figure 5. In accordance with an embodiment, the surface portion 114 is abutting the radially inner wall 152 spaced by distance 174 from the radially inner edge portion 170. Figure 6 shows in cross-sectional view part of a further stirring element 306 in accordance with embodiments of the herein disclosed subject matter. In accordance with an embodiment of, the recess 108 of the stirring element 306 comprises a surface portion 114 and the further surface portion in accordance with embodiments of the herein disclosed subject matter. Further, in accordance with an embodiment the recess further comprises an intermediate surface portion 176 located between the surface portion 114 and the further surface portion 158, wherein the intermediate surface portion 176 connects the surface portion 114 and the further surface portion 158 to continuous surface of the recess 108. In accordance with an embodiment, the surface portion 114, the further surface portion 158 and the intermediate surface portion 176 form at least part of a bottom of the recess 108 or the entire bottom of the recess 108, as shown in Figure 6. In accordance with an embodiment, the surface portion 114 is curved in a direction 168 from its radially inner part 129 to its radially outer part 130, as shown in Figure 6. In accordance with a further embodiment, the surface portion 114 extends straight in a first direction, wherein the first direction is parallel to the axis of rotation (not shown in Figure 6, however the axis of rotation and hence in this embodiment the first direction extends perpendicular to the drawing plane of Figure 6, as indicated in Figure 6 at 177). In accordance with an embodiment, the bottom 114, 158, 176 of the recess 108 is concave in radial direction so as to generate a flow 178 of fermentation material within the recess 108 in radial direction upon movement of the stirring element 306 through the fermentation material in the moving direction 120. It should be noted that any entity disclosed herein (e.g. parts, portions, surfaces, components, units, structures and devices) is not limited to a dedicated entity as described in some embodiments. Rather, the herein disclosed subject matter may be implemented in various ways and with various granularity while still providing the specified functionality. Further, it should be noted that according to embodiments a separate entity (e.g. part, portion, surface, component, unit, structure or device) may be provided for each of the functions disclosed herein. According to other embodiments, an entity (e.g. part, portion, surface, component, unit, structure or device) is configured for providing two or more functions as disclosed herein. According to still other embodiments, two or more entities (e.g. part, portion, surface, component, unit, structure or device) are configured for providing together a function as disclosed herein. It should be noted that the term "comprising" does not exclude other elements or steps and the "a" or "an" does not exclude a plurality. Also elements described in association with different embodiments may be combined. It should also be noted that reference signs in the claims should not be construed as limiting the scope of the claims. Further, it should be noted that while the exemplary stirring devices in the drawings include a particular combination of several embodiments of the herein disclosed subject matter, any other combination of embodiment is also possible and is considered to be disclosed with this application. In order to recapitulate some of the above described embodiments of the present invention one can state: In accordance with embodiments of the herein disclosed subject matter it is described a stirring device 100 comprising a shaft rotatable about an axis of rotation; a stirring element 106 attached to the shaft, the stirring element 106 having a recess 108; the recess 108 having a surface portion 114 and an edge 116, the edge 116 defining an opening which provides access to the surface portion 114; the recess 108 being spaced from the shaft, wherein a rotation of the shaft defines a moving direction 120 of the recess 108 in which the edge 116 forms a leading edge of the recess 108; the surface portion 114 defining a depth 122 of the recess 108 with regard to the edge 116; and wherein in a direction 124 radially inwardly the depth 122 of the recess 108 is decreasing. In accordance with embodiments, the decreasing depth 122 may be realized by a suitable inclination 136 of the surface portion 114 of the recess 116 and/or by a suitable inclination 140 of the edge 116 of the recess 108. #### List Of Reference Signs: - 100: stirring device - 102: shaft - 104: axis of rotation - 106, 206, 306: stirring element - 108: recess - 110: head - 112: body - 114: surface portion - 116: edge - 118: rotating (circumferential) direction - 120: moving direction of 108 - 122: depth of 108 defined by 114 - 124: radially inward direction - 126: further recess - 128: plane of symmetry - 129: radially inner part - 130: radially outer part - 132: distance between 130 and 116 in moving direction - 134: distance between 129 and 116 in moving direction - 136: angle of 114 with regard to 138 - 138: plane perpendicular to the moving direction - 140: angle of 116 with regard to 142 - 142: plane perpendicular to the moving direction - 144: radially inner edge portion - 146: radially outer edge portion - 150: radially outer wall - 152: radially inner wall - 154: sidewall - 156: radial extent of 108 - 158: further surface portion - 160: depth of 108 defined by 158 - 162: first part of 158 - 164: second part of 158 - 166: kink between 114 and 158 - 168: first direction of straight extension of 114 - 170: radially innermost edge portion - 172: depth of 108 in the moving direction at 166 - 174: distance between 162 and 170 - 176: intermediate surface portion - 178: movement of fermentation material within 108
1. Stirring device (100, 200, 300) for a biogas fermenter, the stirring device being configured for stirring a fermentation material, the stirring device comprising: a shaft (102) rotatable about an axis of rotation (104); a stirring element (106) attached to the shaft (102), the stirring element (106) having a recess (108); the recess (108) having a surface portion (114) and an edge (116), the edge (116) defining an opening which provides access to the surface portion (114); the recess (108) being spaced from the shaft (102), wherein a rotation of the shaft (102) defines a moving direction (120) of the recess (108) in which the edge (116) forms a leading edge of the recess (108); the surface portion (114) defining a depth of the recess (108) with regard to the edge (116); and wherein in a direction radially inwardly the depth of the recess (108) is decreasing.
2. Stirring device according to claim 1,: the surface portion (114) having a radially inner part (129) and a radially outer part (130), wherein the radially inner part (129) is located between the axis of rotation (104) and the radially outer part (130); and: the surface portion (114) being configured such that in a movement of the recess (108) in the moving direction (120) the radially inner part (129) is a leading part and the radially outer part (130) is trailing the radially inner part (129). 3. Stirring device according to claim 1 or 2,: the edge (116) having a radially inner edge portion (144) and a radially outer edge portion (146), wherein the radially inner edge portion (144) is located between the axis of rotation (104) and the radially outer edge portion (146); and: the edge (116) being configured such that in a movement of the recess (108) in the moving direction (120) the radially outer edge portion (146) is a leading portion and the radially inner edge portion (144) is trailing the radially outer edge portion (146). 4. Stirring device according to any one of the preceding claims,: the recess (108) comprising a further surface portion (158), wherein the surface portion (114) is located between the further surface portion (158) and the axis of rotation (104); and: the further surface portion (158) defining a depth (160) of the recess (108) with regard to the edge (116). 5. Stirring device according to the preceding claim,: the further surface portion (158) having a first part (162) and a second part (164), wherein the second part (164) of the further surface portion (158) is located between the first part (162) of the further surface portion (158) and the radially outer part (130) of the surface portion (114);: the further surface portion (158) being configured such that in a movement of the recess (108) in the moving direction (120) its first part (162) is a leading part and its second part (164) is trailing the first part (162). 6. Stirring device according to any one of claims 4 or 5, the recess (108) further comprising: an intermediate surface portion (114) located between the surface portion (114) and the further surface portion (158), the intermediate surface portion connecting the surface portion (114) and the further surface portion (158) to a continuous surface of the recess (108). 7. Stirring device according to any one of claims 4 or 5,: the surface portion (114) and the further surface portion (158) abutting in a kink (166). 8. Stirring device according to any one of the preceding claims,: the surface portion (114) extending in radial direction (124) over at least 10 % of the radial extent of the recess (108). 9. Stirring device according to any one of the preceding claims,: the surface portion (114) extending straight in a first direction. 10. Stirring device according to the preceding claim,: wherein the first direction is parallel to the axis of rotation (104). 11. Stirring device according to any one of claims 2 to 10,: wherein the surface portion (114) extends straight in a direction (168) from its radially inner part (129) to its radially outer part (130). 12. Stirring device according to any one of claims 2 to 10,: wherein the surface portion (114) is curved in a direction (168) from its radially inner part (129) to its radially outer part (130). 13. Stirring device according to any one of claims 2 to 12,: the edge (116) of the recess (108) comprising an radially innermost edge portion (170), wherein the radially inner part (129) of surface portion (114) is located in the vicinity of the radially innermost edge portion (170) of the edge (116), wherein in the vicinity of any point on the radially innermost edge portion (170) is defined by a distance to this point which is less than 50% of the maximum depth of the recess (108) in the moving direction (120). 14. Stirring device according to the preceding claim,: the recess (108) further comprising a radially inner wall (152) which extends in the moving direction (120);: the radially inner wall of the recess (108) forming the radially innermost edge portion of the edge (116) of the recess (108);: the surface portion (114) abutting the radially inner wall (152) spaced from the radially inner edge portion (170). 15. Stirring device according to any one of the preceding claims, wherein the opening of the recess (108) has a generally tetragonal shape.
2837421
Stirring device comprising a mounting structure for a stirring element and method of mounting a stirring element
1
Based on the following detailed description of an invention, generate the patent claims. There should be 14 claims in total. The first, independent claim is given and the remaining 13 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
The illustration in the drawings is schematic. It is noted that in different figures, similar or identical elements are provided with the same reference signs or with reference signs, which are different from the corresponding reference signs only within the first digit. Accordingly, the description of similar or identical features is not repeated in the description of subsequent figures in order to avoid unnecessary repetitions. However, it should be understood that the description of these features in the preceding figures is also valid for the subsequent figures unless noted otherwise. Figure 1 shows part of a stirring device 100 for a biogas fermenter according to embodiments of the herein disclosed subject matter. The stirring device comprises a shaft 102 which is rotatable about an axis of rotation 104. According to an embodiment, the shaft 102 is a hollow shaft, as shown in Figure 1. Attached to the shaft 102 is a mounting structure 106 with a first element 108 and a second element 110. The first element 108 comprises a first connection surface 112 and the second element 110 comprises a second connection surface 114. The first connection surface 112 and the second connection surface 114 are located at opposite sides of the shaft 102 with the shaft 102 being located between the first connection surface 112 and the second connection surface 114. The first connection surface 112 and the second connection surface 114 are configured for receiving a stirring element (not shown in Figure 1 ). Figure 2 shows a cross-sectional view of the stirring device 100 of Figure 1 along line II-II. Figure 2 shows in part a stirring element 116 attached to the mounting structure 106. In particular, the stirring element 116 is attached to the first connection surface 112 and the second connection surface 114. To this end, the stirring element 116 has opposing surfaces 120 which contact the first connection surface 112 and the second connection surface 114, respectively. In accordance with an embodiment, the stirring element 116 comprises a first leg 117, a second leg, 119, and a body 121. The body 121 is connected to the first leg 117 and the second leg 119. Further, the first leg 117 is connected with its opposing surface 120 to the first connection surface 112 and the second leg 119 is connected with its opposing surface 120 to the second connection surface 114. In accordance with an embodiment, the first connection surface 112 and the second connection surface 114 are spaced from the shaft 102 and define a plane 122 which intersects the shaft 102. According to an embodiment, each of the first element 108 and the second element 110 is formed as a solid, integral part. According to other embodiments, the first element and the second element may be formed of a plurality of parts. While according to an embodiment shown in Figure 2 the first element 108 and the second element 110 are formed as separate pieces which are as such not connected to each other, according to other embodiments the first element 108 and the second element 110 may be interconnected (not shown in Figure 2 ). Figure 3 shows a further stirring device 200 for a biogas fermenter according to embodiments of the herein disclosed subject matter. In accordance with embodiments of the herein disclosed subject matter the mounting structure 106 shown in Figure 3 comprises a first connection surface 112 and a second connection surface 114, which are located at opposite sides of a shaft 102. However, the first element 108 and the second element 110 providing the first connection surface 112 and the second connection surface 114 are different from the ones shown in Figure 1. According to an embodiment shown in Figure 3 each of the first element 108 and the second element 110 comprises a first mounting part 124 and a second mounting part 126. The first mounting part 124 and the second mounting part 126 are attached to the shaft 102, e.g. by welding. It should be understood that any other suitable attachment process may be suitable for attaching the first and second mounting parts 124, 126 to the shaft 102. The first mounting part 124 and that the second mounting part 126 are spaced in axial direction 128. Between the first mounting part 124 in the second mounting part 126 an intermediate part 130 is provided which extends between the first mounting part 124 and the second mounting part 126. According to an embodiment, the first mounting part 124, the second mounting part 126 and the intermediate part 130 are separate components. According to an embodiment, the first mounting part 124 and the second mounting part 126 have respective attachment surfaces 132 facing each other. According to an embodiment, the intermediate part 130 is attached to the attachment surfaces 132. According to other embodiments, the intermediate part 130 may be attached to other portions of the first mounting part 124 and the second mounting part 126. In accordance with an embodiment the first connection surface 112 comprises two surface portions 137, 139 spaced apart from each other in axial direction 128. Further, the second connection surface 114 comprises two surface portions 138, 140 spaced apart from each other in the axial direction 128. Figure 4 shows a cross-sectional view of the stirring device 200 of Figure 3 along line IV-IV. In accordance with an embodiment, each intermediate part 130 provides one of the first connection surface 112 and the second connection surface 114, as shown in Figure 3. By using the first mounting part 124 (not shown in Figure 4 ) and the second mounting part 126 for the connection to the shaft 102 and on the other hand providing the intermediate part 130 for a connection to the stirring element 116, the connection plane 122 may be located closer to the axis of rotation while the first mounting part 124 and the second mounting part 126 are connected to the shaft 102 over a relatively large angular range, as shown for the second mounting part 126 in Figure 4. Generally, this may be achieved by the first connection surface 112 and the second connection surface 114 being spaced from a circumferential edge 133 of its associated mounting part 124, 126, wherein the connection surface 112, 114 faces the circumferential edge 133. Figure 5 shows a side view of the stirring device 200 of Figure 4 when viewed from line V-V. In accordance with an embodiment, the first mounting part 124 extends over a first angular range, indicated at 134 in Figure 5 and the second mounting part 126 extends over the second angular range, indicated at 136 in Figure 5. According to an embodiment, the first angular range 134 and the second angular range 136 overlap each other. For example, in an embodiment the first angular range 134 and the second angular range 136 are identical, as shown in Figure 4. In other words, in this embodiment the first mounting part 124 in the second mounting part 126 are aligned with each other in axial direction. In accordance with an embodiment, the second leg 119 of the stirring element 116 is attached to the first surface portion 138 and the second surface portion 140 of the second connection surface 114. In accordance with a further embodiment, and as described above, the second leg 119 comprises an opposing surface 120 which is attached to the second connection surface 114 of the second element 110 of the mounting structure 106. In accordance with an embodiment, the opposing surface 120 has two surface portions 142, 144 which are spaced from each other in axial direction 128 and which are configured to be attached to the respective surface portions 138, 140 of the second connection surface 114. Likewise the opposing surface 118 of the first leg 117 of the stirring element 116 has two surface portions which are spaced from each other in axial direction (not shown in Figure 5 ). In accordance with an embodiment, the intermediate part 130 has a circumferentially extended portion 146 between the two spaced apart surface portions 138, 140 of the second connection surface 114 of the intermediate part 130. In accordance with an embodiment, the circumferentially extended portion 146 comprises a recess 148 in the form of a through hole in order to reduce the weight of the intermediate part 130. Figure 6 shows further stirring device 300 according to embodiments of the herein disclosed subject matter. According to an embodiment, the stirring device 300 comprises a mounting structure 106 which comprises a first protrusion 150 extending in circumferential direction 152 over the extent 154 of the intermediate part 130 at its connection surface 114. The first protrusion 150 connects the first mounting part 124 and the intermediate part 130. In accordance with an embodiment, the first protrusion 150 is an integral portion of the intermediate part 130, as shown in Figure 6. According to other embodiments (not shown in Figure 6 ), the protrusion 150 may be provided by a separate part located between the first mounting part 124 and the intermediate part 130. According to an embodiment, the mounting structure 106 comprises two first protrusions 150 each extending in one of the two circumferential directions (clockwise and counterclockwise), as shown in Figure 6. According to an embodiment, the mounting structure 106 and further comprises a second protrusion 156 extending in circumferential direction 152 over the extent 154 of the intermediate part 130 at its connection surface 114. The second protrusion 156 connects the second mounting part 126 and the intermediate part 130. The second protrusion 156 may be configured similar or identical to the first protrusion 150. According to an embodiment, a second protrusion 156 is provided for each of the two circumferential directions, as shown in Figure 6. Figure 7 shows the mounting structure 106 of Figure 6 in greater detail with the stirring element 116 attached to the mounting structure 106. According to an embodiment, the stirring element 116 is attached to the second connection surface 114 with a bolt connection 160. The bolt connection 160 may include e.g. thread in the intermediate part 130. According to another embodiment, the bolt connection 160 may include a threaded bolt 162 with the retaining ring 164, wherein the threaded bolt extends through both, the stirring element 116 and the intermediate part 130. According to an embodiment, the mounting structure 106 comprises a guidance 166, e.g. in the form of a drill bush as shown in Figure 7, wherein the guidance is configured for defining a position of the stirring element 116 with respect to the second connection surface 114 if the stirring element is in contact with the first connection surface (not shown in Figure 7 ) and the second connection surface 114. According to an embodiment a guidance is also provided for the first connection surface (not shown in Figure 7 ). Further, while in Figure 7 a guidance 166 is shown for the second surface portion 140 of the second connection surface 114, it should be understood that according to an embodiment a guidance is also provided for the first surface portion 138 of the second connection surface 114 as well as for the surface portions 137, 139 of the first connection surface 112 (see e.g. Figure 3 ). According to an embodiment, the guidance 166, e.g. the drill bush, also provides form-locked join between the stirring element and the respective connection surface. In particular, the guidance 166 shown in Figure 7 provides for a form-locked join between the stirring element 116 and the respective connection surface 114 and the transfers lateral forces between the stirring element 116 and the connection surface 114, e.g. if the friction between respective connection surface 114 and its opposing surface 120 is not sufficient for the force transfer. Having regard to the subject matter disclosed herein, it should be mentioned that generally each of the first connection surface and the second connection surface may be configured according to one or more of the embodiments disclosed herein for one of these surfaces. It should be noted that any entity disclosed herein (e.g. parts, portions, surfaces, components, units, structures and devices) are not limited to a dedicated entity as described in some embodiments. Rather, the herein disclosed subject matter may be implemented in various ways and with various granularity while still providing the specified functionality. Further, it should be noted that according to embodiments a separate entity (e.g. part, portion, surface, component, unit, structure or device) may be provided for each of the functions disclosed herein. According to other embodiments, an entity (e.g. part, portion, surface, component, unit, structure or device) is configured for providing two or more functions as disclosed herein. According to still other embodiments, two or more entities (e.g. part, portion, surface, component, unit, structure or device) are configured for providing together a function as disclosed herein. Generally herein, and attachment of two pieces to each other may be performed by any suitable means, including one or more of welding, gluing, bolting, riveting, etc. In order to recapitulate the above described embodiments of the present invention one can state: - In accordance with embodiments of the herein disclosed subject matter it is described a stirring device 200 for a biogas fermenter wherein the stirring device 200 is configured for stirring a fermentation material and comprises a shaft 102 rotatable about an axis of rotation and a mounting structure 106 attached to the shaft 102. The mounting structure 106 has a first connection surface 112 and the second connection surface 114 and a stirring element 116 is attached to the first connection surface 112 and the second connection surface 114. The first connection surface 112 and the second connection surface 112 are located at opposite sides of the shaft 102 with the shaft being located between the first connection surface 112 and the second connection surface 114. The stirring element 116 may be configured to be spaced from the shaft 102 when the stirring element 116 is attached to the first connection surface 112 and the second connection surface 114. According to an embodiment, the stirring device 200 is adapted for stirring fermentation material which is subject to dry fermentation. #### List Of Reference Signs: - 100, 200, 300: stirring device - 102: shaft - 104: axis of rotation - 106: mounting structure - 108: first element - 110: second element - 112: first connection surface - 114: second connection surface - 116: stirring element - 117: first leg - 118: opposing surface - 119: second leg - 120: opposing surface - 121: body - 122: connection plane - 124: first mounting part - 126: second mounting part - 128: axial direction - 130: intermediate part - 132: attachment surface - 133: circumferential edge of 124 or 126 - 134: first angular range - 136: second angular range - 137: surface portion of 112 - 138: surface portion of 114 - 139: surface portion of 112 - 140: surface portion of 114 - 142,: 144 surface portions of 120 - 146: circumferentially extended portion of 130 - 148: recess - 150: first protrusion - 152: circumferential direction - 154: extent of 130 at 114 - 156: second protrusion - 160: bolt connection - 162: threaded bolt - 164: retaining the ring - 166: guidance
1. Stirring device (100, 200, 300) for a biogas fermenter, the stirring device (100, 200, 300) being configured for stirring a fermentation material, the stirring device (100, 200, 300) comprising: a shaft (102) rotatable about an axis of rotation (104); a mounting structure (106) attached to the shaft (102), the mounting structure (106) having a first connection surface (112) and a second connection surface (114); a stirring element (116) attached to the first connection surface (112) and the second connection surface (114); wherein the first connection surface (112) and the second connection surface (114) are located at opposite sides of the shaft (102) with the shaft (102) being located between the first connection surface (112) and a second connection surface (114).
2. Stirring device (100, 200, 300) according to claim 1, wherein the mounting structure (106) comprises a first element (108) and a second element (110) spaced apart from each other in circumferential direction about the axis of rotation (104), the first element (108) having the first connection surface (112) and the second element (110) having the second connection surface (114). 3. Stirring device (100, 200, 300) according to any one of the preceding claims, the first connection surface (112) and the second connection surface (114) being spaced from the shaft (102). 4. Stirring device (100, 200, 300) according to any one of the preceding claims,: the first connection surface (112) and the second connection surface (114) defining a connection plane (122), the connection plane (122) intersecting the shaft (102). 5. Stirring device (100, 200, 300) according to any one of the preceding claims,: each of the first connection surface (112) and the second connection surface (114) comprises two surface portions (137, 139; 138, 140) spaced apart from each other in axial direction. 6. Stirring device (100, 200, 300) according to any one of the preceding claims, the mounting structure (106) comprising: for each of the first connection surface (112) and the second connection surface (114), a first mounting part (124) attached to the shaft (102), a second mounting part (126) attached to the shaft (102), and an intermediate part (130) extending between the first mounting part (124) and the second mounting part (126), the intermediate part being spaced from the shaft (102); the first mounting part (124) and the second mounting part (126) being spaced apart in axial direction (128); each intermediate part (130) providing one of the first connection surface (112) and the second connection surface (114); the first mounting part (124) extending over a first angular range (134), the second mounting part (126) extending over a second angular range (136) and the first angular range (134) and the second angular range (136) overlap each other. 7. Stirring device (100, 200, 300) according to the preceding claim,: the first mounting part (124), the second mounting part (126) and the intermediate part (130) being separate components; and: the intermediate part (130) being attached to the first mounting part (124) and the second mounting part (126). 8. Stirring device (100, 200, 300) according to claim 6 or 7, further comprising: a first protrusion (150) extending in circumferential direction (152) over the extent of the intermediate part (130) at its connection surface (112);: the first protrusion (150) connecting the first mounting part (124) and the intermediate part (130);: a second protrusion (156) extending in circumferential direction (152) over the extent (154) of the intermediate part (130) at its connection surface (114);: the second protrusion (156) connecting the second mounting part (126) and the intermediate part (130). 9. Stirring device (100, 200, 300) according to claim 5 and comprising the features of any one of claims 6 to 8,: the intermediate part (130) having a circumferentially extended portion (146) located between the two surface portions (137, 139; 138, 140) of the intermediate part (130). 10. Stirring device (100, 200, 300) according to the preceding claim, the circumferentially extended portion comprising a recess (148), the recess (148) reducing a weight of the intermediate part (130). 11. Stirring device (100, 200, 300) according to any one of the preceding claims,: the stirring element (116) being spaced from the shaft (102). 12. Stirring device (100, 200, 300) according to any one of the preceding claims,: the stirring element (116) comprising a first leg (117), a second leg (119) and a body (121); the body (121) being connected to the first leg (117) and the second leg (119);: the first leg (117) being connected to the first connection surface (112) and the second leg (119) being connected to the second connection surface (114). 13. Stirring device (100, 200, 300) according to any one of the preceding claims, further comprising: a guidance (166) configured for defining a position of the stirring element (116) with respect to the first connection surface (112) and the second connection surface (114) if the stirring element (116) is in contact with the first connection surface (112) and the second connection surface (114). 14. Stirring device (100, 200, 300) according to any one of the preceding claims, further comprising: a first form-locked join (166) between the stirring element (116) and the first connection surface (112); a second form-locked join (166) between the stirring element (116) and the second connection surface (114).
2839728
Vehicle, such as wheeled gardening equipment
1
Based on the following detailed description of an invention, generate the patent claims. There should be 15 claims in total. The first, independent claim is given and the remaining 14 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
There are two embodiments shown in the Figures. The first embodiment is shown in the Figures ending with "a". The second embodiment is shown in the Figures ending with "b". Reference numerals of the first embodiment suffix end with "a". Reference numerals of the second embodiment suffix end with "b". The use of the suffix "a" or "b" also indicates that comparable parts can be found in the first and second embodiments. When no suffix "a" or "b" is used it indicates that the part is unique to one embodiment. Figure 1a and 1b show lawn mowers as embodiments of vehicles 10a and 10b respectively. Alternative vehicles 10a, 10b can be other wheeled gardening equipment such as brush cutters, lawn trimmers, lawn rakers but also pressure washers and vacuum cleaners. The vehicles 10a, 10b can be used to cut vegetation such as brush but also to trim or mow lawn but also to clean floors. The vehicles 10a, 10b comprise main bodies or chassis 12a, 12b. The chassis 12a, 12b shown in Figure 1a and 1b are mower decks. The chassis 12a, 12b comprise wheels 14a, 14b which - at least while the vehicles 12a, 12b are in operation - contact with the surface to be treated. Instead of wheels 12 there can also be balls or gliders or other implements to support the chassis 12. But the chassis 12 can also be supported by a cushion of air such as known from so-called hover mowers. The vehicles 10a, 10b can be pushed or pulled by a person with handles 16a, 16b that are attached to the housings 18a, 18b of the chassis 12a, 12b. The housings 18a, 18b are made from plastic. But other materials can also be used. Plastic however is preferred due to its weight. The handles 16a, 16b are U-shaped with a central grip section 20a, 20b to be held by a person using the vehicles 10a, 10b. The handles 16a, 16b each comprise a pair of tubes 22a, 22b generally arranged in parallel. It should be noted that it is also possible to use just a single tube 22a, 22b. But the use of two tubes 22a, 22b is preferred. Also, in the embodiments shown the cross section of the tubes 22a, 22b is round and hollow. But the cross section can also be different such as polygonal or oval for example. The tubes 22a, 22b are made from metal. But other materials can also be used. Referring now to Figure 2a to 5a as well as 2b to 5b, each tube 22a, 22b has a mounting portion 24a, 24b provided at the end of the tube 22a, 22b which is pointing away from the grip section 20a, 20b. The mounting portion 24a, 24b is on the outer circumference of the tube 22a, 22b. Each mounting portion 24a, 24b is fitted with a connector 26a, 26b. The connectors 26a, 26b are made from plastic. Other materials are possible, too. But plastic is preferred due to its weight. The connectors 26a, 26b are attached to mounting seats 38a, 38b of the housings 18a, 18b. Each mounting portion 24a, 24b extends beyond the connectors 26a, 26b with a pole portion 28a, 28b. The pole portions 28a, 28b are held by corresponding first bearing sections 30a, 30b of the housing 18a, 18b. The end portion 32a, 32b of the mounting portion 28a, 28b and therefore of the tubes 22a, 22b are also held by second bearing sections 34a, 34b of the housing 18a, 18b. The second bearing sections 34a, 34b are hinted at in dashed lines in Figure 5a, 5b. They also serve to abut the end portions 32a, 32b. There can also be just the first bearing sections 30a, 30b or second bearing sections 34a, 34b. The first sections 30a, 30b and second bearing sections 34a, 34b respectively can be formed as one single bearing. It is also possible that there are no pole portions 28a, 28b as well as bearings 30a, 30b, 34a, 34b and it is just the connectors 26a, 26b that are used to attach the handle 16a, 16b to the housing 18a, 18b. But the pole portions 28a, 28b and bearings 30a, 30b as well as 34a, 34b increase the mechanical strength of the connection. The mounting seat 36a, 36b of the connector 26a, 26b and the bearing sections 30a, 30b, 34a, 34b of the housing 18a, 18b are aligned, preferably co-axially aligned. Each connector 26a, 26b has a mounting seat 36a, 36b in which the mounting portion 24a, 24b of the tube 22a, 22b is attached. It is noted that the pole portion 28a, 28b extends at least beyond the mounting seat 36a, 36b or also as mentioned above beyond the entire connector 26a, 26b. The mounting seat 36a, 36b has an inner circumference for the outer circumference of the mounting portion 24a, 24b of the tube 16a, 16b. The mounting seat 36a, 36b of the connector 26a, 26b is preferably a bushing. It completely surrounds the mounting portion 24a, 24b of the tube 22a, 22b. But it could also be open on the side so that the connector 26a, 26b can be mounted to the mounting portion 24a, 24b from a side and clipped onto it. The bushing however is preferred for mechanical stiffness. As mentioned above, the housing 18a, 18b has the mounting seat 38a, 38b in which a mounting portion 40a, 40b of the connector 26a, 26b is arranged. The mounting seat 38a, 38b of the housing 18a, 18b is formed as a sliding seat for the mounting portion 40a, 40b of the connector 26a, 26b. The mounting seat 38a, 38b is preferably substantially arranged as a vertical sliding seat which means that when the vehicle 10a, 10b is put on the ground or floor the connector 26a, 26b can be mounted to or inserted into the mounting seat 28a, 28b with a substantially vertical movement of a user. However, the mounting seat 28a, 28b can be arranged so that the insertion occurs from a different angle. Although the mounting seat 38a, 38b can be shaped for a pivoting movement of the connector 26a, 26b to be inserted it is preferred if the mounting seat 38a, 38b is a linear sliding seat. The mounting seat 38a, 38b of the housing has at least one opening 42a, 42b in an external top surface 44a, 44b of the vehicle 10a, 10b through which the connector 26a, 26b is moved into the mounting seat 28a, 28b of the housing 18a, 18b. The mounting seat 38a, 38b of the housing 18a, 18b has at least one opening 46a, 46b in an external side surface 48a, 48b of the vehicle 10a, 10b. The opening 42a, 42b in the top surface 44a, 44b would be sufficient. But the additional opening 46a, 46b in the side surface 28a, 48b is preferred for its better visibility for a user. It also reduces the number of tolerances to be considered. The external surface 50a, 50b of the connector 26a, 26b is at least generally flush with a neighbouring external surface 44a, 44b, 48a, 48b of the housing 18a, 18b except for the bushing section of the mounting seat 36a, 36b for accommodating the tube 22a, 22b. But the external surface 50a, 50b of the connector 26a, 26b can also at least generally be flush with a neighbouring external surface 44a, 44b, 48a, 48b of the housing 18a, 18b if there is no bushing section standing out of the surface 50a, 50b of the connector 26a, 26b. The connector 26a, 26b has at least one locating portion 51a, 51b and the mounting seat 38a, 38b of the housing 18a, 18b has at least one corresponding recess portion 52a, 52b for accommodating the locating portion of the connector 26a, 26b. The locating portion 51a, 51b is protruding away from the mounting portion 24a, 24b of the tubes 22a, 22b. Other geometries are possible. The distance of the contact portion 51a, 51b of the connector 26a, 26b to a longitudinal axis of the mounting portion 24a, 24b of the tube 22a, 22b is equal or slightly smaller than the distance of the recess portion 52a, 52b of the mounting seat 38a, 38b to a longitudinal axis of the first bearing section 30a, 30b of the housing 18a, 18b. When the mounting portion 24a, 24b is inserted into the mounting seat 38a, 38b the locating portion 51a, 51b contacts or almost contacts the recess portion 52a, 52b. This reduces or even eliminates lateral movement between the connector 26a, 26b and the housing 18a, 18b, especially in case that the seat of the tube 22a, 22b in the bearing sections 30a, 30b, 34a, 34b wears out over time. Therefore, mounting portion 24a, 24b of the tube 22a, 22b is located between the external side surface 48a, 48b of the housing 18a, 18b and the locating portion 51a, 51b or recess portion 52a, 52b of the housing, respectively. The connector 26a, 26b is attached to the mounting portion 24a, 24b with a screw 53a, 53b. The parts could also be welded or glued or have a snap-fit. The connector 26a, 26b further comprises a lock element 54a, 54b for securing the connector 26a, 26b and the handle 16a, 16b to the housing 18a, 18b. The lock element 54a, 54b is arranged on an internal surface 55a, 55b (compared to the external surface 50a, 50b) of the connector 26a, 26b. The lock element 54a, 54b is movable from a locked position locking the connector 26a, 26b to the housing 18a, 18b to an unlocked position so that the connector 26a, 26b and the handle 16a, 16b can be removed from the housing 18a, 18b. It is also possible that the lock element 54a, 54b can only move into the locked position while the connector 26a, 26b is inserted into the mounting seat 28a, 28b of the housing 18a, 18b. The lock element 54a, 54b has a button portion 56a, 56b and the connector 26a, 26b has an opening 58a, 58b in its external surface 50a, 50b for the button portion 56a, 56b, so that the lock element 54a, 54b can be operated without tools. Alternatively or in addition the lock element 54a, 54b is spring-loaded towards the locked position. The lock element 54a, 54b has at least one latch element 60a, 60b which engages with a corresponding locking surface 62a, 62b of the mounting seat 38a, 38b of the housing 18a, 18b when in the locked position. The at least one latch element 60a, 60b has a ramp 64a, 64b for pressing the lock element 54a, 54b towards the unlocked position when the connector 26a, 26b is inserted into the mounting seat 38a, 38b of the housing 18a, 18b. Differences between the two embodiments are now described in more detail. Referring now to Figure 2a to 5a, the lock element 54a has two latch elements 60a on either side of the button portion 56a. Two pairs of spring elements 66 are arranged on the lock element 54a. One pair 66 is arranged above and one pair 66 is arranged below the button portion 56a. Between each latch element 60a and the button portion 56a there is a hole 68 resulting in two holes 68. On the inside of the connector 26a there are - as a lock bearing section - two mounting posts 70 for the holes 68 of the connector 26a. The mounting posts 70 are located below the mounting seat 36a of the connector 26a. The mounting posts 70 are located on either side of the tube 22a. When assembled the lock element is arranged between a wall of the connector 26a and the tube 22a. The pairs of spring elements 66 abut on the tube 22a. Instead of pairs of spring elements 66 there can also be different spring elements. Referring now to Figure 2b to 5b, the lock element 54b has one latch element 60b. The latch element 60b and the button portion 56b are arranged at a flat angle. In the latch element 60b there is a leaf spring element 71 arranged on the same side as the button portion 56b. The spring 71 abuts against a wall of the connector 26b. Between the button portion 56b and the latch element 60b there are two pivot pins 72 pointing away from the lock element 54b. The pivot pins 72 are mounted to pivot pin seats 74, which are another form of a lock bearing section and which are located on the inside of the connector 26b. The pivot pin seats 74 are arranged on one side of the mounted tube 22b. Instead of a leaf spring element 72 there could also be different spring elements. Referring back to Figure 2a to 5a and 2b and 5b, for assembling the parts, the connector 26a, 26b is fitted with the lock element 54a, 54b. Then the tube 22a, 22b is inserted with its mounting portion 24a, 24b in a mounting direction 76a, 76b which is parallel to the mounting portion 24a, 24b. Then the components are secured with a screw 53a, 53b. The handle 16a, 16b with its tube or pair of tubes 22a, 22b and the connector or connectors 26a, 26b are a preassembled subassembly easy to be mounted to the housing 18a, 18b by a user. For final assembly of the handle 16a, 16b to the housing 18a, 18b, which can be done by a user, the connectors 26a, 26b are slid into the mounting seats 38a, 38b of the housing in the same direction as the mounting direction 76a, 76b. When the latch elements 60a, 60b pass the locking surfaces 62a, 62b they get pushed into the unlocked position by the ramps 64a, 64b in a direction away from the mounting direction 76a, 76b. Once the latch elements 60a, 60 pass the locking surfaces 62a, 62b they snap back towards the mounting direction 76a, 76b so that the latch elements 60a, 60b abut against the locking surfaces 62a, 62b. Although non-removable attachment of the connector or connectors 26a, 26b and the handle 16a, 16b to the housing 18a, 18b is possible, it is preferred if the connector or connectors 26a, 26b and the handle 16a, 16b are removably attached to the housing 18a, 18b. In short, the handle 16a, 16b is secured in the mounting direction 76a, 76b by the connector 26a, 26b, in particular the lock element 54a, 54b and against lateral movement with respect to the mounting direction 76a, 76b primarily or completely by the bearing section 30a, 30b, 34a, 34b of the housing 18a, 18b, in which the tube 22a, 22b is preferably directly fitted. This can be achieved by the bearing section 30a, 30b or bearing section 34a, 34b or both bearing sections 30a, 30, 34a, 34b. The connector 26a, 26b can support the bearing section's 30a, 30b, 34a, 34b reduction of lateral movement with respect to the mounting direction 76a, 76b as described above. This depends on the play of the mounting portion 24a, 24b of the tube 22a, 22b in the bearing sections 30a, 30b, 34a, 34b one the one hand; and the play of the mounting portion 40a, 40b of the connector 26a, 26b in the mounting seat 38a, 38b of the housing 18a, 18b on the other hand. This means that if the mounting portion 24a, 24b has no play in the bearing sections 30a, 30b, 34a, 34b the connector 26a, 26b might not support reduction of lateral movement at all. As the housing 18a, 18b of the vehicle 10a, 10b comprises two mounting seats and a handle 16a, 16b with a pair of tubes 22a, 22b and a pair of connectors 26a, 26b one tube22a, 22b, one connector 26a, 26b and one mounting seat 38a, 28b are arranged on each side of the vehicle 10a, 10b. This enables a simultaneous removal of the connectors 26a, 26b and the handle 16a, 16b. The tubes 22a, 22b can be held with the thumbs of a hand each and the release button 56a, 56b be pushed with at least one of the remaining fingers.
1. Vehicle (10a, 10b), such as wheeled gardening equipment, comprising a handle (16a, 16b) for pushing or pulling the vehicle (10a, 10b), wherein the handle (16a, 16b) comprises at least one tube (22a, 22b) with a mounting portion (24a, 24b), and a housing (18a, 18b) with a mounting seat (38a, 28b) to which the mounting portion (24a, 24b) of the tube (22a, 22b) is attached with at least one connector (26a, 26b), characterised in that the connector (26a, 26b) has a mounting seat (36a, 36b) in which the mounting portion (24a, 24b) of the tube (22a, 22b) is attached and the housing (18a, 18b) has a mounting seat (38a, 38b) in which a mounting portion (40a, 40b) of the connector (26a, 26b) is arranged.
2. Vehicle (10a, 10b) according to claim 1, characterised in that the mounting seat (38a, 38b) of the housing (18a, 18b) is formed as a sliding seat, preferably substantially vertical sliding seat, for the mounting portion (40a, 40b) of the connector (26a, 26b). 3. Vehicle (10a, 10b) according to any of the preceding claims, characterised in that the mounting seat (38a, 38b) of the housing (18a, 18b) has at least one opening (42a, 42b) in an external top surface (44a, 44b) of the vehicle (10a, 10b) through which the connector (26a, 26b) is moved into the mounting seat (38a, 38b). 4. Vehicle (10a, 10b) according to any of the preceding claims, characterised in that the mounting seat (38a, 38b) of the housing (18a, 18b) has at least one opening (46a, 46b) in an external side surface (48a, 48b) of the vehicle (10a, 10b). 5. Vehicle (10a, 10b) according to claim 3 and/or 4, characterised in that an external surface of the connector (26a, 26b) is at least generally flush with a neighbouring external surface (44a, 44b, 48a, 48b) of the housing (18a, 18b) or that an external surface of the connector (26a, 26b) is at least generally flush with a neighbouring external surface of the housing (18a, 18b) except for a bushing section for accommodating the tube. 6. Vehicle (10a, 10b) according claim to 4 or 5, characterised in that the mounting portion 24a, 24b) of the tube (22a, 22b) is arranged between the external side surface (48a, 48b) and a locating portion (51a, 51b) of the connector (26a, 26b), wherein the locating portion (51a, 51b) is arranged in a recess portion (52a, 52b) of the housing (18a, 18b). 7. Vehicle (10a, 10b) according to any of the preceding claims, characterised in that the connector (26a, 26b) and the handle (16a, 16b) are a preassembled subassembly, wherein the connector (26a, 26b) and the handle (16a, 16b) are preferably removably attached to the housing (18a, 18b). 8. Vehicle (10a, 10b) according to any of the preceding claims, characterised in that the tube (22a, 22b) extends at least beyond the mounting seat (36a, 36b) of the connector (26a, 26b) and is attached to at least one further bearing section (30a, 30b, 34a, 34b) of the housing (18a, 18b), wherein the bearing section (30a, 30b, 34a, 34b) is aligned with the mounting seat (36a, 36b), preferably coaxially aligned. 9. Vehicle (10a, 10b) according to any of the preceding claims, characterised in that the connector (26a, 26b) comprises a lock element (54a, 54b), preferably on an internal surface (55a; 55b), for securing the connector (26a, 26b) and the handle (16a, 16b) to the housing (18a, 18b), wherein the lock element (54a, 54b) is movable from a locked position locking the connector (26a, 26b) to the housing (18a, 18b) to an unlocked position so that the connector (26a, 26b) and the handle (16a, 16b) can be removed from the housing (18a, 18b) or that the connector (26a, 26b) comprises a lock element (54a, 54b), preferably on an internal surface (55a; 55b), for securing the connector (26a, 26b) and the handle (16a, 16b) to the housing (18a, 18b). 10. Vehicle (10a, 10b) according to claim 9, characterised in that the connector (26a, 26b) comprises a lock bearing section (70, 74) for holding the lock element (54a, 54b). 11. Vehicle (10a, 10b) according to claim 9 or 10, characterised in that the lock element (54a, 54b) has a button portion (56a, 56b) and the connector (26a, 26b) has an opening (58a, 58b) in its external surface (50a, 50b) for the button portion (56a, 56b), so that the lock element (54a, 54b) can be operated without tools and/or that the lock element (54a, 54b) is spring-loaded towards the locked position. 12. Vehicle (10a, 10b) according to any of claims 9 to 11, characterised in that the lock element (54a, 54b) has at least one latch element (60a, 60b) which is movable towards and away from a mounting direction (76a, 76b) of the connector (26a, 26b) and which engages with a corresponding locking surface of the mounting seat (38a, 38b) of the housing (18a, 18b) when in the locked position, wherein the latch element (60a, 60b) preferably has a ramp for pressing the lock element (54a, 54b) towards the unlocked position when the connector (26a, 26b) is inserted into the mounting seat (38a, 38b) of the housing (18a, 18b). 13. Vehicle (10a, 10b) according to any of the preceding claims, characterised in that the handle (16a, 16b) is secured in a mounting direction (76a, 76b) by the connector (26a, 26b), in particular a lock element (54a, 54b) and against lateral movement with respect to the mounting direction (76a, 76b) primarily or completely by a bearing section (30a, 30b, 34a, 34b) of the housing (18a, 18b). 14. Vehicle (10a, 10b) according to any of the preceding claims, characterised in that the housing (18a, 18b) comprises two mounting seats (38a, 38b) and a handle (16a, 16b) with a pair of tubes (22a, 22b) and a pair of connectors (26a, 26b), that a tube (22a, 22b), a connector (26a, 26b) and a mounting seat (38a, 38b) are arranged on each side of the housing (18a, 18b) and that a simultaneous removal of the connectors (26a, 26b) and the handle (16a, 16b) is enabled, wherein preferably the tubes (22a, 22b) can be held with the thumbs and a release button be pushed with at least one of the remaining fingers. 15. Vehicle (10a, 10b) according to any of the preceding claims, characterised in that the mounting seat (36a, 36b) of the connector (26a, 26b) is a bushing.
2840664
Connector assembly with spring operated secondary lock
1
Based on the following detailed description of an invention, generate the patent claims. There should be 12 claims in total. The first, independent claim is given and the remaining 11 dependent claims need to be written. Do not repeat the first claim. The claims should be clear, precise, consistent and consice and should be grounded in the information in the detailed description.
In the following, the invention is described exemplarily with reference to the enclosed figures, in which - Figure 1: shows a connector assembly in accordance with the invention in an exploded three-dimensional view; - Figure 2: shows the connector of Figure 1 in partially assembled condition; - Figure 3: shows the same assembly status as Figure 2 from a different perspective; - Figure 4: shows the connector in assembled condition from the bottom; - Figure 5: shows a schematic three-dimensional view of the assembled connector with the secondary lock in the locked position; - Figure 6: is a partially cut schematic view showing details of the interior of the connector in assembled condition; - Figures 7a and b: show the locking process of the secondary lock; and - Fig 8: shows a schematic three-dimensional view of corresponding counter connector. Figure 1 shows an electrical connector assembly 1 in accordance with the invention in an exploded schematic three-dimensional view. The shown connector is an airbag squib connector and comprises a main part 10 with a plug-in portion 11. The plug-in portion comprises two latching arms 12 and 13, being arranged on opposite sides of the plug-in portion 11. The latching arms serve for latching with corresponding latching means of a counter connector. The counter connector itself can be a standard part, e.g. as described in the initially discussed prior art. The main part 10 comprises a cavity 14 for the reception of electrical contact terminals 50 and 51. The contact terminals are connected with signal cables 52 and 53 and a ferrite element 54 is additionally provided as electromagnetic shielding. Further, the main part comprises an attachment portion 15 which will be described in more detail below. The connector housing is supplemented by a cover 40, which is in the shown preferred embodiment divided in two halves 41 and 42 that can be connected by latch-type connection means. The cover 40 further comprises an opening 43 arranged therein, which allows an engagement of the secondary lock for manual release thereof, as will be described in more detail below. A spring operated secondary lock 20 is further provided, which in assembled condition is moveable on, respectively in, the plug connector housing in mating direction between an open position and a locked position. The spring operation is achieved by means of two tension springs 30 and 31. In order to transfer the tension force of the springs between main part 10 and secondary lock 20, the secondary lock 20 comprises attachment portions 21 and 22, whereby each spring is attached with its upper end (as seen in Figure 1 ; in practice the connector assembly can be used in any spatial orientation) at attachment portions 21 and 22 of the secondary lock 20 and the lower ends of the springs are attached at attachment portions 15 and 16 (see Figure 3 ) of the main part 10, so that the tension springs 30 and 31 are tensioned, when the secondary lock is moved from the locked to the open position. The attachment portions 21, 22 are arranged at the far end of secondary lock 20 relative to the plug-in portion and the attachment portions 15, 16 of the main part are arranged next to the plug-in portion. In this way, a particular compact design is achieved. The secondary lock 20 comprises two opposing side walls 23 and 24, extending in mating direction and plate portion 25, which connects the two sidewalls with each other. Thus, the cross-section of the secondary lock 20 cut through a plane perpendicular to the mating direction is essentially u-shaped or c-shaped. Now turning to the illustrations of Figures 2 and 3, which show the secondary lock 20 assembled with the main part 10, and the tension springs 30 and 31 attached to the respective attachment portions. As one can take from the figures, secondary lock 20 is partially arranged inside of main part 10. The tension springs are attached to the main part, respectively the secondary lock, by means of attachment loops 35 at opposite ends of the springs, which loops are integrally formed from the spring wire. The attachment portions 21, 22, 15 and 16 (see Figure 3 ) shown are cylindrical and extend in a plane perpendicular to the mating direction. In Figure 2, the tension springs are already biased to some extent and the secondary lock 20 is shown in a position between the locked and open position. In Figure 3, the secondary lock 20 is shown in the open position and the tension springs 30, 31 are now stretched and bias secondary lock 20 back into the locked position. In the situation of Figure 3, the blocking arms 26 of the secondary lock (in Figure 1 only one of the blocking arms 26 is shown, the other blocking arm is arranged symmetrically at the opposite side next to side wall 24), rest on a corresponding portion of the counter connector (not shown) and when the plug connector is almost fully mated, the secondary lock 20 is in the open position as shown in Figure 3. At that instance, i.e. upon fully mated or shortly before the fully mated position is achieved, the blocking arms 26 of the secondary lock 20 are released by a suitable release portion provided on the main part 10, and the secondary lock 20 snaps back into the locked position by means of the tensioned springs 30 and 31. In other words, the tension spring automatically moves the CPA in the locked position. The spring force acts exactly on the connector symmetry axis and, as the CPA latches, no buckling momentum acts on the CPA and the friction between CPA and its guide structure in the connector housing is very low compared to the prior art solutions. The release mechanism can be similar as the one of the [PATCIT EP1207591B1] discussed above, however, with the present invention, the release portion is preferably a part of the plug connector and not of the counter connector. In Figure 2, one can further see how the lower ends of the side walls 23 and 24 are arranged behind the latching arms 12 and 13, so that the latching arms 12 and 13 cannot be moved inwardly toward the plug-in portion and are thus blocked. In fully mated condition, these latching arms 12 and 13 latch into for example a corresponding groove of the counter connector and since in the locked position of the secondary lock 20 an inward movement of the latching arms 12 and 13 is prevented by the side walls 23 and 24, it is no longer possible to unmate connector and counter connector. In other words; the side walls 23, 24, respectively the lower ends thereof, are blocking legs to block a release movement of the latching arms 12, 13. Figure 4 shows the plug connector in assembled condition from the bottom side. From this perspective, one can clearly see that the latching arms 12 and 13 are integrally formed with the main part and that the lower portions of side walls 23, 24 are arranged between the latching arms 12, 13 and the plug-in portion 11. In Figure 5, one can see how the opening 43 provided in connector half 41 allows an engagement of the secondary lock 20 for a release of the secondary lock. Thus, to unmate connector and counter connector, one has to first move secondary lock 20 into the open position shown in Figure 3. In Figures 6 to 7 the plug connector is shown in assembled condition, however, the connector half 41 is partially transparent to allow a discussion of the interior parts of the connector. The connector halves are adapted to fully enclose the secondary lock 20. In Figure 6, the secondary lock is in the fully open position and the springs are tensioned. The secondary lock is moved into this open position due to a contact of a suitable actuating portion, which is adapted to be engaged by the counter connector during the mating process of the plug connector with the counter connector. Due to this actuating portion - which is in the shown embodiment constituted by the lower end of blocking arms 26 - upon inserting of the plug connector into the counter connector, the secondary lock 20 rests on a face or portion of the counter connector and is thereby moved upwardly relative to the main part 10 of the plug connector. When the plug connector finally reaches the fully mated position, a corresponding release portion of main part 10 (not shown in the figures) will release the blocking arms 26 from the counter connector, so that the tensioned springs 30 and 31 will automatically pull the secondary lock into the closed position as shown in Figure 7b. Figure 8 shows a schematic three-dimensional view of a corresponding counter connector 80. As the skilled person recognizes, the counter connector 80 is formed by a receptacle 81 of an airbag squib connector and a retainer insert 82. The inner walls of the receptacle 81 comprise an annular groove 83 adapted to allow a latching of the latching arms 12, 13 of the plug connector. Further, the counter connector 80 comprises two contact pins 84 adapted to establish electrical contact with the terminals 50 and 51 of the plug connector in mated condition. The inventive construction allows a very compact design and is at the same time very robust and simple to manufacture. Since the tension springs are attached to secondary lock and connector housing they do not become unintentionally loose and they do not need any guide structure to prevent them from buckling, as it was necessary in the prior art. Further, the invention allows a construction where the spring force acts exactly on the connector symmetry axis so that no buckling momentum acts on the CPA. Further, thereby, the friction between CPA and its guide structure in the connector housing is very low compared to the prior art solutions.
1. Electrical connector assembly (1), comprising: a plug connector, comprising a plug connector housing (10, 40) having a plug-in portion (11); a corresponding counter connector; and a spring operated secondary lock (20), being arranged movable on the plug connector housing in mating direction of the plug connector between an open position and a locked position; characterized in that the assembly further comprises at least one tension spring (30, 31) and the secondary lock (20) comprises a spring attachment portion (21, 22) for the tension spring (30, 31) at its far end relative to the plug-in portion (11);: and: the plug connector housing comprises a spring attachment portion (15, 16) next to the plug-in portion (11);: whereby the spring (30, 31) is attached with its respective ends at these respective spring attachment portions (15, 16; 21, 22) such that it is tensioned when the secondary lock (20) is moved from the locked to the open position; and: whereby the secondary lock (20) further comprises an actuating portion (26) adapted to be engaged by the counter connector during the mating process of the plug connector with the counter connector, so that the secondary lock (20) is moved from the locked position to the open position upon mating; and: whereby the engagement is released at the end of the mating process, such that the tension spring (30, 31) will pull the secondary lock (20) back into the locked position.
2. Electrical connector assembly according to claim 1, characterized in that the plug in portion (11) comprises at least two latching arms (12, 13) for latching with corresponding latching means of the counter connector. 3. Electrical connector assembly according to claim 2, characterized in that the secondary lock (20) comprises blocking legs adapted to block a release movement of the latching arm (12, 13) when the secondary lock is in the locked position. 4. Electrical connector assembly according to claims 1 or 2, characterized in that the tension spring (30, 31) comprises attachment loops (35) on both opposite ends being integrally formed from the spring wire. 5. Electrical connector assembly according to any one of the preceding claims, characterized in that the attachment portions (15, 16; 21, 22) are cylindrical portions extending in a plane perpendicular to the mating direction. 6. Electrical connector assembly according to any one of the preceding claims, characterized in that the plug connector housing (10, 40) comprises a main part (10) and a cover part (40) and the at least one tension spring (30,31) is attached to the main part (10) and the cover (40) is adapted to prevent the spring (30, 31) from getting separated from the main part (10). 7. Electrical connector assembly according to any one of the preceding claims, characterized in that the tension spring (30, 31) comprises at least 5 coils, more preferably at least 8 coils and most preferably at least 10 coils. 8. Electrical connector assembly according to any one of the preceding claims, characterized in that the secondary lock (20) has two opposing side walls (23, 24) extending in mating direction and a plate portion (25) connecting the two side walls. 9. Electrical connector assembly according to any one of the preceding claims, characterized in that the plug connector housing comprises a cover (40) having an opening (43) arranged therein which allows an engagement of the secondary lock (20) for a manual release of the secondary lock. 10. Electrical connector assembly according to the preceding claim, characterized in that the cover (40) consists of two halves (41, 42) which fully enclose the secondary lock (20). 11. Electrical connector assembly according to any one of the preceding claims, characterized in that the actuating portion of the secondary lock (20) comprises two actuating arms (26) extending in mating direction, which actuating arms (26) are engaged by the counter connector upon mating, so that the secondary lock (20) is moved from the locked position to the open position upon mating; and the plug connector housing comprises a release portion, which releases the actuating arms (26) from the counter connector at the end of the mating process. 12. Electrical connector assembly according to any one of the preceding claims, characterized in that the plug connector is a 180° plug connector.